From 8143bb177252294cc068ff61f04fc5cc72fc29c1 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Fri, 29 Jan 2021 16:38:05 +0500 Subject: [PATCH] LSU Top updated --- lsu.anno.json | 4 +- lsu.fir | 8175 ++++++++--------- lsu.v | 2930 +++--- lsu_lsc_ctl.anno.json | 30 +- lsu_lsc_ctl.fir | 352 +- lsu_lsc_ctl.v | 382 +- src/main/scala/lsu/lsu.scala | 36 +- src/main/scala/lsu/lsu_bus_buffer.scala | 6 +- src/main/scala/lsu/lsu_lsc_ctl.scala | 15 +- target/scala-2.12/classes/lsu/buffer$.class | Bin 3869 -> 3869 bytes .../classes/lsu/buffer$delayedInit$body.class | Bin 729 -> 729 bytes target/scala-2.12/classes/lsu/lsc_ctl$.class | Bin 3864 -> 3864 bytes .../lsu/lsc_ctl$delayedInit$body.class | Bin 732 -> 732 bytes target/scala-2.12/classes/lsu/lsu.class | Bin 914397 -> 856181 bytes .../classes/lsu/lsu_bus_buffer.class | Bin 582815 -> 582831 bytes .../classes/lsu/lsu_lsc_ctl$$anon$1.class | Bin 9465 -> 9495 bytes .../scala-2.12/classes/lsu/lsu_lsc_ctl.class | Bin 341453 -> 336903 bytes target/scala-2.12/classes/lsu/lsu_main$.class | Bin 3844 -> 3844 bytes 18 files changed, 5911 insertions(+), 6019 deletions(-) diff --git a/lsu.anno.json b/lsu.anno.json index 7e37459a..1f89898f 100644 --- a/lsu.anno.json +++ b/lsu.anno.json @@ -180,6 +180,7 @@ "sink":"~lsu|lsu>io_lsu_store_stall_any", "sources":[ "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_dec_tlu_flush_lower_r", "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", "~lsu|lsu>io_dec_lsu_offset_d", @@ -188,7 +189,6 @@ "~lsu|lsu>io_lsu_p_bits_half", "~lsu|lsu>io_lsu_p_bits_word", "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", - "~lsu|lsu>io_dec_tlu_flush_lower_r", "~lsu|lsu>io_dec_tlu_force_halt", "~lsu|lsu>io_lsu_pic_picm_rd_data", "~lsu|lsu>io_dccm_rd_data_hi", @@ -380,6 +380,7 @@ "sink":"~lsu|lsu>io_lsu_load_stall_any", "sources":[ "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_dec_tlu_flush_lower_r", "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", "~lsu|lsu>io_dec_lsu_offset_d", @@ -388,7 +389,6 @@ "~lsu|lsu>io_lsu_p_bits_half", "~lsu|lsu>io_lsu_p_bits_word", "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", - "~lsu|lsu>io_dec_tlu_flush_lower_r", "~lsu|lsu>io_dec_tlu_force_halt", "~lsu|lsu>io_lsu_pic_picm_rd_data", "~lsu|lsu>io_dccm_rd_data_hi", diff --git a/lsu.fir b/lsu.fir index e7d8b924..b69dd4f5 100644 --- a/lsu.fir +++ b/lsu.fir @@ -783,207 +783,197 @@ circuit lsu : node _T_151 = bits(io.lsu_exu.lsu_result_m, 31, 0) @[lsu_lsc_ctl.scala 223:103] node _T_152 = bits(store_data_d, 31, 0) @[lsu_lsc_ctl.scala 223:122] node store_data_m_in = mux(_T_150, _T_151, _T_152) @[lsu_lsc_ctl.scala 223:34] - node _T_153 = bits(io.lsu_addr_d, 2, 2) @[lsu_lsc_ctl.scala 224:62] - reg _T_154 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 224:48] - _T_154 <= _T_153 @[lsu_lsc_ctl.scala 224:48] - node _T_155 = bits(io.end_addr_d, 2, 2) @[lsu_lsc_ctl.scala 224:124] - reg _T_156 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 224:110] - _T_156 <= _T_155 @[lsu_lsc_ctl.scala 224:110] - node int = neq(_T_154, _T_156) @[lsu_lsc_ctl.scala 224:72] - node _T_157 = bits(io.lsu_addr_m, 2, 2) @[lsu_lsc_ctl.scala 225:62] - reg _T_158 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 225:48] - _T_158 <= _T_157 @[lsu_lsc_ctl.scala 225:48] - node _T_159 = bits(io.end_addr_m, 2, 2) @[lsu_lsc_ctl.scala 225:124] - reg _T_160 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 225:110] - _T_160 <= _T_159 @[lsu_lsc_ctl.scala 225:110] - node int1 = neq(_T_158, _T_160) @[lsu_lsc_ctl.scala 225:72] - reg store_data_pre_m : UInt, io.lsu_store_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 226:72] - store_data_pre_m <= store_data_m_in @[lsu_lsc_ctl.scala 226:72] - reg _T_161 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 227:62] - _T_161 <= io.lsu_addr_d @[lsu_lsc_ctl.scala 227:62] - io.lsu_addr_m <= _T_161 @[lsu_lsc_ctl.scala 227:24] - reg _T_162 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 228:62] - _T_162 <= io.lsu_addr_m @[lsu_lsc_ctl.scala 228:62] - io.lsu_addr_r <= _T_162 @[lsu_lsc_ctl.scala 228:24] - node _T_163 = bits(io.lsu_addr_m, 31, 3) @[lsu_lsc_ctl.scala 229:60] - node _T_164 = mux(int, end_addr_pre_m, _T_163) @[lsu_lsc_ctl.scala 229:27] - node _T_165 = bits(io.end_addr_d, 2, 0) @[lsu_lsc_ctl.scala 229:117] - reg _T_166 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 229:103] - _T_166 <= _T_165 @[lsu_lsc_ctl.scala 229:103] - node _T_167 = cat(_T_164, _T_166) @[Cat.scala 29:58] - io.end_addr_m <= _T_167 @[lsu_lsc_ctl.scala 229:17] - node _T_168 = bits(io.lsu_addr_r, 31, 3) @[lsu_lsc_ctl.scala 230:61] - node _T_169 = mux(int1, end_addr_pre_r, _T_168) @[lsu_lsc_ctl.scala 230:27] - node _T_170 = bits(io.end_addr_m, 2, 0) @[lsu_lsc_ctl.scala 230:118] - reg _T_171 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 230:104] - _T_171 <= _T_170 @[lsu_lsc_ctl.scala 230:104] - node _T_172 = cat(_T_169, _T_171) @[Cat.scala 29:58] - io.end_addr_r <= _T_172 @[lsu_lsc_ctl.scala 230:17] - node _T_173 = bits(io.end_addr_d, 31, 3) @[lsu_lsc_ctl.scala 231:41] - node _T_174 = and(io.lsu_pkt_d.valid, io.ldst_dual_d) @[lsu_lsc_ctl.scala 231:69] - node _T_175 = or(_T_174, io.clk_override) @[lsu_lsc_ctl.scala 231:87] - node _T_176 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + reg store_data_pre_m : UInt, io.lsu_store_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 225:72] + store_data_pre_m <= store_data_m_in @[lsu_lsc_ctl.scala 225:72] + reg _T_153 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 226:62] + _T_153 <= io.lsu_addr_d @[lsu_lsc_ctl.scala 226:62] + io.lsu_addr_m <= _T_153 @[lsu_lsc_ctl.scala 226:24] + reg _T_154 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 227:62] + _T_154 <= io.lsu_addr_m @[lsu_lsc_ctl.scala 227:62] + io.lsu_addr_r <= _T_154 @[lsu_lsc_ctl.scala 227:24] + node _T_155 = bits(io.ldst_dual_m, 0, 0) @[lib.scala 8:44] + node _T_156 = bits(io.lsu_addr_m, 31, 3) @[lsu_lsc_ctl.scala 228:71] + node _T_157 = mux(_T_155, end_addr_pre_m, _T_156) @[lsu_lsc_ctl.scala 228:27] + node _T_158 = bits(io.end_addr_d, 2, 0) @[lsu_lsc_ctl.scala 228:128] + reg _T_159 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 228:114] + _T_159 <= _T_158 @[lsu_lsc_ctl.scala 228:114] + node _T_160 = cat(_T_157, _T_159) @[Cat.scala 29:58] + io.end_addr_m <= _T_160 @[lsu_lsc_ctl.scala 228:17] + node _T_161 = bits(io.ldst_dual_r, 0, 0) @[lib.scala 8:44] + node _T_162 = bits(io.lsu_addr_r, 31, 3) @[lsu_lsc_ctl.scala 229:71] + node _T_163 = mux(_T_161, end_addr_pre_r, _T_162) @[lsu_lsc_ctl.scala 229:27] + node _T_164 = bits(io.end_addr_m, 2, 0) @[lsu_lsc_ctl.scala 229:128] + reg _T_165 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 229:114] + _T_165 <= _T_164 @[lsu_lsc_ctl.scala 229:114] + node _T_166 = cat(_T_163, _T_165) @[Cat.scala 29:58] + io.end_addr_r <= _T_166 @[lsu_lsc_ctl.scala 229:17] + node _T_167 = bits(io.end_addr_d, 31, 3) @[lsu_lsc_ctl.scala 230:41] + node _T_168 = and(io.lsu_pkt_d.valid, io.ldst_dual_d) @[lsu_lsc_ctl.scala 230:69] + node _T_169 = or(_T_168, io.clk_override) @[lsu_lsc_ctl.scala 230:87] + node _T_170 = bits(_T_169, 0, 0) @[lib.scala 8:44] + node _T_171 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 404:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[lib.scala 406:18] - rvclkhdr_1.io.en <= _T_175 @[lib.scala 407:17] + rvclkhdr_1.io.en <= _T_170 @[lib.scala 407:17] rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 408:24] - reg _T_177 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_175 : @[Reg.scala 28:19] - _T_177 <= _T_173 @[Reg.scala 28:23] + reg _T_172 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_170 : @[Reg.scala 28:19] + _T_172 <= _T_167 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - end_addr_pre_m <= _T_177 @[lsu_lsc_ctl.scala 231:18] - node _T_178 = bits(io.end_addr_m, 31, 3) @[lsu_lsc_ctl.scala 232:41] - node _T_179 = and(io.lsu_pkt_m.valid, int) @[lsu_lsc_ctl.scala 232:69] - node _T_180 = or(_T_179, io.clk_override) @[lsu_lsc_ctl.scala 232:76] - node _T_181 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + end_addr_pre_m <= _T_172 @[lsu_lsc_ctl.scala 230:18] + node _T_173 = bits(io.end_addr_m, 31, 3) @[lsu_lsc_ctl.scala 231:41] + node _T_174 = and(io.lsu_pkt_m.valid, io.ldst_dual_m) @[lsu_lsc_ctl.scala 231:69] + node _T_175 = or(_T_174, io.clk_override) @[lsu_lsc_ctl.scala 231:87] + node _T_176 = bits(_T_175, 0, 0) @[lib.scala 8:44] + node _T_177 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 404:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[lib.scala 406:18] - rvclkhdr_2.io.en <= _T_180 @[lib.scala 407:17] + rvclkhdr_2.io.en <= _T_176 @[lib.scala 407:17] rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 408:24] - reg _T_182 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_180 : @[Reg.scala 28:19] - _T_182 <= _T_178 @[Reg.scala 28:23] + reg _T_178 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_176 : @[Reg.scala 28:19] + _T_178 <= _T_173 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - end_addr_pre_r <= _T_182 @[lsu_lsc_ctl.scala 232:18] - reg _T_183 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 233:62] - _T_183 <= io.addr_in_dccm_d @[lsu_lsc_ctl.scala 233:62] - io.addr_in_dccm_m <= _T_183 @[lsu_lsc_ctl.scala 233:24] - reg _T_184 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 234:62] - _T_184 <= io.addr_in_dccm_m @[lsu_lsc_ctl.scala 234:62] - io.addr_in_dccm_r <= _T_184 @[lsu_lsc_ctl.scala 234:24] - reg _T_185 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 235:62] - _T_185 <= io.addr_in_pic_d @[lsu_lsc_ctl.scala 235:62] - io.addr_in_pic_m <= _T_185 @[lsu_lsc_ctl.scala 235:24] - reg _T_186 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 236:62] - _T_186 <= io.addr_in_pic_m @[lsu_lsc_ctl.scala 236:62] - io.addr_in_pic_r <= _T_186 @[lsu_lsc_ctl.scala 236:24] - reg _T_187 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 237:62] - _T_187 <= addrcheck.io.addr_external_d @[lsu_lsc_ctl.scala 237:62] - io.addr_external_m <= _T_187 @[lsu_lsc_ctl.scala 237:24] - reg addr_external_r : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 238:66] - addr_external_r <= io.addr_external_m @[lsu_lsc_ctl.scala 238:66] - node _T_188 = or(io.addr_external_m, io.clk_override) @[lsu_lsc_ctl.scala 239:77] - node _T_189 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + end_addr_pre_r <= _T_178 @[lsu_lsc_ctl.scala 231:18] + reg _T_179 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 232:62] + _T_179 <= io.addr_in_dccm_d @[lsu_lsc_ctl.scala 232:62] + io.addr_in_dccm_m <= _T_179 @[lsu_lsc_ctl.scala 232:24] + reg _T_180 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 233:62] + _T_180 <= io.addr_in_dccm_m @[lsu_lsc_ctl.scala 233:62] + io.addr_in_dccm_r <= _T_180 @[lsu_lsc_ctl.scala 233:24] + reg _T_181 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 234:62] + _T_181 <= io.addr_in_pic_d @[lsu_lsc_ctl.scala 234:62] + io.addr_in_pic_m <= _T_181 @[lsu_lsc_ctl.scala 234:24] + reg _T_182 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 235:62] + _T_182 <= io.addr_in_pic_m @[lsu_lsc_ctl.scala 235:62] + io.addr_in_pic_r <= _T_182 @[lsu_lsc_ctl.scala 235:24] + reg _T_183 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 236:62] + _T_183 <= addrcheck.io.addr_external_d @[lsu_lsc_ctl.scala 236:62] + io.addr_external_m <= _T_183 @[lsu_lsc_ctl.scala 236:24] + reg addr_external_r : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 237:66] + addr_external_r <= io.addr_external_m @[lsu_lsc_ctl.scala 237:66] + node _T_184 = or(io.addr_external_m, io.clk_override) @[lsu_lsc_ctl.scala 238:77] + node _T_185 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 404:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[lib.scala 406:18] - rvclkhdr_3.io.en <= _T_188 @[lib.scala 407:17] + rvclkhdr_3.io.en <= _T_184 @[lib.scala 407:17] rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 408:24] reg bus_read_data_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_188 : @[Reg.scala 28:19] + when _T_184 : @[Reg.scala 28:19] bus_read_data_r <= io.bus_read_data_m @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_190 = bits(io.lsu_ld_data_corr_r, 31, 1) @[lsu_lsc_ctl.scala 242:52] - io.lsu_fir_addr <= _T_190 @[lsu_lsc_ctl.scala 242:28] - io.lsu_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 244:28] - node _T_191 = or(io.lsu_pkt_r.bits.store, io.lsu_pkt_r.bits.load) @[lsu_lsc_ctl.scala 246:68] - node _T_192 = and(io.lsu_pkt_r.valid, _T_191) @[lsu_lsc_ctl.scala 246:41] - node _T_193 = eq(io.flush_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 246:96] - node _T_194 = and(_T_192, _T_193) @[lsu_lsc_ctl.scala 246:94] - node _T_195 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 246:110] - node _T_196 = and(_T_194, _T_195) @[lsu_lsc_ctl.scala 246:108] - io.lsu_commit_r <= _T_196 @[lsu_lsc_ctl.scala 246:19] - node _T_197 = bits(io.picm_mask_data_m, 31, 0) @[lsu_lsc_ctl.scala 247:52] - node _T_198 = eq(io.addr_in_pic_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 247:69] - node _T_199 = bits(_T_198, 0, 0) @[Bitwise.scala 72:15] - node _T_200 = mux(_T_199, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_201 = or(_T_197, _T_200) @[lsu_lsc_ctl.scala 247:59] - node _T_202 = bits(io.lsu_pkt_m.bits.store_data_bypass_m, 0, 0) @[lsu_lsc_ctl.scala 247:133] - node _T_203 = mux(_T_202, io.lsu_exu.lsu_result_m, store_data_pre_m) @[lsu_lsc_ctl.scala 247:94] - node _T_204 = and(_T_201, _T_203) @[lsu_lsc_ctl.scala 247:89] - io.store_data_m <= _T_204 @[lsu_lsc_ctl.scala 247:29] - node _T_205 = mux(io.addr_external_m, io.bus_read_data_m, io.lsu_ld_data_m) @[lsu_lsc_ctl.scala 268:33] - lsu_ld_datafn_m <= _T_205 @[lsu_lsc_ctl.scala 268:27] - node _T_206 = eq(addr_external_r, UInt<1>("h01")) @[lsu_lsc_ctl.scala 269:49] - node _T_207 = mux(_T_206, bus_read_data_r, io.lsu_ld_data_corr_r) @[lsu_lsc_ctl.scala 269:33] - lsu_ld_datafn_corr_r <= _T_207 @[lsu_lsc_ctl.scala 269:27] - node _T_208 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 270:74] - node _T_209 = bits(_T_208, 0, 0) @[Bitwise.scala 72:15] - node _T_210 = mux(_T_209, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_211 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 270:133] - node _T_212 = cat(UInt<24>("h00"), _T_211) @[Cat.scala 29:58] - node _T_213 = and(_T_210, _T_212) @[lsu_lsc_ctl.scala 270:102] - node _T_214 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 271:43] - node _T_215 = bits(_T_214, 0, 0) @[Bitwise.scala 72:15] - node _T_216 = mux(_T_215, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_217 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 271:102] - node _T_218 = cat(UInt<16>("h00"), _T_217) @[Cat.scala 29:58] - node _T_219 = and(_T_216, _T_218) @[lsu_lsc_ctl.scala 271:71] - node _T_220 = or(_T_213, _T_219) @[lsu_lsc_ctl.scala 270:141] - node _T_221 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 272:17] - node _T_222 = and(_T_221, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 272:43] - node _T_223 = bits(_T_222, 0, 0) @[Bitwise.scala 72:15] - node _T_224 = mux(_T_223, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_225 = bits(lsu_ld_datafn_m, 7, 7) @[lsu_lsc_ctl.scala 272:102] - node _T_226 = bits(_T_225, 0, 0) @[Bitwise.scala 72:15] - node _T_227 = mux(_T_226, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_228 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 272:125] - node _T_229 = cat(_T_227, _T_228) @[Cat.scala 29:58] - node _T_230 = and(_T_224, _T_229) @[lsu_lsc_ctl.scala 272:71] - node _T_231 = or(_T_220, _T_230) @[lsu_lsc_ctl.scala 271:114] - node _T_232 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 273:17] - node _T_233 = and(_T_232, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 273:43] - node _T_234 = bits(_T_233, 0, 0) @[Bitwise.scala 72:15] - node _T_235 = mux(_T_234, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_236 = bits(lsu_ld_datafn_m, 15, 15) @[lsu_lsc_ctl.scala 273:101] - node _T_237 = bits(_T_236, 0, 0) @[Bitwise.scala 72:15] - node _T_238 = mux(_T_237, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_239 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 273:125] - node _T_240 = cat(_T_238, _T_239) @[Cat.scala 29:58] - node _T_241 = and(_T_235, _T_240) @[lsu_lsc_ctl.scala 273:71] - node _T_242 = or(_T_231, _T_241) @[lsu_lsc_ctl.scala 272:134] - node _T_243 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] - node _T_244 = mux(_T_243, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_245 = bits(lsu_ld_datafn_m, 31, 0) @[lsu_lsc_ctl.scala 274:60] - node _T_246 = and(_T_244, _T_245) @[lsu_lsc_ctl.scala 274:43] - node _T_247 = or(_T_242, _T_246) @[lsu_lsc_ctl.scala 273:134] - io.lsu_exu.lsu_result_m <= _T_247 @[lsu_lsc_ctl.scala 270:35] - node _T_248 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 275:66] - node _T_249 = bits(_T_248, 0, 0) @[Bitwise.scala 72:15] - node _T_250 = mux(_T_249, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_251 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 275:130] - node _T_252 = cat(UInt<24>("h00"), _T_251) @[Cat.scala 29:58] - node _T_253 = and(_T_250, _T_252) @[lsu_lsc_ctl.scala 275:94] - node _T_254 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 276:43] - node _T_255 = bits(_T_254, 0, 0) @[Bitwise.scala 72:15] - node _T_256 = mux(_T_255, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_257 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 276:107] - node _T_258 = cat(UInt<16>("h00"), _T_257) @[Cat.scala 29:58] - node _T_259 = and(_T_256, _T_258) @[lsu_lsc_ctl.scala 276:71] - node _T_260 = or(_T_253, _T_259) @[lsu_lsc_ctl.scala 275:138] - node _T_261 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 277:17] - node _T_262 = and(_T_261, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 277:43] - node _T_263 = bits(_T_262, 0, 0) @[Bitwise.scala 72:15] - node _T_264 = mux(_T_263, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_265 = bits(lsu_ld_datafn_corr_r, 7, 7) @[lsu_lsc_ctl.scala 277:107] - node _T_266 = bits(_T_265, 0, 0) @[Bitwise.scala 72:15] - node _T_267 = mux(_T_266, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_268 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 277:135] - node _T_269 = cat(_T_267, _T_268) @[Cat.scala 29:58] - node _T_270 = and(_T_264, _T_269) @[lsu_lsc_ctl.scala 277:71] - node _T_271 = or(_T_260, _T_270) @[lsu_lsc_ctl.scala 276:119] - node _T_272 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 278:17] - node _T_273 = and(_T_272, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 278:43] - node _T_274 = bits(_T_273, 0, 0) @[Bitwise.scala 72:15] - node _T_275 = mux(_T_274, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_276 = bits(lsu_ld_datafn_corr_r, 15, 15) @[lsu_lsc_ctl.scala 278:106] - node _T_277 = bits(_T_276, 0, 0) @[Bitwise.scala 72:15] - node _T_278 = mux(_T_277, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_279 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 278:135] - node _T_280 = cat(_T_278, _T_279) @[Cat.scala 29:58] - node _T_281 = and(_T_275, _T_280) @[lsu_lsc_ctl.scala 278:71] - node _T_282 = or(_T_271, _T_281) @[lsu_lsc_ctl.scala 277:144] - node _T_283 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] - node _T_284 = mux(_T_283, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_285 = bits(lsu_ld_datafn_corr_r, 31, 0) @[lsu_lsc_ctl.scala 279:65] - node _T_286 = and(_T_284, _T_285) @[lsu_lsc_ctl.scala 279:43] - node _T_287 = or(_T_282, _T_286) @[lsu_lsc_ctl.scala 278:144] - io.lsu_result_corr_r <= _T_287 @[lsu_lsc_ctl.scala 275:27] + node _T_186 = bits(io.lsu_ld_data_corr_r, 31, 1) @[lsu_lsc_ctl.scala 241:52] + io.lsu_fir_addr <= _T_186 @[lsu_lsc_ctl.scala 241:28] + io.lsu_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 243:28] + node _T_187 = or(io.lsu_pkt_r.bits.store, io.lsu_pkt_r.bits.load) @[lsu_lsc_ctl.scala 245:68] + node _T_188 = and(io.lsu_pkt_r.valid, _T_187) @[lsu_lsc_ctl.scala 245:41] + node _T_189 = eq(io.flush_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 245:96] + node _T_190 = and(_T_188, _T_189) @[lsu_lsc_ctl.scala 245:94] + node _T_191 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 245:110] + node _T_192 = and(_T_190, _T_191) @[lsu_lsc_ctl.scala 245:108] + io.lsu_commit_r <= _T_192 @[lsu_lsc_ctl.scala 245:19] + node _T_193 = bits(io.picm_mask_data_m, 31, 0) @[lsu_lsc_ctl.scala 246:52] + node _T_194 = eq(io.addr_in_pic_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 246:69] + node _T_195 = bits(_T_194, 0, 0) @[Bitwise.scala 72:15] + node _T_196 = mux(_T_195, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_197 = or(_T_193, _T_196) @[lsu_lsc_ctl.scala 246:59] + node _T_198 = bits(io.lsu_pkt_m.bits.store_data_bypass_m, 0, 0) @[lsu_lsc_ctl.scala 246:133] + node _T_199 = mux(_T_198, io.lsu_exu.lsu_result_m, store_data_pre_m) @[lsu_lsc_ctl.scala 246:94] + node _T_200 = and(_T_197, _T_199) @[lsu_lsc_ctl.scala 246:89] + io.store_data_m <= _T_200 @[lsu_lsc_ctl.scala 246:29] + node _T_201 = mux(io.addr_external_m, io.bus_read_data_m, io.lsu_ld_data_m) @[lsu_lsc_ctl.scala 267:33] + lsu_ld_datafn_m <= _T_201 @[lsu_lsc_ctl.scala 267:27] + node _T_202 = eq(addr_external_r, UInt<1>("h01")) @[lsu_lsc_ctl.scala 268:49] + node _T_203 = mux(_T_202, bus_read_data_r, io.lsu_ld_data_corr_r) @[lsu_lsc_ctl.scala 268:33] + lsu_ld_datafn_corr_r <= _T_203 @[lsu_lsc_ctl.scala 268:27] + node _T_204 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 269:74] + node _T_205 = bits(_T_204, 0, 0) @[Bitwise.scala 72:15] + node _T_206 = mux(_T_205, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_207 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 269:133] + node _T_208 = cat(UInt<24>("h00"), _T_207) @[Cat.scala 29:58] + node _T_209 = and(_T_206, _T_208) @[lsu_lsc_ctl.scala 269:102] + node _T_210 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 270:43] + node _T_211 = bits(_T_210, 0, 0) @[Bitwise.scala 72:15] + node _T_212 = mux(_T_211, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_213 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 270:102] + node _T_214 = cat(UInt<16>("h00"), _T_213) @[Cat.scala 29:58] + node _T_215 = and(_T_212, _T_214) @[lsu_lsc_ctl.scala 270:71] + node _T_216 = or(_T_209, _T_215) @[lsu_lsc_ctl.scala 269:141] + node _T_217 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 271:17] + node _T_218 = and(_T_217, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 271:43] + node _T_219 = bits(_T_218, 0, 0) @[Bitwise.scala 72:15] + node _T_220 = mux(_T_219, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_221 = bits(lsu_ld_datafn_m, 7, 7) @[lsu_lsc_ctl.scala 271:102] + node _T_222 = bits(_T_221, 0, 0) @[Bitwise.scala 72:15] + node _T_223 = mux(_T_222, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_224 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 271:125] + node _T_225 = cat(_T_223, _T_224) @[Cat.scala 29:58] + node _T_226 = and(_T_220, _T_225) @[lsu_lsc_ctl.scala 271:71] + node _T_227 = or(_T_216, _T_226) @[lsu_lsc_ctl.scala 270:114] + node _T_228 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 272:17] + node _T_229 = and(_T_228, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 272:43] + node _T_230 = bits(_T_229, 0, 0) @[Bitwise.scala 72:15] + node _T_231 = mux(_T_230, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_232 = bits(lsu_ld_datafn_m, 15, 15) @[lsu_lsc_ctl.scala 272:101] + node _T_233 = bits(_T_232, 0, 0) @[Bitwise.scala 72:15] + node _T_234 = mux(_T_233, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_235 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 272:125] + node _T_236 = cat(_T_234, _T_235) @[Cat.scala 29:58] + node _T_237 = and(_T_231, _T_236) @[lsu_lsc_ctl.scala 272:71] + node _T_238 = or(_T_227, _T_237) @[lsu_lsc_ctl.scala 271:134] + node _T_239 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_240 = mux(_T_239, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_241 = bits(lsu_ld_datafn_m, 31, 0) @[lsu_lsc_ctl.scala 273:60] + node _T_242 = and(_T_240, _T_241) @[lsu_lsc_ctl.scala 273:43] + node _T_243 = or(_T_238, _T_242) @[lsu_lsc_ctl.scala 272:134] + io.lsu_exu.lsu_result_m <= _T_243 @[lsu_lsc_ctl.scala 269:35] + node _T_244 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 274:66] + node _T_245 = bits(_T_244, 0, 0) @[Bitwise.scala 72:15] + node _T_246 = mux(_T_245, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_247 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 274:130] + node _T_248 = cat(UInt<24>("h00"), _T_247) @[Cat.scala 29:58] + node _T_249 = and(_T_246, _T_248) @[lsu_lsc_ctl.scala 274:94] + node _T_250 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 275:43] + node _T_251 = bits(_T_250, 0, 0) @[Bitwise.scala 72:15] + node _T_252 = mux(_T_251, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_253 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 275:107] + node _T_254 = cat(UInt<16>("h00"), _T_253) @[Cat.scala 29:58] + node _T_255 = and(_T_252, _T_254) @[lsu_lsc_ctl.scala 275:71] + node _T_256 = or(_T_249, _T_255) @[lsu_lsc_ctl.scala 274:138] + node _T_257 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 276:17] + node _T_258 = and(_T_257, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 276:43] + node _T_259 = bits(_T_258, 0, 0) @[Bitwise.scala 72:15] + node _T_260 = mux(_T_259, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_261 = bits(lsu_ld_datafn_corr_r, 7, 7) @[lsu_lsc_ctl.scala 276:107] + node _T_262 = bits(_T_261, 0, 0) @[Bitwise.scala 72:15] + node _T_263 = mux(_T_262, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_264 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 276:135] + node _T_265 = cat(_T_263, _T_264) @[Cat.scala 29:58] + node _T_266 = and(_T_260, _T_265) @[lsu_lsc_ctl.scala 276:71] + node _T_267 = or(_T_256, _T_266) @[lsu_lsc_ctl.scala 275:119] + node _T_268 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 277:17] + node _T_269 = and(_T_268, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 277:43] + node _T_270 = bits(_T_269, 0, 0) @[Bitwise.scala 72:15] + node _T_271 = mux(_T_270, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_272 = bits(lsu_ld_datafn_corr_r, 15, 15) @[lsu_lsc_ctl.scala 277:106] + node _T_273 = bits(_T_272, 0, 0) @[Bitwise.scala 72:15] + node _T_274 = mux(_T_273, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_275 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 277:135] + node _T_276 = cat(_T_274, _T_275) @[Cat.scala 29:58] + node _T_277 = and(_T_271, _T_276) @[lsu_lsc_ctl.scala 277:71] + node _T_278 = or(_T_267, _T_277) @[lsu_lsc_ctl.scala 276:144] + node _T_279 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_280 = mux(_T_279, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_281 = bits(lsu_ld_datafn_corr_r, 31, 0) @[lsu_lsc_ctl.scala 278:65] + node _T_282 = and(_T_280, _T_281) @[lsu_lsc_ctl.scala 278:43] + node _T_283 = or(_T_278, _T_282) @[lsu_lsc_ctl.scala 277:144] + io.lsu_result_corr_r <= _T_283 @[lsu_lsc_ctl.scala 274:27] extmodule gated_latch_4 : output Q : Clock @@ -9239,203 +9229,203 @@ circuit lsu : node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58] node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58] node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58] - node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 169:86] + node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 170:60] node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 169:104] - node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 169:91] - node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 169:86] + node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 170:78] + node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 170:65] + node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 170:60] node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 169:104] - node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 169:91] - node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 169:86] + node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 170:78] + node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 170:65] + node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 170:60] node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 169:104] - node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 169:91] - node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 169:86] + node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 170:78] + node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 170:65] + node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 170:60] node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 169:104] - node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 169:91] - node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 169:123] - node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 169:123] - node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 169:123] - node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 170:60] + node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 170:78] + node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 170:65] + node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 170:97] + node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 170:97] + node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 170:97] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 171:60] node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 170:78] - node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 170:65] - node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 170:60] + node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 171:78] + node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 171:65] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 171:60] node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 170:78] - node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 170:65] - node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 170:60] + node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 171:78] + node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 171:65] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 171:60] node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 170:78] - node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 170:65] - node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 170:60] + node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 171:78] + node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 171:65] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 171:60] node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 170:78] - node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 170:65] - node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 170:97] - node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 170:97] - node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 170:97] - node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 171:60] + node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 171:78] + node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 171:65] + node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 171:97] + node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 171:97] + node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 171:97] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 172:60] node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 171:78] - node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 171:65] - node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 171:60] + node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 172:78] + node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 172:65] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 172:60] node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 171:78] - node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 171:65] - node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 171:60] + node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 172:78] + node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 172:65] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 172:60] node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 171:78] - node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 171:65] - node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 171:60] + node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 172:78] + node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 172:65] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 172:60] node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 171:78] - node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 171:65] - node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 171:97] - node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 171:97] - node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 171:97] - node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 172:60] + node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 172:78] + node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 172:65] + node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 172:97] + node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 172:97] + node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 172:97] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 173:60] node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 172:78] - node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 172:65] - node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 172:60] + node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 173:78] + node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 173:65] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 173:60] node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 172:78] - node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 172:65] - node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 172:60] + node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 173:78] + node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 173:65] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 173:60] node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 172:78] - node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 172:65] - node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 172:60] + node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 173:78] + node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 173:65] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 173:60] node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 172:78] - node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 172:65] - node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 172:97] - node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 172:97] - node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 172:97] + node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 173:78] + node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 173:65] + node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 173:97] + node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 173:97] + node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 173:97] node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] - node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 173:32] - node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 172:103] + node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 174:32] + node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 173:103] io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 169:24] - node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 175:86] + node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 177:60] node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15] node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 175:104] - node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 175:91] - node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 175:86] + node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 177:78] + node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 177:65] + node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 177:60] node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 175:104] - node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 175:91] - node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 175:86] + node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 177:78] + node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 177:65] + node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 177:60] node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15] node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 175:104] - node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 175:91] - node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 175:86] + node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 177:78] + node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 177:65] + node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 177:60] node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 175:104] - node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 175:91] - node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 175:123] - node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 175:123] - node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 175:123] - node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 176:60] + node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 177:78] + node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 177:65] + node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 177:97] + node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 177:97] + node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 177:97] + node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 178:60] node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 176:78] - node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 176:65] - node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 176:60] + node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 178:78] + node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 178:65] + node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 178:60] node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 176:78] - node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 176:65] - node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 176:60] + node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 178:78] + node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 178:65] + node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 178:60] node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 176:78] - node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 176:65] - node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 176:60] + node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 178:78] + node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 178:65] + node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 178:60] node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 176:78] - node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 176:65] - node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 176:97] - node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 176:97] - node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 176:97] - node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 177:60] + node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 178:78] + node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 178:65] + node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 178:97] + node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 178:97] + node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 178:97] + node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 179:60] node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 177:78] - node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 177:65] - node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 177:60] + node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 179:78] + node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 179:65] + node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 179:60] node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 177:78] - node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 177:65] - node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 177:60] + node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 179:78] + node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 179:65] + node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 179:60] node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 177:78] - node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 177:65] - node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 177:60] + node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 179:78] + node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 179:65] + node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 179:60] node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 177:78] - node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 177:65] - node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 177:97] - node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 177:97] - node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 177:97] - node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 178:60] + node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 179:78] + node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 179:65] + node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 179:97] + node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 179:97] + node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 179:97] + node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 180:60] node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 178:78] - node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 178:65] - node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 178:60] + node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 180:78] + node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 180:65] + node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 180:60] node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 178:78] - node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 178:65] - node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 178:60] + node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 180:78] + node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 180:65] + node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 180:60] node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 178:78] - node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 178:65] - node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 178:60] + node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 180:78] + node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 180:65] + node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 180:60] node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 178:78] - node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 178:65] - node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 178:97] - node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 178:97] - node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 178:97] + node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 180:78] + node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 180:65] + node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 180:97] + node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 180:97] + node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 180:97] node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58] node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58] node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] - node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 179:32] - node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 178:103] - io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 175:24] - node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 181:77] + node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 181:32] + node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 180:103] + io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 176:24] + node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 183:77] node _T_750 = mux(io.lsu_pkt_r.bits.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_751 = mux(io.lsu_pkt_r.bits.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_752 = mux(io.lsu_pkt_r.bits.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -9443,19 +9433,19 @@ circuit lsu : node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72] wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_754 @[Mux.scala 27:72] - node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 186:50] - node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 186:55] - node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:19] - node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 187:24] - node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 187:60] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:50] + node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 188:55] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 189:19] + node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 189:24] + node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 189:60] node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58] - node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] - node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 188:24] - node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 188:60] + node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 190:19] + node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 190:24] + node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 190:60] node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58] - node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 189:19] - node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 189:24] - node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 189:60] + node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:19] + node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 191:24] + node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 191:60] node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58] node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] @@ -9466,19 +9456,19 @@ circuit lsu : node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] - node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:50] - node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 191:55] - node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:19] - node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 192:24] - node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 192:50] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 193:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 194:19] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 194:24] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 194:50] node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] - node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 193:24] - node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 193:50] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 195:19] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 195:24] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 195:50] node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 194:19] - node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 194:24] - node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 194:50] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:19] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 196:24] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 196:50] node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] @@ -9489,19 +9479,19 @@ circuit lsu : node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] - node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:49] - node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 196:54] - node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:19] - node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 197:24] - node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 197:64] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 198:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 199:19] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 199:24] + node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 199:64] node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] - node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] - node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 198:24] - node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 198:63] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 200:19] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 200:24] + node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 200:63] node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] - node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 199:19] - node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 199:24] - node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 199:62] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 201:19] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 201:24] + node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 201:62] node _T_810 = cat(UInt<8>("h00"), _T_809) @[Cat.scala 29:58] node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] @@ -9512,19 +9502,19 @@ circuit lsu : node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] store_data_hi_r <= _T_817 @[Mux.scala 27:72] - node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 201:49] - node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 201:54] - node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 202:19] - node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 202:24] - node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 202:52] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 203:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 203:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 204:19] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 204:24] + node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 204:52] node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] - node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 203:19] - node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 203:24] - node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 203:52] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 205:19] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 205:24] + node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 205:52] node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 204:19] - node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 204:24] - node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 204:52] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 206:19] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 206:24] + node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 206:52] node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] @@ -9535,13 +9525,13 @@ circuit lsu : node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] store_data_lo_r <= _T_838 @[Mux.scala 27:72] - node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 207:36] - node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 207:57] - node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 207:40] - node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 208:72] - node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 208:79] - node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 209:45] - node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 209:31] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 209:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 209:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 209:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 210:72] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 210:79] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 211:45] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 211:31] node _T_845 = mux(io.lsu_pkt_r.bits.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] node _T_846 = mux(io.lsu_pkt_r.bits.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] node _T_847 = mux(io.lsu_pkt_r.bits.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -9549,26 +9539,26 @@ circuit lsu : node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_849 @[Mux.scala 27:72] - node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 211:60] - node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 211:34] - node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 211:84] - node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 211:82] - node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 212:36] - node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 212:56] - node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 212:54] + node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 213:60] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 213:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 213:84] + node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 213:82] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 214:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 214:56] + node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 214:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 214:36] - node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 214:34] - node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 214:49] - node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 215:44] - node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 215:42] - node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 215:61] - node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 215:112] - node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 215:137] - node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 215:120] - node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 215:100] - node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 215:74] + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 216:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 216:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 216:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 217:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 217:42] + node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 217:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 217:112] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 217:137] + node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 217:120] + node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 217:100] + node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 217:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -9577,175 +9567,175 @@ circuit lsu : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 220:62] - node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 220:48] - node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 220:98] - node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 220:82] - node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 220:80] - node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 221:5] - node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 221:16] - node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 221:35] - node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 221:55] - node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 221:53] - node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 221:67] - node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 220:32] - ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 220:18] + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 222:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 222:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 222:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 222:82] + node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 222:80] + node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 223:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 223:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 223:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 223:55] + node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 223:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 223:67] + node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 222:32] + ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 222:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 226:39] - node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 226:69] - node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 226:24] + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 228:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 228:24] node ibuf_sz_in = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 229:25] - node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:42] - node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 230:70] - node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 230:95] - node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 230:77] - node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 231:41] - node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 231:65] - node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 231:8] - node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 230:27] - node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 234:61] - node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 235:25] - node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 235:45] - node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 235:76] - node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 235:8] - node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 236:40] - node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 236:77] - node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 236:8] - node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 234:46] - node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 234:61] - node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 235:25] - node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 235:45] - node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 235:76] - node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 235:8] - node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 236:40] - node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 236:77] - node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 236:8] - node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 234:46] - node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 234:61] - node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 235:25] - node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 235:45] - node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 235:76] - node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 235:8] - node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 236:40] - node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 236:77] - node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 236:8] - node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 234:46] - node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 234:61] - node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 235:25] - node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 235:45] - node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 235:76] - node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 235:8] - node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 236:40] - node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 236:77] - node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 236:8] - node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 234:46] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 231:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 232:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 232:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 232:95] + node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 232:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 233:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 233:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 233:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 232:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 236:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 237:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 237:45] + node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 237:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 237:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 238:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 238:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 238:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 236:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 236:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 237:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 237:45] + node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 237:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 237:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 238:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 238:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 238:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 236:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 236:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 237:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 237:45] + node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 237:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 237:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 238:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 238:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 238:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 236:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 236:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 237:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 237:45] + node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 237:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 237:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 238:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 238:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 238:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 236:46] node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] - node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 237:60] - node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 237:81] - node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 237:95] - node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 237:95] - node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 237:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 237:26] - node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 239:36] - node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 239:54] - node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 239:80] - node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 239:93] - node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 239:122] - node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 239:142] - node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 239:129] - node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 239:106] - node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 239:152] - node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 239:150] - node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 239:175] - node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 239:173] - ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 239:17] - node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:20] - ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 240:17] - node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:65] - node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 241:63] - node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 241:92] - node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 241:114] - node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 241:96] - node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 241:130] - node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 241:48] - node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:65] - node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 241:63] - node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 241:92] - node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 241:114] - node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 241:96] - node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 241:130] - node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 241:48] - node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:65] - node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 241:63] - node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 241:92] - node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 241:114] - node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 241:96] - node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 241:130] - node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 241:48] - node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:65] - node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 241:63] - node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 241:92] - node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 241:114] - node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 241:96] - node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 241:130] - node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 241:48] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 239:60] + node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 239:81] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 239:95] + node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 239:95] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 239:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 239:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 241:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 241:54] + node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 241:80] + node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 241:93] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 241:122] + node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 241:142] + node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 241:129] + node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 241:106] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:152] + node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 241:150] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:175] + node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 241:173] + ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 241:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 242:20] + ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 242:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 243:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 243:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 243:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 243:114] + node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 243:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 243:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 243:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 243:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 243:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 243:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 243:114] + node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 243:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 243:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 243:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 243:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 243:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 243:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 243:114] + node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 243:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 243:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 243:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 243:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 243:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 243:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 243:114] + node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 243:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 243:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 243:48] node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] - node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 242:62] - node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 242:60] - node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 242:98] - node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 242:118] - node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 242:143] - node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 242:81] - node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 242:169] - node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 242:45] - node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 242:62] - node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 242:60] - node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 242:98] - node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 242:118] - node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 242:143] - node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 242:81] - node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 242:169] - node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 242:45] - node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 242:62] - node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 242:60] - node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 242:98] - node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 242:118] - node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 242:143] - node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 242:81] - node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 242:169] - node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 242:45] - node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 242:62] - node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 242:60] - node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 242:98] - node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 242:118] - node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 242:143] - node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 242:81] - node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 242:169] - node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 242:45] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 244:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 244:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 244:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 244:118] + node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 244:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 244:81] + node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 244:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 244:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 244:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 244:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 244:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 244:118] + node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 244:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 244:81] + node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 244:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 244:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 244:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 244:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 244:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 244:118] + node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 244:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 244:81] + node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 244:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 244:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 244:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 244:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 244:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 244:118] + node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 244:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 244:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 244:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 244:45] node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] - node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 244:58] - node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 244:93] - node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 244:91] - reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 244:54] - _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 244:54] - ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 244:14] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 246:58] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 246:93] + node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 246:91] + reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 246:54] + _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 246:54] + ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 246:14] reg _T_1009 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1009 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 245:12] + ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 247:12] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] @@ -9766,7 +9756,7 @@ circuit lsu : when ibuf_wr_en : @[Reg.scala 28:19] _T_1010 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 250:19] + ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 252:19] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.bits.unsign @[Reg.scala 28:23] @@ -9775,7 +9765,7 @@ circuit lsu : when ibuf_wr_en : @[Reg.scala 28:19] _T_1011 <= io.lsu_pkt_r.bits.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 252:14] + ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 254:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -9790,12 +9780,12 @@ circuit lsu : when ibuf_wr_en : @[Reg.scala 28:19] _T_1012 <= ibuf_addr_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 254:13] + ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 256:13] reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 255:15] + ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 257:15] inst rvclkhdr_1 of rvclkhdr_23 @[lib.scala 404:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -9806,38 +9796,38 @@ circuit lsu : when ibuf_wr_en : @[Reg.scala 28:19] _T_1014 <= ibuf_data_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 256:13] - reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 257:55] - _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 257:55] - ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 257:14] + ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 258:13] + reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 259:55] + _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 259:55] + ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 259:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 261:25] - buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 262:15] - buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 262:15] - buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 262:15] - buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 262:15] + wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 263:25] + buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 264:15] + buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 264:15] + buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 264:15] + buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 264:15] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 267:43] - node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 267:72] - node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 267:51] - node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 267:97] - node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 267:80] - node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:5] - node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 267:114] - node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:114] - node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 268:114] - node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 268:114] - node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 268:114] + node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 269:43] + node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 269:72] + node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 269:51] + node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 269:97] + node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 269:80] + node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 270:5] + node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 269:114] + node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 270:114] + node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 270:114] + node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 270:114] + node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 270:114] node _T_1027 = mux(_T_1023, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1028 = mux(_T_1024, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1029 = mux(_T_1025, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -9847,16 +9837,16 @@ circuit lsu : node _T_1033 = or(_T_1032, _T_1030) @[Mux.scala 27:72] wire _T_1034 : UInt<1> @[Mux.scala 27:72] _T_1034 <= _T_1033 @[Mux.scala 27:72] - node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:31] - node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 268:29] - node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 269:88] - node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 269:111] - node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 269:88] - node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 269:111] - node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 269:88] - node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 269:111] - node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 269:88] - node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 269:111] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 270:31] + node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 270:29] + node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 271:88] + node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 271:111] + node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 271:88] + node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 271:111] + node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 271:88] + node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 271:111] + node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 271:88] + node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 271:111] node _T_1045 = mux(_T_1037, _T_1038, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1046 = mux(_T_1039, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1047 = mux(_T_1041, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] @@ -9866,32 +9856,32 @@ circuit lsu : node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] wire _T_1052 : UInt<1> @[Mux.scala 27:72] _T_1052 <= _T_1051 @[Mux.scala 27:72] - node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 269:5] - node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 268:140] - node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 269:119] - node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 269:117] - node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 270:75] - node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 270:95] - node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 270:79] - node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 270:123] - node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 270:123] - node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 270:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 270:29] - node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 271:41] - node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 271:39] - node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 271:60] - node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 271:58] - node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 271:93] - node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 271:72] - node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 271:117] - node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 271:208] - node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 271:228] - node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 271:208] - node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 271:228] - node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 271:208] - node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 271:228] - node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 271:208] - node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 271:228] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 271:5] + node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 270:140] + node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 271:119] + node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 271:117] + node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 272:75] + node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 272:95] + node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 272:79] + node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 272:123] + node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 272:123] + node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 272:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 272:29] + node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 273:41] + node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 273:39] + node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 273:60] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 273:58] + node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 273:93] + node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 273:72] + node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 273:117] + node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 273:208] + node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 273:228] + node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 273:208] + node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 273:228] + node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 273:208] + node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 273:228] + node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 273:208] + node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 273:228] node _T_1077 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1078 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1079 = mux(_T_1073, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] @@ -9901,35 +9891,35 @@ circuit lsu : node _T_1083 = or(_T_1082, _T_1080) @[Mux.scala 27:72] wire _T_1084 : UInt<30> @[Mux.scala 27:72] _T_1084 <= _T_1083 @[Mux.scala 27:72] - node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 271:123] - node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 271:101] - obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 271:20] + node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 273:123] + node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 273:101] + obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 273:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 273:53] - node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 273:31] - node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 273:64] - node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 273:89] - node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 273:61] + node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 275:53] + node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 275:31] + node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 275:64] + node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 275:89] + node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 275:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 276:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:24] - wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 278:22] - buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 279:12] - buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 279:12] - buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 279:12] - buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 279:12] - wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 280:24] - buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 281:14] - buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 281:14] - buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 281:14] - buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 281:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 278:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 279:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 279:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 279:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 279:24] + wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 280:22] + buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 281:12] + buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 281:12] + buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 281:12] + buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 281:12] + wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 282:24] + buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 283:14] + buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 283:14] + buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 283:14] + buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 283:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -9940,10 +9930,10 @@ circuit lsu : obuf_nosend <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 289:32] - node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 289:74] - node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 289:52] - node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 289:50] + node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 291:32] + node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 291:74] + node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 291:52] + node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 291:50] node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -9957,8 +9947,8 @@ circuit lsu : node _T_1105 = or(_T_1104, _T_1102) @[Mux.scala 27:72] wire _T_1106 : UInt<3> @[Mux.scala 27:72] _T_1106 <= _T_1105 @[Mux.scala 27:72] - node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 290:36] - node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 290:47] + node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 292:36] + node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 292:47] node _T_1109 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1110 = cat(_T_1109, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1111 = cat(_T_1110, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] @@ -9979,8 +9969,8 @@ circuit lsu : node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] wire _T_1127 : UInt<1> @[Mux.scala 27:72] _T_1127 <= _T_1126 @[Mux.scala 27:72] - node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 291:23] - node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 291:21] + node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 293:23] + node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 293:21] node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:118] node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 61:129] node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:118] @@ -9998,9 +9988,9 @@ circuit lsu : node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72] wire _T_1145 : UInt<1> @[Mux.scala 27:72] _T_1145 <= _T_1144 @[Mux.scala 27:72] - node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 291:141] - node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 291:105] - node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 291:103] + node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 293:141] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 293:105] + node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 293:103] node _T_1149 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1150 = cat(_T_1149, buf_dual[1]) @[Cat.scala 29:58] node _T_1151 = cat(_T_1150, buf_dual[0]) @[Cat.scala 29:58] @@ -10041,7 +10031,7 @@ circuit lsu : node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72] wire _T_1186 : UInt<1> @[Mux.scala 27:72] _T_1186 <= _T_1185 @[Mux.scala 27:72] - node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 292:77] + node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 294:77] node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:118] node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 61:129] node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:118] @@ -10059,10 +10049,10 @@ circuit lsu : node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72] wire _T_1203 : UInt<1> @[Mux.scala 27:72] _T_1203 <= _T_1202 @[Mux.scala 27:72] - node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 292:150] - node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 292:148] - node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 292:8] - node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 292:181] + node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 294:150] + node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 294:148] + node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 294:8] + node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 294:181] node _T_1208 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1209 = cat(_T_1208, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1210 = cat(_T_1209, buf_nomerge[0]) @[Cat.scala 29:58] @@ -10083,28 +10073,28 @@ circuit lsu : node _T_1225 = or(_T_1224, _T_1222) @[Mux.scala 27:72] wire _T_1226 : UInt<1> @[Mux.scala 27:72] _T_1226 <= _T_1225 @[Mux.scala 27:72] - node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 292:197] - node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 292:269] - node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 291:164] - node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 289:98] - node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 293:48] - node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 293:46] - node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 293:60] - node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 293:29] - node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 293:77] - node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 293:75] - node _T_1237 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 293:94] - node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 293:92] - node _T_1239 = and(_T_1238, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 293:118] - obuf_wr_en <= _T_1239 @[lsu_bus_buffer.scala 289:14] + node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 294:197] + node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 294:269] + node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 293:164] + node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 291:98] + node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 295:48] + node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 295:46] + node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 295:60] + node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 295:29] + node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 295:77] + node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 295:75] + node _T_1237 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 295:94] + node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 295:92] + node _T_1239 = and(_T_1238, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 295:118] + obuf_wr_en <= _T_1239 @[lsu_bus_buffer.scala 291:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1240 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 296:47] - node _T_1241 = or(bus_cmd_sent, _T_1240) @[lsu_bus_buffer.scala 296:33] - node _T_1242 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 296:65] - node _T_1243 = and(_T_1241, _T_1242) @[lsu_bus_buffer.scala 296:63] - node _T_1244 = and(_T_1243, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 296:77] - node obuf_rst = or(_T_1244, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 296:98] + node _T_1240 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 298:47] + node _T_1241 = or(bus_cmd_sent, _T_1240) @[lsu_bus_buffer.scala 298:33] + node _T_1242 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 298:65] + node _T_1243 = and(_T_1241, _T_1242) @[lsu_bus_buffer.scala 298:63] + node _T_1244 = and(_T_1243, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 298:77] + node obuf_rst = or(_T_1244, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 298:98] node _T_1245 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:118] node _T_1246 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 61:129] node _T_1247 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:118] @@ -10122,7 +10112,7 @@ circuit lsu : node _T_1259 = or(_T_1258, _T_1256) @[Mux.scala 27:72] wire _T_1260 : UInt<1> @[Mux.scala 27:72] _T_1260 <= _T_1259 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1260) @[lsu_bus_buffer.scala 297:26] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1260) @[lsu_bus_buffer.scala 299:26] node _T_1261 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:118] node _T_1262 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 61:129] node _T_1263 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:118] @@ -10140,7 +10130,7 @@ circuit lsu : node _T_1275 = or(_T_1274, _T_1272) @[Mux.scala 27:72] wire _T_1276 : UInt<1> @[Mux.scala 27:72] _T_1276 <= _T_1275 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1276) @[lsu_bus_buffer.scala 298:31] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1276) @[lsu_bus_buffer.scala 300:31] node _T_1277 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1278 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_1279 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -10154,12 +10144,12 @@ circuit lsu : node _T_1287 = or(_T_1286, _T_1284) @[Mux.scala 27:72] wire _T_1288 : UInt<32> @[Mux.scala 27:72] _T_1288 <= _T_1287 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1288) @[lsu_bus_buffer.scala 299:25] - wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 300:20] - buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 301:10] - buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 301:10] - buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 301:10] - buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 301:10] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1288) @[lsu_bus_buffer.scala 301:25] + wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 302:20] + buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 303:10] + buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 303:10] + buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 303:10] + buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 303:10] node _T_1289 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] node _T_1290 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1291 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] @@ -10174,41 +10164,41 @@ circuit lsu : node _T_1300 = or(_T_1299, _T_1297) @[Mux.scala 27:72] wire _T_1301 : UInt<2> @[Mux.scala 27:72] _T_1301 <= _T_1300 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1289, _T_1301) @[lsu_bus_buffer.scala 302:23] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1289, _T_1301) @[lsu_bus_buffer.scala 304:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 305:25] - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 307:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 307:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 309:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1302 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 310:39] - node _T_1303 = eq(_T_1302, UInt<1>("h00")) @[lsu_bus_buffer.scala 310:26] - node _T_1304 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 310:68] - node obuf_cmd_done_in = and(_T_1303, _T_1304) @[lsu_bus_buffer.scala 310:51] + node _T_1302 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 312:39] + node _T_1303 = eq(_T_1302, UInt<1>("h00")) @[lsu_bus_buffer.scala 312:26] + node _T_1304 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 312:68] + node obuf_cmd_done_in = and(_T_1303, _T_1304) @[lsu_bus_buffer.scala 312:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1305 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 313:40] - node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[lsu_bus_buffer.scala 313:27] - node _T_1307 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 313:70] - node obuf_data_done_in = and(_T_1306, _T_1307) @[lsu_bus_buffer.scala 313:52] - node _T_1308 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 314:67] - node _T_1309 = eq(_T_1308, UInt<1>("h00")) @[lsu_bus_buffer.scala 314:72] - node _T_1310 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 314:92] - node _T_1311 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 314:111] - node _T_1312 = eq(_T_1311, UInt<1>("h00")) @[lsu_bus_buffer.scala 314:98] - node _T_1313 = and(_T_1310, _T_1312) @[lsu_bus_buffer.scala 314:96] - node _T_1314 = or(_T_1309, _T_1313) @[lsu_bus_buffer.scala 314:79] - node _T_1315 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 314:129] - node _T_1316 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 314:147] - node _T_1317 = orr(_T_1316) @[lsu_bus_buffer.scala 314:153] - node _T_1318 = eq(_T_1317, UInt<1>("h00")) @[lsu_bus_buffer.scala 314:134] - node _T_1319 = and(_T_1315, _T_1318) @[lsu_bus_buffer.scala 314:132] - node _T_1320 = or(_T_1314, _T_1319) @[lsu_bus_buffer.scala 314:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1320) @[lsu_bus_buffer.scala 314:28] + node _T_1305 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 315:40] + node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:27] + node _T_1307 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 315:70] + node obuf_data_done_in = and(_T_1306, _T_1307) @[lsu_bus_buffer.scala 315:52] + node _T_1308 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 316:67] + node _T_1309 = eq(_T_1308, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:72] + node _T_1310 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 316:92] + node _T_1311 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 316:111] + node _T_1312 = eq(_T_1311, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:98] + node _T_1313 = and(_T_1310, _T_1312) @[lsu_bus_buffer.scala 316:96] + node _T_1314 = or(_T_1309, _T_1313) @[lsu_bus_buffer.scala 316:79] + node _T_1315 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 316:129] + node _T_1316 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 316:147] + node _T_1317 = orr(_T_1316) @[lsu_bus_buffer.scala 316:153] + node _T_1318 = eq(_T_1317, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:134] + node _T_1319 = and(_T_1315, _T_1318) @[lsu_bus_buffer.scala 316:132] + node _T_1320 = or(_T_1314, _T_1319) @[lsu_bus_buffer.scala 316:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1320) @[lsu_bus_buffer.scala 316:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -10221,54 +10211,54 @@ circuit lsu : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1321 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:45] - node _T_1322 = and(obuf_wr_en, _T_1321) @[lsu_bus_buffer.scala 322:43] - node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:30] - node _T_1324 = and(_T_1323, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 322:62] - node _T_1325 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 322:117] - node _T_1326 = and(bus_rsp_read, _T_1325) @[lsu_bus_buffer.scala 322:97] - node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:82] - node _T_1328 = and(_T_1324, _T_1327) @[lsu_bus_buffer.scala 322:80] - node _T_1329 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:157] - node _T_1330 = and(bus_cmd_sent, _T_1329) @[lsu_bus_buffer.scala 322:155] - node _T_1331 = or(_T_1328, _T_1330) @[lsu_bus_buffer.scala 322:139] - node _T_1332 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:173] - node obuf_rdrsp_pend_in = and(_T_1331, _T_1332) @[lsu_bus_buffer.scala 322:171] - node obuf_rdrsp_pend_en = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 323:47] + node _T_1321 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 324:45] + node _T_1322 = and(obuf_wr_en, _T_1321) @[lsu_bus_buffer.scala 324:43] + node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[lsu_bus_buffer.scala 324:30] + node _T_1324 = and(_T_1323, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 324:62] + node _T_1325 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 324:117] + node _T_1326 = and(bus_rsp_read, _T_1325) @[lsu_bus_buffer.scala 324:97] + node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[lsu_bus_buffer.scala 324:82] + node _T_1328 = and(_T_1324, _T_1327) @[lsu_bus_buffer.scala 324:80] + node _T_1329 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 324:157] + node _T_1330 = and(bus_cmd_sent, _T_1329) @[lsu_bus_buffer.scala 324:155] + node _T_1331 = or(_T_1328, _T_1330) @[lsu_bus_buffer.scala 324:139] + node _T_1332 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 324:173] + node obuf_rdrsp_pend_in = and(_T_1331, _T_1332) @[lsu_bus_buffer.scala 324:171] + node obuf_rdrsp_pend_en = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 325:47] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1333 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 325:46] - node _T_1334 = and(bus_cmd_sent, _T_1333) @[lsu_bus_buffer.scala 325:44] - node obuf_rdrsp_tag_in = mux(_T_1334, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 325:30] + node _T_1333 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 327:46] + node _T_1334 = and(bus_cmd_sent, _T_1333) @[lsu_bus_buffer.scala 327:44] + node obuf_rdrsp_tag_in = mux(_T_1334, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 327:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1335 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 328:34] - node _T_1336 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 328:52] - node _T_1337 = eq(_T_1335, _T_1336) @[lsu_bus_buffer.scala 328:40] - node _T_1338 = and(_T_1337, obuf_aligned_in) @[lsu_bus_buffer.scala 328:60] - node _T_1339 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 328:80] - node _T_1340 = and(_T_1338, _T_1339) @[lsu_bus_buffer.scala 328:78] - node _T_1341 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 328:99] - node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 328:97] - node _T_1343 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 328:113] - node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 328:111] - node _T_1345 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 328:130] - node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 328:128] - node _T_1347 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 329:20] - node _T_1348 = and(obuf_valid, _T_1347) @[lsu_bus_buffer.scala 329:18] - node _T_1349 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 329:90] - node _T_1350 = and(bus_rsp_read, _T_1349) @[lsu_bus_buffer.scala 329:70] - node _T_1351 = eq(_T_1350, UInt<1>("h00")) @[lsu_bus_buffer.scala 329:55] - node _T_1352 = and(obuf_rdrsp_pend, _T_1351) @[lsu_bus_buffer.scala 329:53] - node _T_1353 = or(_T_1348, _T_1352) @[lsu_bus_buffer.scala 329:34] - node _T_1354 = and(_T_1346, _T_1353) @[lsu_bus_buffer.scala 328:177] - obuf_nosend_in <= _T_1354 @[lsu_bus_buffer.scala 328:18] - node _T_1355 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 330:60] + node _T_1335 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 330:34] + node _T_1336 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 330:52] + node _T_1337 = eq(_T_1335, _T_1336) @[lsu_bus_buffer.scala 330:40] + node _T_1338 = and(_T_1337, obuf_aligned_in) @[lsu_bus_buffer.scala 330:60] + node _T_1339 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 330:80] + node _T_1340 = and(_T_1338, _T_1339) @[lsu_bus_buffer.scala 330:78] + node _T_1341 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 330:99] + node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 330:97] + node _T_1343 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 330:113] + node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 330:111] + node _T_1345 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 330:130] + node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 330:128] + node _T_1347 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 331:20] + node _T_1348 = and(obuf_valid, _T_1347) @[lsu_bus_buffer.scala 331:18] + node _T_1349 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 331:90] + node _T_1350 = and(bus_rsp_read, _T_1349) @[lsu_bus_buffer.scala 331:70] + node _T_1351 = eq(_T_1350, UInt<1>("h00")) @[lsu_bus_buffer.scala 331:55] + node _T_1352 = and(obuf_rdrsp_pend, _T_1351) @[lsu_bus_buffer.scala 331:53] + node _T_1353 = or(_T_1348, _T_1352) @[lsu_bus_buffer.scala 331:34] + node _T_1354 = and(_T_1346, _T_1353) @[lsu_bus_buffer.scala 330:177] + obuf_nosend_in <= _T_1354 @[lsu_bus_buffer.scala 330:18] + node _T_1355 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 332:60] node _T_1356 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1357 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1358 = mux(_T_1355, _T_1356, _T_1357) @[lsu_bus_buffer.scala 330:46] + node _T_1358 = mux(_T_1355, _T_1356, _T_1357) @[lsu_bus_buffer.scala 332:46] node _T_1359 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1360 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_1361 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -10282,8 +10272,8 @@ circuit lsu : node _T_1369 = or(_T_1368, _T_1366) @[Mux.scala 27:72] wire _T_1370 : UInt<32> @[Mux.scala 27:72] _T_1370 <= _T_1369 @[Mux.scala 27:72] - node _T_1371 = bits(_T_1370, 2, 2) @[lsu_bus_buffer.scala 331:36] - node _T_1372 = bits(_T_1371, 0, 0) @[lsu_bus_buffer.scala 331:46] + node _T_1371 = bits(_T_1370, 2, 2) @[lsu_bus_buffer.scala 333:36] + node _T_1372 = bits(_T_1371, 0, 0) @[lsu_bus_buffer.scala 333:46] node _T_1373 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1374 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_1375 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -10312,12 +10302,12 @@ circuit lsu : wire _T_1397 : UInt<4> @[Mux.scala 27:72] _T_1397 <= _T_1396 @[Mux.scala 27:72] node _T_1398 = cat(UInt<4>("h00"), _T_1397) @[Cat.scala 29:58] - node _T_1399 = mux(_T_1372, _T_1385, _T_1398) @[lsu_bus_buffer.scala 331:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1358, _T_1399) @[lsu_bus_buffer.scala 330:28] - node _T_1400 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 332:60] + node _T_1399 = mux(_T_1372, _T_1385, _T_1398) @[lsu_bus_buffer.scala 333:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1358, _T_1399) @[lsu_bus_buffer.scala 332:28] + node _T_1400 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 334:60] node _T_1401 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1402 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1403 = mux(_T_1400, _T_1401, _T_1402) @[lsu_bus_buffer.scala 332:46] + node _T_1403 = mux(_T_1400, _T_1401, _T_1402) @[lsu_bus_buffer.scala 334:46] node _T_1404 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1405 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_1406 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -10331,8 +10321,8 @@ circuit lsu : node _T_1414 = or(_T_1413, _T_1411) @[Mux.scala 27:72] wire _T_1415 : UInt<32> @[Mux.scala 27:72] _T_1415 <= _T_1414 @[Mux.scala 27:72] - node _T_1416 = bits(_T_1415, 2, 2) @[lsu_bus_buffer.scala 333:36] - node _T_1417 = bits(_T_1416, 0, 0) @[lsu_bus_buffer.scala 333:46] + node _T_1416 = bits(_T_1415, 2, 2) @[lsu_bus_buffer.scala 335:36] + node _T_1417 = bits(_T_1416, 0, 0) @[lsu_bus_buffer.scala 335:46] node _T_1418 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1419 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_1420 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -10361,12 +10351,12 @@ circuit lsu : wire _T_1442 : UInt<4> @[Mux.scala 27:72] _T_1442 <= _T_1441 @[Mux.scala 27:72] node _T_1443 = cat(UInt<4>("h00"), _T_1442) @[Cat.scala 29:58] - node _T_1444 = mux(_T_1417, _T_1430, _T_1443) @[lsu_bus_buffer.scala 333:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1403, _T_1444) @[lsu_bus_buffer.scala 332:28] - node _T_1445 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 335:58] + node _T_1444 = mux(_T_1417, _T_1430, _T_1443) @[lsu_bus_buffer.scala 335:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1403, _T_1444) @[lsu_bus_buffer.scala 334:28] + node _T_1445 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 337:58] node _T_1446 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1447 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1448 = mux(_T_1445, _T_1446, _T_1447) @[lsu_bus_buffer.scala 335:44] + node _T_1448 = mux(_T_1445, _T_1446, _T_1447) @[lsu_bus_buffer.scala 337:44] node _T_1449 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1450 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_1451 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -10380,8 +10370,8 @@ circuit lsu : node _T_1459 = or(_T_1458, _T_1456) @[Mux.scala 27:72] wire _T_1460 : UInt<32> @[Mux.scala 27:72] _T_1460 <= _T_1459 @[Mux.scala 27:72] - node _T_1461 = bits(_T_1460, 2, 2) @[lsu_bus_buffer.scala 336:36] - node _T_1462 = bits(_T_1461, 0, 0) @[lsu_bus_buffer.scala 336:46] + node _T_1461 = bits(_T_1460, 2, 2) @[lsu_bus_buffer.scala 338:36] + node _T_1462 = bits(_T_1461, 0, 0) @[lsu_bus_buffer.scala 338:46] node _T_1463 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1464 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_1465 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -10410,12 +10400,12 @@ circuit lsu : wire _T_1487 : UInt<32> @[Mux.scala 27:72] _T_1487 <= _T_1486 @[Mux.scala 27:72] node _T_1488 = cat(UInt<32>("h00"), _T_1487) @[Cat.scala 29:58] - node _T_1489 = mux(_T_1462, _T_1475, _T_1488) @[lsu_bus_buffer.scala 336:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1448, _T_1489) @[lsu_bus_buffer.scala 335:26] - node _T_1490 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 337:58] + node _T_1489 = mux(_T_1462, _T_1475, _T_1488) @[lsu_bus_buffer.scala 338:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1448, _T_1489) @[lsu_bus_buffer.scala 337:26] + node _T_1490 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 339:58] node _T_1491 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1492 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1493 = mux(_T_1490, _T_1491, _T_1492) @[lsu_bus_buffer.scala 337:44] + node _T_1493 = mux(_T_1490, _T_1491, _T_1492) @[lsu_bus_buffer.scala 339:44] node _T_1494 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1495 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_1496 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -10429,8 +10419,8 @@ circuit lsu : node _T_1504 = or(_T_1503, _T_1501) @[Mux.scala 27:72] wire _T_1505 : UInt<32> @[Mux.scala 27:72] _T_1505 <= _T_1504 @[Mux.scala 27:72] - node _T_1506 = bits(_T_1505, 2, 2) @[lsu_bus_buffer.scala 338:36] - node _T_1507 = bits(_T_1506, 0, 0) @[lsu_bus_buffer.scala 338:46] + node _T_1506 = bits(_T_1505, 2, 2) @[lsu_bus_buffer.scala 340:36] + node _T_1507 = bits(_T_1506, 0, 0) @[lsu_bus_buffer.scala 340:46] node _T_1508 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1509 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_1510 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -10459,40 +10449,40 @@ circuit lsu : wire _T_1532 : UInt<32> @[Mux.scala 27:72] _T_1532 <= _T_1531 @[Mux.scala 27:72] node _T_1533 = cat(UInt<32>("h00"), _T_1532) @[Cat.scala 29:58] - node _T_1534 = mux(_T_1507, _T_1520, _T_1533) @[lsu_bus_buffer.scala 338:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1493, _T_1534) @[lsu_bus_buffer.scala 337:26] - node _T_1535 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 339:59] - node _T_1536 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 339:97] - node _T_1537 = and(obuf_merge_en, _T_1536) @[lsu_bus_buffer.scala 339:80] - node _T_1538 = or(_T_1535, _T_1537) @[lsu_bus_buffer.scala 339:63] - node _T_1539 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 339:59] - node _T_1540 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 339:97] - node _T_1541 = and(obuf_merge_en, _T_1540) @[lsu_bus_buffer.scala 339:80] - node _T_1542 = or(_T_1539, _T_1541) @[lsu_bus_buffer.scala 339:63] - node _T_1543 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 339:59] - node _T_1544 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 339:97] - node _T_1545 = and(obuf_merge_en, _T_1544) @[lsu_bus_buffer.scala 339:80] - node _T_1546 = or(_T_1543, _T_1545) @[lsu_bus_buffer.scala 339:63] - node _T_1547 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 339:59] - node _T_1548 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 339:97] - node _T_1549 = and(obuf_merge_en, _T_1548) @[lsu_bus_buffer.scala 339:80] - node _T_1550 = or(_T_1547, _T_1549) @[lsu_bus_buffer.scala 339:63] - node _T_1551 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 339:59] - node _T_1552 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 339:97] - node _T_1553 = and(obuf_merge_en, _T_1552) @[lsu_bus_buffer.scala 339:80] - node _T_1554 = or(_T_1551, _T_1553) @[lsu_bus_buffer.scala 339:63] - node _T_1555 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 339:59] - node _T_1556 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 339:97] - node _T_1557 = and(obuf_merge_en, _T_1556) @[lsu_bus_buffer.scala 339:80] - node _T_1558 = or(_T_1555, _T_1557) @[lsu_bus_buffer.scala 339:63] - node _T_1559 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 339:59] - node _T_1560 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 339:97] - node _T_1561 = and(obuf_merge_en, _T_1560) @[lsu_bus_buffer.scala 339:80] - node _T_1562 = or(_T_1559, _T_1561) @[lsu_bus_buffer.scala 339:63] - node _T_1563 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 339:59] - node _T_1564 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 339:97] - node _T_1565 = and(obuf_merge_en, _T_1564) @[lsu_bus_buffer.scala 339:80] - node _T_1566 = or(_T_1563, _T_1565) @[lsu_bus_buffer.scala 339:63] + node _T_1534 = mux(_T_1507, _T_1520, _T_1533) @[lsu_bus_buffer.scala 340:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1493, _T_1534) @[lsu_bus_buffer.scala 339:26] + node _T_1535 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 341:59] + node _T_1536 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 341:97] + node _T_1537 = and(obuf_merge_en, _T_1536) @[lsu_bus_buffer.scala 341:80] + node _T_1538 = or(_T_1535, _T_1537) @[lsu_bus_buffer.scala 341:63] + node _T_1539 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 341:59] + node _T_1540 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 341:97] + node _T_1541 = and(obuf_merge_en, _T_1540) @[lsu_bus_buffer.scala 341:80] + node _T_1542 = or(_T_1539, _T_1541) @[lsu_bus_buffer.scala 341:63] + node _T_1543 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 341:59] + node _T_1544 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 341:97] + node _T_1545 = and(obuf_merge_en, _T_1544) @[lsu_bus_buffer.scala 341:80] + node _T_1546 = or(_T_1543, _T_1545) @[lsu_bus_buffer.scala 341:63] + node _T_1547 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 341:59] + node _T_1548 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 341:97] + node _T_1549 = and(obuf_merge_en, _T_1548) @[lsu_bus_buffer.scala 341:80] + node _T_1550 = or(_T_1547, _T_1549) @[lsu_bus_buffer.scala 341:63] + node _T_1551 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 341:59] + node _T_1552 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 341:97] + node _T_1553 = and(obuf_merge_en, _T_1552) @[lsu_bus_buffer.scala 341:80] + node _T_1554 = or(_T_1551, _T_1553) @[lsu_bus_buffer.scala 341:63] + node _T_1555 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 341:59] + node _T_1556 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 341:97] + node _T_1557 = and(obuf_merge_en, _T_1556) @[lsu_bus_buffer.scala 341:80] + node _T_1558 = or(_T_1555, _T_1557) @[lsu_bus_buffer.scala 341:63] + node _T_1559 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 341:59] + node _T_1560 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 341:97] + node _T_1561 = and(obuf_merge_en, _T_1560) @[lsu_bus_buffer.scala 341:80] + node _T_1562 = or(_T_1559, _T_1561) @[lsu_bus_buffer.scala 341:63] + node _T_1563 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 341:59] + node _T_1564 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 341:97] + node _T_1565 = and(obuf_merge_en, _T_1564) @[lsu_bus_buffer.scala 341:80] + node _T_1566 = or(_T_1563, _T_1565) @[lsu_bus_buffer.scala 341:63] node _T_1567 = cat(_T_1566, _T_1562) @[Cat.scala 29:58] node _T_1568 = cat(_T_1567, _T_1558) @[Cat.scala 29:58] node _T_1569 = cat(_T_1568, _T_1554) @[Cat.scala 29:58] @@ -10500,46 +10490,46 @@ circuit lsu : node _T_1571 = cat(_T_1570, _T_1546) @[Cat.scala 29:58] node _T_1572 = cat(_T_1571, _T_1542) @[Cat.scala 29:58] node obuf_byteen_in = cat(_T_1572, _T_1538) @[Cat.scala 29:58] - node _T_1573 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 340:76] - node _T_1574 = and(obuf_merge_en, _T_1573) @[lsu_bus_buffer.scala 340:59] - node _T_1575 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 340:94] - node _T_1576 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 340:123] - node _T_1577 = mux(_T_1574, _T_1575, _T_1576) @[lsu_bus_buffer.scala 340:44] - node _T_1578 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 340:76] - node _T_1579 = and(obuf_merge_en, _T_1578) @[lsu_bus_buffer.scala 340:59] - node _T_1580 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 340:94] - node _T_1581 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 340:123] - node _T_1582 = mux(_T_1579, _T_1580, _T_1581) @[lsu_bus_buffer.scala 340:44] - node _T_1583 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 340:76] - node _T_1584 = and(obuf_merge_en, _T_1583) @[lsu_bus_buffer.scala 340:59] - node _T_1585 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 340:94] - node _T_1586 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 340:123] - node _T_1587 = mux(_T_1584, _T_1585, _T_1586) @[lsu_bus_buffer.scala 340:44] - node _T_1588 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 340:76] - node _T_1589 = and(obuf_merge_en, _T_1588) @[lsu_bus_buffer.scala 340:59] - node _T_1590 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 340:94] - node _T_1591 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 340:123] - node _T_1592 = mux(_T_1589, _T_1590, _T_1591) @[lsu_bus_buffer.scala 340:44] - node _T_1593 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 340:76] - node _T_1594 = and(obuf_merge_en, _T_1593) @[lsu_bus_buffer.scala 340:59] - node _T_1595 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 340:94] - node _T_1596 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 340:123] - node _T_1597 = mux(_T_1594, _T_1595, _T_1596) @[lsu_bus_buffer.scala 340:44] - node _T_1598 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 340:76] - node _T_1599 = and(obuf_merge_en, _T_1598) @[lsu_bus_buffer.scala 340:59] - node _T_1600 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 340:94] - node _T_1601 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 340:123] - node _T_1602 = mux(_T_1599, _T_1600, _T_1601) @[lsu_bus_buffer.scala 340:44] - node _T_1603 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 340:76] - node _T_1604 = and(obuf_merge_en, _T_1603) @[lsu_bus_buffer.scala 340:59] - node _T_1605 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 340:94] - node _T_1606 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 340:123] - node _T_1607 = mux(_T_1604, _T_1605, _T_1606) @[lsu_bus_buffer.scala 340:44] - node _T_1608 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 340:76] - node _T_1609 = and(obuf_merge_en, _T_1608) @[lsu_bus_buffer.scala 340:59] - node _T_1610 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 340:94] - node _T_1611 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 340:123] - node _T_1612 = mux(_T_1609, _T_1610, _T_1611) @[lsu_bus_buffer.scala 340:44] + node _T_1573 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 342:76] + node _T_1574 = and(obuf_merge_en, _T_1573) @[lsu_bus_buffer.scala 342:59] + node _T_1575 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 342:94] + node _T_1576 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 342:123] + node _T_1577 = mux(_T_1574, _T_1575, _T_1576) @[lsu_bus_buffer.scala 342:44] + node _T_1578 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 342:76] + node _T_1579 = and(obuf_merge_en, _T_1578) @[lsu_bus_buffer.scala 342:59] + node _T_1580 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 342:94] + node _T_1581 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 342:123] + node _T_1582 = mux(_T_1579, _T_1580, _T_1581) @[lsu_bus_buffer.scala 342:44] + node _T_1583 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 342:76] + node _T_1584 = and(obuf_merge_en, _T_1583) @[lsu_bus_buffer.scala 342:59] + node _T_1585 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 342:94] + node _T_1586 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 342:123] + node _T_1587 = mux(_T_1584, _T_1585, _T_1586) @[lsu_bus_buffer.scala 342:44] + node _T_1588 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 342:76] + node _T_1589 = and(obuf_merge_en, _T_1588) @[lsu_bus_buffer.scala 342:59] + node _T_1590 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 342:94] + node _T_1591 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 342:123] + node _T_1592 = mux(_T_1589, _T_1590, _T_1591) @[lsu_bus_buffer.scala 342:44] + node _T_1593 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 342:76] + node _T_1594 = and(obuf_merge_en, _T_1593) @[lsu_bus_buffer.scala 342:59] + node _T_1595 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 342:94] + node _T_1596 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 342:123] + node _T_1597 = mux(_T_1594, _T_1595, _T_1596) @[lsu_bus_buffer.scala 342:44] + node _T_1598 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 342:76] + node _T_1599 = and(obuf_merge_en, _T_1598) @[lsu_bus_buffer.scala 342:59] + node _T_1600 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 342:94] + node _T_1601 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 342:123] + node _T_1602 = mux(_T_1599, _T_1600, _T_1601) @[lsu_bus_buffer.scala 342:44] + node _T_1603 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 342:76] + node _T_1604 = and(obuf_merge_en, _T_1603) @[lsu_bus_buffer.scala 342:59] + node _T_1605 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 342:94] + node _T_1606 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 342:123] + node _T_1607 = mux(_T_1604, _T_1605, _T_1606) @[lsu_bus_buffer.scala 342:44] + node _T_1608 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 342:76] + node _T_1609 = and(obuf_merge_en, _T_1608) @[lsu_bus_buffer.scala 342:59] + node _T_1610 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 342:94] + node _T_1611 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 342:123] + node _T_1612 = mux(_T_1609, _T_1610, _T_1611) @[lsu_bus_buffer.scala 342:44] node _T_1613 = cat(_T_1612, _T_1607) @[Cat.scala 29:58] node _T_1614 = cat(_T_1613, _T_1602) @[Cat.scala 29:58] node _T_1615 = cat(_T_1614, _T_1597) @[Cat.scala 29:58] @@ -10547,14 +10537,14 @@ circuit lsu : node _T_1617 = cat(_T_1616, _T_1587) @[Cat.scala 29:58] node _T_1618 = cat(_T_1617, _T_1582) @[Cat.scala 29:58] node obuf_data_in = cat(_T_1618, _T_1577) @[Cat.scala 29:58] - wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 342:24] - buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 343:14] - buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 343:14] - buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 343:14] - buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 343:14] - node _T_1619 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 344:30] - node _T_1620 = and(_T_1619, found_cmdptr0) @[lsu_bus_buffer.scala 344:43] - node _T_1621 = and(_T_1620, found_cmdptr1) @[lsu_bus_buffer.scala 344:59] + wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 344:24] + buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 345:14] + buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 345:14] + buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 345:14] + buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 345:14] + node _T_1619 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 346:30] + node _T_1620 = and(_T_1619, found_cmdptr0) @[lsu_bus_buffer.scala 346:43] + node _T_1621 = and(_T_1620, found_cmdptr1) @[lsu_bus_buffer.scala 346:59] node _T_1622 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1623 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_1624 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -10568,8 +10558,8 @@ circuit lsu : node _T_1632 = or(_T_1631, _T_1629) @[Mux.scala 27:72] wire _T_1633 : UInt<3> @[Mux.scala 27:72] _T_1633 <= _T_1632 @[Mux.scala 27:72] - node _T_1634 = eq(_T_1633, UInt<3>("h02")) @[lsu_bus_buffer.scala 344:107] - node _T_1635 = and(_T_1621, _T_1634) @[lsu_bus_buffer.scala 344:75] + node _T_1634 = eq(_T_1633, UInt<3>("h02")) @[lsu_bus_buffer.scala 346:107] + node _T_1635 = and(_T_1621, _T_1634) @[lsu_bus_buffer.scala 346:75] node _T_1636 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_1637 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_1638 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -10583,8 +10573,8 @@ circuit lsu : node _T_1646 = or(_T_1645, _T_1643) @[Mux.scala 27:72] wire _T_1647 : UInt<3> @[Mux.scala 27:72] _T_1647 <= _T_1646 @[Mux.scala 27:72] - node _T_1648 = eq(_T_1647, UInt<3>("h02")) @[lsu_bus_buffer.scala 344:150] - node _T_1649 = and(_T_1635, _T_1648) @[lsu_bus_buffer.scala 344:118] + node _T_1648 = eq(_T_1647, UInt<3>("h02")) @[lsu_bus_buffer.scala 346:150] + node _T_1649 = and(_T_1635, _T_1648) @[lsu_bus_buffer.scala 346:118] node _T_1650 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1651 = cat(_T_1650, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1652 = cat(_T_1651, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] @@ -10605,8 +10595,8 @@ circuit lsu : node _T_1667 = or(_T_1666, _T_1664) @[Mux.scala 27:72] wire _T_1668 : UInt<1> @[Mux.scala 27:72] _T_1668 <= _T_1667 @[Mux.scala 27:72] - node _T_1669 = eq(_T_1668, UInt<1>("h00")) @[lsu_bus_buffer.scala 345:5] - node _T_1670 = and(_T_1649, _T_1669) @[lsu_bus_buffer.scala 344:161] + node _T_1669 = eq(_T_1668, UInt<1>("h00")) @[lsu_bus_buffer.scala 347:5] + node _T_1670 = and(_T_1649, _T_1669) @[lsu_bus_buffer.scala 346:161] node _T_1671 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:118] node _T_1672 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 61:129] node _T_1673 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:118] @@ -10624,8 +10614,8 @@ circuit lsu : node _T_1685 = or(_T_1684, _T_1682) @[Mux.scala 27:72] wire _T_1686 : UInt<1> @[Mux.scala 27:72] _T_1686 <= _T_1685 @[Mux.scala 27:72] - node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[lsu_bus_buffer.scala 345:87] - node _T_1688 = and(_T_1670, _T_1687) @[lsu_bus_buffer.scala 345:85] + node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[lsu_bus_buffer.scala 347:87] + node _T_1688 = and(_T_1670, _T_1687) @[lsu_bus_buffer.scala 347:85] node _T_1689 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:118] node _T_1690 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 61:129] node _T_1691 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:118] @@ -10643,7 +10633,7 @@ circuit lsu : node _T_1703 = or(_T_1702, _T_1700) @[Mux.scala 27:72] wire _T_1704 : UInt<1> @[Mux.scala 27:72] _T_1704 <= _T_1703 @[Mux.scala 27:72] - node _T_1705 = eq(_T_1704, UInt<1>("h00")) @[lsu_bus_buffer.scala 346:6] + node _T_1705 = eq(_T_1704, UInt<1>("h00")) @[lsu_bus_buffer.scala 348:6] node _T_1706 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1707 = cat(_T_1706, buf_dual[1]) @[Cat.scala 29:58] node _T_1708 = cat(_T_1707, buf_dual[0]) @[Cat.scala 29:58] @@ -10664,7 +10654,7 @@ circuit lsu : node _T_1723 = or(_T_1722, _T_1720) @[Mux.scala 27:72] wire _T_1724 : UInt<1> @[Mux.scala 27:72] _T_1724 <= _T_1723 @[Mux.scala 27:72] - node _T_1725 = and(_T_1705, _T_1724) @[lsu_bus_buffer.scala 346:36] + node _T_1725 = and(_T_1705, _T_1724) @[lsu_bus_buffer.scala 348:36] node _T_1726 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1727 = cat(_T_1726, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1728 = cat(_T_1727, buf_dualhi[0]) @[Cat.scala 29:58] @@ -10685,8 +10675,8 @@ circuit lsu : node _T_1743 = or(_T_1742, _T_1740) @[Mux.scala 27:72] wire _T_1744 : UInt<1> @[Mux.scala 27:72] _T_1744 <= _T_1743 @[Mux.scala 27:72] - node _T_1745 = eq(_T_1744, UInt<1>("h00")) @[lsu_bus_buffer.scala 346:107] - node _T_1746 = and(_T_1725, _T_1745) @[lsu_bus_buffer.scala 346:105] + node _T_1745 = eq(_T_1744, UInt<1>("h00")) @[lsu_bus_buffer.scala 348:107] + node _T_1746 = and(_T_1725, _T_1745) @[lsu_bus_buffer.scala 348:105] node _T_1747 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1748 = cat(_T_1747, buf_samedw[1]) @[Cat.scala 29:58] node _T_1749 = cat(_T_1748, buf_samedw[0]) @[Cat.scala 29:58] @@ -10707,53 +10697,53 @@ circuit lsu : node _T_1764 = or(_T_1763, _T_1761) @[Mux.scala 27:72] wire _T_1765 : UInt<1> @[Mux.scala 27:72] _T_1765 <= _T_1764 @[Mux.scala 27:72] - node _T_1766 = and(_T_1746, _T_1765) @[lsu_bus_buffer.scala 346:177] - node _T_1767 = and(_T_1688, _T_1766) @[lsu_bus_buffer.scala 345:122] - node _T_1768 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 347:19] - node _T_1769 = and(_T_1768, io.ldst_dual_r) @[lsu_bus_buffer.scala 347:35] - node _T_1770 = or(_T_1767, _T_1769) @[lsu_bus_buffer.scala 346:250] - obuf_merge_en <= _T_1770 @[lsu_bus_buffer.scala 344:17] + node _T_1766 = and(_T_1746, _T_1765) @[lsu_bus_buffer.scala 348:177] + node _T_1767 = and(_T_1688, _T_1766) @[lsu_bus_buffer.scala 347:122] + node _T_1768 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 349:19] + node _T_1769 = and(_T_1768, io.ldst_dual_r) @[lsu_bus_buffer.scala 349:35] + node _T_1770 = or(_T_1767, _T_1769) @[lsu_bus_buffer.scala 348:250] + obuf_merge_en <= _T_1770 @[lsu_bus_buffer.scala 346:17] reg obuf_wr_enQ : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when io.lsu_busm_clken : @[Reg.scala 28:19] obuf_wr_enQ <= obuf_wr_en @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1771 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 349:58] - node _T_1772 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 349:93] - node _T_1773 = and(_T_1771, _T_1772) @[lsu_bus_buffer.scala 349:91] - reg _T_1774 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 349:54] - _T_1774 <= _T_1773 @[lsu_bus_buffer.scala 349:54] - obuf_valid <= _T_1774 @[lsu_bus_buffer.scala 349:14] + node _T_1771 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 351:58] + node _T_1772 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 351:93] + node _T_1773 = and(_T_1771, _T_1772) @[lsu_bus_buffer.scala 351:91] + reg _T_1774 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 351:54] + _T_1774 <= _T_1773 @[lsu_bus_buffer.scala 351:54] + obuf_valid <= _T_1774 @[lsu_bus_buffer.scala 351:14] reg _T_1775 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1775 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1775 @[lsu_bus_buffer.scala 350:15] + obuf_nosend <= _T_1775 @[lsu_bus_buffer.scala 352:15] reg _T_1776 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_rdrsp_pend_en : @[Reg.scala 28:19] _T_1776 <= obuf_rdrsp_pend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_rdrsp_pend <= _T_1776 @[lsu_bus_buffer.scala 351:19] + obuf_rdrsp_pend <= _T_1776 @[lsu_bus_buffer.scala 353:19] reg _T_1777 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when io.lsu_busm_clken : @[Reg.scala 28:19] _T_1777 <= obuf_cmd_done_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_cmd_done <= _T_1777 @[lsu_bus_buffer.scala 352:17] + obuf_cmd_done <= _T_1777 @[lsu_bus_buffer.scala 354:17] reg _T_1778 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when io.lsu_busm_clken : @[Reg.scala 28:19] _T_1778 <= obuf_data_done_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_data_done <= _T_1778 @[lsu_bus_buffer.scala 353:18] + obuf_data_done <= _T_1778 @[lsu_bus_buffer.scala 355:18] reg _T_1779 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when io.lsu_busm_clken : @[Reg.scala 28:19] _T_1779 <= obuf_rdrsp_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_rdrsp_tag <= _T_1779 @[lsu_bus_buffer.scala 354:18] + obuf_rdrsp_tag <= _T_1779 @[lsu_bus_buffer.scala 356:18] node _T_1780 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 388:57] reg _T_1781 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1780 : @[Reg.scala 28:19] _T_1781 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1781 @[lsu_bus_buffer.scala 356:13] + obuf_tag0 <= _T_1781 @[lsu_bus_buffer.scala 358:13] node _T_1782 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 388:57] reg obuf_tag1 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1782 : @[Reg.scala 28:19] @@ -10769,13 +10759,13 @@ circuit lsu : when _T_1784 : @[Reg.scala 28:19] _T_1785 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1785 @[lsu_bus_buffer.scala 359:14] + obuf_write <= _T_1785 @[lsu_bus_buffer.scala 361:14] node _T_1786 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 388:57] reg _T_1787 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1786 : @[Reg.scala 28:19] _T_1787 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1787 @[lsu_bus_buffer.scala 360:19] + obuf_sideeffect <= _T_1787 @[lsu_bus_buffer.scala 362:19] node _T_1788 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 388:57] reg obuf_sz : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1788 : @[Reg.scala 28:19] @@ -10796,7 +10786,7 @@ circuit lsu : when obuf_wr_en : @[Reg.scala 28:19] _T_1790 <= obuf_addr_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_addr <= _T_1790 @[lsu_bus_buffer.scala 363:13] + obuf_addr <= _T_1790 @[lsu_bus_buffer.scala 365:13] inst rvclkhdr_3 of rvclkhdr_25 @[lib.scala 404:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -10811,1967 +10801,1967 @@ circuit lsu : when obuf_wr_en : @[Reg.scala 28:19] _T_1791 <= obuf_wr_timer_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_wr_timer <= _T_1791 @[lsu_bus_buffer.scala 365:17] + obuf_wr_timer <= _T_1791 @[lsu_bus_buffer.scala 367:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1792 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] - node _T_1793 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:30] - node _T_1794 = and(ibuf_valid, _T_1793) @[lsu_bus_buffer.scala 370:19] - node _T_1795 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:18] - node _T_1796 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:57] - node _T_1797 = and(io.ldst_dual_r, _T_1796) @[lsu_bus_buffer.scala 371:45] - node _T_1798 = or(_T_1795, _T_1797) @[lsu_bus_buffer.scala 371:27] - node _T_1799 = and(io.lsu_busreq_r, _T_1798) @[lsu_bus_buffer.scala 370:58] - node _T_1800 = or(_T_1794, _T_1799) @[lsu_bus_buffer.scala 370:39] - node _T_1801 = eq(_T_1800, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:5] - node _T_1802 = and(_T_1792, _T_1801) @[lsu_bus_buffer.scala 369:76] - node _T_1803 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] - node _T_1804 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:30] - node _T_1805 = and(ibuf_valid, _T_1804) @[lsu_bus_buffer.scala 370:19] - node _T_1806 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:18] - node _T_1807 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:57] - node _T_1808 = and(io.ldst_dual_r, _T_1807) @[lsu_bus_buffer.scala 371:45] - node _T_1809 = or(_T_1806, _T_1808) @[lsu_bus_buffer.scala 371:27] - node _T_1810 = and(io.lsu_busreq_r, _T_1809) @[lsu_bus_buffer.scala 370:58] - node _T_1811 = or(_T_1805, _T_1810) @[lsu_bus_buffer.scala 370:39] - node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:5] - node _T_1813 = and(_T_1803, _T_1812) @[lsu_bus_buffer.scala 369:76] - node _T_1814 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] - node _T_1815 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:30] - node _T_1816 = and(ibuf_valid, _T_1815) @[lsu_bus_buffer.scala 370:19] - node _T_1817 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:18] - node _T_1818 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:57] - node _T_1819 = and(io.ldst_dual_r, _T_1818) @[lsu_bus_buffer.scala 371:45] - node _T_1820 = or(_T_1817, _T_1819) @[lsu_bus_buffer.scala 371:27] - node _T_1821 = and(io.lsu_busreq_r, _T_1820) @[lsu_bus_buffer.scala 370:58] - node _T_1822 = or(_T_1816, _T_1821) @[lsu_bus_buffer.scala 370:39] - node _T_1823 = eq(_T_1822, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:5] - node _T_1824 = and(_T_1814, _T_1823) @[lsu_bus_buffer.scala 369:76] - node _T_1825 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] - node _T_1826 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:30] - node _T_1827 = and(ibuf_valid, _T_1826) @[lsu_bus_buffer.scala 370:19] - node _T_1828 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:18] - node _T_1829 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:57] - node _T_1830 = and(io.ldst_dual_r, _T_1829) @[lsu_bus_buffer.scala 371:45] - node _T_1831 = or(_T_1828, _T_1830) @[lsu_bus_buffer.scala 371:27] - node _T_1832 = and(io.lsu_busreq_r, _T_1831) @[lsu_bus_buffer.scala 370:58] - node _T_1833 = or(_T_1827, _T_1832) @[lsu_bus_buffer.scala 370:39] - node _T_1834 = eq(_T_1833, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:5] - node _T_1835 = and(_T_1825, _T_1834) @[lsu_bus_buffer.scala 369:76] + node _T_1792 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 371:65] + node _T_1793 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:30] + node _T_1794 = and(ibuf_valid, _T_1793) @[lsu_bus_buffer.scala 372:19] + node _T_1795 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:18] + node _T_1796 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:57] + node _T_1797 = and(io.ldst_dual_r, _T_1796) @[lsu_bus_buffer.scala 373:45] + node _T_1798 = or(_T_1795, _T_1797) @[lsu_bus_buffer.scala 373:27] + node _T_1799 = and(io.lsu_busreq_r, _T_1798) @[lsu_bus_buffer.scala 372:58] + node _T_1800 = or(_T_1794, _T_1799) @[lsu_bus_buffer.scala 372:39] + node _T_1801 = eq(_T_1800, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:5] + node _T_1802 = and(_T_1792, _T_1801) @[lsu_bus_buffer.scala 371:76] + node _T_1803 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 371:65] + node _T_1804 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:30] + node _T_1805 = and(ibuf_valid, _T_1804) @[lsu_bus_buffer.scala 372:19] + node _T_1806 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 373:18] + node _T_1807 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 373:57] + node _T_1808 = and(io.ldst_dual_r, _T_1807) @[lsu_bus_buffer.scala 373:45] + node _T_1809 = or(_T_1806, _T_1808) @[lsu_bus_buffer.scala 373:27] + node _T_1810 = and(io.lsu_busreq_r, _T_1809) @[lsu_bus_buffer.scala 372:58] + node _T_1811 = or(_T_1805, _T_1810) @[lsu_bus_buffer.scala 372:39] + node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:5] + node _T_1813 = and(_T_1803, _T_1812) @[lsu_bus_buffer.scala 371:76] + node _T_1814 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 371:65] + node _T_1815 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:30] + node _T_1816 = and(ibuf_valid, _T_1815) @[lsu_bus_buffer.scala 372:19] + node _T_1817 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 373:18] + node _T_1818 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 373:57] + node _T_1819 = and(io.ldst_dual_r, _T_1818) @[lsu_bus_buffer.scala 373:45] + node _T_1820 = or(_T_1817, _T_1819) @[lsu_bus_buffer.scala 373:27] + node _T_1821 = and(io.lsu_busreq_r, _T_1820) @[lsu_bus_buffer.scala 372:58] + node _T_1822 = or(_T_1816, _T_1821) @[lsu_bus_buffer.scala 372:39] + node _T_1823 = eq(_T_1822, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:5] + node _T_1824 = and(_T_1814, _T_1823) @[lsu_bus_buffer.scala 371:76] + node _T_1825 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 371:65] + node _T_1826 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:30] + node _T_1827 = and(ibuf_valid, _T_1826) @[lsu_bus_buffer.scala 372:19] + node _T_1828 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 373:18] + node _T_1829 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 373:57] + node _T_1830 = and(io.ldst_dual_r, _T_1829) @[lsu_bus_buffer.scala 373:45] + node _T_1831 = or(_T_1828, _T_1830) @[lsu_bus_buffer.scala 373:27] + node _T_1832 = and(io.lsu_busreq_r, _T_1831) @[lsu_bus_buffer.scala 372:58] + node _T_1833 = or(_T_1827, _T_1832) @[lsu_bus_buffer.scala 372:39] + node _T_1834 = eq(_T_1833, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:5] + node _T_1835 = and(_T_1825, _T_1834) @[lsu_bus_buffer.scala 371:76] node _T_1836 = mux(_T_1835, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1837 = mux(_T_1824, UInt<2>("h02"), _T_1836) @[Mux.scala 98:16] node _T_1838 = mux(_T_1813, UInt<1>("h01"), _T_1837) @[Mux.scala 98:16] node _T_1839 = mux(_T_1802, UInt<1>("h00"), _T_1838) @[Mux.scala 98:16] - WrPtr0_m <= _T_1839 @[lsu_bus_buffer.scala 369:12] + WrPtr0_m <= _T_1839 @[lsu_bus_buffer.scala 371:12] wire WrPtr1_m : UInt<2> WrPtr1_m <= UInt<1>("h00") - node _T_1840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 375:65] - node _T_1841 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:103] - node _T_1842 = and(ibuf_valid, _T_1841) @[lsu_bus_buffer.scala 375:92] - node _T_1843 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 376:33] - node _T_1844 = and(io.lsu_busreq_m, _T_1843) @[lsu_bus_buffer.scala 376:22] - node _T_1845 = or(_T_1842, _T_1844) @[lsu_bus_buffer.scala 375:112] - node _T_1846 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:36] - node _T_1847 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:34] - node _T_1848 = and(io.ldst_dual_r, _T_1847) @[lsu_bus_buffer.scala 378:23] - node _T_1849 = or(_T_1846, _T_1848) @[lsu_bus_buffer.scala 377:46] - node _T_1850 = and(io.lsu_busreq_r, _T_1849) @[lsu_bus_buffer.scala 377:22] - node _T_1851 = or(_T_1845, _T_1850) @[lsu_bus_buffer.scala 376:42] - node _T_1852 = eq(_T_1851, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:78] - node _T_1853 = and(_T_1840, _T_1852) @[lsu_bus_buffer.scala 375:76] - node _T_1854 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 375:65] - node _T_1855 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 375:103] - node _T_1856 = and(ibuf_valid, _T_1855) @[lsu_bus_buffer.scala 375:92] - node _T_1857 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 376:33] - node _T_1858 = and(io.lsu_busreq_m, _T_1857) @[lsu_bus_buffer.scala 376:22] - node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 375:112] - node _T_1860 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 377:36] - node _T_1861 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 378:34] - node _T_1862 = and(io.ldst_dual_r, _T_1861) @[lsu_bus_buffer.scala 378:23] - node _T_1863 = or(_T_1860, _T_1862) @[lsu_bus_buffer.scala 377:46] - node _T_1864 = and(io.lsu_busreq_r, _T_1863) @[lsu_bus_buffer.scala 377:22] - node _T_1865 = or(_T_1859, _T_1864) @[lsu_bus_buffer.scala 376:42] - node _T_1866 = eq(_T_1865, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:78] - node _T_1867 = and(_T_1854, _T_1866) @[lsu_bus_buffer.scala 375:76] - node _T_1868 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 375:65] - node _T_1869 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 375:103] - node _T_1870 = and(ibuf_valid, _T_1869) @[lsu_bus_buffer.scala 375:92] - node _T_1871 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 376:33] - node _T_1872 = and(io.lsu_busreq_m, _T_1871) @[lsu_bus_buffer.scala 376:22] - node _T_1873 = or(_T_1870, _T_1872) @[lsu_bus_buffer.scala 375:112] - node _T_1874 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 377:36] - node _T_1875 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 378:34] - node _T_1876 = and(io.ldst_dual_r, _T_1875) @[lsu_bus_buffer.scala 378:23] - node _T_1877 = or(_T_1874, _T_1876) @[lsu_bus_buffer.scala 377:46] - node _T_1878 = and(io.lsu_busreq_r, _T_1877) @[lsu_bus_buffer.scala 377:22] - node _T_1879 = or(_T_1873, _T_1878) @[lsu_bus_buffer.scala 376:42] - node _T_1880 = eq(_T_1879, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:78] - node _T_1881 = and(_T_1868, _T_1880) @[lsu_bus_buffer.scala 375:76] - node _T_1882 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 375:65] - node _T_1883 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 375:103] - node _T_1884 = and(ibuf_valid, _T_1883) @[lsu_bus_buffer.scala 375:92] - node _T_1885 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 376:33] - node _T_1886 = and(io.lsu_busreq_m, _T_1885) @[lsu_bus_buffer.scala 376:22] - node _T_1887 = or(_T_1884, _T_1886) @[lsu_bus_buffer.scala 375:112] - node _T_1888 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 377:36] - node _T_1889 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 378:34] - node _T_1890 = and(io.ldst_dual_r, _T_1889) @[lsu_bus_buffer.scala 378:23] - node _T_1891 = or(_T_1888, _T_1890) @[lsu_bus_buffer.scala 377:46] - node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[lsu_bus_buffer.scala 377:22] - node _T_1893 = or(_T_1887, _T_1892) @[lsu_bus_buffer.scala 376:42] - node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:78] - node _T_1895 = and(_T_1882, _T_1894) @[lsu_bus_buffer.scala 375:76] + node _T_1840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 377:65] + node _T_1841 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:103] + node _T_1842 = and(ibuf_valid, _T_1841) @[lsu_bus_buffer.scala 377:92] + node _T_1843 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:33] + node _T_1844 = and(io.lsu_busreq_m, _T_1843) @[lsu_bus_buffer.scala 378:22] + node _T_1845 = or(_T_1842, _T_1844) @[lsu_bus_buffer.scala 377:112] + node _T_1846 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:36] + node _T_1847 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:34] + node _T_1848 = and(io.ldst_dual_r, _T_1847) @[lsu_bus_buffer.scala 380:23] + node _T_1849 = or(_T_1846, _T_1848) @[lsu_bus_buffer.scala 379:46] + node _T_1850 = and(io.lsu_busreq_r, _T_1849) @[lsu_bus_buffer.scala 379:22] + node _T_1851 = or(_T_1845, _T_1850) @[lsu_bus_buffer.scala 378:42] + node _T_1852 = eq(_T_1851, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:78] + node _T_1853 = and(_T_1840, _T_1852) @[lsu_bus_buffer.scala 377:76] + node _T_1854 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 377:65] + node _T_1855 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 377:103] + node _T_1856 = and(ibuf_valid, _T_1855) @[lsu_bus_buffer.scala 377:92] + node _T_1857 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 378:33] + node _T_1858 = and(io.lsu_busreq_m, _T_1857) @[lsu_bus_buffer.scala 378:22] + node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 377:112] + node _T_1860 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 379:36] + node _T_1861 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 380:34] + node _T_1862 = and(io.ldst_dual_r, _T_1861) @[lsu_bus_buffer.scala 380:23] + node _T_1863 = or(_T_1860, _T_1862) @[lsu_bus_buffer.scala 379:46] + node _T_1864 = and(io.lsu_busreq_r, _T_1863) @[lsu_bus_buffer.scala 379:22] + node _T_1865 = or(_T_1859, _T_1864) @[lsu_bus_buffer.scala 378:42] + node _T_1866 = eq(_T_1865, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:78] + node _T_1867 = and(_T_1854, _T_1866) @[lsu_bus_buffer.scala 377:76] + node _T_1868 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 377:65] + node _T_1869 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 377:103] + node _T_1870 = and(ibuf_valid, _T_1869) @[lsu_bus_buffer.scala 377:92] + node _T_1871 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 378:33] + node _T_1872 = and(io.lsu_busreq_m, _T_1871) @[lsu_bus_buffer.scala 378:22] + node _T_1873 = or(_T_1870, _T_1872) @[lsu_bus_buffer.scala 377:112] + node _T_1874 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 379:36] + node _T_1875 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 380:34] + node _T_1876 = and(io.ldst_dual_r, _T_1875) @[lsu_bus_buffer.scala 380:23] + node _T_1877 = or(_T_1874, _T_1876) @[lsu_bus_buffer.scala 379:46] + node _T_1878 = and(io.lsu_busreq_r, _T_1877) @[lsu_bus_buffer.scala 379:22] + node _T_1879 = or(_T_1873, _T_1878) @[lsu_bus_buffer.scala 378:42] + node _T_1880 = eq(_T_1879, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:78] + node _T_1881 = and(_T_1868, _T_1880) @[lsu_bus_buffer.scala 377:76] + node _T_1882 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 377:65] + node _T_1883 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 377:103] + node _T_1884 = and(ibuf_valid, _T_1883) @[lsu_bus_buffer.scala 377:92] + node _T_1885 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 378:33] + node _T_1886 = and(io.lsu_busreq_m, _T_1885) @[lsu_bus_buffer.scala 378:22] + node _T_1887 = or(_T_1884, _T_1886) @[lsu_bus_buffer.scala 377:112] + node _T_1888 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 379:36] + node _T_1889 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 380:34] + node _T_1890 = and(io.ldst_dual_r, _T_1889) @[lsu_bus_buffer.scala 380:23] + node _T_1891 = or(_T_1888, _T_1890) @[lsu_bus_buffer.scala 379:46] + node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[lsu_bus_buffer.scala 379:22] + node _T_1893 = or(_T_1887, _T_1892) @[lsu_bus_buffer.scala 378:42] + node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:78] + node _T_1895 = and(_T_1882, _T_1894) @[lsu_bus_buffer.scala 377:76] node _T_1896 = mux(_T_1895, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1897 = mux(_T_1881, UInt<2>("h02"), _T_1896) @[Mux.scala 98:16] node _T_1898 = mux(_T_1867, UInt<1>("h01"), _T_1897) @[Mux.scala 98:16] node _T_1899 = mux(_T_1853, UInt<1>("h00"), _T_1898) @[Mux.scala 98:16] - WrPtr1_m <= _T_1899 @[lsu_bus_buffer.scala 375:12] - wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 380:21] - buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:11] - buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:11] - buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:11] - buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:11] - node _T_1900 = orr(buf_age[0]) @[lsu_bus_buffer.scala 383:58] - node _T_1901 = eq(_T_1900, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] - node _T_1902 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:78] - node _T_1903 = and(_T_1901, _T_1902) @[lsu_bus_buffer.scala 383:63] - node _T_1904 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:90] - node _T_1905 = and(_T_1903, _T_1904) @[lsu_bus_buffer.scala 383:88] - node _T_1906 = orr(buf_age[1]) @[lsu_bus_buffer.scala 383:58] - node _T_1907 = eq(_T_1906, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] - node _T_1908 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:78] - node _T_1909 = and(_T_1907, _T_1908) @[lsu_bus_buffer.scala 383:63] - node _T_1910 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:90] - node _T_1911 = and(_T_1909, _T_1910) @[lsu_bus_buffer.scala 383:88] - node _T_1912 = orr(buf_age[2]) @[lsu_bus_buffer.scala 383:58] - node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] - node _T_1914 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:78] - node _T_1915 = and(_T_1913, _T_1914) @[lsu_bus_buffer.scala 383:63] - node _T_1916 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:90] - node _T_1917 = and(_T_1915, _T_1916) @[lsu_bus_buffer.scala 383:88] - node _T_1918 = orr(buf_age[3]) @[lsu_bus_buffer.scala 383:58] - node _T_1919 = eq(_T_1918, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] - node _T_1920 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:78] - node _T_1921 = and(_T_1919, _T_1920) @[lsu_bus_buffer.scala 383:63] - node _T_1922 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:90] - node _T_1923 = and(_T_1921, _T_1922) @[lsu_bus_buffer.scala 383:88] + WrPtr1_m <= _T_1899 @[lsu_bus_buffer.scala 377:12] + wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 382:21] + buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:11] + buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:11] + buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:11] + buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:11] + node _T_1900 = orr(buf_age[0]) @[lsu_bus_buffer.scala 385:58] + node _T_1901 = eq(_T_1900, UInt<1>("h00")) @[lsu_bus_buffer.scala 385:45] + node _T_1902 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 385:78] + node _T_1903 = and(_T_1901, _T_1902) @[lsu_bus_buffer.scala 385:63] + node _T_1904 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 385:90] + node _T_1905 = and(_T_1903, _T_1904) @[lsu_bus_buffer.scala 385:88] + node _T_1906 = orr(buf_age[1]) @[lsu_bus_buffer.scala 385:58] + node _T_1907 = eq(_T_1906, UInt<1>("h00")) @[lsu_bus_buffer.scala 385:45] + node _T_1908 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 385:78] + node _T_1909 = and(_T_1907, _T_1908) @[lsu_bus_buffer.scala 385:63] + node _T_1910 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 385:90] + node _T_1911 = and(_T_1909, _T_1910) @[lsu_bus_buffer.scala 385:88] + node _T_1912 = orr(buf_age[2]) @[lsu_bus_buffer.scala 385:58] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 385:45] + node _T_1914 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 385:78] + node _T_1915 = and(_T_1913, _T_1914) @[lsu_bus_buffer.scala 385:63] + node _T_1916 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 385:90] + node _T_1917 = and(_T_1915, _T_1916) @[lsu_bus_buffer.scala 385:88] + node _T_1918 = orr(buf_age[3]) @[lsu_bus_buffer.scala 385:58] + node _T_1919 = eq(_T_1918, UInt<1>("h00")) @[lsu_bus_buffer.scala 385:45] + node _T_1920 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 385:78] + node _T_1921 = and(_T_1919, _T_1920) @[lsu_bus_buffer.scala 385:63] + node _T_1922 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 385:90] + node _T_1923 = and(_T_1921, _T_1922) @[lsu_bus_buffer.scala 385:88] node _T_1924 = cat(_T_1923, _T_1917) @[Cat.scala 29:58] node _T_1925 = cat(_T_1924, _T_1911) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_1925, _T_1905) @[Cat.scala 29:58] - node _T_1926 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 384:62] - node _T_1927 = and(buf_age[0], _T_1926) @[lsu_bus_buffer.scala 384:59] - node _T_1928 = orr(_T_1927) @[lsu_bus_buffer.scala 384:76] - node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:45] - node _T_1930 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 384:94] - node _T_1931 = eq(_T_1930, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:83] - node _T_1932 = and(_T_1929, _T_1931) @[lsu_bus_buffer.scala 384:81] - node _T_1933 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 384:113] - node _T_1934 = and(_T_1932, _T_1933) @[lsu_bus_buffer.scala 384:98] - node _T_1935 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 384:125] - node _T_1936 = and(_T_1934, _T_1935) @[lsu_bus_buffer.scala 384:123] - node _T_1937 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 384:62] - node _T_1938 = and(buf_age[1], _T_1937) @[lsu_bus_buffer.scala 384:59] - node _T_1939 = orr(_T_1938) @[lsu_bus_buffer.scala 384:76] - node _T_1940 = eq(_T_1939, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:45] - node _T_1941 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 384:94] - node _T_1942 = eq(_T_1941, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:83] - node _T_1943 = and(_T_1940, _T_1942) @[lsu_bus_buffer.scala 384:81] - node _T_1944 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 384:113] - node _T_1945 = and(_T_1943, _T_1944) @[lsu_bus_buffer.scala 384:98] - node _T_1946 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 384:125] - node _T_1947 = and(_T_1945, _T_1946) @[lsu_bus_buffer.scala 384:123] - node _T_1948 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 384:62] - node _T_1949 = and(buf_age[2], _T_1948) @[lsu_bus_buffer.scala 384:59] - node _T_1950 = orr(_T_1949) @[lsu_bus_buffer.scala 384:76] - node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:45] - node _T_1952 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 384:94] - node _T_1953 = eq(_T_1952, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:83] - node _T_1954 = and(_T_1951, _T_1953) @[lsu_bus_buffer.scala 384:81] - node _T_1955 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 384:113] - node _T_1956 = and(_T_1954, _T_1955) @[lsu_bus_buffer.scala 384:98] - node _T_1957 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 384:125] - node _T_1958 = and(_T_1956, _T_1957) @[lsu_bus_buffer.scala 384:123] - node _T_1959 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 384:62] - node _T_1960 = and(buf_age[3], _T_1959) @[lsu_bus_buffer.scala 384:59] - node _T_1961 = orr(_T_1960) @[lsu_bus_buffer.scala 384:76] - node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:45] - node _T_1963 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 384:94] - node _T_1964 = eq(_T_1963, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:83] - node _T_1965 = and(_T_1962, _T_1964) @[lsu_bus_buffer.scala 384:81] - node _T_1966 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 384:113] - node _T_1967 = and(_T_1965, _T_1966) @[lsu_bus_buffer.scala 384:98] - node _T_1968 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 384:125] - node _T_1969 = and(_T_1967, _T_1968) @[lsu_bus_buffer.scala 384:123] + node _T_1926 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 386:62] + node _T_1927 = and(buf_age[0], _T_1926) @[lsu_bus_buffer.scala 386:59] + node _T_1928 = orr(_T_1927) @[lsu_bus_buffer.scala 386:76] + node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[lsu_bus_buffer.scala 386:45] + node _T_1930 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 386:94] + node _T_1931 = eq(_T_1930, UInt<1>("h00")) @[lsu_bus_buffer.scala 386:83] + node _T_1932 = and(_T_1929, _T_1931) @[lsu_bus_buffer.scala 386:81] + node _T_1933 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 386:113] + node _T_1934 = and(_T_1932, _T_1933) @[lsu_bus_buffer.scala 386:98] + node _T_1935 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 386:125] + node _T_1936 = and(_T_1934, _T_1935) @[lsu_bus_buffer.scala 386:123] + node _T_1937 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 386:62] + node _T_1938 = and(buf_age[1], _T_1937) @[lsu_bus_buffer.scala 386:59] + node _T_1939 = orr(_T_1938) @[lsu_bus_buffer.scala 386:76] + node _T_1940 = eq(_T_1939, UInt<1>("h00")) @[lsu_bus_buffer.scala 386:45] + node _T_1941 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 386:94] + node _T_1942 = eq(_T_1941, UInt<1>("h00")) @[lsu_bus_buffer.scala 386:83] + node _T_1943 = and(_T_1940, _T_1942) @[lsu_bus_buffer.scala 386:81] + node _T_1944 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 386:113] + node _T_1945 = and(_T_1943, _T_1944) @[lsu_bus_buffer.scala 386:98] + node _T_1946 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 386:125] + node _T_1947 = and(_T_1945, _T_1946) @[lsu_bus_buffer.scala 386:123] + node _T_1948 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 386:62] + node _T_1949 = and(buf_age[2], _T_1948) @[lsu_bus_buffer.scala 386:59] + node _T_1950 = orr(_T_1949) @[lsu_bus_buffer.scala 386:76] + node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[lsu_bus_buffer.scala 386:45] + node _T_1952 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 386:94] + node _T_1953 = eq(_T_1952, UInt<1>("h00")) @[lsu_bus_buffer.scala 386:83] + node _T_1954 = and(_T_1951, _T_1953) @[lsu_bus_buffer.scala 386:81] + node _T_1955 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 386:113] + node _T_1956 = and(_T_1954, _T_1955) @[lsu_bus_buffer.scala 386:98] + node _T_1957 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 386:125] + node _T_1958 = and(_T_1956, _T_1957) @[lsu_bus_buffer.scala 386:123] + node _T_1959 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 386:62] + node _T_1960 = and(buf_age[3], _T_1959) @[lsu_bus_buffer.scala 386:59] + node _T_1961 = orr(_T_1960) @[lsu_bus_buffer.scala 386:76] + node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 386:45] + node _T_1963 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 386:94] + node _T_1964 = eq(_T_1963, UInt<1>("h00")) @[lsu_bus_buffer.scala 386:83] + node _T_1965 = and(_T_1962, _T_1964) @[lsu_bus_buffer.scala 386:81] + node _T_1966 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 386:113] + node _T_1967 = and(_T_1965, _T_1966) @[lsu_bus_buffer.scala 386:98] + node _T_1968 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 386:125] + node _T_1969 = and(_T_1967, _T_1968) @[lsu_bus_buffer.scala 386:123] node _T_1970 = cat(_T_1969, _T_1958) @[Cat.scala 29:58] node _T_1971 = cat(_T_1970, _T_1947) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_1971, _T_1936) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 385:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 386:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 386:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 386:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 386:19] - node _T_1972 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 387:65] - node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[lsu_bus_buffer.scala 387:44] - node _T_1974 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 387:85] - node _T_1975 = and(_T_1973, _T_1974) @[lsu_bus_buffer.scala 387:70] - node _T_1976 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 387:65] - node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[lsu_bus_buffer.scala 387:44] - node _T_1978 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 387:85] - node _T_1979 = and(_T_1977, _T_1978) @[lsu_bus_buffer.scala 387:70] - node _T_1980 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 387:65] - node _T_1981 = eq(_T_1980, UInt<1>("h00")) @[lsu_bus_buffer.scala 387:44] - node _T_1982 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 387:85] - node _T_1983 = and(_T_1981, _T_1982) @[lsu_bus_buffer.scala 387:70] - node _T_1984 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 387:65] - node _T_1985 = eq(_T_1984, UInt<1>("h00")) @[lsu_bus_buffer.scala 387:44] - node _T_1986 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 387:85] - node _T_1987 = and(_T_1985, _T_1986) @[lsu_bus_buffer.scala 387:70] + wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 387:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 388:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 388:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 388:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 388:19] + node _T_1972 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 389:65] + node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[lsu_bus_buffer.scala 389:44] + node _T_1974 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 389:85] + node _T_1975 = and(_T_1973, _T_1974) @[lsu_bus_buffer.scala 389:70] + node _T_1976 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 389:65] + node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[lsu_bus_buffer.scala 389:44] + node _T_1978 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 389:85] + node _T_1979 = and(_T_1977, _T_1978) @[lsu_bus_buffer.scala 389:70] + node _T_1980 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 389:65] + node _T_1981 = eq(_T_1980, UInt<1>("h00")) @[lsu_bus_buffer.scala 389:44] + node _T_1982 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 389:85] + node _T_1983 = and(_T_1981, _T_1982) @[lsu_bus_buffer.scala 389:70] + node _T_1984 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 389:65] + node _T_1985 = eq(_T_1984, UInt<1>("h00")) @[lsu_bus_buffer.scala 389:44] + node _T_1986 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 389:85] + node _T_1987 = and(_T_1985, _T_1986) @[lsu_bus_buffer.scala 389:70] node _T_1988 = cat(_T_1987, _T_1983) @[Cat.scala 29:58] node _T_1989 = cat(_T_1988, _T_1979) @[Cat.scala 29:58] node RspPtrDec = cat(_T_1989, _T_1975) @[Cat.scala 29:58] - node _T_1990 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 388:31] - found_cmdptr0 <= _T_1990 @[lsu_bus_buffer.scala 388:17] - node _T_1991 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 389:31] - found_cmdptr1 <= _T_1991 @[lsu_bus_buffer.scala 389:17] + node _T_1990 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 390:31] + found_cmdptr0 <= _T_1990 @[lsu_bus_buffer.scala 390:17] + node _T_1991 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 391:31] + found_cmdptr1 <= _T_1991 @[lsu_bus_buffer.scala 391:17] wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") node _T_1992 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_1993 = cat(_T_1992, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_1994 = bits(_T_1993, 4, 4) @[lsu_bus_buffer.scala 391:39] - node _T_1995 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 391:45] - node _T_1996 = or(_T_1994, _T_1995) @[lsu_bus_buffer.scala 391:42] - node _T_1997 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 391:51] - node _T_1998 = or(_T_1996, _T_1997) @[lsu_bus_buffer.scala 391:48] - node _T_1999 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 391:57] - node _T_2000 = or(_T_1998, _T_1999) @[lsu_bus_buffer.scala 391:54] - node _T_2001 = bits(_T_1993, 2, 2) @[lsu_bus_buffer.scala 391:64] - node _T_2002 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 391:70] - node _T_2003 = or(_T_2001, _T_2002) @[lsu_bus_buffer.scala 391:67] - node _T_2004 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 391:76] - node _T_2005 = or(_T_2003, _T_2004) @[lsu_bus_buffer.scala 391:73] - node _T_2006 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 391:82] - node _T_2007 = or(_T_2005, _T_2006) @[lsu_bus_buffer.scala 391:79] - node _T_2008 = bits(_T_1993, 1, 1) @[lsu_bus_buffer.scala 391:89] - node _T_2009 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 391:95] - node _T_2010 = or(_T_2008, _T_2009) @[lsu_bus_buffer.scala 391:92] - node _T_2011 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 391:101] - node _T_2012 = or(_T_2010, _T_2011) @[lsu_bus_buffer.scala 391:98] - node _T_2013 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 391:107] - node _T_2014 = or(_T_2012, _T_2013) @[lsu_bus_buffer.scala 391:104] + node _T_1994 = bits(_T_1993, 4, 4) @[lsu_bus_buffer.scala 393:39] + node _T_1995 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 393:45] + node _T_1996 = or(_T_1994, _T_1995) @[lsu_bus_buffer.scala 393:42] + node _T_1997 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 393:51] + node _T_1998 = or(_T_1996, _T_1997) @[lsu_bus_buffer.scala 393:48] + node _T_1999 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 393:57] + node _T_2000 = or(_T_1998, _T_1999) @[lsu_bus_buffer.scala 393:54] + node _T_2001 = bits(_T_1993, 2, 2) @[lsu_bus_buffer.scala 393:64] + node _T_2002 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 393:70] + node _T_2003 = or(_T_2001, _T_2002) @[lsu_bus_buffer.scala 393:67] + node _T_2004 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 393:76] + node _T_2005 = or(_T_2003, _T_2004) @[lsu_bus_buffer.scala 393:73] + node _T_2006 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 393:82] + node _T_2007 = or(_T_2005, _T_2006) @[lsu_bus_buffer.scala 393:79] + node _T_2008 = bits(_T_1993, 1, 1) @[lsu_bus_buffer.scala 393:89] + node _T_2009 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 393:95] + node _T_2010 = or(_T_2008, _T_2009) @[lsu_bus_buffer.scala 393:92] + node _T_2011 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 393:101] + node _T_2012 = or(_T_2010, _T_2011) @[lsu_bus_buffer.scala 393:98] + node _T_2013 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 393:107] + node _T_2014 = or(_T_2012, _T_2013) @[lsu_bus_buffer.scala 393:104] node _T_2015 = cat(_T_2000, _T_2007) @[Cat.scala 29:58] node _T_2016 = cat(_T_2015, _T_2014) @[Cat.scala 29:58] - CmdPtr0 <= _T_2016 @[lsu_bus_buffer.scala 396:11] + CmdPtr0 <= _T_2016 @[lsu_bus_buffer.scala 398:11] node _T_2017 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2018 = cat(_T_2017, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2019 = bits(_T_2018, 4, 4) @[lsu_bus_buffer.scala 391:39] - node _T_2020 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 391:45] - node _T_2021 = or(_T_2019, _T_2020) @[lsu_bus_buffer.scala 391:42] - node _T_2022 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 391:51] - node _T_2023 = or(_T_2021, _T_2022) @[lsu_bus_buffer.scala 391:48] - node _T_2024 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 391:57] - node _T_2025 = or(_T_2023, _T_2024) @[lsu_bus_buffer.scala 391:54] - node _T_2026 = bits(_T_2018, 2, 2) @[lsu_bus_buffer.scala 391:64] - node _T_2027 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 391:70] - node _T_2028 = or(_T_2026, _T_2027) @[lsu_bus_buffer.scala 391:67] - node _T_2029 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 391:76] - node _T_2030 = or(_T_2028, _T_2029) @[lsu_bus_buffer.scala 391:73] - node _T_2031 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 391:82] - node _T_2032 = or(_T_2030, _T_2031) @[lsu_bus_buffer.scala 391:79] - node _T_2033 = bits(_T_2018, 1, 1) @[lsu_bus_buffer.scala 391:89] - node _T_2034 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 391:95] - node _T_2035 = or(_T_2033, _T_2034) @[lsu_bus_buffer.scala 391:92] - node _T_2036 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 391:101] - node _T_2037 = or(_T_2035, _T_2036) @[lsu_bus_buffer.scala 391:98] - node _T_2038 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 391:107] - node _T_2039 = or(_T_2037, _T_2038) @[lsu_bus_buffer.scala 391:104] + node _T_2019 = bits(_T_2018, 4, 4) @[lsu_bus_buffer.scala 393:39] + node _T_2020 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 393:45] + node _T_2021 = or(_T_2019, _T_2020) @[lsu_bus_buffer.scala 393:42] + node _T_2022 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 393:51] + node _T_2023 = or(_T_2021, _T_2022) @[lsu_bus_buffer.scala 393:48] + node _T_2024 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 393:57] + node _T_2025 = or(_T_2023, _T_2024) @[lsu_bus_buffer.scala 393:54] + node _T_2026 = bits(_T_2018, 2, 2) @[lsu_bus_buffer.scala 393:64] + node _T_2027 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 393:70] + node _T_2028 = or(_T_2026, _T_2027) @[lsu_bus_buffer.scala 393:67] + node _T_2029 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 393:76] + node _T_2030 = or(_T_2028, _T_2029) @[lsu_bus_buffer.scala 393:73] + node _T_2031 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 393:82] + node _T_2032 = or(_T_2030, _T_2031) @[lsu_bus_buffer.scala 393:79] + node _T_2033 = bits(_T_2018, 1, 1) @[lsu_bus_buffer.scala 393:89] + node _T_2034 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 393:95] + node _T_2035 = or(_T_2033, _T_2034) @[lsu_bus_buffer.scala 393:92] + node _T_2036 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 393:101] + node _T_2037 = or(_T_2035, _T_2036) @[lsu_bus_buffer.scala 393:98] + node _T_2038 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 393:107] + node _T_2039 = or(_T_2037, _T_2038) @[lsu_bus_buffer.scala 393:104] node _T_2040 = cat(_T_2025, _T_2032) @[Cat.scala 29:58] node _T_2041 = cat(_T_2040, _T_2039) @[Cat.scala 29:58] - CmdPtr1 <= _T_2041 @[lsu_bus_buffer.scala 398:11] + CmdPtr1 <= _T_2041 @[lsu_bus_buffer.scala 400:11] node _T_2042 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2043 = cat(_T_2042, RspPtrDec) @[Cat.scala 29:58] - node _T_2044 = bits(_T_2043, 4, 4) @[lsu_bus_buffer.scala 391:39] - node _T_2045 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 391:45] - node _T_2046 = or(_T_2044, _T_2045) @[lsu_bus_buffer.scala 391:42] - node _T_2047 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 391:51] - node _T_2048 = or(_T_2046, _T_2047) @[lsu_bus_buffer.scala 391:48] - node _T_2049 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 391:57] - node _T_2050 = or(_T_2048, _T_2049) @[lsu_bus_buffer.scala 391:54] - node _T_2051 = bits(_T_2043, 2, 2) @[lsu_bus_buffer.scala 391:64] - node _T_2052 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 391:70] - node _T_2053 = or(_T_2051, _T_2052) @[lsu_bus_buffer.scala 391:67] - node _T_2054 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 391:76] - node _T_2055 = or(_T_2053, _T_2054) @[lsu_bus_buffer.scala 391:73] - node _T_2056 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 391:82] - node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 391:79] - node _T_2058 = bits(_T_2043, 1, 1) @[lsu_bus_buffer.scala 391:89] - node _T_2059 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 391:95] - node _T_2060 = or(_T_2058, _T_2059) @[lsu_bus_buffer.scala 391:92] - node _T_2061 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 391:101] - node _T_2062 = or(_T_2060, _T_2061) @[lsu_bus_buffer.scala 391:98] - node _T_2063 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 391:107] - node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 391:104] + node _T_2044 = bits(_T_2043, 4, 4) @[lsu_bus_buffer.scala 393:39] + node _T_2045 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 393:45] + node _T_2046 = or(_T_2044, _T_2045) @[lsu_bus_buffer.scala 393:42] + node _T_2047 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 393:51] + node _T_2048 = or(_T_2046, _T_2047) @[lsu_bus_buffer.scala 393:48] + node _T_2049 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 393:57] + node _T_2050 = or(_T_2048, _T_2049) @[lsu_bus_buffer.scala 393:54] + node _T_2051 = bits(_T_2043, 2, 2) @[lsu_bus_buffer.scala 393:64] + node _T_2052 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 393:70] + node _T_2053 = or(_T_2051, _T_2052) @[lsu_bus_buffer.scala 393:67] + node _T_2054 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 393:76] + node _T_2055 = or(_T_2053, _T_2054) @[lsu_bus_buffer.scala 393:73] + node _T_2056 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 393:82] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 393:79] + node _T_2058 = bits(_T_2043, 1, 1) @[lsu_bus_buffer.scala 393:89] + node _T_2059 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 393:95] + node _T_2060 = or(_T_2058, _T_2059) @[lsu_bus_buffer.scala 393:92] + node _T_2061 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 393:101] + node _T_2062 = or(_T_2060, _T_2061) @[lsu_bus_buffer.scala 393:98] + node _T_2063 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 393:107] + node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 393:104] node _T_2065 = cat(_T_2050, _T_2057) @[Cat.scala 29:58] node _T_2066 = cat(_T_2065, _T_2064) @[Cat.scala 29:58] - RspPtr <= _T_2066 @[lsu_bus_buffer.scala 399:10] - wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 400:26] - buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:16] - buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:16] - buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:16] - buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:16] - wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 402:25] - buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:15] - buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:15] - buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:15] - buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:15] - wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 404:28] - buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:18] - buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:18] - buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:18] - buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:18] - wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 406:27] - buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:17] - buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:17] - buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:17] - buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:17] - wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 408:24] - buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:14] - buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:14] - buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:14] - buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:14] - node _T_2067 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2068 = and(_T_2067, buf_state_en[0]) @[lsu_bus_buffer.scala 411:94] - node _T_2069 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2070 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2071 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2072 = and(_T_2070, _T_2071) @[lsu_bus_buffer.scala 412:57] - node _T_2073 = or(_T_2069, _T_2072) @[lsu_bus_buffer.scala 412:31] - node _T_2074 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2075 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2076 = and(_T_2074, _T_2075) @[lsu_bus_buffer.scala 413:41] - node _T_2077 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:83] - node _T_2078 = and(_T_2076, _T_2077) @[lsu_bus_buffer.scala 413:71] - node _T_2079 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:104] - node _T_2080 = and(_T_2078, _T_2079) @[lsu_bus_buffer.scala 413:92] - node _T_2081 = or(_T_2073, _T_2080) @[lsu_bus_buffer.scala 412:86] - node _T_2082 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2083 = and(_T_2082, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2084 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:64] - node _T_2085 = and(_T_2083, _T_2084) @[lsu_bus_buffer.scala 414:52] - node _T_2086 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:85] - node _T_2087 = and(_T_2085, _T_2086) @[lsu_bus_buffer.scala 414:73] - node _T_2088 = or(_T_2081, _T_2087) @[lsu_bus_buffer.scala 413:114] - node _T_2089 = and(_T_2068, _T_2088) @[lsu_bus_buffer.scala 411:113] - node _T_2090 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 414:109] - node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 414:97] - node _T_2092 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2093 = and(_T_2092, buf_state_en[0]) @[lsu_bus_buffer.scala 411:94] - node _T_2094 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2095 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2096 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2097 = and(_T_2095, _T_2096) @[lsu_bus_buffer.scala 412:57] - node _T_2098 = or(_T_2094, _T_2097) @[lsu_bus_buffer.scala 412:31] - node _T_2099 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2100 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2101 = and(_T_2099, _T_2100) @[lsu_bus_buffer.scala 413:41] - node _T_2102 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:83] - node _T_2103 = and(_T_2101, _T_2102) @[lsu_bus_buffer.scala 413:71] - node _T_2104 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:104] - node _T_2105 = and(_T_2103, _T_2104) @[lsu_bus_buffer.scala 413:92] - node _T_2106 = or(_T_2098, _T_2105) @[lsu_bus_buffer.scala 412:86] - node _T_2107 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2108 = and(_T_2107, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2109 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:64] - node _T_2110 = and(_T_2108, _T_2109) @[lsu_bus_buffer.scala 414:52] - node _T_2111 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:85] - node _T_2112 = and(_T_2110, _T_2111) @[lsu_bus_buffer.scala 414:73] - node _T_2113 = or(_T_2106, _T_2112) @[lsu_bus_buffer.scala 413:114] - node _T_2114 = and(_T_2093, _T_2113) @[lsu_bus_buffer.scala 411:113] - node _T_2115 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 414:109] - node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 414:97] - node _T_2117 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2118 = and(_T_2117, buf_state_en[0]) @[lsu_bus_buffer.scala 411:94] - node _T_2119 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2120 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2121 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2122 = and(_T_2120, _T_2121) @[lsu_bus_buffer.scala 412:57] - node _T_2123 = or(_T_2119, _T_2122) @[lsu_bus_buffer.scala 412:31] - node _T_2124 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2125 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2126 = and(_T_2124, _T_2125) @[lsu_bus_buffer.scala 413:41] - node _T_2127 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:83] - node _T_2128 = and(_T_2126, _T_2127) @[lsu_bus_buffer.scala 413:71] - node _T_2129 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:104] - node _T_2130 = and(_T_2128, _T_2129) @[lsu_bus_buffer.scala 413:92] - node _T_2131 = or(_T_2123, _T_2130) @[lsu_bus_buffer.scala 412:86] - node _T_2132 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2133 = and(_T_2132, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2134 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:64] - node _T_2135 = and(_T_2133, _T_2134) @[lsu_bus_buffer.scala 414:52] - node _T_2136 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:85] - node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 414:73] - node _T_2138 = or(_T_2131, _T_2137) @[lsu_bus_buffer.scala 413:114] - node _T_2139 = and(_T_2118, _T_2138) @[lsu_bus_buffer.scala 411:113] - node _T_2140 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 414:109] - node _T_2141 = or(_T_2139, _T_2140) @[lsu_bus_buffer.scala 414:97] - node _T_2142 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2143 = and(_T_2142, buf_state_en[0]) @[lsu_bus_buffer.scala 411:94] - node _T_2144 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2145 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2146 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2147 = and(_T_2145, _T_2146) @[lsu_bus_buffer.scala 412:57] - node _T_2148 = or(_T_2144, _T_2147) @[lsu_bus_buffer.scala 412:31] - node _T_2149 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2150 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2151 = and(_T_2149, _T_2150) @[lsu_bus_buffer.scala 413:41] - node _T_2152 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:83] - node _T_2153 = and(_T_2151, _T_2152) @[lsu_bus_buffer.scala 413:71] - node _T_2154 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:104] - node _T_2155 = and(_T_2153, _T_2154) @[lsu_bus_buffer.scala 413:92] - node _T_2156 = or(_T_2148, _T_2155) @[lsu_bus_buffer.scala 412:86] - node _T_2157 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2158 = and(_T_2157, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2159 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:64] - node _T_2160 = and(_T_2158, _T_2159) @[lsu_bus_buffer.scala 414:52] - node _T_2161 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:85] - node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 414:73] - node _T_2163 = or(_T_2156, _T_2162) @[lsu_bus_buffer.scala 413:114] - node _T_2164 = and(_T_2143, _T_2163) @[lsu_bus_buffer.scala 411:113] - node _T_2165 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 414:109] - node _T_2166 = or(_T_2164, _T_2165) @[lsu_bus_buffer.scala 414:97] + RspPtr <= _T_2066 @[lsu_bus_buffer.scala 401:10] + wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 402:26] + buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:16] + buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:16] + buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:16] + buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:16] + wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 404:25] + buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:15] + buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:15] + buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:15] + buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:15] + wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 406:28] + buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:18] + buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:18] + buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:18] + buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:18] + wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 408:27] + buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:17] + buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:17] + buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:17] + buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:17] + wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 410:24] + buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:14] + buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:14] + buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:14] + buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:14] + node _T_2067 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2068 = and(_T_2067, buf_state_en[0]) @[lsu_bus_buffer.scala 413:94] + node _T_2069 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2070 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2071 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2072 = and(_T_2070, _T_2071) @[lsu_bus_buffer.scala 414:57] + node _T_2073 = or(_T_2069, _T_2072) @[lsu_bus_buffer.scala 414:31] + node _T_2074 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2075 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2076 = and(_T_2074, _T_2075) @[lsu_bus_buffer.scala 415:41] + node _T_2077 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:83] + node _T_2078 = and(_T_2076, _T_2077) @[lsu_bus_buffer.scala 415:71] + node _T_2079 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:104] + node _T_2080 = and(_T_2078, _T_2079) @[lsu_bus_buffer.scala 415:92] + node _T_2081 = or(_T_2073, _T_2080) @[lsu_bus_buffer.scala 414:86] + node _T_2082 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2083 = and(_T_2082, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2084 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:64] + node _T_2085 = and(_T_2083, _T_2084) @[lsu_bus_buffer.scala 416:52] + node _T_2086 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:85] + node _T_2087 = and(_T_2085, _T_2086) @[lsu_bus_buffer.scala 416:73] + node _T_2088 = or(_T_2081, _T_2087) @[lsu_bus_buffer.scala 415:114] + node _T_2089 = and(_T_2068, _T_2088) @[lsu_bus_buffer.scala 413:113] + node _T_2090 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 416:109] + node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 416:97] + node _T_2092 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2093 = and(_T_2092, buf_state_en[0]) @[lsu_bus_buffer.scala 413:94] + node _T_2094 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2095 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2096 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2097 = and(_T_2095, _T_2096) @[lsu_bus_buffer.scala 414:57] + node _T_2098 = or(_T_2094, _T_2097) @[lsu_bus_buffer.scala 414:31] + node _T_2099 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2100 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2101 = and(_T_2099, _T_2100) @[lsu_bus_buffer.scala 415:41] + node _T_2102 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:83] + node _T_2103 = and(_T_2101, _T_2102) @[lsu_bus_buffer.scala 415:71] + node _T_2104 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 415:104] + node _T_2105 = and(_T_2103, _T_2104) @[lsu_bus_buffer.scala 415:92] + node _T_2106 = or(_T_2098, _T_2105) @[lsu_bus_buffer.scala 414:86] + node _T_2107 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2108 = and(_T_2107, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2109 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:64] + node _T_2110 = and(_T_2108, _T_2109) @[lsu_bus_buffer.scala 416:52] + node _T_2111 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 416:85] + node _T_2112 = and(_T_2110, _T_2111) @[lsu_bus_buffer.scala 416:73] + node _T_2113 = or(_T_2106, _T_2112) @[lsu_bus_buffer.scala 415:114] + node _T_2114 = and(_T_2093, _T_2113) @[lsu_bus_buffer.scala 413:113] + node _T_2115 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 416:109] + node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 416:97] + node _T_2117 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2118 = and(_T_2117, buf_state_en[0]) @[lsu_bus_buffer.scala 413:94] + node _T_2119 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2120 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2121 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2122 = and(_T_2120, _T_2121) @[lsu_bus_buffer.scala 414:57] + node _T_2123 = or(_T_2119, _T_2122) @[lsu_bus_buffer.scala 414:31] + node _T_2124 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2125 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2126 = and(_T_2124, _T_2125) @[lsu_bus_buffer.scala 415:41] + node _T_2127 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:83] + node _T_2128 = and(_T_2126, _T_2127) @[lsu_bus_buffer.scala 415:71] + node _T_2129 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 415:104] + node _T_2130 = and(_T_2128, _T_2129) @[lsu_bus_buffer.scala 415:92] + node _T_2131 = or(_T_2123, _T_2130) @[lsu_bus_buffer.scala 414:86] + node _T_2132 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2133 = and(_T_2132, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2134 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:64] + node _T_2135 = and(_T_2133, _T_2134) @[lsu_bus_buffer.scala 416:52] + node _T_2136 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 416:85] + node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 416:73] + node _T_2138 = or(_T_2131, _T_2137) @[lsu_bus_buffer.scala 415:114] + node _T_2139 = and(_T_2118, _T_2138) @[lsu_bus_buffer.scala 413:113] + node _T_2140 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 416:109] + node _T_2141 = or(_T_2139, _T_2140) @[lsu_bus_buffer.scala 416:97] + node _T_2142 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2143 = and(_T_2142, buf_state_en[0]) @[lsu_bus_buffer.scala 413:94] + node _T_2144 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2145 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2146 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2147 = and(_T_2145, _T_2146) @[lsu_bus_buffer.scala 414:57] + node _T_2148 = or(_T_2144, _T_2147) @[lsu_bus_buffer.scala 414:31] + node _T_2149 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2150 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2151 = and(_T_2149, _T_2150) @[lsu_bus_buffer.scala 415:41] + node _T_2152 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:83] + node _T_2153 = and(_T_2151, _T_2152) @[lsu_bus_buffer.scala 415:71] + node _T_2154 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 415:104] + node _T_2155 = and(_T_2153, _T_2154) @[lsu_bus_buffer.scala 415:92] + node _T_2156 = or(_T_2148, _T_2155) @[lsu_bus_buffer.scala 414:86] + node _T_2157 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2158 = and(_T_2157, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2159 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:64] + node _T_2160 = and(_T_2158, _T_2159) @[lsu_bus_buffer.scala 416:52] + node _T_2161 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 416:85] + node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 416:73] + node _T_2163 = or(_T_2156, _T_2162) @[lsu_bus_buffer.scala 415:114] + node _T_2164 = and(_T_2143, _T_2163) @[lsu_bus_buffer.scala 413:113] + node _T_2165 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 416:109] + node _T_2166 = or(_T_2164, _T_2165) @[lsu_bus_buffer.scala 416:97] node _T_2167 = cat(_T_2166, _T_2141) @[Cat.scala 29:58] node _T_2168 = cat(_T_2167, _T_2116) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2168, _T_2091) @[Cat.scala 29:58] - node _T_2169 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2170 = and(_T_2169, buf_state_en[1]) @[lsu_bus_buffer.scala 411:94] - node _T_2171 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2172 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2173 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2174 = and(_T_2172, _T_2173) @[lsu_bus_buffer.scala 412:57] - node _T_2175 = or(_T_2171, _T_2174) @[lsu_bus_buffer.scala 412:31] - node _T_2176 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2177 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2178 = and(_T_2176, _T_2177) @[lsu_bus_buffer.scala 413:41] - node _T_2179 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:83] - node _T_2180 = and(_T_2178, _T_2179) @[lsu_bus_buffer.scala 413:71] - node _T_2181 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:104] - node _T_2182 = and(_T_2180, _T_2181) @[lsu_bus_buffer.scala 413:92] - node _T_2183 = or(_T_2175, _T_2182) @[lsu_bus_buffer.scala 412:86] - node _T_2184 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2185 = and(_T_2184, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2186 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:64] - node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 414:52] - node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:85] - node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 414:73] - node _T_2190 = or(_T_2183, _T_2189) @[lsu_bus_buffer.scala 413:114] - node _T_2191 = and(_T_2170, _T_2190) @[lsu_bus_buffer.scala 411:113] - node _T_2192 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 414:109] - node _T_2193 = or(_T_2191, _T_2192) @[lsu_bus_buffer.scala 414:97] - node _T_2194 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2195 = and(_T_2194, buf_state_en[1]) @[lsu_bus_buffer.scala 411:94] - node _T_2196 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2197 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2198 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2199 = and(_T_2197, _T_2198) @[lsu_bus_buffer.scala 412:57] - node _T_2200 = or(_T_2196, _T_2199) @[lsu_bus_buffer.scala 412:31] - node _T_2201 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2202 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2203 = and(_T_2201, _T_2202) @[lsu_bus_buffer.scala 413:41] - node _T_2204 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:83] - node _T_2205 = and(_T_2203, _T_2204) @[lsu_bus_buffer.scala 413:71] - node _T_2206 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:104] - node _T_2207 = and(_T_2205, _T_2206) @[lsu_bus_buffer.scala 413:92] - node _T_2208 = or(_T_2200, _T_2207) @[lsu_bus_buffer.scala 412:86] - node _T_2209 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2210 = and(_T_2209, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2211 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:64] - node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 414:52] - node _T_2213 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:85] - node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 414:73] - node _T_2215 = or(_T_2208, _T_2214) @[lsu_bus_buffer.scala 413:114] - node _T_2216 = and(_T_2195, _T_2215) @[lsu_bus_buffer.scala 411:113] - node _T_2217 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 414:109] - node _T_2218 = or(_T_2216, _T_2217) @[lsu_bus_buffer.scala 414:97] - node _T_2219 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2220 = and(_T_2219, buf_state_en[1]) @[lsu_bus_buffer.scala 411:94] - node _T_2221 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2222 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2223 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2224 = and(_T_2222, _T_2223) @[lsu_bus_buffer.scala 412:57] - node _T_2225 = or(_T_2221, _T_2224) @[lsu_bus_buffer.scala 412:31] - node _T_2226 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2227 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2228 = and(_T_2226, _T_2227) @[lsu_bus_buffer.scala 413:41] - node _T_2229 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:83] - node _T_2230 = and(_T_2228, _T_2229) @[lsu_bus_buffer.scala 413:71] - node _T_2231 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:104] - node _T_2232 = and(_T_2230, _T_2231) @[lsu_bus_buffer.scala 413:92] - node _T_2233 = or(_T_2225, _T_2232) @[lsu_bus_buffer.scala 412:86] - node _T_2234 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2235 = and(_T_2234, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2236 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:64] - node _T_2237 = and(_T_2235, _T_2236) @[lsu_bus_buffer.scala 414:52] - node _T_2238 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:85] - node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 414:73] - node _T_2240 = or(_T_2233, _T_2239) @[lsu_bus_buffer.scala 413:114] - node _T_2241 = and(_T_2220, _T_2240) @[lsu_bus_buffer.scala 411:113] - node _T_2242 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 414:109] - node _T_2243 = or(_T_2241, _T_2242) @[lsu_bus_buffer.scala 414:97] - node _T_2244 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2245 = and(_T_2244, buf_state_en[1]) @[lsu_bus_buffer.scala 411:94] - node _T_2246 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2247 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2248 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2249 = and(_T_2247, _T_2248) @[lsu_bus_buffer.scala 412:57] - node _T_2250 = or(_T_2246, _T_2249) @[lsu_bus_buffer.scala 412:31] - node _T_2251 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2252 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2253 = and(_T_2251, _T_2252) @[lsu_bus_buffer.scala 413:41] - node _T_2254 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:83] - node _T_2255 = and(_T_2253, _T_2254) @[lsu_bus_buffer.scala 413:71] - node _T_2256 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:104] - node _T_2257 = and(_T_2255, _T_2256) @[lsu_bus_buffer.scala 413:92] - node _T_2258 = or(_T_2250, _T_2257) @[lsu_bus_buffer.scala 412:86] - node _T_2259 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2260 = and(_T_2259, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2261 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:64] - node _T_2262 = and(_T_2260, _T_2261) @[lsu_bus_buffer.scala 414:52] - node _T_2263 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:85] - node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 414:73] - node _T_2265 = or(_T_2258, _T_2264) @[lsu_bus_buffer.scala 413:114] - node _T_2266 = and(_T_2245, _T_2265) @[lsu_bus_buffer.scala 411:113] - node _T_2267 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 414:109] - node _T_2268 = or(_T_2266, _T_2267) @[lsu_bus_buffer.scala 414:97] + node _T_2169 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2170 = and(_T_2169, buf_state_en[1]) @[lsu_bus_buffer.scala 413:94] + node _T_2171 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2172 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2173 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2174 = and(_T_2172, _T_2173) @[lsu_bus_buffer.scala 414:57] + node _T_2175 = or(_T_2171, _T_2174) @[lsu_bus_buffer.scala 414:31] + node _T_2176 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2177 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2178 = and(_T_2176, _T_2177) @[lsu_bus_buffer.scala 415:41] + node _T_2179 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 415:83] + node _T_2180 = and(_T_2178, _T_2179) @[lsu_bus_buffer.scala 415:71] + node _T_2181 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:104] + node _T_2182 = and(_T_2180, _T_2181) @[lsu_bus_buffer.scala 415:92] + node _T_2183 = or(_T_2175, _T_2182) @[lsu_bus_buffer.scala 414:86] + node _T_2184 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2185 = and(_T_2184, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2186 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 416:64] + node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 416:52] + node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:85] + node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 416:73] + node _T_2190 = or(_T_2183, _T_2189) @[lsu_bus_buffer.scala 415:114] + node _T_2191 = and(_T_2170, _T_2190) @[lsu_bus_buffer.scala 413:113] + node _T_2192 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 416:109] + node _T_2193 = or(_T_2191, _T_2192) @[lsu_bus_buffer.scala 416:97] + node _T_2194 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2195 = and(_T_2194, buf_state_en[1]) @[lsu_bus_buffer.scala 413:94] + node _T_2196 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2197 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2198 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2199 = and(_T_2197, _T_2198) @[lsu_bus_buffer.scala 414:57] + node _T_2200 = or(_T_2196, _T_2199) @[lsu_bus_buffer.scala 414:31] + node _T_2201 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2202 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2203 = and(_T_2201, _T_2202) @[lsu_bus_buffer.scala 415:41] + node _T_2204 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 415:83] + node _T_2205 = and(_T_2203, _T_2204) @[lsu_bus_buffer.scala 415:71] + node _T_2206 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 415:104] + node _T_2207 = and(_T_2205, _T_2206) @[lsu_bus_buffer.scala 415:92] + node _T_2208 = or(_T_2200, _T_2207) @[lsu_bus_buffer.scala 414:86] + node _T_2209 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2210 = and(_T_2209, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2211 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 416:64] + node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 416:52] + node _T_2213 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 416:85] + node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 416:73] + node _T_2215 = or(_T_2208, _T_2214) @[lsu_bus_buffer.scala 415:114] + node _T_2216 = and(_T_2195, _T_2215) @[lsu_bus_buffer.scala 413:113] + node _T_2217 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 416:109] + node _T_2218 = or(_T_2216, _T_2217) @[lsu_bus_buffer.scala 416:97] + node _T_2219 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2220 = and(_T_2219, buf_state_en[1]) @[lsu_bus_buffer.scala 413:94] + node _T_2221 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2222 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2223 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2224 = and(_T_2222, _T_2223) @[lsu_bus_buffer.scala 414:57] + node _T_2225 = or(_T_2221, _T_2224) @[lsu_bus_buffer.scala 414:31] + node _T_2226 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2227 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2228 = and(_T_2226, _T_2227) @[lsu_bus_buffer.scala 415:41] + node _T_2229 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 415:83] + node _T_2230 = and(_T_2228, _T_2229) @[lsu_bus_buffer.scala 415:71] + node _T_2231 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 415:104] + node _T_2232 = and(_T_2230, _T_2231) @[lsu_bus_buffer.scala 415:92] + node _T_2233 = or(_T_2225, _T_2232) @[lsu_bus_buffer.scala 414:86] + node _T_2234 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2235 = and(_T_2234, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2236 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 416:64] + node _T_2237 = and(_T_2235, _T_2236) @[lsu_bus_buffer.scala 416:52] + node _T_2238 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 416:85] + node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 416:73] + node _T_2240 = or(_T_2233, _T_2239) @[lsu_bus_buffer.scala 415:114] + node _T_2241 = and(_T_2220, _T_2240) @[lsu_bus_buffer.scala 413:113] + node _T_2242 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 416:109] + node _T_2243 = or(_T_2241, _T_2242) @[lsu_bus_buffer.scala 416:97] + node _T_2244 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2245 = and(_T_2244, buf_state_en[1]) @[lsu_bus_buffer.scala 413:94] + node _T_2246 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2247 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2248 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2249 = and(_T_2247, _T_2248) @[lsu_bus_buffer.scala 414:57] + node _T_2250 = or(_T_2246, _T_2249) @[lsu_bus_buffer.scala 414:31] + node _T_2251 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2252 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2253 = and(_T_2251, _T_2252) @[lsu_bus_buffer.scala 415:41] + node _T_2254 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 415:83] + node _T_2255 = and(_T_2253, _T_2254) @[lsu_bus_buffer.scala 415:71] + node _T_2256 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 415:104] + node _T_2257 = and(_T_2255, _T_2256) @[lsu_bus_buffer.scala 415:92] + node _T_2258 = or(_T_2250, _T_2257) @[lsu_bus_buffer.scala 414:86] + node _T_2259 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2260 = and(_T_2259, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2261 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 416:64] + node _T_2262 = and(_T_2260, _T_2261) @[lsu_bus_buffer.scala 416:52] + node _T_2263 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 416:85] + node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 416:73] + node _T_2265 = or(_T_2258, _T_2264) @[lsu_bus_buffer.scala 415:114] + node _T_2266 = and(_T_2245, _T_2265) @[lsu_bus_buffer.scala 413:113] + node _T_2267 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 416:109] + node _T_2268 = or(_T_2266, _T_2267) @[lsu_bus_buffer.scala 416:97] node _T_2269 = cat(_T_2268, _T_2243) @[Cat.scala 29:58] node _T_2270 = cat(_T_2269, _T_2218) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2270, _T_2193) @[Cat.scala 29:58] - node _T_2271 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2272 = and(_T_2271, buf_state_en[2]) @[lsu_bus_buffer.scala 411:94] - node _T_2273 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2274 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2275 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2276 = and(_T_2274, _T_2275) @[lsu_bus_buffer.scala 412:57] - node _T_2277 = or(_T_2273, _T_2276) @[lsu_bus_buffer.scala 412:31] - node _T_2278 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2279 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2280 = and(_T_2278, _T_2279) @[lsu_bus_buffer.scala 413:41] - node _T_2281 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:83] - node _T_2282 = and(_T_2280, _T_2281) @[lsu_bus_buffer.scala 413:71] - node _T_2283 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:104] - node _T_2284 = and(_T_2282, _T_2283) @[lsu_bus_buffer.scala 413:92] - node _T_2285 = or(_T_2277, _T_2284) @[lsu_bus_buffer.scala 412:86] - node _T_2286 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2287 = and(_T_2286, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2288 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:64] - node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 414:52] - node _T_2290 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:85] - node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 414:73] - node _T_2292 = or(_T_2285, _T_2291) @[lsu_bus_buffer.scala 413:114] - node _T_2293 = and(_T_2272, _T_2292) @[lsu_bus_buffer.scala 411:113] - node _T_2294 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 414:109] - node _T_2295 = or(_T_2293, _T_2294) @[lsu_bus_buffer.scala 414:97] - node _T_2296 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2297 = and(_T_2296, buf_state_en[2]) @[lsu_bus_buffer.scala 411:94] - node _T_2298 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2299 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2300 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2301 = and(_T_2299, _T_2300) @[lsu_bus_buffer.scala 412:57] - node _T_2302 = or(_T_2298, _T_2301) @[lsu_bus_buffer.scala 412:31] - node _T_2303 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2304 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2305 = and(_T_2303, _T_2304) @[lsu_bus_buffer.scala 413:41] - node _T_2306 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:83] - node _T_2307 = and(_T_2305, _T_2306) @[lsu_bus_buffer.scala 413:71] - node _T_2308 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:104] - node _T_2309 = and(_T_2307, _T_2308) @[lsu_bus_buffer.scala 413:92] - node _T_2310 = or(_T_2302, _T_2309) @[lsu_bus_buffer.scala 412:86] - node _T_2311 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2312 = and(_T_2311, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2313 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:64] - node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 414:52] - node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:85] - node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 414:73] - node _T_2317 = or(_T_2310, _T_2316) @[lsu_bus_buffer.scala 413:114] - node _T_2318 = and(_T_2297, _T_2317) @[lsu_bus_buffer.scala 411:113] - node _T_2319 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 414:109] - node _T_2320 = or(_T_2318, _T_2319) @[lsu_bus_buffer.scala 414:97] - node _T_2321 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2322 = and(_T_2321, buf_state_en[2]) @[lsu_bus_buffer.scala 411:94] - node _T_2323 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2324 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2325 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2326 = and(_T_2324, _T_2325) @[lsu_bus_buffer.scala 412:57] - node _T_2327 = or(_T_2323, _T_2326) @[lsu_bus_buffer.scala 412:31] - node _T_2328 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2329 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2330 = and(_T_2328, _T_2329) @[lsu_bus_buffer.scala 413:41] - node _T_2331 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:83] - node _T_2332 = and(_T_2330, _T_2331) @[lsu_bus_buffer.scala 413:71] - node _T_2333 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:104] - node _T_2334 = and(_T_2332, _T_2333) @[lsu_bus_buffer.scala 413:92] - node _T_2335 = or(_T_2327, _T_2334) @[lsu_bus_buffer.scala 412:86] - node _T_2336 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2337 = and(_T_2336, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2338 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:64] - node _T_2339 = and(_T_2337, _T_2338) @[lsu_bus_buffer.scala 414:52] - node _T_2340 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:85] - node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 414:73] - node _T_2342 = or(_T_2335, _T_2341) @[lsu_bus_buffer.scala 413:114] - node _T_2343 = and(_T_2322, _T_2342) @[lsu_bus_buffer.scala 411:113] - node _T_2344 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 414:109] - node _T_2345 = or(_T_2343, _T_2344) @[lsu_bus_buffer.scala 414:97] - node _T_2346 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2347 = and(_T_2346, buf_state_en[2]) @[lsu_bus_buffer.scala 411:94] - node _T_2348 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2349 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2350 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2351 = and(_T_2349, _T_2350) @[lsu_bus_buffer.scala 412:57] - node _T_2352 = or(_T_2348, _T_2351) @[lsu_bus_buffer.scala 412:31] - node _T_2353 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2354 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2355 = and(_T_2353, _T_2354) @[lsu_bus_buffer.scala 413:41] - node _T_2356 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:83] - node _T_2357 = and(_T_2355, _T_2356) @[lsu_bus_buffer.scala 413:71] - node _T_2358 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:104] - node _T_2359 = and(_T_2357, _T_2358) @[lsu_bus_buffer.scala 413:92] - node _T_2360 = or(_T_2352, _T_2359) @[lsu_bus_buffer.scala 412:86] - node _T_2361 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2362 = and(_T_2361, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:64] - node _T_2364 = and(_T_2362, _T_2363) @[lsu_bus_buffer.scala 414:52] - node _T_2365 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:85] - node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 414:73] - node _T_2367 = or(_T_2360, _T_2366) @[lsu_bus_buffer.scala 413:114] - node _T_2368 = and(_T_2347, _T_2367) @[lsu_bus_buffer.scala 411:113] - node _T_2369 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 414:109] - node _T_2370 = or(_T_2368, _T_2369) @[lsu_bus_buffer.scala 414:97] + node _T_2271 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2272 = and(_T_2271, buf_state_en[2]) @[lsu_bus_buffer.scala 413:94] + node _T_2273 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2274 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2275 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2276 = and(_T_2274, _T_2275) @[lsu_bus_buffer.scala 414:57] + node _T_2277 = or(_T_2273, _T_2276) @[lsu_bus_buffer.scala 414:31] + node _T_2278 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2279 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2280 = and(_T_2278, _T_2279) @[lsu_bus_buffer.scala 415:41] + node _T_2281 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 415:83] + node _T_2282 = and(_T_2280, _T_2281) @[lsu_bus_buffer.scala 415:71] + node _T_2283 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:104] + node _T_2284 = and(_T_2282, _T_2283) @[lsu_bus_buffer.scala 415:92] + node _T_2285 = or(_T_2277, _T_2284) @[lsu_bus_buffer.scala 414:86] + node _T_2286 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2287 = and(_T_2286, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2288 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 416:64] + node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 416:52] + node _T_2290 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:85] + node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 416:73] + node _T_2292 = or(_T_2285, _T_2291) @[lsu_bus_buffer.scala 415:114] + node _T_2293 = and(_T_2272, _T_2292) @[lsu_bus_buffer.scala 413:113] + node _T_2294 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 416:109] + node _T_2295 = or(_T_2293, _T_2294) @[lsu_bus_buffer.scala 416:97] + node _T_2296 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2297 = and(_T_2296, buf_state_en[2]) @[lsu_bus_buffer.scala 413:94] + node _T_2298 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2299 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2300 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2301 = and(_T_2299, _T_2300) @[lsu_bus_buffer.scala 414:57] + node _T_2302 = or(_T_2298, _T_2301) @[lsu_bus_buffer.scala 414:31] + node _T_2303 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2304 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2305 = and(_T_2303, _T_2304) @[lsu_bus_buffer.scala 415:41] + node _T_2306 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 415:83] + node _T_2307 = and(_T_2305, _T_2306) @[lsu_bus_buffer.scala 415:71] + node _T_2308 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 415:104] + node _T_2309 = and(_T_2307, _T_2308) @[lsu_bus_buffer.scala 415:92] + node _T_2310 = or(_T_2302, _T_2309) @[lsu_bus_buffer.scala 414:86] + node _T_2311 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2312 = and(_T_2311, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2313 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 416:64] + node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 416:52] + node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 416:85] + node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 416:73] + node _T_2317 = or(_T_2310, _T_2316) @[lsu_bus_buffer.scala 415:114] + node _T_2318 = and(_T_2297, _T_2317) @[lsu_bus_buffer.scala 413:113] + node _T_2319 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 416:109] + node _T_2320 = or(_T_2318, _T_2319) @[lsu_bus_buffer.scala 416:97] + node _T_2321 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2322 = and(_T_2321, buf_state_en[2]) @[lsu_bus_buffer.scala 413:94] + node _T_2323 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2324 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2325 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2326 = and(_T_2324, _T_2325) @[lsu_bus_buffer.scala 414:57] + node _T_2327 = or(_T_2323, _T_2326) @[lsu_bus_buffer.scala 414:31] + node _T_2328 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2329 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2330 = and(_T_2328, _T_2329) @[lsu_bus_buffer.scala 415:41] + node _T_2331 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 415:83] + node _T_2332 = and(_T_2330, _T_2331) @[lsu_bus_buffer.scala 415:71] + node _T_2333 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 415:104] + node _T_2334 = and(_T_2332, _T_2333) @[lsu_bus_buffer.scala 415:92] + node _T_2335 = or(_T_2327, _T_2334) @[lsu_bus_buffer.scala 414:86] + node _T_2336 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2337 = and(_T_2336, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2338 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 416:64] + node _T_2339 = and(_T_2337, _T_2338) @[lsu_bus_buffer.scala 416:52] + node _T_2340 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 416:85] + node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 416:73] + node _T_2342 = or(_T_2335, _T_2341) @[lsu_bus_buffer.scala 415:114] + node _T_2343 = and(_T_2322, _T_2342) @[lsu_bus_buffer.scala 413:113] + node _T_2344 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 416:109] + node _T_2345 = or(_T_2343, _T_2344) @[lsu_bus_buffer.scala 416:97] + node _T_2346 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2347 = and(_T_2346, buf_state_en[2]) @[lsu_bus_buffer.scala 413:94] + node _T_2348 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2349 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2350 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2351 = and(_T_2349, _T_2350) @[lsu_bus_buffer.scala 414:57] + node _T_2352 = or(_T_2348, _T_2351) @[lsu_bus_buffer.scala 414:31] + node _T_2353 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2354 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2355 = and(_T_2353, _T_2354) @[lsu_bus_buffer.scala 415:41] + node _T_2356 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 415:83] + node _T_2357 = and(_T_2355, _T_2356) @[lsu_bus_buffer.scala 415:71] + node _T_2358 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 415:104] + node _T_2359 = and(_T_2357, _T_2358) @[lsu_bus_buffer.scala 415:92] + node _T_2360 = or(_T_2352, _T_2359) @[lsu_bus_buffer.scala 414:86] + node _T_2361 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2362 = and(_T_2361, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 416:64] + node _T_2364 = and(_T_2362, _T_2363) @[lsu_bus_buffer.scala 416:52] + node _T_2365 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 416:85] + node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 416:73] + node _T_2367 = or(_T_2360, _T_2366) @[lsu_bus_buffer.scala 415:114] + node _T_2368 = and(_T_2347, _T_2367) @[lsu_bus_buffer.scala 413:113] + node _T_2369 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 416:109] + node _T_2370 = or(_T_2368, _T_2369) @[lsu_bus_buffer.scala 416:97] node _T_2371 = cat(_T_2370, _T_2345) @[Cat.scala 29:58] node _T_2372 = cat(_T_2371, _T_2320) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2372, _T_2295) @[Cat.scala 29:58] - node _T_2373 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2374 = and(_T_2373, buf_state_en[3]) @[lsu_bus_buffer.scala 411:94] - node _T_2375 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2376 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2377 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2378 = and(_T_2376, _T_2377) @[lsu_bus_buffer.scala 412:57] - node _T_2379 = or(_T_2375, _T_2378) @[lsu_bus_buffer.scala 412:31] - node _T_2380 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2381 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2382 = and(_T_2380, _T_2381) @[lsu_bus_buffer.scala 413:41] - node _T_2383 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:83] - node _T_2384 = and(_T_2382, _T_2383) @[lsu_bus_buffer.scala 413:71] - node _T_2385 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:104] - node _T_2386 = and(_T_2384, _T_2385) @[lsu_bus_buffer.scala 413:92] - node _T_2387 = or(_T_2379, _T_2386) @[lsu_bus_buffer.scala 412:86] - node _T_2388 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2389 = and(_T_2388, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2390 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:64] - node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 414:52] - node _T_2392 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:85] - node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 414:73] - node _T_2394 = or(_T_2387, _T_2393) @[lsu_bus_buffer.scala 413:114] - node _T_2395 = and(_T_2374, _T_2394) @[lsu_bus_buffer.scala 411:113] - node _T_2396 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 414:109] - node _T_2397 = or(_T_2395, _T_2396) @[lsu_bus_buffer.scala 414:97] - node _T_2398 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2399 = and(_T_2398, buf_state_en[3]) @[lsu_bus_buffer.scala 411:94] - node _T_2400 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2401 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2402 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2403 = and(_T_2401, _T_2402) @[lsu_bus_buffer.scala 412:57] - node _T_2404 = or(_T_2400, _T_2403) @[lsu_bus_buffer.scala 412:31] - node _T_2405 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2406 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2407 = and(_T_2405, _T_2406) @[lsu_bus_buffer.scala 413:41] - node _T_2408 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:83] - node _T_2409 = and(_T_2407, _T_2408) @[lsu_bus_buffer.scala 413:71] - node _T_2410 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:104] - node _T_2411 = and(_T_2409, _T_2410) @[lsu_bus_buffer.scala 413:92] - node _T_2412 = or(_T_2404, _T_2411) @[lsu_bus_buffer.scala 412:86] - node _T_2413 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2414 = and(_T_2413, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2415 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:64] - node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 414:52] - node _T_2417 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:85] - node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 414:73] - node _T_2419 = or(_T_2412, _T_2418) @[lsu_bus_buffer.scala 413:114] - node _T_2420 = and(_T_2399, _T_2419) @[lsu_bus_buffer.scala 411:113] - node _T_2421 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 414:109] - node _T_2422 = or(_T_2420, _T_2421) @[lsu_bus_buffer.scala 414:97] - node _T_2423 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2424 = and(_T_2423, buf_state_en[3]) @[lsu_bus_buffer.scala 411:94] - node _T_2425 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2426 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2427 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2428 = and(_T_2426, _T_2427) @[lsu_bus_buffer.scala 412:57] - node _T_2429 = or(_T_2425, _T_2428) @[lsu_bus_buffer.scala 412:31] - node _T_2430 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2431 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2432 = and(_T_2430, _T_2431) @[lsu_bus_buffer.scala 413:41] - node _T_2433 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:83] - node _T_2434 = and(_T_2432, _T_2433) @[lsu_bus_buffer.scala 413:71] - node _T_2435 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:104] - node _T_2436 = and(_T_2434, _T_2435) @[lsu_bus_buffer.scala 413:92] - node _T_2437 = or(_T_2429, _T_2436) @[lsu_bus_buffer.scala 412:86] - node _T_2438 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2439 = and(_T_2438, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2440 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:64] - node _T_2441 = and(_T_2439, _T_2440) @[lsu_bus_buffer.scala 414:52] - node _T_2442 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:85] - node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 414:73] - node _T_2444 = or(_T_2437, _T_2443) @[lsu_bus_buffer.scala 413:114] - node _T_2445 = and(_T_2424, _T_2444) @[lsu_bus_buffer.scala 411:113] - node _T_2446 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 414:109] - node _T_2447 = or(_T_2445, _T_2446) @[lsu_bus_buffer.scala 414:97] - node _T_2448 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2449 = and(_T_2448, buf_state_en[3]) @[lsu_bus_buffer.scala 411:94] - node _T_2450 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2451 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2452 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2453 = and(_T_2451, _T_2452) @[lsu_bus_buffer.scala 412:57] - node _T_2454 = or(_T_2450, _T_2453) @[lsu_bus_buffer.scala 412:31] - node _T_2455 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2456 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2457 = and(_T_2455, _T_2456) @[lsu_bus_buffer.scala 413:41] - node _T_2458 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:83] - node _T_2459 = and(_T_2457, _T_2458) @[lsu_bus_buffer.scala 413:71] - node _T_2460 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:104] - node _T_2461 = and(_T_2459, _T_2460) @[lsu_bus_buffer.scala 413:92] - node _T_2462 = or(_T_2454, _T_2461) @[lsu_bus_buffer.scala 412:86] - node _T_2463 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2464 = and(_T_2463, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2465 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:64] - node _T_2466 = and(_T_2464, _T_2465) @[lsu_bus_buffer.scala 414:52] - node _T_2467 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:85] - node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 414:73] - node _T_2469 = or(_T_2462, _T_2468) @[lsu_bus_buffer.scala 413:114] - node _T_2470 = and(_T_2449, _T_2469) @[lsu_bus_buffer.scala 411:113] - node _T_2471 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 414:109] - node _T_2472 = or(_T_2470, _T_2471) @[lsu_bus_buffer.scala 414:97] + node _T_2373 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2374 = and(_T_2373, buf_state_en[3]) @[lsu_bus_buffer.scala 413:94] + node _T_2375 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2376 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2377 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2378 = and(_T_2376, _T_2377) @[lsu_bus_buffer.scala 414:57] + node _T_2379 = or(_T_2375, _T_2378) @[lsu_bus_buffer.scala 414:31] + node _T_2380 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2381 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2382 = and(_T_2380, _T_2381) @[lsu_bus_buffer.scala 415:41] + node _T_2383 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 415:83] + node _T_2384 = and(_T_2382, _T_2383) @[lsu_bus_buffer.scala 415:71] + node _T_2385 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:104] + node _T_2386 = and(_T_2384, _T_2385) @[lsu_bus_buffer.scala 415:92] + node _T_2387 = or(_T_2379, _T_2386) @[lsu_bus_buffer.scala 414:86] + node _T_2388 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2389 = and(_T_2388, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2390 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 416:64] + node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 416:52] + node _T_2392 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:85] + node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 416:73] + node _T_2394 = or(_T_2387, _T_2393) @[lsu_bus_buffer.scala 415:114] + node _T_2395 = and(_T_2374, _T_2394) @[lsu_bus_buffer.scala 413:113] + node _T_2396 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 416:109] + node _T_2397 = or(_T_2395, _T_2396) @[lsu_bus_buffer.scala 416:97] + node _T_2398 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2399 = and(_T_2398, buf_state_en[3]) @[lsu_bus_buffer.scala 413:94] + node _T_2400 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2401 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2402 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2403 = and(_T_2401, _T_2402) @[lsu_bus_buffer.scala 414:57] + node _T_2404 = or(_T_2400, _T_2403) @[lsu_bus_buffer.scala 414:31] + node _T_2405 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2406 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2407 = and(_T_2405, _T_2406) @[lsu_bus_buffer.scala 415:41] + node _T_2408 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 415:83] + node _T_2409 = and(_T_2407, _T_2408) @[lsu_bus_buffer.scala 415:71] + node _T_2410 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 415:104] + node _T_2411 = and(_T_2409, _T_2410) @[lsu_bus_buffer.scala 415:92] + node _T_2412 = or(_T_2404, _T_2411) @[lsu_bus_buffer.scala 414:86] + node _T_2413 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2414 = and(_T_2413, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2415 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 416:64] + node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 416:52] + node _T_2417 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 416:85] + node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 416:73] + node _T_2419 = or(_T_2412, _T_2418) @[lsu_bus_buffer.scala 415:114] + node _T_2420 = and(_T_2399, _T_2419) @[lsu_bus_buffer.scala 413:113] + node _T_2421 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 416:109] + node _T_2422 = or(_T_2420, _T_2421) @[lsu_bus_buffer.scala 416:97] + node _T_2423 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2424 = and(_T_2423, buf_state_en[3]) @[lsu_bus_buffer.scala 413:94] + node _T_2425 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2426 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2427 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2428 = and(_T_2426, _T_2427) @[lsu_bus_buffer.scala 414:57] + node _T_2429 = or(_T_2425, _T_2428) @[lsu_bus_buffer.scala 414:31] + node _T_2430 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2431 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2432 = and(_T_2430, _T_2431) @[lsu_bus_buffer.scala 415:41] + node _T_2433 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 415:83] + node _T_2434 = and(_T_2432, _T_2433) @[lsu_bus_buffer.scala 415:71] + node _T_2435 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 415:104] + node _T_2436 = and(_T_2434, _T_2435) @[lsu_bus_buffer.scala 415:92] + node _T_2437 = or(_T_2429, _T_2436) @[lsu_bus_buffer.scala 414:86] + node _T_2438 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2439 = and(_T_2438, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2440 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 416:64] + node _T_2441 = and(_T_2439, _T_2440) @[lsu_bus_buffer.scala 416:52] + node _T_2442 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 416:85] + node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 416:73] + node _T_2444 = or(_T_2437, _T_2443) @[lsu_bus_buffer.scala 415:114] + node _T_2445 = and(_T_2424, _T_2444) @[lsu_bus_buffer.scala 413:113] + node _T_2446 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 416:109] + node _T_2447 = or(_T_2445, _T_2446) @[lsu_bus_buffer.scala 416:97] + node _T_2448 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:83] + node _T_2449 = and(_T_2448, buf_state_en[3]) @[lsu_bus_buffer.scala 413:94] + node _T_2450 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 414:20] + node _T_2451 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:47] + node _T_2452 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 414:59] + node _T_2453 = and(_T_2451, _T_2452) @[lsu_bus_buffer.scala 414:57] + node _T_2454 = or(_T_2450, _T_2453) @[lsu_bus_buffer.scala 414:31] + node _T_2455 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 415:23] + node _T_2456 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 415:53] + node _T_2457 = and(_T_2455, _T_2456) @[lsu_bus_buffer.scala 415:41] + node _T_2458 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 415:83] + node _T_2459 = and(_T_2457, _T_2458) @[lsu_bus_buffer.scala 415:71] + node _T_2460 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 415:104] + node _T_2461 = and(_T_2459, _T_2460) @[lsu_bus_buffer.scala 415:92] + node _T_2462 = or(_T_2454, _T_2461) @[lsu_bus_buffer.scala 414:86] + node _T_2463 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 416:17] + node _T_2464 = and(_T_2463, io.ldst_dual_r) @[lsu_bus_buffer.scala 416:35] + node _T_2465 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 416:64] + node _T_2466 = and(_T_2464, _T_2465) @[lsu_bus_buffer.scala 416:52] + node _T_2467 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 416:85] + node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 416:73] + node _T_2469 = or(_T_2462, _T_2468) @[lsu_bus_buffer.scala 415:114] + node _T_2470 = and(_T_2449, _T_2469) @[lsu_bus_buffer.scala 413:113] + node _T_2471 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 416:109] + node _T_2472 = or(_T_2470, _T_2471) @[lsu_bus_buffer.scala 416:97] node _T_2473 = cat(_T_2472, _T_2447) @[Cat.scala 29:58] node _T_2474 = cat(_T_2473, _T_2422) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2474, _T_2397) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 415:22] - buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 416:12] - buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 416:12] - buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 416:12] - buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 416:12] - node _T_2475 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 417:72] - node _T_2476 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2477 = and(_T_2476, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 417:103] - node _T_2478 = eq(_T_2477, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2479 = and(_T_2475, _T_2478) @[lsu_bus_buffer.scala 417:76] - node _T_2480 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2481 = and(_T_2479, _T_2480) @[lsu_bus_buffer.scala 417:130] - node _T_2482 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 417:72] - node _T_2483 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 417:103] - node _T_2485 = eq(_T_2484, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2486 = and(_T_2482, _T_2485) @[lsu_bus_buffer.scala 417:76] - node _T_2487 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2488 = and(_T_2486, _T_2487) @[lsu_bus_buffer.scala 417:130] - node _T_2489 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 417:72] - node _T_2490 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 417:103] - node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2493 = and(_T_2489, _T_2492) @[lsu_bus_buffer.scala 417:76] - node _T_2494 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 417:130] - node _T_2496 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 417:72] - node _T_2497 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2498 = and(_T_2497, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 417:103] - node _T_2499 = eq(_T_2498, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2500 = and(_T_2496, _T_2499) @[lsu_bus_buffer.scala 417:76] - node _T_2501 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 417:130] + wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 417:22] + buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 418:12] + buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 418:12] + buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 418:12] + buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 418:12] + node _T_2475 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 419:72] + node _T_2476 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2477 = and(_T_2476, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 419:103] + node _T_2478 = eq(_T_2477, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2479 = and(_T_2475, _T_2478) @[lsu_bus_buffer.scala 419:76] + node _T_2480 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2481 = and(_T_2479, _T_2480) @[lsu_bus_buffer.scala 419:130] + node _T_2482 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 419:72] + node _T_2483 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 419:103] + node _T_2485 = eq(_T_2484, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2486 = and(_T_2482, _T_2485) @[lsu_bus_buffer.scala 419:76] + node _T_2487 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2488 = and(_T_2486, _T_2487) @[lsu_bus_buffer.scala 419:130] + node _T_2489 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 419:72] + node _T_2490 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 419:103] + node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2493 = and(_T_2489, _T_2492) @[lsu_bus_buffer.scala 419:76] + node _T_2494 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 419:130] + node _T_2496 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 419:72] + node _T_2497 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2498 = and(_T_2497, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 419:103] + node _T_2499 = eq(_T_2498, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2500 = and(_T_2496, _T_2499) @[lsu_bus_buffer.scala 419:76] + node _T_2501 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 419:130] node _T_2503 = cat(_T_2502, _T_2495) @[Cat.scala 29:58] node _T_2504 = cat(_T_2503, _T_2488) @[Cat.scala 29:58] node _T_2505 = cat(_T_2504, _T_2481) @[Cat.scala 29:58] - node _T_2506 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 417:72] - node _T_2507 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2508 = and(_T_2507, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 417:103] - node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2510 = and(_T_2506, _T_2509) @[lsu_bus_buffer.scala 417:76] - node _T_2511 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2512 = and(_T_2510, _T_2511) @[lsu_bus_buffer.scala 417:130] - node _T_2513 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 417:72] - node _T_2514 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2515 = and(_T_2514, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 417:103] - node _T_2516 = eq(_T_2515, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2517 = and(_T_2513, _T_2516) @[lsu_bus_buffer.scala 417:76] - node _T_2518 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2519 = and(_T_2517, _T_2518) @[lsu_bus_buffer.scala 417:130] - node _T_2520 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 417:72] - node _T_2521 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 417:103] - node _T_2523 = eq(_T_2522, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2524 = and(_T_2520, _T_2523) @[lsu_bus_buffer.scala 417:76] - node _T_2525 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2526 = and(_T_2524, _T_2525) @[lsu_bus_buffer.scala 417:130] - node _T_2527 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 417:72] - node _T_2528 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2529 = and(_T_2528, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 417:103] - node _T_2530 = eq(_T_2529, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2531 = and(_T_2527, _T_2530) @[lsu_bus_buffer.scala 417:76] - node _T_2532 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2533 = and(_T_2531, _T_2532) @[lsu_bus_buffer.scala 417:130] + node _T_2506 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 419:72] + node _T_2507 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2508 = and(_T_2507, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 419:103] + node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2510 = and(_T_2506, _T_2509) @[lsu_bus_buffer.scala 419:76] + node _T_2511 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2512 = and(_T_2510, _T_2511) @[lsu_bus_buffer.scala 419:130] + node _T_2513 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 419:72] + node _T_2514 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2515 = and(_T_2514, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 419:103] + node _T_2516 = eq(_T_2515, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2517 = and(_T_2513, _T_2516) @[lsu_bus_buffer.scala 419:76] + node _T_2518 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2519 = and(_T_2517, _T_2518) @[lsu_bus_buffer.scala 419:130] + node _T_2520 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 419:72] + node _T_2521 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 419:103] + node _T_2523 = eq(_T_2522, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2524 = and(_T_2520, _T_2523) @[lsu_bus_buffer.scala 419:76] + node _T_2525 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2526 = and(_T_2524, _T_2525) @[lsu_bus_buffer.scala 419:130] + node _T_2527 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 419:72] + node _T_2528 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2529 = and(_T_2528, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 419:103] + node _T_2530 = eq(_T_2529, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2531 = and(_T_2527, _T_2530) @[lsu_bus_buffer.scala 419:76] + node _T_2532 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2533 = and(_T_2531, _T_2532) @[lsu_bus_buffer.scala 419:130] node _T_2534 = cat(_T_2533, _T_2526) @[Cat.scala 29:58] node _T_2535 = cat(_T_2534, _T_2519) @[Cat.scala 29:58] node _T_2536 = cat(_T_2535, _T_2512) @[Cat.scala 29:58] - node _T_2537 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 417:72] - node _T_2538 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2539 = and(_T_2538, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 417:103] - node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2541 = and(_T_2537, _T_2540) @[lsu_bus_buffer.scala 417:76] - node _T_2542 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2543 = and(_T_2541, _T_2542) @[lsu_bus_buffer.scala 417:130] - node _T_2544 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 417:72] - node _T_2545 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 417:103] - node _T_2547 = eq(_T_2546, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2548 = and(_T_2544, _T_2547) @[lsu_bus_buffer.scala 417:76] - node _T_2549 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2550 = and(_T_2548, _T_2549) @[lsu_bus_buffer.scala 417:130] - node _T_2551 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 417:72] - node _T_2552 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 417:103] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 417:76] - node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2557 = and(_T_2555, _T_2556) @[lsu_bus_buffer.scala 417:130] - node _T_2558 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 417:72] - node _T_2559 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2560 = and(_T_2559, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 417:103] - node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2562 = and(_T_2558, _T_2561) @[lsu_bus_buffer.scala 417:76] - node _T_2563 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2564 = and(_T_2562, _T_2563) @[lsu_bus_buffer.scala 417:130] + node _T_2537 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 419:72] + node _T_2538 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2539 = and(_T_2538, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 419:103] + node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2541 = and(_T_2537, _T_2540) @[lsu_bus_buffer.scala 419:76] + node _T_2542 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2543 = and(_T_2541, _T_2542) @[lsu_bus_buffer.scala 419:130] + node _T_2544 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 419:72] + node _T_2545 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 419:103] + node _T_2547 = eq(_T_2546, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2548 = and(_T_2544, _T_2547) @[lsu_bus_buffer.scala 419:76] + node _T_2549 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2550 = and(_T_2548, _T_2549) @[lsu_bus_buffer.scala 419:130] + node _T_2551 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 419:72] + node _T_2552 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 419:103] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 419:76] + node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2557 = and(_T_2555, _T_2556) @[lsu_bus_buffer.scala 419:130] + node _T_2558 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 419:72] + node _T_2559 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2560 = and(_T_2559, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 419:103] + node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2562 = and(_T_2558, _T_2561) @[lsu_bus_buffer.scala 419:76] + node _T_2563 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2564 = and(_T_2562, _T_2563) @[lsu_bus_buffer.scala 419:130] node _T_2565 = cat(_T_2564, _T_2557) @[Cat.scala 29:58] node _T_2566 = cat(_T_2565, _T_2550) @[Cat.scala 29:58] node _T_2567 = cat(_T_2566, _T_2543) @[Cat.scala 29:58] - node _T_2568 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 417:72] - node _T_2569 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2570 = and(_T_2569, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 417:103] - node _T_2571 = eq(_T_2570, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2572 = and(_T_2568, _T_2571) @[lsu_bus_buffer.scala 417:76] - node _T_2573 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2574 = and(_T_2572, _T_2573) @[lsu_bus_buffer.scala 417:130] - node _T_2575 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 417:72] - node _T_2576 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 417:103] - node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2579 = and(_T_2575, _T_2578) @[lsu_bus_buffer.scala 417:76] - node _T_2580 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2581 = and(_T_2579, _T_2580) @[lsu_bus_buffer.scala 417:130] - node _T_2582 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 417:72] - node _T_2583 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 417:103] - node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 417:76] - node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2588 = and(_T_2586, _T_2587) @[lsu_bus_buffer.scala 417:130] - node _T_2589 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 417:72] - node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 417:103] - node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2593 = and(_T_2589, _T_2592) @[lsu_bus_buffer.scala 417:76] - node _T_2594 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:132] - node _T_2595 = and(_T_2593, _T_2594) @[lsu_bus_buffer.scala 417:130] + node _T_2568 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 419:72] + node _T_2569 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2570 = and(_T_2569, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 419:103] + node _T_2571 = eq(_T_2570, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2572 = and(_T_2568, _T_2571) @[lsu_bus_buffer.scala 419:76] + node _T_2573 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2574 = and(_T_2572, _T_2573) @[lsu_bus_buffer.scala 419:130] + node _T_2575 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 419:72] + node _T_2576 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 419:103] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2579 = and(_T_2575, _T_2578) @[lsu_bus_buffer.scala 419:76] + node _T_2580 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2581 = and(_T_2579, _T_2580) @[lsu_bus_buffer.scala 419:130] + node _T_2582 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 419:72] + node _T_2583 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 419:103] + node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 419:76] + node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2588 = and(_T_2586, _T_2587) @[lsu_bus_buffer.scala 419:130] + node _T_2589 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 419:72] + node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 419:93] + node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 419:103] + node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:78] + node _T_2593 = and(_T_2589, _T_2592) @[lsu_bus_buffer.scala 419:76] + node _T_2594 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:132] + node _T_2595 = and(_T_2593, _T_2594) @[lsu_bus_buffer.scala 419:130] node _T_2596 = cat(_T_2595, _T_2588) @[Cat.scala 29:58] node _T_2597 = cat(_T_2596, _T_2581) @[Cat.scala 29:58] node _T_2598 = cat(_T_2597, _T_2574) @[Cat.scala 29:58] - buf_age[0] <= _T_2505 @[lsu_bus_buffer.scala 417:11] - buf_age[1] <= _T_2536 @[lsu_bus_buffer.scala 417:11] - buf_age[2] <= _T_2567 @[lsu_bus_buffer.scala 417:11] - buf_age[3] <= _T_2598 @[lsu_bus_buffer.scala 417:11] - node _T_2599 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 418:76] - node _T_2600 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 418:100] - node _T_2601 = eq(_T_2600, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2602 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2603 = and(_T_2601, _T_2602) @[lsu_bus_buffer.scala 418:104] - node _T_2604 = mux(_T_2599, UInt<1>("h00"), _T_2603) @[lsu_bus_buffer.scala 418:72] - node _T_2605 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 418:76] - node _T_2606 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 418:100] - node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2608 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2609 = and(_T_2607, _T_2608) @[lsu_bus_buffer.scala 418:104] - node _T_2610 = mux(_T_2605, UInt<1>("h00"), _T_2609) @[lsu_bus_buffer.scala 418:72] - node _T_2611 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 418:76] - node _T_2612 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 418:100] - node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2614 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2615 = and(_T_2613, _T_2614) @[lsu_bus_buffer.scala 418:104] - node _T_2616 = mux(_T_2611, UInt<1>("h00"), _T_2615) @[lsu_bus_buffer.scala 418:72] - node _T_2617 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 418:76] - node _T_2618 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 418:100] - node _T_2619 = eq(_T_2618, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2620 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2621 = and(_T_2619, _T_2620) @[lsu_bus_buffer.scala 418:104] - node _T_2622 = mux(_T_2617, UInt<1>("h00"), _T_2621) @[lsu_bus_buffer.scala 418:72] + buf_age[0] <= _T_2505 @[lsu_bus_buffer.scala 419:11] + buf_age[1] <= _T_2536 @[lsu_bus_buffer.scala 419:11] + buf_age[2] <= _T_2567 @[lsu_bus_buffer.scala 419:11] + buf_age[3] <= _T_2598 @[lsu_bus_buffer.scala 419:11] + node _T_2599 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 420:76] + node _T_2600 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 420:100] + node _T_2601 = eq(_T_2600, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2602 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2603 = and(_T_2601, _T_2602) @[lsu_bus_buffer.scala 420:104] + node _T_2604 = mux(_T_2599, UInt<1>("h00"), _T_2603) @[lsu_bus_buffer.scala 420:72] + node _T_2605 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 420:76] + node _T_2606 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 420:100] + node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2608 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2609 = and(_T_2607, _T_2608) @[lsu_bus_buffer.scala 420:104] + node _T_2610 = mux(_T_2605, UInt<1>("h00"), _T_2609) @[lsu_bus_buffer.scala 420:72] + node _T_2611 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 420:76] + node _T_2612 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 420:100] + node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2614 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2615 = and(_T_2613, _T_2614) @[lsu_bus_buffer.scala 420:104] + node _T_2616 = mux(_T_2611, UInt<1>("h00"), _T_2615) @[lsu_bus_buffer.scala 420:72] + node _T_2617 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 420:76] + node _T_2618 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 420:100] + node _T_2619 = eq(_T_2618, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2620 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2621 = and(_T_2619, _T_2620) @[lsu_bus_buffer.scala 420:104] + node _T_2622 = mux(_T_2617, UInt<1>("h00"), _T_2621) @[lsu_bus_buffer.scala 420:72] node _T_2623 = cat(_T_2622, _T_2616) @[Cat.scala 29:58] node _T_2624 = cat(_T_2623, _T_2610) @[Cat.scala 29:58] node _T_2625 = cat(_T_2624, _T_2604) @[Cat.scala 29:58] - node _T_2626 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 418:76] - node _T_2627 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 418:100] - node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2629 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2630 = and(_T_2628, _T_2629) @[lsu_bus_buffer.scala 418:104] - node _T_2631 = mux(_T_2626, UInt<1>("h00"), _T_2630) @[lsu_bus_buffer.scala 418:72] - node _T_2632 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 418:76] - node _T_2633 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 418:100] - node _T_2634 = eq(_T_2633, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2635 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2636 = and(_T_2634, _T_2635) @[lsu_bus_buffer.scala 418:104] - node _T_2637 = mux(_T_2632, UInt<1>("h00"), _T_2636) @[lsu_bus_buffer.scala 418:72] - node _T_2638 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 418:76] - node _T_2639 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 418:100] - node _T_2640 = eq(_T_2639, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2641 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2642 = and(_T_2640, _T_2641) @[lsu_bus_buffer.scala 418:104] - node _T_2643 = mux(_T_2638, UInt<1>("h00"), _T_2642) @[lsu_bus_buffer.scala 418:72] - node _T_2644 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 418:76] - node _T_2645 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 418:100] - node _T_2646 = eq(_T_2645, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2647 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2648 = and(_T_2646, _T_2647) @[lsu_bus_buffer.scala 418:104] - node _T_2649 = mux(_T_2644, UInt<1>("h00"), _T_2648) @[lsu_bus_buffer.scala 418:72] + node _T_2626 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 420:76] + node _T_2627 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 420:100] + node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2629 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2630 = and(_T_2628, _T_2629) @[lsu_bus_buffer.scala 420:104] + node _T_2631 = mux(_T_2626, UInt<1>("h00"), _T_2630) @[lsu_bus_buffer.scala 420:72] + node _T_2632 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 420:76] + node _T_2633 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 420:100] + node _T_2634 = eq(_T_2633, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2635 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2636 = and(_T_2634, _T_2635) @[lsu_bus_buffer.scala 420:104] + node _T_2637 = mux(_T_2632, UInt<1>("h00"), _T_2636) @[lsu_bus_buffer.scala 420:72] + node _T_2638 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 420:76] + node _T_2639 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 420:100] + node _T_2640 = eq(_T_2639, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2641 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2642 = and(_T_2640, _T_2641) @[lsu_bus_buffer.scala 420:104] + node _T_2643 = mux(_T_2638, UInt<1>("h00"), _T_2642) @[lsu_bus_buffer.scala 420:72] + node _T_2644 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 420:76] + node _T_2645 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 420:100] + node _T_2646 = eq(_T_2645, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2647 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2648 = and(_T_2646, _T_2647) @[lsu_bus_buffer.scala 420:104] + node _T_2649 = mux(_T_2644, UInt<1>("h00"), _T_2648) @[lsu_bus_buffer.scala 420:72] node _T_2650 = cat(_T_2649, _T_2643) @[Cat.scala 29:58] node _T_2651 = cat(_T_2650, _T_2637) @[Cat.scala 29:58] node _T_2652 = cat(_T_2651, _T_2631) @[Cat.scala 29:58] - node _T_2653 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 418:76] - node _T_2654 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 418:100] - node _T_2655 = eq(_T_2654, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2656 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2657 = and(_T_2655, _T_2656) @[lsu_bus_buffer.scala 418:104] - node _T_2658 = mux(_T_2653, UInt<1>("h00"), _T_2657) @[lsu_bus_buffer.scala 418:72] - node _T_2659 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 418:76] - node _T_2660 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 418:100] - node _T_2661 = eq(_T_2660, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2662 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2663 = and(_T_2661, _T_2662) @[lsu_bus_buffer.scala 418:104] - node _T_2664 = mux(_T_2659, UInt<1>("h00"), _T_2663) @[lsu_bus_buffer.scala 418:72] - node _T_2665 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 418:76] - node _T_2666 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 418:100] - node _T_2667 = eq(_T_2666, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2668 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2669 = and(_T_2667, _T_2668) @[lsu_bus_buffer.scala 418:104] - node _T_2670 = mux(_T_2665, UInt<1>("h00"), _T_2669) @[lsu_bus_buffer.scala 418:72] - node _T_2671 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 418:76] - node _T_2672 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 418:100] - node _T_2673 = eq(_T_2672, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2674 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2675 = and(_T_2673, _T_2674) @[lsu_bus_buffer.scala 418:104] - node _T_2676 = mux(_T_2671, UInt<1>("h00"), _T_2675) @[lsu_bus_buffer.scala 418:72] + node _T_2653 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 420:76] + node _T_2654 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 420:100] + node _T_2655 = eq(_T_2654, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2656 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2657 = and(_T_2655, _T_2656) @[lsu_bus_buffer.scala 420:104] + node _T_2658 = mux(_T_2653, UInt<1>("h00"), _T_2657) @[lsu_bus_buffer.scala 420:72] + node _T_2659 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 420:76] + node _T_2660 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 420:100] + node _T_2661 = eq(_T_2660, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2662 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2663 = and(_T_2661, _T_2662) @[lsu_bus_buffer.scala 420:104] + node _T_2664 = mux(_T_2659, UInt<1>("h00"), _T_2663) @[lsu_bus_buffer.scala 420:72] + node _T_2665 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 420:76] + node _T_2666 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 420:100] + node _T_2667 = eq(_T_2666, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2668 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2669 = and(_T_2667, _T_2668) @[lsu_bus_buffer.scala 420:104] + node _T_2670 = mux(_T_2665, UInt<1>("h00"), _T_2669) @[lsu_bus_buffer.scala 420:72] + node _T_2671 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 420:76] + node _T_2672 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 420:100] + node _T_2673 = eq(_T_2672, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2674 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2675 = and(_T_2673, _T_2674) @[lsu_bus_buffer.scala 420:104] + node _T_2676 = mux(_T_2671, UInt<1>("h00"), _T_2675) @[lsu_bus_buffer.scala 420:72] node _T_2677 = cat(_T_2676, _T_2670) @[Cat.scala 29:58] node _T_2678 = cat(_T_2677, _T_2664) @[Cat.scala 29:58] node _T_2679 = cat(_T_2678, _T_2658) @[Cat.scala 29:58] - node _T_2680 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 418:76] - node _T_2681 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 418:100] - node _T_2682 = eq(_T_2681, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2683 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2684 = and(_T_2682, _T_2683) @[lsu_bus_buffer.scala 418:104] - node _T_2685 = mux(_T_2680, UInt<1>("h00"), _T_2684) @[lsu_bus_buffer.scala 418:72] - node _T_2686 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 418:76] - node _T_2687 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 418:100] - node _T_2688 = eq(_T_2687, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2689 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2690 = and(_T_2688, _T_2689) @[lsu_bus_buffer.scala 418:104] - node _T_2691 = mux(_T_2686, UInt<1>("h00"), _T_2690) @[lsu_bus_buffer.scala 418:72] - node _T_2692 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 418:76] - node _T_2693 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 418:100] - node _T_2694 = eq(_T_2693, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2695 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2696 = and(_T_2694, _T_2695) @[lsu_bus_buffer.scala 418:104] - node _T_2697 = mux(_T_2692, UInt<1>("h00"), _T_2696) @[lsu_bus_buffer.scala 418:72] - node _T_2698 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 418:76] - node _T_2699 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 418:100] - node _T_2700 = eq(_T_2699, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2701 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2702 = and(_T_2700, _T_2701) @[lsu_bus_buffer.scala 418:104] - node _T_2703 = mux(_T_2698, UInt<1>("h00"), _T_2702) @[lsu_bus_buffer.scala 418:72] + node _T_2680 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 420:76] + node _T_2681 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 420:100] + node _T_2682 = eq(_T_2681, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2683 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2684 = and(_T_2682, _T_2683) @[lsu_bus_buffer.scala 420:104] + node _T_2685 = mux(_T_2680, UInt<1>("h00"), _T_2684) @[lsu_bus_buffer.scala 420:72] + node _T_2686 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 420:76] + node _T_2687 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 420:100] + node _T_2688 = eq(_T_2687, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2689 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2690 = and(_T_2688, _T_2689) @[lsu_bus_buffer.scala 420:104] + node _T_2691 = mux(_T_2686, UInt<1>("h00"), _T_2690) @[lsu_bus_buffer.scala 420:72] + node _T_2692 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 420:76] + node _T_2693 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 420:100] + node _T_2694 = eq(_T_2693, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2695 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2696 = and(_T_2694, _T_2695) @[lsu_bus_buffer.scala 420:104] + node _T_2697 = mux(_T_2692, UInt<1>("h00"), _T_2696) @[lsu_bus_buffer.scala 420:72] + node _T_2698 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 420:76] + node _T_2699 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 420:100] + node _T_2700 = eq(_T_2699, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:89] + node _T_2701 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:119] + node _T_2702 = and(_T_2700, _T_2701) @[lsu_bus_buffer.scala 420:104] + node _T_2703 = mux(_T_2698, UInt<1>("h00"), _T_2702) @[lsu_bus_buffer.scala 420:72] node _T_2704 = cat(_T_2703, _T_2697) @[Cat.scala 29:58] node _T_2705 = cat(_T_2704, _T_2691) @[Cat.scala 29:58] node _T_2706 = cat(_T_2705, _T_2685) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2625 @[lsu_bus_buffer.scala 418:19] - buf_age_younger[1] <= _T_2652 @[lsu_bus_buffer.scala 418:19] - buf_age_younger[2] <= _T_2679 @[lsu_bus_buffer.scala 418:19] - buf_age_younger[3] <= _T_2706 @[lsu_bus_buffer.scala 418:19] - node _T_2707 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 419:83] - node _T_2708 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2709 = and(_T_2707, _T_2708) @[lsu_bus_buffer.scala 419:87] - node _T_2710 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 419:83] - node _T_2711 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2712 = and(_T_2710, _T_2711) @[lsu_bus_buffer.scala 419:87] - node _T_2713 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 419:83] - node _T_2714 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2715 = and(_T_2713, _T_2714) @[lsu_bus_buffer.scala 419:87] - node _T_2716 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 419:83] - node _T_2717 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2718 = and(_T_2716, _T_2717) @[lsu_bus_buffer.scala 419:87] + buf_age_younger[0] <= _T_2625 @[lsu_bus_buffer.scala 420:19] + buf_age_younger[1] <= _T_2652 @[lsu_bus_buffer.scala 420:19] + buf_age_younger[2] <= _T_2679 @[lsu_bus_buffer.scala 420:19] + buf_age_younger[3] <= _T_2706 @[lsu_bus_buffer.scala 420:19] + node _T_2707 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 421:83] + node _T_2708 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2709 = and(_T_2707, _T_2708) @[lsu_bus_buffer.scala 421:87] + node _T_2710 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 421:83] + node _T_2711 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2712 = and(_T_2710, _T_2711) @[lsu_bus_buffer.scala 421:87] + node _T_2713 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 421:83] + node _T_2714 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2715 = and(_T_2713, _T_2714) @[lsu_bus_buffer.scala 421:87] + node _T_2716 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 421:83] + node _T_2717 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2718 = and(_T_2716, _T_2717) @[lsu_bus_buffer.scala 421:87] node _T_2719 = cat(_T_2718, _T_2715) @[Cat.scala 29:58] node _T_2720 = cat(_T_2719, _T_2712) @[Cat.scala 29:58] node _T_2721 = cat(_T_2720, _T_2709) @[Cat.scala 29:58] - node _T_2722 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 419:83] - node _T_2723 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2724 = and(_T_2722, _T_2723) @[lsu_bus_buffer.scala 419:87] - node _T_2725 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 419:83] - node _T_2726 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2727 = and(_T_2725, _T_2726) @[lsu_bus_buffer.scala 419:87] - node _T_2728 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 419:83] - node _T_2729 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2730 = and(_T_2728, _T_2729) @[lsu_bus_buffer.scala 419:87] - node _T_2731 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 419:83] - node _T_2732 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2733 = and(_T_2731, _T_2732) @[lsu_bus_buffer.scala 419:87] + node _T_2722 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 421:83] + node _T_2723 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2724 = and(_T_2722, _T_2723) @[lsu_bus_buffer.scala 421:87] + node _T_2725 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 421:83] + node _T_2726 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2727 = and(_T_2725, _T_2726) @[lsu_bus_buffer.scala 421:87] + node _T_2728 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 421:83] + node _T_2729 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2730 = and(_T_2728, _T_2729) @[lsu_bus_buffer.scala 421:87] + node _T_2731 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 421:83] + node _T_2732 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2733 = and(_T_2731, _T_2732) @[lsu_bus_buffer.scala 421:87] node _T_2734 = cat(_T_2733, _T_2730) @[Cat.scala 29:58] node _T_2735 = cat(_T_2734, _T_2727) @[Cat.scala 29:58] node _T_2736 = cat(_T_2735, _T_2724) @[Cat.scala 29:58] - node _T_2737 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 419:83] - node _T_2738 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2739 = and(_T_2737, _T_2738) @[lsu_bus_buffer.scala 419:87] - node _T_2740 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 419:83] - node _T_2741 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2742 = and(_T_2740, _T_2741) @[lsu_bus_buffer.scala 419:87] - node _T_2743 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 419:83] - node _T_2744 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2745 = and(_T_2743, _T_2744) @[lsu_bus_buffer.scala 419:87] - node _T_2746 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 419:83] - node _T_2747 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2748 = and(_T_2746, _T_2747) @[lsu_bus_buffer.scala 419:87] + node _T_2737 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 421:83] + node _T_2738 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2739 = and(_T_2737, _T_2738) @[lsu_bus_buffer.scala 421:87] + node _T_2740 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 421:83] + node _T_2741 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2742 = and(_T_2740, _T_2741) @[lsu_bus_buffer.scala 421:87] + node _T_2743 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 421:83] + node _T_2744 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2745 = and(_T_2743, _T_2744) @[lsu_bus_buffer.scala 421:87] + node _T_2746 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 421:83] + node _T_2747 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2748 = and(_T_2746, _T_2747) @[lsu_bus_buffer.scala 421:87] node _T_2749 = cat(_T_2748, _T_2745) @[Cat.scala 29:58] node _T_2750 = cat(_T_2749, _T_2742) @[Cat.scala 29:58] node _T_2751 = cat(_T_2750, _T_2739) @[Cat.scala 29:58] - node _T_2752 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 419:83] - node _T_2753 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2754 = and(_T_2752, _T_2753) @[lsu_bus_buffer.scala 419:87] - node _T_2755 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 419:83] - node _T_2756 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2757 = and(_T_2755, _T_2756) @[lsu_bus_buffer.scala 419:87] - node _T_2758 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 419:83] - node _T_2759 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2760 = and(_T_2758, _T_2759) @[lsu_bus_buffer.scala 419:87] - node _T_2761 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 419:83] - node _T_2762 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2763 = and(_T_2761, _T_2762) @[lsu_bus_buffer.scala 419:87] + node _T_2752 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 421:83] + node _T_2753 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2754 = and(_T_2752, _T_2753) @[lsu_bus_buffer.scala 421:87] + node _T_2755 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 421:83] + node _T_2756 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2757 = and(_T_2755, _T_2756) @[lsu_bus_buffer.scala 421:87] + node _T_2758 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 421:83] + node _T_2759 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2760 = and(_T_2758, _T_2759) @[lsu_bus_buffer.scala 421:87] + node _T_2761 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 421:83] + node _T_2762 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 421:102] + node _T_2763 = and(_T_2761, _T_2762) @[lsu_bus_buffer.scala 421:87] node _T_2764 = cat(_T_2763, _T_2760) @[Cat.scala 29:58] node _T_2765 = cat(_T_2764, _T_2757) @[Cat.scala 29:58] node _T_2766 = cat(_T_2765, _T_2754) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2721 @[lsu_bus_buffer.scala 419:19] - buf_rsp_pickage[1] <= _T_2736 @[lsu_bus_buffer.scala 419:19] - buf_rsp_pickage[2] <= _T_2751 @[lsu_bus_buffer.scala 419:19] - buf_rsp_pickage[3] <= _T_2766 @[lsu_bus_buffer.scala 419:19] - node _T_2767 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2768 = and(_T_2767, buf_state_en[0]) @[lsu_bus_buffer.scala 421:93] - node _T_2769 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2770 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2771 = or(_T_2769, _T_2770) @[lsu_bus_buffer.scala 422:32] - node _T_2772 = eq(_T_2771, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2773 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2774 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2775 = and(_T_2773, _T_2774) @[lsu_bus_buffer.scala 423:41] - node _T_2776 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] - node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 423:71] - node _T_2778 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:101] - node _T_2779 = and(_T_2777, _T_2778) @[lsu_bus_buffer.scala 423:90] - node _T_2780 = or(_T_2772, _T_2779) @[lsu_bus_buffer.scala 422:59] - node _T_2781 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2782 = and(_T_2781, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2783 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:63] - node _T_2784 = and(_T_2782, _T_2783) @[lsu_bus_buffer.scala 424:52] - node _T_2785 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:82] - node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 424:71] - node _T_2787 = or(_T_2780, _T_2786) @[lsu_bus_buffer.scala 423:110] - node _T_2788 = and(_T_2768, _T_2787) @[lsu_bus_buffer.scala 421:112] - node _T_2789 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2790 = and(_T_2789, buf_state_en[0]) @[lsu_bus_buffer.scala 421:93] - node _T_2791 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2792 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2793 = or(_T_2791, _T_2792) @[lsu_bus_buffer.scala 422:32] - node _T_2794 = eq(_T_2793, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2795 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2796 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2797 = and(_T_2795, _T_2796) @[lsu_bus_buffer.scala 423:41] - node _T_2798 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] - node _T_2799 = and(_T_2797, _T_2798) @[lsu_bus_buffer.scala 423:71] - node _T_2800 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:101] - node _T_2801 = and(_T_2799, _T_2800) @[lsu_bus_buffer.scala 423:90] - node _T_2802 = or(_T_2794, _T_2801) @[lsu_bus_buffer.scala 422:59] - node _T_2803 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2804 = and(_T_2803, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2805 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:63] - node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 424:52] - node _T_2807 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:82] - node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 424:71] - node _T_2809 = or(_T_2802, _T_2808) @[lsu_bus_buffer.scala 423:110] - node _T_2810 = and(_T_2790, _T_2809) @[lsu_bus_buffer.scala 421:112] - node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2812 = and(_T_2811, buf_state_en[0]) @[lsu_bus_buffer.scala 421:93] - node _T_2813 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2814 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2815 = or(_T_2813, _T_2814) @[lsu_bus_buffer.scala 422:32] - node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2819 = and(_T_2817, _T_2818) @[lsu_bus_buffer.scala 423:41] - node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] - node _T_2821 = and(_T_2819, _T_2820) @[lsu_bus_buffer.scala 423:71] - node _T_2822 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:101] - node _T_2823 = and(_T_2821, _T_2822) @[lsu_bus_buffer.scala 423:90] - node _T_2824 = or(_T_2816, _T_2823) @[lsu_bus_buffer.scala 422:59] - node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2826 = and(_T_2825, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:63] - node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 424:52] - node _T_2829 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:82] - node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 424:71] - node _T_2831 = or(_T_2824, _T_2830) @[lsu_bus_buffer.scala 423:110] - node _T_2832 = and(_T_2812, _T_2831) @[lsu_bus_buffer.scala 421:112] - node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2834 = and(_T_2833, buf_state_en[0]) @[lsu_bus_buffer.scala 421:93] - node _T_2835 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2836 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2837 = or(_T_2835, _T_2836) @[lsu_bus_buffer.scala 422:32] - node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2841 = and(_T_2839, _T_2840) @[lsu_bus_buffer.scala 423:41] - node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] - node _T_2843 = and(_T_2841, _T_2842) @[lsu_bus_buffer.scala 423:71] - node _T_2844 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:101] - node _T_2845 = and(_T_2843, _T_2844) @[lsu_bus_buffer.scala 423:90] - node _T_2846 = or(_T_2838, _T_2845) @[lsu_bus_buffer.scala 422:59] - node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2848 = and(_T_2847, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:63] - node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 424:52] - node _T_2851 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:82] - node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 424:71] - node _T_2853 = or(_T_2846, _T_2852) @[lsu_bus_buffer.scala 423:110] - node _T_2854 = and(_T_2834, _T_2853) @[lsu_bus_buffer.scala 421:112] + buf_rsp_pickage[0] <= _T_2721 @[lsu_bus_buffer.scala 421:19] + buf_rsp_pickage[1] <= _T_2736 @[lsu_bus_buffer.scala 421:19] + buf_rsp_pickage[2] <= _T_2751 @[lsu_bus_buffer.scala 421:19] + buf_rsp_pickage[3] <= _T_2766 @[lsu_bus_buffer.scala 421:19] + node _T_2767 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2768 = and(_T_2767, buf_state_en[0]) @[lsu_bus_buffer.scala 423:93] + node _T_2769 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_2770 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_2771 = or(_T_2769, _T_2770) @[lsu_bus_buffer.scala 424:32] + node _T_2772 = eq(_T_2771, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_2773 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_2774 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_2775 = and(_T_2773, _T_2774) @[lsu_bus_buffer.scala 425:41] + node _T_2776 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:82] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 425:71] + node _T_2778 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:101] + node _T_2779 = and(_T_2777, _T_2778) @[lsu_bus_buffer.scala 425:90] + node _T_2780 = or(_T_2772, _T_2779) @[lsu_bus_buffer.scala 424:59] + node _T_2781 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_2782 = and(_T_2781, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_2783 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:63] + node _T_2784 = and(_T_2782, _T_2783) @[lsu_bus_buffer.scala 426:52] + node _T_2785 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:82] + node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 426:71] + node _T_2787 = or(_T_2780, _T_2786) @[lsu_bus_buffer.scala 425:110] + node _T_2788 = and(_T_2768, _T_2787) @[lsu_bus_buffer.scala 423:112] + node _T_2789 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2790 = and(_T_2789, buf_state_en[0]) @[lsu_bus_buffer.scala 423:93] + node _T_2791 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_2792 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_2793 = or(_T_2791, _T_2792) @[lsu_bus_buffer.scala 424:32] + node _T_2794 = eq(_T_2793, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_2795 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_2796 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_2797 = and(_T_2795, _T_2796) @[lsu_bus_buffer.scala 425:41] + node _T_2798 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:82] + node _T_2799 = and(_T_2797, _T_2798) @[lsu_bus_buffer.scala 425:71] + node _T_2800 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:101] + node _T_2801 = and(_T_2799, _T_2800) @[lsu_bus_buffer.scala 425:90] + node _T_2802 = or(_T_2794, _T_2801) @[lsu_bus_buffer.scala 424:59] + node _T_2803 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_2804 = and(_T_2803, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_2805 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:63] + node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 426:52] + node _T_2807 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 426:82] + node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 426:71] + node _T_2809 = or(_T_2802, _T_2808) @[lsu_bus_buffer.scala 425:110] + node _T_2810 = and(_T_2790, _T_2809) @[lsu_bus_buffer.scala 423:112] + node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2812 = and(_T_2811, buf_state_en[0]) @[lsu_bus_buffer.scala 423:93] + node _T_2813 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_2814 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_2815 = or(_T_2813, _T_2814) @[lsu_bus_buffer.scala 424:32] + node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_2819 = and(_T_2817, _T_2818) @[lsu_bus_buffer.scala 425:41] + node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:82] + node _T_2821 = and(_T_2819, _T_2820) @[lsu_bus_buffer.scala 425:71] + node _T_2822 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:101] + node _T_2823 = and(_T_2821, _T_2822) @[lsu_bus_buffer.scala 425:90] + node _T_2824 = or(_T_2816, _T_2823) @[lsu_bus_buffer.scala 424:59] + node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_2826 = and(_T_2825, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:63] + node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 426:52] + node _T_2829 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 426:82] + node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 426:71] + node _T_2831 = or(_T_2824, _T_2830) @[lsu_bus_buffer.scala 425:110] + node _T_2832 = and(_T_2812, _T_2831) @[lsu_bus_buffer.scala 423:112] + node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2834 = and(_T_2833, buf_state_en[0]) @[lsu_bus_buffer.scala 423:93] + node _T_2835 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_2836 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_2837 = or(_T_2835, _T_2836) @[lsu_bus_buffer.scala 424:32] + node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_2841 = and(_T_2839, _T_2840) @[lsu_bus_buffer.scala 425:41] + node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:82] + node _T_2843 = and(_T_2841, _T_2842) @[lsu_bus_buffer.scala 425:71] + node _T_2844 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:101] + node _T_2845 = and(_T_2843, _T_2844) @[lsu_bus_buffer.scala 425:90] + node _T_2846 = or(_T_2838, _T_2845) @[lsu_bus_buffer.scala 424:59] + node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_2848 = and(_T_2847, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:63] + node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 426:52] + node _T_2851 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 426:82] + node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 426:71] + node _T_2853 = or(_T_2846, _T_2852) @[lsu_bus_buffer.scala 425:110] + node _T_2854 = and(_T_2834, _T_2853) @[lsu_bus_buffer.scala 423:112] node _T_2855 = cat(_T_2854, _T_2832) @[Cat.scala 29:58] node _T_2856 = cat(_T_2855, _T_2810) @[Cat.scala 29:58] node _T_2857 = cat(_T_2856, _T_2788) @[Cat.scala 29:58] - node _T_2858 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2859 = and(_T_2858, buf_state_en[1]) @[lsu_bus_buffer.scala 421:93] - node _T_2860 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2861 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2862 = or(_T_2860, _T_2861) @[lsu_bus_buffer.scala 422:32] - node _T_2863 = eq(_T_2862, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2864 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2865 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2866 = and(_T_2864, _T_2865) @[lsu_bus_buffer.scala 423:41] - node _T_2867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] - node _T_2868 = and(_T_2866, _T_2867) @[lsu_bus_buffer.scala 423:71] - node _T_2869 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:101] - node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 423:90] - node _T_2871 = or(_T_2863, _T_2870) @[lsu_bus_buffer.scala 422:59] - node _T_2872 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2873 = and(_T_2872, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2874 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:63] - node _T_2875 = and(_T_2873, _T_2874) @[lsu_bus_buffer.scala 424:52] - node _T_2876 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:82] - node _T_2877 = and(_T_2875, _T_2876) @[lsu_bus_buffer.scala 424:71] - node _T_2878 = or(_T_2871, _T_2877) @[lsu_bus_buffer.scala 423:110] - node _T_2879 = and(_T_2859, _T_2878) @[lsu_bus_buffer.scala 421:112] - node _T_2880 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2881 = and(_T_2880, buf_state_en[1]) @[lsu_bus_buffer.scala 421:93] - node _T_2882 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2883 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2884 = or(_T_2882, _T_2883) @[lsu_bus_buffer.scala 422:32] - node _T_2885 = eq(_T_2884, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2886 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2887 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2888 = and(_T_2886, _T_2887) @[lsu_bus_buffer.scala 423:41] - node _T_2889 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] - node _T_2890 = and(_T_2888, _T_2889) @[lsu_bus_buffer.scala 423:71] - node _T_2891 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:101] - node _T_2892 = and(_T_2890, _T_2891) @[lsu_bus_buffer.scala 423:90] - node _T_2893 = or(_T_2885, _T_2892) @[lsu_bus_buffer.scala 422:59] - node _T_2894 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2895 = and(_T_2894, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2896 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:63] - node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 424:52] - node _T_2898 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:82] - node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 424:71] - node _T_2900 = or(_T_2893, _T_2899) @[lsu_bus_buffer.scala 423:110] - node _T_2901 = and(_T_2881, _T_2900) @[lsu_bus_buffer.scala 421:112] - node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2903 = and(_T_2902, buf_state_en[1]) @[lsu_bus_buffer.scala 421:93] - node _T_2904 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2905 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2906 = or(_T_2904, _T_2905) @[lsu_bus_buffer.scala 422:32] - node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2910 = and(_T_2908, _T_2909) @[lsu_bus_buffer.scala 423:41] - node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] - node _T_2912 = and(_T_2910, _T_2911) @[lsu_bus_buffer.scala 423:71] - node _T_2913 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:101] - node _T_2914 = and(_T_2912, _T_2913) @[lsu_bus_buffer.scala 423:90] - node _T_2915 = or(_T_2907, _T_2914) @[lsu_bus_buffer.scala 422:59] - node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2917 = and(_T_2916, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:63] - node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 424:52] - node _T_2920 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:82] - node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 424:71] - node _T_2922 = or(_T_2915, _T_2921) @[lsu_bus_buffer.scala 423:110] - node _T_2923 = and(_T_2903, _T_2922) @[lsu_bus_buffer.scala 421:112] - node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2925 = and(_T_2924, buf_state_en[1]) @[lsu_bus_buffer.scala 421:93] - node _T_2926 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2927 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2928 = or(_T_2926, _T_2927) @[lsu_bus_buffer.scala 422:32] - node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2932 = and(_T_2930, _T_2931) @[lsu_bus_buffer.scala 423:41] - node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] - node _T_2934 = and(_T_2932, _T_2933) @[lsu_bus_buffer.scala 423:71] - node _T_2935 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:101] - node _T_2936 = and(_T_2934, _T_2935) @[lsu_bus_buffer.scala 423:90] - node _T_2937 = or(_T_2929, _T_2936) @[lsu_bus_buffer.scala 422:59] - node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2939 = and(_T_2938, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:63] - node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 424:52] - node _T_2942 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:82] - node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 424:71] - node _T_2944 = or(_T_2937, _T_2943) @[lsu_bus_buffer.scala 423:110] - node _T_2945 = and(_T_2925, _T_2944) @[lsu_bus_buffer.scala 421:112] + node _T_2858 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2859 = and(_T_2858, buf_state_en[1]) @[lsu_bus_buffer.scala 423:93] + node _T_2860 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_2861 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_2862 = or(_T_2860, _T_2861) @[lsu_bus_buffer.scala 424:32] + node _T_2863 = eq(_T_2862, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_2864 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_2865 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_2866 = and(_T_2864, _T_2865) @[lsu_bus_buffer.scala 425:41] + node _T_2867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:82] + node _T_2868 = and(_T_2866, _T_2867) @[lsu_bus_buffer.scala 425:71] + node _T_2869 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:101] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 425:90] + node _T_2871 = or(_T_2863, _T_2870) @[lsu_bus_buffer.scala 424:59] + node _T_2872 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_2873 = and(_T_2872, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_2874 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 426:63] + node _T_2875 = and(_T_2873, _T_2874) @[lsu_bus_buffer.scala 426:52] + node _T_2876 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:82] + node _T_2877 = and(_T_2875, _T_2876) @[lsu_bus_buffer.scala 426:71] + node _T_2878 = or(_T_2871, _T_2877) @[lsu_bus_buffer.scala 425:110] + node _T_2879 = and(_T_2859, _T_2878) @[lsu_bus_buffer.scala 423:112] + node _T_2880 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2881 = and(_T_2880, buf_state_en[1]) @[lsu_bus_buffer.scala 423:93] + node _T_2882 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_2883 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_2884 = or(_T_2882, _T_2883) @[lsu_bus_buffer.scala 424:32] + node _T_2885 = eq(_T_2884, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_2886 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_2887 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_2888 = and(_T_2886, _T_2887) @[lsu_bus_buffer.scala 425:41] + node _T_2889 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:82] + node _T_2890 = and(_T_2888, _T_2889) @[lsu_bus_buffer.scala 425:71] + node _T_2891 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:101] + node _T_2892 = and(_T_2890, _T_2891) @[lsu_bus_buffer.scala 425:90] + node _T_2893 = or(_T_2885, _T_2892) @[lsu_bus_buffer.scala 424:59] + node _T_2894 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_2895 = and(_T_2894, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_2896 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 426:63] + node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 426:52] + node _T_2898 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 426:82] + node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 426:71] + node _T_2900 = or(_T_2893, _T_2899) @[lsu_bus_buffer.scala 425:110] + node _T_2901 = and(_T_2881, _T_2900) @[lsu_bus_buffer.scala 423:112] + node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2903 = and(_T_2902, buf_state_en[1]) @[lsu_bus_buffer.scala 423:93] + node _T_2904 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_2905 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_2906 = or(_T_2904, _T_2905) @[lsu_bus_buffer.scala 424:32] + node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_2910 = and(_T_2908, _T_2909) @[lsu_bus_buffer.scala 425:41] + node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:82] + node _T_2912 = and(_T_2910, _T_2911) @[lsu_bus_buffer.scala 425:71] + node _T_2913 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:101] + node _T_2914 = and(_T_2912, _T_2913) @[lsu_bus_buffer.scala 425:90] + node _T_2915 = or(_T_2907, _T_2914) @[lsu_bus_buffer.scala 424:59] + node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_2917 = and(_T_2916, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 426:63] + node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 426:52] + node _T_2920 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 426:82] + node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 426:71] + node _T_2922 = or(_T_2915, _T_2921) @[lsu_bus_buffer.scala 425:110] + node _T_2923 = and(_T_2903, _T_2922) @[lsu_bus_buffer.scala 423:112] + node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2925 = and(_T_2924, buf_state_en[1]) @[lsu_bus_buffer.scala 423:93] + node _T_2926 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_2927 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_2928 = or(_T_2926, _T_2927) @[lsu_bus_buffer.scala 424:32] + node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_2932 = and(_T_2930, _T_2931) @[lsu_bus_buffer.scala 425:41] + node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:82] + node _T_2934 = and(_T_2932, _T_2933) @[lsu_bus_buffer.scala 425:71] + node _T_2935 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:101] + node _T_2936 = and(_T_2934, _T_2935) @[lsu_bus_buffer.scala 425:90] + node _T_2937 = or(_T_2929, _T_2936) @[lsu_bus_buffer.scala 424:59] + node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_2939 = and(_T_2938, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 426:63] + node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 426:52] + node _T_2942 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 426:82] + node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 426:71] + node _T_2944 = or(_T_2937, _T_2943) @[lsu_bus_buffer.scala 425:110] + node _T_2945 = and(_T_2925, _T_2944) @[lsu_bus_buffer.scala 423:112] node _T_2946 = cat(_T_2945, _T_2923) @[Cat.scala 29:58] node _T_2947 = cat(_T_2946, _T_2901) @[Cat.scala 29:58] node _T_2948 = cat(_T_2947, _T_2879) @[Cat.scala 29:58] - node _T_2949 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2950 = and(_T_2949, buf_state_en[2]) @[lsu_bus_buffer.scala 421:93] - node _T_2951 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2952 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2953 = or(_T_2951, _T_2952) @[lsu_bus_buffer.scala 422:32] - node _T_2954 = eq(_T_2953, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2955 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2956 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2957 = and(_T_2955, _T_2956) @[lsu_bus_buffer.scala 423:41] - node _T_2958 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] - node _T_2959 = and(_T_2957, _T_2958) @[lsu_bus_buffer.scala 423:71] - node _T_2960 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:101] - node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 423:90] - node _T_2962 = or(_T_2954, _T_2961) @[lsu_bus_buffer.scala 422:59] - node _T_2963 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2964 = and(_T_2963, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2965 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:63] - node _T_2966 = and(_T_2964, _T_2965) @[lsu_bus_buffer.scala 424:52] - node _T_2967 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:82] - node _T_2968 = and(_T_2966, _T_2967) @[lsu_bus_buffer.scala 424:71] - node _T_2969 = or(_T_2962, _T_2968) @[lsu_bus_buffer.scala 423:110] - node _T_2970 = and(_T_2950, _T_2969) @[lsu_bus_buffer.scala 421:112] - node _T_2971 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2972 = and(_T_2971, buf_state_en[2]) @[lsu_bus_buffer.scala 421:93] - node _T_2973 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2974 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2975 = or(_T_2973, _T_2974) @[lsu_bus_buffer.scala 422:32] - node _T_2976 = eq(_T_2975, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2977 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2978 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2979 = and(_T_2977, _T_2978) @[lsu_bus_buffer.scala 423:41] - node _T_2980 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] - node _T_2981 = and(_T_2979, _T_2980) @[lsu_bus_buffer.scala 423:71] - node _T_2982 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:101] - node _T_2983 = and(_T_2981, _T_2982) @[lsu_bus_buffer.scala 423:90] - node _T_2984 = or(_T_2976, _T_2983) @[lsu_bus_buffer.scala 422:59] - node _T_2985 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2986 = and(_T_2985, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2987 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:63] - node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 424:52] - node _T_2989 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:82] - node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 424:71] - node _T_2991 = or(_T_2984, _T_2990) @[lsu_bus_buffer.scala 423:110] - node _T_2992 = and(_T_2972, _T_2991) @[lsu_bus_buffer.scala 421:112] - node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2994 = and(_T_2993, buf_state_en[2]) @[lsu_bus_buffer.scala 421:93] - node _T_2995 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2996 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2997 = or(_T_2995, _T_2996) @[lsu_bus_buffer.scala 422:32] - node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_3001 = and(_T_2999, _T_3000) @[lsu_bus_buffer.scala 423:41] - node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] - node _T_3003 = and(_T_3001, _T_3002) @[lsu_bus_buffer.scala 423:71] - node _T_3004 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:101] - node _T_3005 = and(_T_3003, _T_3004) @[lsu_bus_buffer.scala 423:90] - node _T_3006 = or(_T_2998, _T_3005) @[lsu_bus_buffer.scala 422:59] - node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_3008 = and(_T_3007, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:63] - node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 424:52] - node _T_3011 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:82] - node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 424:71] - node _T_3013 = or(_T_3006, _T_3012) @[lsu_bus_buffer.scala 423:110] - node _T_3014 = and(_T_2994, _T_3013) @[lsu_bus_buffer.scala 421:112] - node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_3016 = and(_T_3015, buf_state_en[2]) @[lsu_bus_buffer.scala 421:93] - node _T_3017 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_3018 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_3019 = or(_T_3017, _T_3018) @[lsu_bus_buffer.scala 422:32] - node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_3023 = and(_T_3021, _T_3022) @[lsu_bus_buffer.scala 423:41] - node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] - node _T_3025 = and(_T_3023, _T_3024) @[lsu_bus_buffer.scala 423:71] - node _T_3026 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:101] - node _T_3027 = and(_T_3025, _T_3026) @[lsu_bus_buffer.scala 423:90] - node _T_3028 = or(_T_3020, _T_3027) @[lsu_bus_buffer.scala 422:59] - node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_3030 = and(_T_3029, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:63] - node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 424:52] - node _T_3033 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:82] - node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 424:71] - node _T_3035 = or(_T_3028, _T_3034) @[lsu_bus_buffer.scala 423:110] - node _T_3036 = and(_T_3016, _T_3035) @[lsu_bus_buffer.scala 421:112] + node _T_2949 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2950 = and(_T_2949, buf_state_en[2]) @[lsu_bus_buffer.scala 423:93] + node _T_2951 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_2952 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_2953 = or(_T_2951, _T_2952) @[lsu_bus_buffer.scala 424:32] + node _T_2954 = eq(_T_2953, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_2955 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_2956 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_2957 = and(_T_2955, _T_2956) @[lsu_bus_buffer.scala 425:41] + node _T_2958 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:82] + node _T_2959 = and(_T_2957, _T_2958) @[lsu_bus_buffer.scala 425:71] + node _T_2960 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:101] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 425:90] + node _T_2962 = or(_T_2954, _T_2961) @[lsu_bus_buffer.scala 424:59] + node _T_2963 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_2964 = and(_T_2963, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_2965 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 426:63] + node _T_2966 = and(_T_2964, _T_2965) @[lsu_bus_buffer.scala 426:52] + node _T_2967 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:82] + node _T_2968 = and(_T_2966, _T_2967) @[lsu_bus_buffer.scala 426:71] + node _T_2969 = or(_T_2962, _T_2968) @[lsu_bus_buffer.scala 425:110] + node _T_2970 = and(_T_2950, _T_2969) @[lsu_bus_buffer.scala 423:112] + node _T_2971 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2972 = and(_T_2971, buf_state_en[2]) @[lsu_bus_buffer.scala 423:93] + node _T_2973 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_2974 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_2975 = or(_T_2973, _T_2974) @[lsu_bus_buffer.scala 424:32] + node _T_2976 = eq(_T_2975, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_2977 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_2978 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_2979 = and(_T_2977, _T_2978) @[lsu_bus_buffer.scala 425:41] + node _T_2980 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:82] + node _T_2981 = and(_T_2979, _T_2980) @[lsu_bus_buffer.scala 425:71] + node _T_2982 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:101] + node _T_2983 = and(_T_2981, _T_2982) @[lsu_bus_buffer.scala 425:90] + node _T_2984 = or(_T_2976, _T_2983) @[lsu_bus_buffer.scala 424:59] + node _T_2985 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_2986 = and(_T_2985, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_2987 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 426:63] + node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 426:52] + node _T_2989 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 426:82] + node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 426:71] + node _T_2991 = or(_T_2984, _T_2990) @[lsu_bus_buffer.scala 425:110] + node _T_2992 = and(_T_2972, _T_2991) @[lsu_bus_buffer.scala 423:112] + node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_2994 = and(_T_2993, buf_state_en[2]) @[lsu_bus_buffer.scala 423:93] + node _T_2995 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_2996 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_2997 = or(_T_2995, _T_2996) @[lsu_bus_buffer.scala 424:32] + node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_3001 = and(_T_2999, _T_3000) @[lsu_bus_buffer.scala 425:41] + node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:82] + node _T_3003 = and(_T_3001, _T_3002) @[lsu_bus_buffer.scala 425:71] + node _T_3004 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:101] + node _T_3005 = and(_T_3003, _T_3004) @[lsu_bus_buffer.scala 425:90] + node _T_3006 = or(_T_2998, _T_3005) @[lsu_bus_buffer.scala 424:59] + node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_3008 = and(_T_3007, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 426:63] + node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 426:52] + node _T_3011 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 426:82] + node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 426:71] + node _T_3013 = or(_T_3006, _T_3012) @[lsu_bus_buffer.scala 425:110] + node _T_3014 = and(_T_2994, _T_3013) @[lsu_bus_buffer.scala 423:112] + node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_3016 = and(_T_3015, buf_state_en[2]) @[lsu_bus_buffer.scala 423:93] + node _T_3017 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_3018 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_3019 = or(_T_3017, _T_3018) @[lsu_bus_buffer.scala 424:32] + node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_3023 = and(_T_3021, _T_3022) @[lsu_bus_buffer.scala 425:41] + node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:82] + node _T_3025 = and(_T_3023, _T_3024) @[lsu_bus_buffer.scala 425:71] + node _T_3026 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:101] + node _T_3027 = and(_T_3025, _T_3026) @[lsu_bus_buffer.scala 425:90] + node _T_3028 = or(_T_3020, _T_3027) @[lsu_bus_buffer.scala 424:59] + node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_3030 = and(_T_3029, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 426:63] + node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 426:52] + node _T_3033 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 426:82] + node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 426:71] + node _T_3035 = or(_T_3028, _T_3034) @[lsu_bus_buffer.scala 425:110] + node _T_3036 = and(_T_3016, _T_3035) @[lsu_bus_buffer.scala 423:112] node _T_3037 = cat(_T_3036, _T_3014) @[Cat.scala 29:58] node _T_3038 = cat(_T_3037, _T_2992) @[Cat.scala 29:58] node _T_3039 = cat(_T_3038, _T_2970) @[Cat.scala 29:58] - node _T_3040 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_3041 = and(_T_3040, buf_state_en[3]) @[lsu_bus_buffer.scala 421:93] - node _T_3042 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_3043 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_3044 = or(_T_3042, _T_3043) @[lsu_bus_buffer.scala 422:32] - node _T_3045 = eq(_T_3044, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_3046 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_3047 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_3048 = and(_T_3046, _T_3047) @[lsu_bus_buffer.scala 423:41] - node _T_3049 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] - node _T_3050 = and(_T_3048, _T_3049) @[lsu_bus_buffer.scala 423:71] - node _T_3051 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:101] - node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 423:90] - node _T_3053 = or(_T_3045, _T_3052) @[lsu_bus_buffer.scala 422:59] - node _T_3054 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_3055 = and(_T_3054, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_3056 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:63] - node _T_3057 = and(_T_3055, _T_3056) @[lsu_bus_buffer.scala 424:52] - node _T_3058 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:82] - node _T_3059 = and(_T_3057, _T_3058) @[lsu_bus_buffer.scala 424:71] - node _T_3060 = or(_T_3053, _T_3059) @[lsu_bus_buffer.scala 423:110] - node _T_3061 = and(_T_3041, _T_3060) @[lsu_bus_buffer.scala 421:112] - node _T_3062 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_3063 = and(_T_3062, buf_state_en[3]) @[lsu_bus_buffer.scala 421:93] - node _T_3064 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_3065 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_3066 = or(_T_3064, _T_3065) @[lsu_bus_buffer.scala 422:32] - node _T_3067 = eq(_T_3066, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_3068 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_3069 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_3070 = and(_T_3068, _T_3069) @[lsu_bus_buffer.scala 423:41] - node _T_3071 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] - node _T_3072 = and(_T_3070, _T_3071) @[lsu_bus_buffer.scala 423:71] - node _T_3073 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:101] - node _T_3074 = and(_T_3072, _T_3073) @[lsu_bus_buffer.scala 423:90] - node _T_3075 = or(_T_3067, _T_3074) @[lsu_bus_buffer.scala 422:59] - node _T_3076 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_3077 = and(_T_3076, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_3078 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:63] - node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 424:52] - node _T_3080 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:82] - node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 424:71] - node _T_3082 = or(_T_3075, _T_3081) @[lsu_bus_buffer.scala 423:110] - node _T_3083 = and(_T_3063, _T_3082) @[lsu_bus_buffer.scala 421:112] - node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_3085 = and(_T_3084, buf_state_en[3]) @[lsu_bus_buffer.scala 421:93] - node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_3087 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_3088 = or(_T_3086, _T_3087) @[lsu_bus_buffer.scala 422:32] - node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_3092 = and(_T_3090, _T_3091) @[lsu_bus_buffer.scala 423:41] - node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] - node _T_3094 = and(_T_3092, _T_3093) @[lsu_bus_buffer.scala 423:71] - node _T_3095 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:101] - node _T_3096 = and(_T_3094, _T_3095) @[lsu_bus_buffer.scala 423:90] - node _T_3097 = or(_T_3089, _T_3096) @[lsu_bus_buffer.scala 422:59] - node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_3099 = and(_T_3098, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:63] - node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 424:52] - node _T_3102 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:82] - node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 424:71] - node _T_3104 = or(_T_3097, _T_3103) @[lsu_bus_buffer.scala 423:110] - node _T_3105 = and(_T_3085, _T_3104) @[lsu_bus_buffer.scala 421:112] - node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_3107 = and(_T_3106, buf_state_en[3]) @[lsu_bus_buffer.scala 421:93] - node _T_3108 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_3109 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_3110 = or(_T_3108, _T_3109) @[lsu_bus_buffer.scala 422:32] - node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_3114 = and(_T_3112, _T_3113) @[lsu_bus_buffer.scala 423:41] - node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] - node _T_3116 = and(_T_3114, _T_3115) @[lsu_bus_buffer.scala 423:71] - node _T_3117 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:101] - node _T_3118 = and(_T_3116, _T_3117) @[lsu_bus_buffer.scala 423:90] - node _T_3119 = or(_T_3111, _T_3118) @[lsu_bus_buffer.scala 422:59] - node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_3121 = and(_T_3120, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:63] - node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 424:52] - node _T_3124 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:82] - node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 424:71] - node _T_3126 = or(_T_3119, _T_3125) @[lsu_bus_buffer.scala 423:110] - node _T_3127 = and(_T_3107, _T_3126) @[lsu_bus_buffer.scala 421:112] + node _T_3040 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_3041 = and(_T_3040, buf_state_en[3]) @[lsu_bus_buffer.scala 423:93] + node _T_3042 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_3043 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_3044 = or(_T_3042, _T_3043) @[lsu_bus_buffer.scala 424:32] + node _T_3045 = eq(_T_3044, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_3046 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_3047 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_3048 = and(_T_3046, _T_3047) @[lsu_bus_buffer.scala 425:41] + node _T_3049 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:82] + node _T_3050 = and(_T_3048, _T_3049) @[lsu_bus_buffer.scala 425:71] + node _T_3051 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:101] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 425:90] + node _T_3053 = or(_T_3045, _T_3052) @[lsu_bus_buffer.scala 424:59] + node _T_3054 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_3055 = and(_T_3054, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_3056 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 426:63] + node _T_3057 = and(_T_3055, _T_3056) @[lsu_bus_buffer.scala 426:52] + node _T_3058 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:82] + node _T_3059 = and(_T_3057, _T_3058) @[lsu_bus_buffer.scala 426:71] + node _T_3060 = or(_T_3053, _T_3059) @[lsu_bus_buffer.scala 425:110] + node _T_3061 = and(_T_3041, _T_3060) @[lsu_bus_buffer.scala 423:112] + node _T_3062 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_3063 = and(_T_3062, buf_state_en[3]) @[lsu_bus_buffer.scala 423:93] + node _T_3064 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_3065 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_3066 = or(_T_3064, _T_3065) @[lsu_bus_buffer.scala 424:32] + node _T_3067 = eq(_T_3066, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_3068 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_3069 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_3070 = and(_T_3068, _T_3069) @[lsu_bus_buffer.scala 425:41] + node _T_3071 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:82] + node _T_3072 = and(_T_3070, _T_3071) @[lsu_bus_buffer.scala 425:71] + node _T_3073 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:101] + node _T_3074 = and(_T_3072, _T_3073) @[lsu_bus_buffer.scala 425:90] + node _T_3075 = or(_T_3067, _T_3074) @[lsu_bus_buffer.scala 424:59] + node _T_3076 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_3077 = and(_T_3076, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_3078 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 426:63] + node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 426:52] + node _T_3080 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 426:82] + node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 426:71] + node _T_3082 = or(_T_3075, _T_3081) @[lsu_bus_buffer.scala 425:110] + node _T_3083 = and(_T_3063, _T_3082) @[lsu_bus_buffer.scala 423:112] + node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_3085 = and(_T_3084, buf_state_en[3]) @[lsu_bus_buffer.scala 423:93] + node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_3087 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_3088 = or(_T_3086, _T_3087) @[lsu_bus_buffer.scala 424:32] + node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_3092 = and(_T_3090, _T_3091) @[lsu_bus_buffer.scala 425:41] + node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:82] + node _T_3094 = and(_T_3092, _T_3093) @[lsu_bus_buffer.scala 425:71] + node _T_3095 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:101] + node _T_3096 = and(_T_3094, _T_3095) @[lsu_bus_buffer.scala 425:90] + node _T_3097 = or(_T_3089, _T_3096) @[lsu_bus_buffer.scala 424:59] + node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_3099 = and(_T_3098, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 426:63] + node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 426:52] + node _T_3102 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 426:82] + node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 426:71] + node _T_3104 = or(_T_3097, _T_3103) @[lsu_bus_buffer.scala 425:110] + node _T_3105 = and(_T_3085, _T_3104) @[lsu_bus_buffer.scala 423:112] + node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:82] + node _T_3107 = and(_T_3106, buf_state_en[3]) @[lsu_bus_buffer.scala 423:93] + node _T_3108 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:21] + node _T_3109 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:47] + node _T_3110 = or(_T_3108, _T_3109) @[lsu_bus_buffer.scala 424:32] + node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:6] + node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 425:23] + node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 425:53] + node _T_3114 = and(_T_3112, _T_3113) @[lsu_bus_buffer.scala 425:41] + node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:82] + node _T_3116 = and(_T_3114, _T_3115) @[lsu_bus_buffer.scala 425:71] + node _T_3117 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:101] + node _T_3118 = and(_T_3116, _T_3117) @[lsu_bus_buffer.scala 425:90] + node _T_3119 = or(_T_3111, _T_3118) @[lsu_bus_buffer.scala 424:59] + node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 426:17] + node _T_3121 = and(_T_3120, io.ldst_dual_r) @[lsu_bus_buffer.scala 426:35] + node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 426:63] + node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 426:52] + node _T_3124 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 426:82] + node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 426:71] + node _T_3126 = or(_T_3119, _T_3125) @[lsu_bus_buffer.scala 425:110] + node _T_3127 = and(_T_3107, _T_3126) @[lsu_bus_buffer.scala 423:112] node _T_3128 = cat(_T_3127, _T_3105) @[Cat.scala 29:58] node _T_3129 = cat(_T_3128, _T_3083) @[Cat.scala 29:58] node _T_3130 = cat(_T_3129, _T_3061) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2857 @[lsu_bus_buffer.scala 421:18] - buf_rspage_set[1] <= _T_2948 @[lsu_bus_buffer.scala 421:18] - buf_rspage_set[2] <= _T_3039 @[lsu_bus_buffer.scala 421:18] - buf_rspage_set[3] <= _T_3130 @[lsu_bus_buffer.scala 421:18] - node _T_3131 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 425:84] - node _T_3132 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 425:103] - node _T_3133 = or(_T_3131, _T_3132) @[lsu_bus_buffer.scala 425:88] - node _T_3134 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 425:84] - node _T_3135 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 425:103] - node _T_3136 = or(_T_3134, _T_3135) @[lsu_bus_buffer.scala 425:88] - node _T_3137 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 425:84] - node _T_3138 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 425:103] - node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 425:88] - node _T_3140 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 425:84] - node _T_3141 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 425:103] - node _T_3142 = or(_T_3140, _T_3141) @[lsu_bus_buffer.scala 425:88] + buf_rspage_set[0] <= _T_2857 @[lsu_bus_buffer.scala 423:18] + buf_rspage_set[1] <= _T_2948 @[lsu_bus_buffer.scala 423:18] + buf_rspage_set[2] <= _T_3039 @[lsu_bus_buffer.scala 423:18] + buf_rspage_set[3] <= _T_3130 @[lsu_bus_buffer.scala 423:18] + node _T_3131 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3132 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 427:103] + node _T_3133 = or(_T_3131, _T_3132) @[lsu_bus_buffer.scala 427:88] + node _T_3134 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 427:84] + node _T_3135 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 427:103] + node _T_3136 = or(_T_3134, _T_3135) @[lsu_bus_buffer.scala 427:88] + node _T_3137 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 427:84] + node _T_3138 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 427:103] + node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 427:88] + node _T_3140 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 427:84] + node _T_3141 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 427:103] + node _T_3142 = or(_T_3140, _T_3141) @[lsu_bus_buffer.scala 427:88] node _T_3143 = cat(_T_3142, _T_3139) @[Cat.scala 29:58] node _T_3144 = cat(_T_3143, _T_3136) @[Cat.scala 29:58] node _T_3145 = cat(_T_3144, _T_3133) @[Cat.scala 29:58] - node _T_3146 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 425:84] - node _T_3147 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 425:103] - node _T_3148 = or(_T_3146, _T_3147) @[lsu_bus_buffer.scala 425:88] - node _T_3149 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 425:84] - node _T_3150 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 425:103] - node _T_3151 = or(_T_3149, _T_3150) @[lsu_bus_buffer.scala 425:88] - node _T_3152 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 425:84] - node _T_3153 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 425:103] - node _T_3154 = or(_T_3152, _T_3153) @[lsu_bus_buffer.scala 425:88] - node _T_3155 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 425:84] - node _T_3156 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 425:103] - node _T_3157 = or(_T_3155, _T_3156) @[lsu_bus_buffer.scala 425:88] + node _T_3146 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3147 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 427:103] + node _T_3148 = or(_T_3146, _T_3147) @[lsu_bus_buffer.scala 427:88] + node _T_3149 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 427:84] + node _T_3150 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 427:103] + node _T_3151 = or(_T_3149, _T_3150) @[lsu_bus_buffer.scala 427:88] + node _T_3152 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 427:84] + node _T_3153 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 427:103] + node _T_3154 = or(_T_3152, _T_3153) @[lsu_bus_buffer.scala 427:88] + node _T_3155 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 427:84] + node _T_3156 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 427:103] + node _T_3157 = or(_T_3155, _T_3156) @[lsu_bus_buffer.scala 427:88] node _T_3158 = cat(_T_3157, _T_3154) @[Cat.scala 29:58] node _T_3159 = cat(_T_3158, _T_3151) @[Cat.scala 29:58] node _T_3160 = cat(_T_3159, _T_3148) @[Cat.scala 29:58] - node _T_3161 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 425:84] - node _T_3162 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 425:103] - node _T_3163 = or(_T_3161, _T_3162) @[lsu_bus_buffer.scala 425:88] - node _T_3164 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 425:84] - node _T_3165 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 425:103] - node _T_3166 = or(_T_3164, _T_3165) @[lsu_bus_buffer.scala 425:88] - node _T_3167 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 425:84] - node _T_3168 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 425:103] - node _T_3169 = or(_T_3167, _T_3168) @[lsu_bus_buffer.scala 425:88] - node _T_3170 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 425:84] - node _T_3171 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 425:103] - node _T_3172 = or(_T_3170, _T_3171) @[lsu_bus_buffer.scala 425:88] + node _T_3161 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3162 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 427:103] + node _T_3163 = or(_T_3161, _T_3162) @[lsu_bus_buffer.scala 427:88] + node _T_3164 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 427:84] + node _T_3165 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 427:103] + node _T_3166 = or(_T_3164, _T_3165) @[lsu_bus_buffer.scala 427:88] + node _T_3167 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 427:84] + node _T_3168 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 427:103] + node _T_3169 = or(_T_3167, _T_3168) @[lsu_bus_buffer.scala 427:88] + node _T_3170 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 427:84] + node _T_3171 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 427:103] + node _T_3172 = or(_T_3170, _T_3171) @[lsu_bus_buffer.scala 427:88] node _T_3173 = cat(_T_3172, _T_3169) @[Cat.scala 29:58] node _T_3174 = cat(_T_3173, _T_3166) @[Cat.scala 29:58] node _T_3175 = cat(_T_3174, _T_3163) @[Cat.scala 29:58] - node _T_3176 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 425:84] - node _T_3177 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 425:103] - node _T_3178 = or(_T_3176, _T_3177) @[lsu_bus_buffer.scala 425:88] - node _T_3179 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 425:84] - node _T_3180 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 425:103] - node _T_3181 = or(_T_3179, _T_3180) @[lsu_bus_buffer.scala 425:88] - node _T_3182 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 425:84] - node _T_3183 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 425:103] - node _T_3184 = or(_T_3182, _T_3183) @[lsu_bus_buffer.scala 425:88] - node _T_3185 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 425:84] - node _T_3186 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 425:103] - node _T_3187 = or(_T_3185, _T_3186) @[lsu_bus_buffer.scala 425:88] + node _T_3176 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3177 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 427:103] + node _T_3178 = or(_T_3176, _T_3177) @[lsu_bus_buffer.scala 427:88] + node _T_3179 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 427:84] + node _T_3180 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 427:103] + node _T_3181 = or(_T_3179, _T_3180) @[lsu_bus_buffer.scala 427:88] + node _T_3182 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 427:84] + node _T_3183 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 427:103] + node _T_3184 = or(_T_3182, _T_3183) @[lsu_bus_buffer.scala 427:88] + node _T_3185 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 427:84] + node _T_3186 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 427:103] + node _T_3187 = or(_T_3185, _T_3186) @[lsu_bus_buffer.scala 427:88] node _T_3188 = cat(_T_3187, _T_3184) @[Cat.scala 29:58] node _T_3189 = cat(_T_3188, _T_3181) @[Cat.scala 29:58] node _T_3190 = cat(_T_3189, _T_3178) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3145 @[lsu_bus_buffer.scala 425:17] - buf_rspage_in[1] <= _T_3160 @[lsu_bus_buffer.scala 425:17] - buf_rspage_in[2] <= _T_3175 @[lsu_bus_buffer.scala 425:17] - buf_rspage_in[3] <= _T_3190 @[lsu_bus_buffer.scala 425:17] - node _T_3191 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 426:78] - node _T_3192 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3193 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3194 = or(_T_3192, _T_3193) @[lsu_bus_buffer.scala 426:110] - node _T_3195 = eq(_T_3194, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3196 = and(_T_3191, _T_3195) @[lsu_bus_buffer.scala 426:82] - node _T_3197 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3198 = and(_T_3196, _T_3197) @[lsu_bus_buffer.scala 426:136] - node _T_3199 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 426:78] - node _T_3200 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3201 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3202 = or(_T_3200, _T_3201) @[lsu_bus_buffer.scala 426:110] - node _T_3203 = eq(_T_3202, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3204 = and(_T_3199, _T_3203) @[lsu_bus_buffer.scala 426:82] - node _T_3205 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3206 = and(_T_3204, _T_3205) @[lsu_bus_buffer.scala 426:136] - node _T_3207 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 426:78] - node _T_3208 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3209 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 426:110] - node _T_3211 = eq(_T_3210, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3212 = and(_T_3207, _T_3211) @[lsu_bus_buffer.scala 426:82] - node _T_3213 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3214 = and(_T_3212, _T_3213) @[lsu_bus_buffer.scala 426:136] - node _T_3215 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 426:78] - node _T_3216 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3217 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3218 = or(_T_3216, _T_3217) @[lsu_bus_buffer.scala 426:110] - node _T_3219 = eq(_T_3218, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3220 = and(_T_3215, _T_3219) @[lsu_bus_buffer.scala 426:82] - node _T_3221 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3222 = and(_T_3220, _T_3221) @[lsu_bus_buffer.scala 426:136] + buf_rspage_in[0] <= _T_3145 @[lsu_bus_buffer.scala 427:17] + buf_rspage_in[1] <= _T_3160 @[lsu_bus_buffer.scala 427:17] + buf_rspage_in[2] <= _T_3175 @[lsu_bus_buffer.scala 427:17] + buf_rspage_in[3] <= _T_3190 @[lsu_bus_buffer.scala 427:17] + node _T_3191 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 428:78] + node _T_3192 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3193 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3194 = or(_T_3192, _T_3193) @[lsu_bus_buffer.scala 428:110] + node _T_3195 = eq(_T_3194, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3196 = and(_T_3191, _T_3195) @[lsu_bus_buffer.scala 428:82] + node _T_3197 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3198 = and(_T_3196, _T_3197) @[lsu_bus_buffer.scala 428:136] + node _T_3199 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 428:78] + node _T_3200 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3201 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3202 = or(_T_3200, _T_3201) @[lsu_bus_buffer.scala 428:110] + node _T_3203 = eq(_T_3202, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3204 = and(_T_3199, _T_3203) @[lsu_bus_buffer.scala 428:82] + node _T_3205 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3206 = and(_T_3204, _T_3205) @[lsu_bus_buffer.scala 428:136] + node _T_3207 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 428:78] + node _T_3208 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3209 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 428:110] + node _T_3211 = eq(_T_3210, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3212 = and(_T_3207, _T_3211) @[lsu_bus_buffer.scala 428:82] + node _T_3213 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3214 = and(_T_3212, _T_3213) @[lsu_bus_buffer.scala 428:136] + node _T_3215 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 428:78] + node _T_3216 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3217 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3218 = or(_T_3216, _T_3217) @[lsu_bus_buffer.scala 428:110] + node _T_3219 = eq(_T_3218, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3220 = and(_T_3215, _T_3219) @[lsu_bus_buffer.scala 428:82] + node _T_3221 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3222 = and(_T_3220, _T_3221) @[lsu_bus_buffer.scala 428:136] node _T_3223 = cat(_T_3222, _T_3214) @[Cat.scala 29:58] node _T_3224 = cat(_T_3223, _T_3206) @[Cat.scala 29:58] node _T_3225 = cat(_T_3224, _T_3198) @[Cat.scala 29:58] - node _T_3226 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 426:78] - node _T_3227 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3228 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 426:110] - node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 426:82] - node _T_3232 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3233 = and(_T_3231, _T_3232) @[lsu_bus_buffer.scala 426:136] - node _T_3234 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 426:78] - node _T_3235 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3236 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3237 = or(_T_3235, _T_3236) @[lsu_bus_buffer.scala 426:110] - node _T_3238 = eq(_T_3237, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3239 = and(_T_3234, _T_3238) @[lsu_bus_buffer.scala 426:82] - node _T_3240 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3241 = and(_T_3239, _T_3240) @[lsu_bus_buffer.scala 426:136] - node _T_3242 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 426:78] - node _T_3243 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3244 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3245 = or(_T_3243, _T_3244) @[lsu_bus_buffer.scala 426:110] - node _T_3246 = eq(_T_3245, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3247 = and(_T_3242, _T_3246) @[lsu_bus_buffer.scala 426:82] - node _T_3248 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3249 = and(_T_3247, _T_3248) @[lsu_bus_buffer.scala 426:136] - node _T_3250 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 426:78] - node _T_3251 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3252 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3253 = or(_T_3251, _T_3252) @[lsu_bus_buffer.scala 426:110] - node _T_3254 = eq(_T_3253, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3255 = and(_T_3250, _T_3254) @[lsu_bus_buffer.scala 426:82] - node _T_3256 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3257 = and(_T_3255, _T_3256) @[lsu_bus_buffer.scala 426:136] + node _T_3226 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 428:78] + node _T_3227 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3228 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 428:110] + node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 428:82] + node _T_3232 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3233 = and(_T_3231, _T_3232) @[lsu_bus_buffer.scala 428:136] + node _T_3234 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 428:78] + node _T_3235 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3236 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3237 = or(_T_3235, _T_3236) @[lsu_bus_buffer.scala 428:110] + node _T_3238 = eq(_T_3237, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3239 = and(_T_3234, _T_3238) @[lsu_bus_buffer.scala 428:82] + node _T_3240 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3241 = and(_T_3239, _T_3240) @[lsu_bus_buffer.scala 428:136] + node _T_3242 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 428:78] + node _T_3243 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3244 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3245 = or(_T_3243, _T_3244) @[lsu_bus_buffer.scala 428:110] + node _T_3246 = eq(_T_3245, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3247 = and(_T_3242, _T_3246) @[lsu_bus_buffer.scala 428:82] + node _T_3248 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3249 = and(_T_3247, _T_3248) @[lsu_bus_buffer.scala 428:136] + node _T_3250 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 428:78] + node _T_3251 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3252 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3253 = or(_T_3251, _T_3252) @[lsu_bus_buffer.scala 428:110] + node _T_3254 = eq(_T_3253, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3255 = and(_T_3250, _T_3254) @[lsu_bus_buffer.scala 428:82] + node _T_3256 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3257 = and(_T_3255, _T_3256) @[lsu_bus_buffer.scala 428:136] node _T_3258 = cat(_T_3257, _T_3249) @[Cat.scala 29:58] node _T_3259 = cat(_T_3258, _T_3241) @[Cat.scala 29:58] node _T_3260 = cat(_T_3259, _T_3233) @[Cat.scala 29:58] - node _T_3261 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 426:78] - node _T_3262 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3263 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3264 = or(_T_3262, _T_3263) @[lsu_bus_buffer.scala 426:110] - node _T_3265 = eq(_T_3264, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3266 = and(_T_3261, _T_3265) @[lsu_bus_buffer.scala 426:82] - node _T_3267 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3268 = and(_T_3266, _T_3267) @[lsu_bus_buffer.scala 426:136] - node _T_3269 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 426:78] - node _T_3270 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3271 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3272 = or(_T_3270, _T_3271) @[lsu_bus_buffer.scala 426:110] - node _T_3273 = eq(_T_3272, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3274 = and(_T_3269, _T_3273) @[lsu_bus_buffer.scala 426:82] - node _T_3275 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3276 = and(_T_3274, _T_3275) @[lsu_bus_buffer.scala 426:136] - node _T_3277 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 426:78] - node _T_3278 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3279 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3280 = or(_T_3278, _T_3279) @[lsu_bus_buffer.scala 426:110] - node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3282 = and(_T_3277, _T_3281) @[lsu_bus_buffer.scala 426:82] - node _T_3283 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3284 = and(_T_3282, _T_3283) @[lsu_bus_buffer.scala 426:136] - node _T_3285 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 426:78] - node _T_3286 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3287 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3288 = or(_T_3286, _T_3287) @[lsu_bus_buffer.scala 426:110] - node _T_3289 = eq(_T_3288, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3290 = and(_T_3285, _T_3289) @[lsu_bus_buffer.scala 426:82] - node _T_3291 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3292 = and(_T_3290, _T_3291) @[lsu_bus_buffer.scala 426:136] + node _T_3261 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 428:78] + node _T_3262 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3263 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3264 = or(_T_3262, _T_3263) @[lsu_bus_buffer.scala 428:110] + node _T_3265 = eq(_T_3264, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3266 = and(_T_3261, _T_3265) @[lsu_bus_buffer.scala 428:82] + node _T_3267 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3268 = and(_T_3266, _T_3267) @[lsu_bus_buffer.scala 428:136] + node _T_3269 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 428:78] + node _T_3270 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3271 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3272 = or(_T_3270, _T_3271) @[lsu_bus_buffer.scala 428:110] + node _T_3273 = eq(_T_3272, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3274 = and(_T_3269, _T_3273) @[lsu_bus_buffer.scala 428:82] + node _T_3275 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3276 = and(_T_3274, _T_3275) @[lsu_bus_buffer.scala 428:136] + node _T_3277 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 428:78] + node _T_3278 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3279 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3280 = or(_T_3278, _T_3279) @[lsu_bus_buffer.scala 428:110] + node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3282 = and(_T_3277, _T_3281) @[lsu_bus_buffer.scala 428:82] + node _T_3283 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3284 = and(_T_3282, _T_3283) @[lsu_bus_buffer.scala 428:136] + node _T_3285 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 428:78] + node _T_3286 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3287 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3288 = or(_T_3286, _T_3287) @[lsu_bus_buffer.scala 428:110] + node _T_3289 = eq(_T_3288, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3290 = and(_T_3285, _T_3289) @[lsu_bus_buffer.scala 428:82] + node _T_3291 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3292 = and(_T_3290, _T_3291) @[lsu_bus_buffer.scala 428:136] node _T_3293 = cat(_T_3292, _T_3284) @[Cat.scala 29:58] node _T_3294 = cat(_T_3293, _T_3276) @[Cat.scala 29:58] node _T_3295 = cat(_T_3294, _T_3268) @[Cat.scala 29:58] - node _T_3296 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 426:78] - node _T_3297 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3298 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3299 = or(_T_3297, _T_3298) @[lsu_bus_buffer.scala 426:110] - node _T_3300 = eq(_T_3299, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3301 = and(_T_3296, _T_3300) @[lsu_bus_buffer.scala 426:82] - node _T_3302 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3303 = and(_T_3301, _T_3302) @[lsu_bus_buffer.scala 426:136] - node _T_3304 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 426:78] - node _T_3305 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3306 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3307 = or(_T_3305, _T_3306) @[lsu_bus_buffer.scala 426:110] - node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3309 = and(_T_3304, _T_3308) @[lsu_bus_buffer.scala 426:82] - node _T_3310 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3311 = and(_T_3309, _T_3310) @[lsu_bus_buffer.scala 426:136] - node _T_3312 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 426:78] - node _T_3313 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3314 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3315 = or(_T_3313, _T_3314) @[lsu_bus_buffer.scala 426:110] - node _T_3316 = eq(_T_3315, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3317 = and(_T_3312, _T_3316) @[lsu_bus_buffer.scala 426:82] - node _T_3318 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3319 = and(_T_3317, _T_3318) @[lsu_bus_buffer.scala 426:136] - node _T_3320 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 426:78] - node _T_3321 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3322 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3323 = or(_T_3321, _T_3322) @[lsu_bus_buffer.scala 426:110] - node _T_3324 = eq(_T_3323, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3325 = and(_T_3320, _T_3324) @[lsu_bus_buffer.scala 426:82] - node _T_3326 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:138] - node _T_3327 = and(_T_3325, _T_3326) @[lsu_bus_buffer.scala 426:136] + node _T_3296 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 428:78] + node _T_3297 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3298 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3299 = or(_T_3297, _T_3298) @[lsu_bus_buffer.scala 428:110] + node _T_3300 = eq(_T_3299, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3301 = and(_T_3296, _T_3300) @[lsu_bus_buffer.scala 428:82] + node _T_3302 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3303 = and(_T_3301, _T_3302) @[lsu_bus_buffer.scala 428:136] + node _T_3304 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 428:78] + node _T_3305 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3306 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3307 = or(_T_3305, _T_3306) @[lsu_bus_buffer.scala 428:110] + node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3309 = and(_T_3304, _T_3308) @[lsu_bus_buffer.scala 428:82] + node _T_3310 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3311 = and(_T_3309, _T_3310) @[lsu_bus_buffer.scala 428:136] + node _T_3312 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 428:78] + node _T_3313 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3314 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3315 = or(_T_3313, _T_3314) @[lsu_bus_buffer.scala 428:110] + node _T_3316 = eq(_T_3315, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3317 = and(_T_3312, _T_3316) @[lsu_bus_buffer.scala 428:82] + node _T_3318 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3319 = and(_T_3317, _T_3318) @[lsu_bus_buffer.scala 428:136] + node _T_3320 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 428:78] + node _T_3321 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 428:99] + node _T_3322 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 428:125] + node _T_3323 = or(_T_3321, _T_3322) @[lsu_bus_buffer.scala 428:110] + node _T_3324 = eq(_T_3323, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:84] + node _T_3325 = and(_T_3320, _T_3324) @[lsu_bus_buffer.scala 428:82] + node _T_3326 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:138] + node _T_3327 = and(_T_3325, _T_3326) @[lsu_bus_buffer.scala 428:136] node _T_3328 = cat(_T_3327, _T_3319) @[Cat.scala 29:58] node _T_3329 = cat(_T_3328, _T_3311) @[Cat.scala 29:58] node _T_3330 = cat(_T_3329, _T_3303) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3225 @[lsu_bus_buffer.scala 426:14] - buf_rspage[1] <= _T_3260 @[lsu_bus_buffer.scala 426:14] - buf_rspage[2] <= _T_3295 @[lsu_bus_buffer.scala 426:14] - buf_rspage[3] <= _T_3330 @[lsu_bus_buffer.scala 426:14] - node _T_3331 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 427:75] - node _T_3332 = and(ibuf_drain_vld, _T_3331) @[lsu_bus_buffer.scala 427:63] - node _T_3333 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 427:75] - node _T_3334 = and(ibuf_drain_vld, _T_3333) @[lsu_bus_buffer.scala 427:63] - node _T_3335 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 427:75] - node _T_3336 = and(ibuf_drain_vld, _T_3335) @[lsu_bus_buffer.scala 427:63] - node _T_3337 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 427:75] - node _T_3338 = and(ibuf_drain_vld, _T_3337) @[lsu_bus_buffer.scala 427:63] + buf_rspage[0] <= _T_3225 @[lsu_bus_buffer.scala 428:14] + buf_rspage[1] <= _T_3260 @[lsu_bus_buffer.scala 428:14] + buf_rspage[2] <= _T_3295 @[lsu_bus_buffer.scala 428:14] + buf_rspage[3] <= _T_3330 @[lsu_bus_buffer.scala 428:14] + node _T_3331 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 429:75] + node _T_3332 = and(ibuf_drain_vld, _T_3331) @[lsu_bus_buffer.scala 429:63] + node _T_3333 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 429:75] + node _T_3334 = and(ibuf_drain_vld, _T_3333) @[lsu_bus_buffer.scala 429:63] + node _T_3335 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 429:75] + node _T_3336 = and(ibuf_drain_vld, _T_3335) @[lsu_bus_buffer.scala 429:63] + node _T_3337 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 429:75] + node _T_3338 = and(ibuf_drain_vld, _T_3337) @[lsu_bus_buffer.scala 429:63] node _T_3339 = cat(_T_3338, _T_3336) @[Cat.scala 29:58] node _T_3340 = cat(_T_3339, _T_3334) @[Cat.scala 29:58] node _T_3341 = cat(_T_3340, _T_3332) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3341 @[lsu_bus_buffer.scala 427:21] - node _T_3342 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 428:64] - node _T_3343 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 428:84] - node _T_3344 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:18] - node _T_3345 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 429:46] - node _T_3346 = and(_T_3344, _T_3345) @[lsu_bus_buffer.scala 429:35] - node _T_3347 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 429:71] - node _T_3348 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 429:94] - node _T_3349 = mux(_T_3346, _T_3347, _T_3348) @[lsu_bus_buffer.scala 429:8] - node _T_3350 = mux(_T_3342, _T_3343, _T_3349) @[lsu_bus_buffer.scala 428:46] - node _T_3351 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 428:64] - node _T_3352 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 428:84] - node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:18] - node _T_3354 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 429:46] - node _T_3355 = and(_T_3353, _T_3354) @[lsu_bus_buffer.scala 429:35] - node _T_3356 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 429:71] - node _T_3357 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 429:94] - node _T_3358 = mux(_T_3355, _T_3356, _T_3357) @[lsu_bus_buffer.scala 429:8] - node _T_3359 = mux(_T_3351, _T_3352, _T_3358) @[lsu_bus_buffer.scala 428:46] - node _T_3360 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 428:64] - node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 428:84] - node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:18] - node _T_3363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 429:46] - node _T_3364 = and(_T_3362, _T_3363) @[lsu_bus_buffer.scala 429:35] - node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 429:71] - node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 429:94] - node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[lsu_bus_buffer.scala 429:8] - node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[lsu_bus_buffer.scala 428:46] - node _T_3369 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 428:64] - node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 428:84] - node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:18] - node _T_3372 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 429:46] - node _T_3373 = and(_T_3371, _T_3372) @[lsu_bus_buffer.scala 429:35] - node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 429:71] - node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 429:94] - node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[lsu_bus_buffer.scala 429:8] - node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[lsu_bus_buffer.scala 428:46] - buf_byteen_in[0] <= _T_3350 @[lsu_bus_buffer.scala 428:17] - buf_byteen_in[1] <= _T_3359 @[lsu_bus_buffer.scala 428:17] - buf_byteen_in[2] <= _T_3368 @[lsu_bus_buffer.scala 428:17] - buf_byteen_in[3] <= _T_3377 @[lsu_bus_buffer.scala 428:17] - node _T_3378 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 430:62] - node _T_3379 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:91] - node _T_3380 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 430:119] - node _T_3381 = and(_T_3379, _T_3380) @[lsu_bus_buffer.scala 430:108] - node _T_3382 = mux(_T_3381, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 430:81] - node _T_3383 = mux(_T_3378, ibuf_addr, _T_3382) @[lsu_bus_buffer.scala 430:44] - node _T_3384 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 430:62] - node _T_3385 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:91] - node _T_3386 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 430:119] - node _T_3387 = and(_T_3385, _T_3386) @[lsu_bus_buffer.scala 430:108] - node _T_3388 = mux(_T_3387, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 430:81] - node _T_3389 = mux(_T_3384, ibuf_addr, _T_3388) @[lsu_bus_buffer.scala 430:44] - node _T_3390 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 430:62] - node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:91] - node _T_3392 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 430:119] - node _T_3393 = and(_T_3391, _T_3392) @[lsu_bus_buffer.scala 430:108] - node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 430:81] - node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[lsu_bus_buffer.scala 430:44] - node _T_3396 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 430:62] - node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:91] - node _T_3398 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 430:119] - node _T_3399 = and(_T_3397, _T_3398) @[lsu_bus_buffer.scala 430:108] - node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 430:81] - node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[lsu_bus_buffer.scala 430:44] - buf_addr_in[0] <= _T_3383 @[lsu_bus_buffer.scala 430:15] - buf_addr_in[1] <= _T_3389 @[lsu_bus_buffer.scala 430:15] - buf_addr_in[2] <= _T_3395 @[lsu_bus_buffer.scala 430:15] - buf_addr_in[3] <= _T_3401 @[lsu_bus_buffer.scala 430:15] - node _T_3402 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:63] - node _T_3403 = mux(_T_3402, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:45] - node _T_3404 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:63] - node _T_3405 = mux(_T_3404, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:45] - node _T_3406 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:63] - node _T_3407 = mux(_T_3406, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:45] - node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:63] - node _T_3409 = mux(_T_3408, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:45] + ibuf_drainvec_vld <= _T_3341 @[lsu_bus_buffer.scala 429:21] + node _T_3342 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 430:64] + node _T_3343 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 430:84] + node _T_3344 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:18] + node _T_3345 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 431:46] + node _T_3346 = and(_T_3344, _T_3345) @[lsu_bus_buffer.scala 431:35] + node _T_3347 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 431:71] + node _T_3348 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 431:94] + node _T_3349 = mux(_T_3346, _T_3347, _T_3348) @[lsu_bus_buffer.scala 431:8] + node _T_3350 = mux(_T_3342, _T_3343, _T_3349) @[lsu_bus_buffer.scala 430:46] + node _T_3351 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 430:64] + node _T_3352 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 430:84] + node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:18] + node _T_3354 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 431:46] + node _T_3355 = and(_T_3353, _T_3354) @[lsu_bus_buffer.scala 431:35] + node _T_3356 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 431:71] + node _T_3357 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 431:94] + node _T_3358 = mux(_T_3355, _T_3356, _T_3357) @[lsu_bus_buffer.scala 431:8] + node _T_3359 = mux(_T_3351, _T_3352, _T_3358) @[lsu_bus_buffer.scala 430:46] + node _T_3360 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 430:64] + node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 430:84] + node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:18] + node _T_3363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 431:46] + node _T_3364 = and(_T_3362, _T_3363) @[lsu_bus_buffer.scala 431:35] + node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 431:71] + node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 431:94] + node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[lsu_bus_buffer.scala 431:8] + node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[lsu_bus_buffer.scala 430:46] + node _T_3369 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 430:64] + node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 430:84] + node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:18] + node _T_3372 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 431:46] + node _T_3373 = and(_T_3371, _T_3372) @[lsu_bus_buffer.scala 431:35] + node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 431:71] + node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 431:94] + node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[lsu_bus_buffer.scala 431:8] + node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[lsu_bus_buffer.scala 430:46] + buf_byteen_in[0] <= _T_3350 @[lsu_bus_buffer.scala 430:17] + buf_byteen_in[1] <= _T_3359 @[lsu_bus_buffer.scala 430:17] + buf_byteen_in[2] <= _T_3368 @[lsu_bus_buffer.scala 430:17] + buf_byteen_in[3] <= _T_3377 @[lsu_bus_buffer.scala 430:17] + node _T_3378 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:62] + node _T_3379 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:91] + node _T_3380 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 432:119] + node _T_3381 = and(_T_3379, _T_3380) @[lsu_bus_buffer.scala 432:108] + node _T_3382 = mux(_T_3381, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 432:81] + node _T_3383 = mux(_T_3378, ibuf_addr, _T_3382) @[lsu_bus_buffer.scala 432:44] + node _T_3384 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:62] + node _T_3385 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:91] + node _T_3386 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 432:119] + node _T_3387 = and(_T_3385, _T_3386) @[lsu_bus_buffer.scala 432:108] + node _T_3388 = mux(_T_3387, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 432:81] + node _T_3389 = mux(_T_3384, ibuf_addr, _T_3388) @[lsu_bus_buffer.scala 432:44] + node _T_3390 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:62] + node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:91] + node _T_3392 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 432:119] + node _T_3393 = and(_T_3391, _T_3392) @[lsu_bus_buffer.scala 432:108] + node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 432:81] + node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[lsu_bus_buffer.scala 432:44] + node _T_3396 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:62] + node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:91] + node _T_3398 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 432:119] + node _T_3399 = and(_T_3397, _T_3398) @[lsu_bus_buffer.scala 432:108] + node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 432:81] + node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[lsu_bus_buffer.scala 432:44] + buf_addr_in[0] <= _T_3383 @[lsu_bus_buffer.scala 432:15] + buf_addr_in[1] <= _T_3389 @[lsu_bus_buffer.scala 432:15] + buf_addr_in[2] <= _T_3395 @[lsu_bus_buffer.scala 432:15] + buf_addr_in[3] <= _T_3401 @[lsu_bus_buffer.scala 432:15] + node _T_3402 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:63] + node _T_3403 = mux(_T_3402, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:45] + node _T_3404 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:63] + node _T_3405 = mux(_T_3404, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:45] + node _T_3406 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:63] + node _T_3407 = mux(_T_3406, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:45] + node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:63] + node _T_3409 = mux(_T_3408, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:45] node _T_3410 = cat(_T_3409, _T_3407) @[Cat.scala 29:58] node _T_3411 = cat(_T_3410, _T_3405) @[Cat.scala 29:58] node _T_3412 = cat(_T_3411, _T_3403) @[Cat.scala 29:58] - buf_dual_in <= _T_3412 @[lsu_bus_buffer.scala 431:15] - node _T_3413 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:65] - node _T_3414 = mux(_T_3413, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 432:47] - node _T_3415 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:65] - node _T_3416 = mux(_T_3415, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 432:47] - node _T_3417 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:65] - node _T_3418 = mux(_T_3417, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 432:47] - node _T_3419 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:65] - node _T_3420 = mux(_T_3419, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 432:47] + buf_dual_in <= _T_3412 @[lsu_bus_buffer.scala 433:15] + node _T_3413 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:65] + node _T_3414 = mux(_T_3413, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 434:47] + node _T_3415 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:65] + node _T_3416 = mux(_T_3415, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 434:47] + node _T_3417 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:65] + node _T_3418 = mux(_T_3417, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 434:47] + node _T_3419 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:65] + node _T_3420 = mux(_T_3419, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 434:47] node _T_3421 = cat(_T_3420, _T_3418) @[Cat.scala 29:58] node _T_3422 = cat(_T_3421, _T_3416) @[Cat.scala 29:58] node _T_3423 = cat(_T_3422, _T_3414) @[Cat.scala 29:58] - buf_samedw_in <= _T_3423 @[lsu_bus_buffer.scala 432:17] - node _T_3424 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:66] - node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 433:84] - node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 433:48] - node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:66] - node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 433:84] - node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 433:48] - node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:66] - node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 433:84] - node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 433:48] - node _T_3433 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:66] - node _T_3434 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 433:84] - node _T_3435 = mux(_T_3433, _T_3434, io.no_dword_merge_r) @[lsu_bus_buffer.scala 433:48] + buf_samedw_in <= _T_3423 @[lsu_bus_buffer.scala 434:17] + node _T_3424 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:66] + node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 435:84] + node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 435:48] + node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:66] + node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 435:84] + node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 435:48] + node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:66] + node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 435:84] + node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 435:48] + node _T_3433 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:66] + node _T_3434 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 435:84] + node _T_3435 = mux(_T_3433, _T_3434, io.no_dword_merge_r) @[lsu_bus_buffer.scala 435:48] node _T_3436 = cat(_T_3435, _T_3432) @[Cat.scala 29:58] node _T_3437 = cat(_T_3436, _T_3429) @[Cat.scala 29:58] node _T_3438 = cat(_T_3437, _T_3426) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3438 @[lsu_bus_buffer.scala 433:18] - node _T_3439 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:65] - node _T_3440 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:90] - node _T_3441 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 434:118] - node _T_3442 = and(_T_3440, _T_3441) @[lsu_bus_buffer.scala 434:107] - node _T_3443 = mux(_T_3439, ibuf_dual, _T_3442) @[lsu_bus_buffer.scala 434:47] - node _T_3444 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:65] - node _T_3445 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:90] - node _T_3446 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 434:118] - node _T_3447 = and(_T_3445, _T_3446) @[lsu_bus_buffer.scala 434:107] - node _T_3448 = mux(_T_3444, ibuf_dual, _T_3447) @[lsu_bus_buffer.scala 434:47] - node _T_3449 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:65] - node _T_3450 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:90] - node _T_3451 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 434:118] - node _T_3452 = and(_T_3450, _T_3451) @[lsu_bus_buffer.scala 434:107] - node _T_3453 = mux(_T_3449, ibuf_dual, _T_3452) @[lsu_bus_buffer.scala 434:47] - node _T_3454 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:65] - node _T_3455 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:90] - node _T_3456 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 434:118] - node _T_3457 = and(_T_3455, _T_3456) @[lsu_bus_buffer.scala 434:107] - node _T_3458 = mux(_T_3454, ibuf_dual, _T_3457) @[lsu_bus_buffer.scala 434:47] + buf_nomerge_in <= _T_3438 @[lsu_bus_buffer.scala 435:18] + node _T_3439 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:65] + node _T_3440 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:90] + node _T_3441 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 436:118] + node _T_3442 = and(_T_3440, _T_3441) @[lsu_bus_buffer.scala 436:107] + node _T_3443 = mux(_T_3439, ibuf_dual, _T_3442) @[lsu_bus_buffer.scala 436:47] + node _T_3444 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:65] + node _T_3445 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:90] + node _T_3446 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 436:118] + node _T_3447 = and(_T_3445, _T_3446) @[lsu_bus_buffer.scala 436:107] + node _T_3448 = mux(_T_3444, ibuf_dual, _T_3447) @[lsu_bus_buffer.scala 436:47] + node _T_3449 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:65] + node _T_3450 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:90] + node _T_3451 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 436:118] + node _T_3452 = and(_T_3450, _T_3451) @[lsu_bus_buffer.scala 436:107] + node _T_3453 = mux(_T_3449, ibuf_dual, _T_3452) @[lsu_bus_buffer.scala 436:47] + node _T_3454 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:65] + node _T_3455 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:90] + node _T_3456 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 436:118] + node _T_3457 = and(_T_3455, _T_3456) @[lsu_bus_buffer.scala 436:107] + node _T_3458 = mux(_T_3454, ibuf_dual, _T_3457) @[lsu_bus_buffer.scala 436:47] node _T_3459 = cat(_T_3458, _T_3453) @[Cat.scala 29:58] node _T_3460 = cat(_T_3459, _T_3448) @[Cat.scala 29:58] node _T_3461 = cat(_T_3460, _T_3443) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3461 @[lsu_bus_buffer.scala 434:17] - node _T_3462 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65] - node _T_3463 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:97] - node _T_3464 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 435:125] - node _T_3465 = and(_T_3463, _T_3464) @[lsu_bus_buffer.scala 435:114] - node _T_3466 = mux(_T_3465, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 435:87] - node _T_3467 = mux(_T_3462, ibuf_dualtag, _T_3466) @[lsu_bus_buffer.scala 435:47] - node _T_3468 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65] - node _T_3469 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:97] - node _T_3470 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 435:125] - node _T_3471 = and(_T_3469, _T_3470) @[lsu_bus_buffer.scala 435:114] - node _T_3472 = mux(_T_3471, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 435:87] - node _T_3473 = mux(_T_3468, ibuf_dualtag, _T_3472) @[lsu_bus_buffer.scala 435:47] - node _T_3474 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65] - node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:97] - node _T_3476 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 435:125] - node _T_3477 = and(_T_3475, _T_3476) @[lsu_bus_buffer.scala 435:114] - node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 435:87] - node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[lsu_bus_buffer.scala 435:47] - node _T_3480 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65] - node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:97] - node _T_3482 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 435:125] - node _T_3483 = and(_T_3481, _T_3482) @[lsu_bus_buffer.scala 435:114] - node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 435:87] - node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[lsu_bus_buffer.scala 435:47] - buf_dualtag_in[0] <= _T_3467 @[lsu_bus_buffer.scala 435:18] - buf_dualtag_in[1] <= _T_3473 @[lsu_bus_buffer.scala 435:18] - buf_dualtag_in[2] <= _T_3479 @[lsu_bus_buffer.scala 435:18] - buf_dualtag_in[3] <= _T_3485 @[lsu_bus_buffer.scala 435:18] - node _T_3486 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:69] - node _T_3487 = mux(_T_3486, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 436:51] - node _T_3488 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:69] - node _T_3489 = mux(_T_3488, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 436:51] - node _T_3490 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:69] - node _T_3491 = mux(_T_3490, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 436:51] - node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:69] - node _T_3493 = mux(_T_3492, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 436:51] + buf_dualhi_in <= _T_3461 @[lsu_bus_buffer.scala 436:17] + node _T_3462 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:65] + node _T_3463 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 437:97] + node _T_3464 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 437:125] + node _T_3465 = and(_T_3463, _T_3464) @[lsu_bus_buffer.scala 437:114] + node _T_3466 = mux(_T_3465, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 437:87] + node _T_3467 = mux(_T_3462, ibuf_dualtag, _T_3466) @[lsu_bus_buffer.scala 437:47] + node _T_3468 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:65] + node _T_3469 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 437:97] + node _T_3470 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 437:125] + node _T_3471 = and(_T_3469, _T_3470) @[lsu_bus_buffer.scala 437:114] + node _T_3472 = mux(_T_3471, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 437:87] + node _T_3473 = mux(_T_3468, ibuf_dualtag, _T_3472) @[lsu_bus_buffer.scala 437:47] + node _T_3474 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:65] + node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 437:97] + node _T_3476 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 437:125] + node _T_3477 = and(_T_3475, _T_3476) @[lsu_bus_buffer.scala 437:114] + node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 437:87] + node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[lsu_bus_buffer.scala 437:47] + node _T_3480 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:65] + node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 437:97] + node _T_3482 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 437:125] + node _T_3483 = and(_T_3481, _T_3482) @[lsu_bus_buffer.scala 437:114] + node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 437:87] + node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[lsu_bus_buffer.scala 437:47] + buf_dualtag_in[0] <= _T_3467 @[lsu_bus_buffer.scala 437:18] + buf_dualtag_in[1] <= _T_3473 @[lsu_bus_buffer.scala 437:18] + buf_dualtag_in[2] <= _T_3479 @[lsu_bus_buffer.scala 437:18] + buf_dualtag_in[3] <= _T_3485 @[lsu_bus_buffer.scala 437:18] + node _T_3486 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:69] + node _T_3487 = mux(_T_3486, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 438:51] + node _T_3488 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:69] + node _T_3489 = mux(_T_3488, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 438:51] + node _T_3490 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:69] + node _T_3491 = mux(_T_3490, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 438:51] + node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:69] + node _T_3493 = mux(_T_3492, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 438:51] node _T_3494 = cat(_T_3493, _T_3491) @[Cat.scala 29:58] node _T_3495 = cat(_T_3494, _T_3489) @[Cat.scala 29:58] node _T_3496 = cat(_T_3495, _T_3487) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3496 @[lsu_bus_buffer.scala 436:21] - node _T_3497 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:65] - node _T_3498 = mux(_T_3497, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 437:47] - node _T_3499 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:65] - node _T_3500 = mux(_T_3499, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 437:47] - node _T_3501 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:65] - node _T_3502 = mux(_T_3501, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 437:47] - node _T_3503 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:65] - node _T_3504 = mux(_T_3503, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 437:47] + buf_sideeffect_in <= _T_3496 @[lsu_bus_buffer.scala 438:21] + node _T_3497 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 439:65] + node _T_3498 = mux(_T_3497, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 439:47] + node _T_3499 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 439:65] + node _T_3500 = mux(_T_3499, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 439:47] + node _T_3501 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 439:65] + node _T_3502 = mux(_T_3501, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 439:47] + node _T_3503 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 439:65] + node _T_3504 = mux(_T_3503, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 439:47] node _T_3505 = cat(_T_3504, _T_3502) @[Cat.scala 29:58] node _T_3506 = cat(_T_3505, _T_3500) @[Cat.scala 29:58] node _T_3507 = cat(_T_3506, _T_3498) @[Cat.scala 29:58] - buf_unsign_in <= _T_3507 @[lsu_bus_buffer.scala 437:17] - node _T_3508 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:60] + buf_unsign_in <= _T_3507 @[lsu_bus_buffer.scala 439:17] + node _T_3508 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 440:60] node _T_3509 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 438:42] - node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:60] + node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 440:42] + node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 440:60] node _T_3512 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 438:42] - node _T_3514 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:60] + node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 440:42] + node _T_3514 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 440:60] node _T_3515 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 438:42] - node _T_3517 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:60] + node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 440:42] + node _T_3517 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 440:60] node _T_3518 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3519 = mux(_T_3517, ibuf_sz, _T_3518) @[lsu_bus_buffer.scala 438:42] - buf_sz_in[0] <= _T_3510 @[lsu_bus_buffer.scala 438:13] - buf_sz_in[1] <= _T_3513 @[lsu_bus_buffer.scala 438:13] - buf_sz_in[2] <= _T_3516 @[lsu_bus_buffer.scala 438:13] - buf_sz_in[3] <= _T_3519 @[lsu_bus_buffer.scala 438:13] - node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 439:64] - node _T_3521 = mux(_T_3520, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 439:46] - node _T_3522 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 439:64] - node _T_3523 = mux(_T_3522, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 439:46] - node _T_3524 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 439:64] - node _T_3525 = mux(_T_3524, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 439:46] - node _T_3526 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 439:64] - node _T_3527 = mux(_T_3526, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 439:46] + node _T_3519 = mux(_T_3517, ibuf_sz, _T_3518) @[lsu_bus_buffer.scala 440:42] + buf_sz_in[0] <= _T_3510 @[lsu_bus_buffer.scala 440:13] + buf_sz_in[1] <= _T_3513 @[lsu_bus_buffer.scala 440:13] + buf_sz_in[2] <= _T_3516 @[lsu_bus_buffer.scala 440:13] + buf_sz_in[3] <= _T_3519 @[lsu_bus_buffer.scala 440:13] + node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 441:64] + node _T_3521 = mux(_T_3520, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 441:46] + node _T_3522 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 441:64] + node _T_3523 = mux(_T_3522, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 441:46] + node _T_3524 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 441:64] + node _T_3525 = mux(_T_3524, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 441:46] + node _T_3526 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 441:64] + node _T_3527 = mux(_T_3526, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 441:46] node _T_3528 = cat(_T_3527, _T_3525) @[Cat.scala 29:58] node _T_3529 = cat(_T_3528, _T_3523) @[Cat.scala 29:58] node _T_3530 = cat(_T_3529, _T_3521) @[Cat.scala 29:58] - buf_write_in <= _T_3530 @[lsu_bus_buffer.scala 439:16] + buf_write_in <= _T_3530 @[lsu_bus_buffer.scala 441:16] node _T_3531 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3531 : @[Conditional.scala 40:58] - node _T_3532 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 444:56] - node _T_3533 = mux(_T_3532, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 444:31] - buf_nxtstate[0] <= _T_3533 @[lsu_bus_buffer.scala 444:25] - node _T_3534 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 445:45] - node _T_3535 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 445:77] - node _T_3536 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 445:97] - node _T_3537 = and(_T_3535, _T_3536) @[lsu_bus_buffer.scala 445:95] - node _T_3538 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 445:117] - node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 445:112] - node _T_3540 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 445:144] - node _T_3541 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 445:166] - node _T_3542 = and(_T_3540, _T_3541) @[lsu_bus_buffer.scala 445:161] - node _T_3543 = or(_T_3539, _T_3542) @[lsu_bus_buffer.scala 445:132] - node _T_3544 = and(_T_3534, _T_3543) @[lsu_bus_buffer.scala 445:63] - node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 445:206] - node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 445:201] - node _T_3547 = or(_T_3544, _T_3546) @[lsu_bus_buffer.scala 445:183] - buf_state_en[0] <= _T_3547 @[lsu_bus_buffer.scala 445:25] - buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 446:22] - buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 447:24] - node _T_3548 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 448:52] - node _T_3549 = and(ibuf_drain_vld, _T_3548) @[lsu_bus_buffer.scala 448:47] - node _T_3550 = bits(_T_3549, 0, 0) @[lsu_bus_buffer.scala 448:73] - node _T_3551 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 448:90] - node _T_3552 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 448:114] - node _T_3553 = mux(_T_3550, _T_3551, _T_3552) @[lsu_bus_buffer.scala 448:30] - buf_data_in[0] <= _T_3553 @[lsu_bus_buffer.scala 448:24] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 449:34] - buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 450:21] + node _T_3532 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 446:56] + node _T_3533 = mux(_T_3532, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 446:31] + buf_nxtstate[0] <= _T_3533 @[lsu_bus_buffer.scala 446:25] + node _T_3534 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 447:45] + node _T_3535 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 447:77] + node _T_3536 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 447:97] + node _T_3537 = and(_T_3535, _T_3536) @[lsu_bus_buffer.scala 447:95] + node _T_3538 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 447:117] + node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 447:112] + node _T_3540 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 447:144] + node _T_3541 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 447:166] + node _T_3542 = and(_T_3540, _T_3541) @[lsu_bus_buffer.scala 447:161] + node _T_3543 = or(_T_3539, _T_3542) @[lsu_bus_buffer.scala 447:132] + node _T_3544 = and(_T_3534, _T_3543) @[lsu_bus_buffer.scala 447:63] + node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 447:206] + node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 447:201] + node _T_3547 = or(_T_3544, _T_3546) @[lsu_bus_buffer.scala 447:183] + buf_state_en[0] <= _T_3547 @[lsu_bus_buffer.scala 447:25] + buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 448:22] + buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 449:24] + node _T_3548 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 450:52] + node _T_3549 = and(ibuf_drain_vld, _T_3548) @[lsu_bus_buffer.scala 450:47] + node _T_3550 = bits(_T_3549, 0, 0) @[lsu_bus_buffer.scala 450:73] + node _T_3551 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 450:90] + node _T_3552 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 450:114] + node _T_3553 = mux(_T_3550, _T_3551, _T_3552) @[lsu_bus_buffer.scala 450:30] + buf_data_in[0] <= _T_3553 @[lsu_bus_buffer.scala 450:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 451:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 452:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3554 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3554 : @[Conditional.scala 39:67] - node _T_3555 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] - node _T_3556 = mux(_T_3555, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] - buf_nxtstate[0] <= _T_3556 @[lsu_bus_buffer.scala 453:25] - node _T_3557 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] - buf_state_en[0] <= _T_3557 @[lsu_bus_buffer.scala 454:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] - buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 456:20] + node _T_3555 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] + node _T_3556 = mux(_T_3555, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 455:31] + buf_nxtstate[0] <= _T_3556 @[lsu_bus_buffer.scala 455:25] + node _T_3557 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:46] + buf_state_en[0] <= _T_3557 @[lsu_bus_buffer.scala 456:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 457:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 458:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3558 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3558 : @[Conditional.scala 39:67] - node _T_3559 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 459:60] - node _T_3560 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 459:89] - node _T_3561 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 459:124] - node _T_3562 = and(_T_3560, _T_3561) @[lsu_bus_buffer.scala 459:104] - node _T_3563 = mux(_T_3562, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 459:75] - node _T_3564 = mux(_T_3559, UInt<3>("h00"), _T_3563) @[lsu_bus_buffer.scala 459:31] - buf_nxtstate[0] <= _T_3564 @[lsu_bus_buffer.scala 459:25] - node _T_3565 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 460:48] - node _T_3566 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 460:104] - node _T_3567 = and(obuf_merge, _T_3566) @[lsu_bus_buffer.scala 460:91] - node _T_3568 = or(_T_3565, _T_3567) @[lsu_bus_buffer.scala 460:77] - node _T_3569 = and(_T_3568, obuf_valid) @[lsu_bus_buffer.scala 460:135] - node _T_3570 = and(_T_3569, obuf_wr_enQ) @[lsu_bus_buffer.scala 460:148] - buf_cmd_state_bus_en[0] <= _T_3570 @[lsu_bus_buffer.scala 460:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 461:29] - node _T_3571 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:49] - node _T_3572 = or(_T_3571, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 462:70] - buf_state_en[0] <= _T_3572 @[lsu_bus_buffer.scala 462:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 463:25] - node _T_3573 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 464:56] - node _T_3574 = eq(_T_3573, UInt<1>("h00")) @[lsu_bus_buffer.scala 464:46] - node _T_3575 = and(buf_state_en[0], _T_3574) @[lsu_bus_buffer.scala 464:44] - node _T_3576 = and(_T_3575, obuf_nosend) @[lsu_bus_buffer.scala 464:60] - node _T_3577 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 464:76] - node _T_3578 = and(_T_3576, _T_3577) @[lsu_bus_buffer.scala 464:74] - buf_ldfwd_en[0] <= _T_3578 @[lsu_bus_buffer.scala 464:25] - node _T_3579 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 465:46] - buf_ldfwdtag_in[0] <= _T_3579 @[lsu_bus_buffer.scala 465:28] - node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:47] - node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 466:67] - node _T_3582 = and(_T_3581, bus_rsp_read) @[lsu_bus_buffer.scala 466:81] - buf_data_en[0] <= _T_3582 @[lsu_bus_buffer.scala 466:24] - node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 467:48] - node _T_3584 = and(_T_3583, obuf_nosend) @[lsu_bus_buffer.scala 467:68] - node _T_3585 = and(_T_3584, bus_rsp_read_error) @[lsu_bus_buffer.scala 467:82] - buf_error_en[0] <= _T_3585 @[lsu_bus_buffer.scala 467:25] - node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 468:61] - node _T_3587 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 468:85] - node _T_3588 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 468:103] - node _T_3589 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 468:126] - node _T_3590 = mux(_T_3587, _T_3588, _T_3589) @[lsu_bus_buffer.scala 468:73] - node _T_3591 = mux(buf_error_en[0], _T_3586, _T_3590) @[lsu_bus_buffer.scala 468:30] - buf_data_in[0] <= _T_3591 @[lsu_bus_buffer.scala 468:24] - buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 469:20] + node _T_3559 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 461:60] + node _T_3560 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 461:89] + node _T_3561 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 461:124] + node _T_3562 = and(_T_3560, _T_3561) @[lsu_bus_buffer.scala 461:104] + node _T_3563 = mux(_T_3562, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 461:75] + node _T_3564 = mux(_T_3559, UInt<3>("h00"), _T_3563) @[lsu_bus_buffer.scala 461:31] + buf_nxtstate[0] <= _T_3564 @[lsu_bus_buffer.scala 461:25] + node _T_3565 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 462:48] + node _T_3566 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 462:104] + node _T_3567 = and(obuf_merge, _T_3566) @[lsu_bus_buffer.scala 462:91] + node _T_3568 = or(_T_3565, _T_3567) @[lsu_bus_buffer.scala 462:77] + node _T_3569 = and(_T_3568, obuf_valid) @[lsu_bus_buffer.scala 462:135] + node _T_3570 = and(_T_3569, obuf_wr_enQ) @[lsu_bus_buffer.scala 462:148] + buf_cmd_state_bus_en[0] <= _T_3570 @[lsu_bus_buffer.scala 462:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 463:29] + node _T_3571 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:49] + node _T_3572 = or(_T_3571, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 464:70] + buf_state_en[0] <= _T_3572 @[lsu_bus_buffer.scala 464:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 465:25] + node _T_3573 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 466:56] + node _T_3574 = eq(_T_3573, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:46] + node _T_3575 = and(buf_state_en[0], _T_3574) @[lsu_bus_buffer.scala 466:44] + node _T_3576 = and(_T_3575, obuf_nosend) @[lsu_bus_buffer.scala 466:60] + node _T_3577 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:76] + node _T_3578 = and(_T_3576, _T_3577) @[lsu_bus_buffer.scala 466:74] + buf_ldfwd_en[0] <= _T_3578 @[lsu_bus_buffer.scala 466:25] + node _T_3579 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 467:46] + buf_ldfwdtag_in[0] <= _T_3579 @[lsu_bus_buffer.scala 467:28] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:47] + node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 468:67] + node _T_3582 = and(_T_3581, bus_rsp_read) @[lsu_bus_buffer.scala 468:81] + buf_data_en[0] <= _T_3582 @[lsu_bus_buffer.scala 468:24] + node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 469:48] + node _T_3584 = and(_T_3583, obuf_nosend) @[lsu_bus_buffer.scala 469:68] + node _T_3585 = and(_T_3584, bus_rsp_read_error) @[lsu_bus_buffer.scala 469:82] + buf_error_en[0] <= _T_3585 @[lsu_bus_buffer.scala 469:25] + node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:61] + node _T_3587 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 470:85] + node _T_3588 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 470:103] + node _T_3589 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:126] + node _T_3590 = mux(_T_3587, _T_3588, _T_3589) @[lsu_bus_buffer.scala 470:73] + node _T_3591 = mux(buf_error_en[0], _T_3586, _T_3590) @[lsu_bus_buffer.scala 470:30] + buf_data_in[0] <= _T_3591 @[lsu_bus_buffer.scala 470:24] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 471:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3592 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3592 : @[Conditional.scala 39:67] - node _T_3593 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:69] - node _T_3594 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:75] - node _T_3595 = and(_T_3593, _T_3594) @[lsu_bus_buffer.scala 472:73] - node _T_3596 = or(io.dec_tlu_force_halt, _T_3595) @[lsu_bus_buffer.scala 472:57] - node _T_3597 = bits(_T_3596, 0, 0) @[lsu_bus_buffer.scala 472:104] - node _T_3598 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 473:30] - node _T_3599 = and(buf_dual[0], _T_3598) @[lsu_bus_buffer.scala 473:28] - node _T_3600 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 473:57] - node _T_3601 = eq(_T_3600, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:47] - node _T_3602 = and(_T_3599, _T_3601) @[lsu_bus_buffer.scala 473:45] - node _T_3603 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 473:90] - node _T_3604 = and(_T_3602, _T_3603) @[lsu_bus_buffer.scala 473:61] - node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 474:27] - node _T_3606 = or(_T_3605, any_done_wait_state) @[lsu_bus_buffer.scala 474:31] - node _T_3607 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:70] - node _T_3608 = and(buf_dual[0], _T_3607) @[lsu_bus_buffer.scala 474:68] - node _T_3609 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 474:97] - node _T_3610 = eq(_T_3609, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:87] - node _T_3611 = and(_T_3608, _T_3610) @[lsu_bus_buffer.scala 474:85] + node _T_3593 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 474:69] + node _T_3594 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:75] + node _T_3595 = and(_T_3593, _T_3594) @[lsu_bus_buffer.scala 474:73] + node _T_3596 = or(io.dec_tlu_force_halt, _T_3595) @[lsu_bus_buffer.scala 474:57] + node _T_3597 = bits(_T_3596, 0, 0) @[lsu_bus_buffer.scala 474:104] + node _T_3598 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 475:30] + node _T_3599 = and(buf_dual[0], _T_3598) @[lsu_bus_buffer.scala 475:28] + node _T_3600 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 475:57] + node _T_3601 = eq(_T_3600, UInt<1>("h00")) @[lsu_bus_buffer.scala 475:47] + node _T_3602 = and(_T_3599, _T_3601) @[lsu_bus_buffer.scala 475:45] + node _T_3603 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 475:90] + node _T_3604 = and(_T_3602, _T_3603) @[lsu_bus_buffer.scala 475:61] + node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 476:27] + node _T_3606 = or(_T_3605, any_done_wait_state) @[lsu_bus_buffer.scala 476:31] + node _T_3607 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 476:70] + node _T_3608 = and(buf_dual[0], _T_3607) @[lsu_bus_buffer.scala 476:68] + node _T_3609 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 476:97] + node _T_3610 = eq(_T_3609, UInt<1>("h00")) @[lsu_bus_buffer.scala 476:87] + node _T_3611 = and(_T_3608, _T_3610) @[lsu_bus_buffer.scala 476:85] node _T_3612 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 61:118] node _T_3613 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 61:129] node _T_3614 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 61:118] @@ -12789,275 +12779,275 @@ circuit lsu : node _T_3626 = or(_T_3625, _T_3623) @[Mux.scala 27:72] wire _T_3627 : UInt<1> @[Mux.scala 27:72] _T_3627 <= _T_3626 @[Mux.scala 27:72] - node _T_3628 = and(_T_3611, _T_3627) @[lsu_bus_buffer.scala 474:101] - node _T_3629 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:167] - node _T_3630 = and(_T_3628, _T_3629) @[lsu_bus_buffer.scala 474:138] - node _T_3631 = and(_T_3630, any_done_wait_state) @[lsu_bus_buffer.scala 474:187] - node _T_3632 = or(_T_3606, _T_3631) @[lsu_bus_buffer.scala 474:53] - node _T_3633 = mux(_T_3632, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 474:16] - node _T_3634 = mux(_T_3604, UInt<3>("h04"), _T_3633) @[lsu_bus_buffer.scala 473:14] - node _T_3635 = mux(_T_3597, UInt<3>("h00"), _T_3634) @[lsu_bus_buffer.scala 472:33] - buf_nxtstate[0] <= _T_3635 @[lsu_bus_buffer.scala 472:27] - node _T_3636 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 475:73] - node _T_3637 = and(bus_rsp_write, _T_3636) @[lsu_bus_buffer.scala 475:52] - node _T_3638 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 476:46] - node _T_3639 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 477:23] - node _T_3640 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 477:47] - node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 477:27] - node _T_3642 = or(_T_3638, _T_3641) @[lsu_bus_buffer.scala 476:77] - node _T_3643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 478:26] - node _T_3644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 478:54] - node _T_3645 = not(_T_3644) @[lsu_bus_buffer.scala 478:44] - node _T_3646 = and(_T_3643, _T_3645) @[lsu_bus_buffer.scala 478:42] - node _T_3647 = and(_T_3646, buf_samedw[0]) @[lsu_bus_buffer.scala 478:58] - node _T_3648 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 478:94] - node _T_3649 = and(_T_3647, _T_3648) @[lsu_bus_buffer.scala 478:74] - node _T_3650 = or(_T_3642, _T_3649) @[lsu_bus_buffer.scala 477:71] - node _T_3651 = and(bus_rsp_read, _T_3650) @[lsu_bus_buffer.scala 476:25] - node _T_3652 = or(_T_3637, _T_3651) @[lsu_bus_buffer.scala 475:105] - buf_resp_state_bus_en[0] <= _T_3652 @[lsu_bus_buffer.scala 475:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 479:29] - node _T_3653 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:49] - node _T_3654 = or(_T_3653, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 480:70] - buf_state_en[0] <= _T_3654 @[lsu_bus_buffer.scala 480:25] - node _T_3655 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 481:47] - node _T_3656 = and(_T_3655, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:62] - buf_data_en[0] <= _T_3656 @[lsu_bus_buffer.scala 481:24] - node _T_3657 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:48] - node _T_3658 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 482:111] - node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 482:91] - node _T_3660 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 483:42] - node _T_3661 = and(bus_rsp_read_error, _T_3660) @[lsu_bus_buffer.scala 483:31] - node _T_3662 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 483:66] - node _T_3663 = and(_T_3661, _T_3662) @[lsu_bus_buffer.scala 483:46] - node _T_3664 = or(_T_3659, _T_3663) @[lsu_bus_buffer.scala 482:143] - node _T_3665 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 484:54] - node _T_3666 = and(bus_rsp_write_error, _T_3665) @[lsu_bus_buffer.scala 484:33] - node _T_3667 = or(_T_3664, _T_3666) @[lsu_bus_buffer.scala 483:88] - node _T_3668 = and(_T_3657, _T_3667) @[lsu_bus_buffer.scala 482:68] - buf_error_en[0] <= _T_3668 @[lsu_bus_buffer.scala 482:25] - node _T_3669 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 485:50] - node _T_3670 = and(buf_state_en[0], _T_3669) @[lsu_bus_buffer.scala 485:48] - node _T_3671 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 485:84] - node _T_3672 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 485:102] - node _T_3673 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:125] - node _T_3674 = mux(_T_3671, _T_3672, _T_3673) @[lsu_bus_buffer.scala 485:72] - node _T_3675 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:148] - node _T_3676 = mux(_T_3670, _T_3674, _T_3675) @[lsu_bus_buffer.scala 485:30] - buf_data_in[0] <= _T_3676 @[lsu_bus_buffer.scala 485:24] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 486:34] - buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 487:20] + node _T_3628 = and(_T_3611, _T_3627) @[lsu_bus_buffer.scala 476:101] + node _T_3629 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 476:167] + node _T_3630 = and(_T_3628, _T_3629) @[lsu_bus_buffer.scala 476:138] + node _T_3631 = and(_T_3630, any_done_wait_state) @[lsu_bus_buffer.scala 476:187] + node _T_3632 = or(_T_3606, _T_3631) @[lsu_bus_buffer.scala 476:53] + node _T_3633 = mux(_T_3632, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 476:16] + node _T_3634 = mux(_T_3604, UInt<3>("h04"), _T_3633) @[lsu_bus_buffer.scala 475:14] + node _T_3635 = mux(_T_3597, UInt<3>("h00"), _T_3634) @[lsu_bus_buffer.scala 474:33] + buf_nxtstate[0] <= _T_3635 @[lsu_bus_buffer.scala 474:27] + node _T_3636 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 477:73] + node _T_3637 = and(bus_rsp_write, _T_3636) @[lsu_bus_buffer.scala 477:52] + node _T_3638 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 478:46] + node _T_3639 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 479:23] + node _T_3640 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 479:47] + node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 479:27] + node _T_3642 = or(_T_3638, _T_3641) @[lsu_bus_buffer.scala 478:77] + node _T_3643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 480:26] + node _T_3644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 480:54] + node _T_3645 = not(_T_3644) @[lsu_bus_buffer.scala 480:44] + node _T_3646 = and(_T_3643, _T_3645) @[lsu_bus_buffer.scala 480:42] + node _T_3647 = and(_T_3646, buf_samedw[0]) @[lsu_bus_buffer.scala 480:58] + node _T_3648 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 480:94] + node _T_3649 = and(_T_3647, _T_3648) @[lsu_bus_buffer.scala 480:74] + node _T_3650 = or(_T_3642, _T_3649) @[lsu_bus_buffer.scala 479:71] + node _T_3651 = and(bus_rsp_read, _T_3650) @[lsu_bus_buffer.scala 478:25] + node _T_3652 = or(_T_3637, _T_3651) @[lsu_bus_buffer.scala 477:105] + buf_resp_state_bus_en[0] <= _T_3652 @[lsu_bus_buffer.scala 477:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 481:29] + node _T_3653 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:49] + node _T_3654 = or(_T_3653, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 482:70] + buf_state_en[0] <= _T_3654 @[lsu_bus_buffer.scala 482:25] + node _T_3655 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 483:47] + node _T_3656 = and(_T_3655, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:62] + buf_data_en[0] <= _T_3656 @[lsu_bus_buffer.scala 483:24] + node _T_3657 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:48] + node _T_3658 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 484:111] + node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 484:91] + node _T_3660 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 485:42] + node _T_3661 = and(bus_rsp_read_error, _T_3660) @[lsu_bus_buffer.scala 485:31] + node _T_3662 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 485:66] + node _T_3663 = and(_T_3661, _T_3662) @[lsu_bus_buffer.scala 485:46] + node _T_3664 = or(_T_3659, _T_3663) @[lsu_bus_buffer.scala 484:143] + node _T_3665 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 486:54] + node _T_3666 = and(bus_rsp_write_error, _T_3665) @[lsu_bus_buffer.scala 486:33] + node _T_3667 = or(_T_3664, _T_3666) @[lsu_bus_buffer.scala 485:88] + node _T_3668 = and(_T_3657, _T_3667) @[lsu_bus_buffer.scala 484:68] + buf_error_en[0] <= _T_3668 @[lsu_bus_buffer.scala 484:25] + node _T_3669 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 487:50] + node _T_3670 = and(buf_state_en[0], _T_3669) @[lsu_bus_buffer.scala 487:48] + node _T_3671 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 487:84] + node _T_3672 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 487:102] + node _T_3673 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 487:125] + node _T_3674 = mux(_T_3671, _T_3672, _T_3673) @[lsu_bus_buffer.scala 487:72] + node _T_3675 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 487:148] + node _T_3676 = mux(_T_3670, _T_3674, _T_3675) @[lsu_bus_buffer.scala 487:30] + buf_data_in[0] <= _T_3676 @[lsu_bus_buffer.scala 487:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 489:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3677 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3677 : @[Conditional.scala 39:67] - node _T_3678 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 490:60] - node _T_3679 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 490:86] - node _T_3680 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 490:101] - node _T_3681 = bits(_T_3680, 0, 0) @[lsu_bus_buffer.scala 490:101] - node _T_3682 = or(_T_3679, _T_3681) @[lsu_bus_buffer.scala 490:90] - node _T_3683 = or(_T_3682, any_done_wait_state) @[lsu_bus_buffer.scala 490:118] - node _T_3684 = mux(_T_3683, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 490:75] - node _T_3685 = mux(_T_3678, UInt<3>("h00"), _T_3684) @[lsu_bus_buffer.scala 490:31] - buf_nxtstate[0] <= _T_3685 @[lsu_bus_buffer.scala 490:25] - node _T_3686 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 491:66] - node _T_3687 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 492:21] - node _T_3688 = bits(_T_3687, 0, 0) @[lsu_bus_buffer.scala 492:21] - node _T_3689 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 492:58] - node _T_3690 = and(_T_3688, _T_3689) @[lsu_bus_buffer.scala 492:38] - node _T_3691 = or(_T_3686, _T_3690) @[lsu_bus_buffer.scala 491:95] - node _T_3692 = and(bus_rsp_read, _T_3691) @[lsu_bus_buffer.scala 491:45] - buf_state_bus_en[0] <= _T_3692 @[lsu_bus_buffer.scala 491:29] - node _T_3693 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 493:49] - node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 493:70] - buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 493:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:34] - buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 495:20] + node _T_3678 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 492:60] + node _T_3679 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 492:86] + node _T_3680 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 492:101] + node _T_3681 = bits(_T_3680, 0, 0) @[lsu_bus_buffer.scala 492:101] + node _T_3682 = or(_T_3679, _T_3681) @[lsu_bus_buffer.scala 492:90] + node _T_3683 = or(_T_3682, any_done_wait_state) @[lsu_bus_buffer.scala 492:118] + node _T_3684 = mux(_T_3683, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 492:75] + node _T_3685 = mux(_T_3678, UInt<3>("h00"), _T_3684) @[lsu_bus_buffer.scala 492:31] + buf_nxtstate[0] <= _T_3685 @[lsu_bus_buffer.scala 492:25] + node _T_3686 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 493:66] + node _T_3687 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 494:21] + node _T_3688 = bits(_T_3687, 0, 0) @[lsu_bus_buffer.scala 494:21] + node _T_3689 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 494:58] + node _T_3690 = and(_T_3688, _T_3689) @[lsu_bus_buffer.scala 494:38] + node _T_3691 = or(_T_3686, _T_3690) @[lsu_bus_buffer.scala 493:95] + node _T_3692 = and(bus_rsp_read, _T_3691) @[lsu_bus_buffer.scala 493:45] + buf_state_bus_en[0] <= _T_3692 @[lsu_bus_buffer.scala 493:29] + node _T_3693 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 495:49] + node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:70] + buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 497:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3695 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3695 : @[Conditional.scala 39:67] - node _T_3696 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 498:60] - node _T_3697 = mux(_T_3696, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 498:31] - buf_nxtstate[0] <= _T_3697 @[lsu_bus_buffer.scala 498:25] - node _T_3698 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 499:37] - node _T_3699 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 499:98] - node _T_3700 = and(buf_dual[0], _T_3699) @[lsu_bus_buffer.scala 499:80] - node _T_3701 = or(_T_3698, _T_3700) @[lsu_bus_buffer.scala 499:65] - node _T_3702 = or(_T_3701, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 499:112] - buf_state_en[0] <= _T_3702 @[lsu_bus_buffer.scala 499:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 500:34] - buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 501:20] + node _T_3696 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 500:60] + node _T_3697 = mux(_T_3696, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 500:31] + buf_nxtstate[0] <= _T_3697 @[lsu_bus_buffer.scala 500:25] + node _T_3698 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 501:37] + node _T_3699 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 501:98] + node _T_3700 = and(buf_dual[0], _T_3699) @[lsu_bus_buffer.scala 501:80] + node _T_3701 = or(_T_3698, _T_3700) @[lsu_bus_buffer.scala 501:65] + node _T_3702 = or(_T_3701, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 501:112] + buf_state_en[0] <= _T_3702 @[lsu_bus_buffer.scala 501:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 503:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3703 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3703 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 504:25] - buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 505:20] - buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 506:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 508:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 509:34] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 506:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 507:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 509:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 510:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 511:34] skip @[Conditional.scala 39:67] - node _T_3704 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 512:108] + node _T_3704 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 514:108] reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3704 : @[Reg.scala 28:19] _T_3705 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3705 @[lsu_bus_buffer.scala 512:18] - reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 513:60] - _T_3706 <= buf_age_in_0 @[lsu_bus_buffer.scala 513:60] - buf_ageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 513:17] - reg _T_3707 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 514:63] - _T_3707 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 514:63] - buf_rspageQ[0] <= _T_3707 @[lsu_bus_buffer.scala 514:20] - node _T_3708 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:109] + buf_state[0] <= _T_3705 @[lsu_bus_buffer.scala 514:18] + reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 515:60] + _T_3706 <= buf_age_in_0 @[lsu_bus_buffer.scala 515:60] + buf_ageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 515:17] + reg _T_3707 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 516:63] + _T_3707 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 516:63] + buf_rspageQ[0] <= _T_3707 @[lsu_bus_buffer.scala 516:20] + node _T_3708 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 517:109] reg _T_3709 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3708 : @[Reg.scala 28:19] _T_3709 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3709 @[lsu_bus_buffer.scala 515:20] - node _T_3710 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 516:74] - node _T_3711 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:107] + buf_dualtag[0] <= _T_3709 @[lsu_bus_buffer.scala 517:20] + node _T_3710 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 518:74] + node _T_3711 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 518:107] reg _T_3712 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3711 : @[Reg.scala 28:19] _T_3712 <= _T_3710 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3712 @[lsu_bus_buffer.scala 516:17] - node _T_3713 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 517:78] - node _T_3714 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 517:111] + buf_dual[0] <= _T_3712 @[lsu_bus_buffer.scala 518:17] + node _T_3713 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 519:78] + node _T_3714 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 519:111] reg _T_3715 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3714 : @[Reg.scala 28:19] _T_3715 <= _T_3713 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3715 @[lsu_bus_buffer.scala 517:19] - node _T_3716 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 518:80] - node _T_3717 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 518:113] + buf_samedw[0] <= _T_3715 @[lsu_bus_buffer.scala 519:19] + node _T_3716 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 520:80] + node _T_3717 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 520:113] reg _T_3718 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3717 : @[Reg.scala 28:19] _T_3718 <= _T_3716 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3718 @[lsu_bus_buffer.scala 518:20] - node _T_3719 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 519:78] - node _T_3720 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 519:111] + buf_nomerge[0] <= _T_3718 @[lsu_bus_buffer.scala 520:20] + node _T_3719 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 521:78] + node _T_3720 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 521:111] reg _T_3721 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3720 : @[Reg.scala 28:19] _T_3721 <= _T_3719 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3721 @[lsu_bus_buffer.scala 519:19] + buf_dualhi[0] <= _T_3721 @[lsu_bus_buffer.scala 521:19] node _T_3722 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3722 : @[Conditional.scala 40:58] - node _T_3723 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 444:56] - node _T_3724 = mux(_T_3723, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 444:31] - buf_nxtstate[1] <= _T_3724 @[lsu_bus_buffer.scala 444:25] - node _T_3725 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 445:45] - node _T_3726 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 445:77] - node _T_3727 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 445:97] - node _T_3728 = and(_T_3726, _T_3727) @[lsu_bus_buffer.scala 445:95] - node _T_3729 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 445:117] - node _T_3730 = and(_T_3728, _T_3729) @[lsu_bus_buffer.scala 445:112] - node _T_3731 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 445:144] - node _T_3732 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 445:166] - node _T_3733 = and(_T_3731, _T_3732) @[lsu_bus_buffer.scala 445:161] - node _T_3734 = or(_T_3730, _T_3733) @[lsu_bus_buffer.scala 445:132] - node _T_3735 = and(_T_3725, _T_3734) @[lsu_bus_buffer.scala 445:63] - node _T_3736 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 445:206] - node _T_3737 = and(ibuf_drain_vld, _T_3736) @[lsu_bus_buffer.scala 445:201] - node _T_3738 = or(_T_3735, _T_3737) @[lsu_bus_buffer.scala 445:183] - buf_state_en[1] <= _T_3738 @[lsu_bus_buffer.scala 445:25] - buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 446:22] - buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 447:24] - node _T_3739 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 448:52] - node _T_3740 = and(ibuf_drain_vld, _T_3739) @[lsu_bus_buffer.scala 448:47] - node _T_3741 = bits(_T_3740, 0, 0) @[lsu_bus_buffer.scala 448:73] - node _T_3742 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 448:90] - node _T_3743 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 448:114] - node _T_3744 = mux(_T_3741, _T_3742, _T_3743) @[lsu_bus_buffer.scala 448:30] - buf_data_in[1] <= _T_3744 @[lsu_bus_buffer.scala 448:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 449:34] - buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 450:21] + node _T_3723 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 446:56] + node _T_3724 = mux(_T_3723, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 446:31] + buf_nxtstate[1] <= _T_3724 @[lsu_bus_buffer.scala 446:25] + node _T_3725 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 447:45] + node _T_3726 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 447:77] + node _T_3727 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 447:97] + node _T_3728 = and(_T_3726, _T_3727) @[lsu_bus_buffer.scala 447:95] + node _T_3729 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 447:117] + node _T_3730 = and(_T_3728, _T_3729) @[lsu_bus_buffer.scala 447:112] + node _T_3731 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 447:144] + node _T_3732 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 447:166] + node _T_3733 = and(_T_3731, _T_3732) @[lsu_bus_buffer.scala 447:161] + node _T_3734 = or(_T_3730, _T_3733) @[lsu_bus_buffer.scala 447:132] + node _T_3735 = and(_T_3725, _T_3734) @[lsu_bus_buffer.scala 447:63] + node _T_3736 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 447:206] + node _T_3737 = and(ibuf_drain_vld, _T_3736) @[lsu_bus_buffer.scala 447:201] + node _T_3738 = or(_T_3735, _T_3737) @[lsu_bus_buffer.scala 447:183] + buf_state_en[1] <= _T_3738 @[lsu_bus_buffer.scala 447:25] + buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 448:22] + buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 449:24] + node _T_3739 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 450:52] + node _T_3740 = and(ibuf_drain_vld, _T_3739) @[lsu_bus_buffer.scala 450:47] + node _T_3741 = bits(_T_3740, 0, 0) @[lsu_bus_buffer.scala 450:73] + node _T_3742 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 450:90] + node _T_3743 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 450:114] + node _T_3744 = mux(_T_3741, _T_3742, _T_3743) @[lsu_bus_buffer.scala 450:30] + buf_data_in[1] <= _T_3744 @[lsu_bus_buffer.scala 450:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 451:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 452:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3745 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3745 : @[Conditional.scala 39:67] - node _T_3746 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] - node _T_3747 = mux(_T_3746, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] - buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 453:25] - node _T_3748 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] - buf_state_en[1] <= _T_3748 @[lsu_bus_buffer.scala 454:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] - buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 456:20] + node _T_3746 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] + node _T_3747 = mux(_T_3746, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 455:31] + buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 455:25] + node _T_3748 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:46] + buf_state_en[1] <= _T_3748 @[lsu_bus_buffer.scala 456:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 457:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 458:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3749 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3749 : @[Conditional.scala 39:67] - node _T_3750 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 459:60] - node _T_3751 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 459:89] - node _T_3752 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 459:124] - node _T_3753 = and(_T_3751, _T_3752) @[lsu_bus_buffer.scala 459:104] - node _T_3754 = mux(_T_3753, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 459:75] - node _T_3755 = mux(_T_3750, UInt<3>("h00"), _T_3754) @[lsu_bus_buffer.scala 459:31] - buf_nxtstate[1] <= _T_3755 @[lsu_bus_buffer.scala 459:25] - node _T_3756 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 460:48] - node _T_3757 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 460:104] - node _T_3758 = and(obuf_merge, _T_3757) @[lsu_bus_buffer.scala 460:91] - node _T_3759 = or(_T_3756, _T_3758) @[lsu_bus_buffer.scala 460:77] - node _T_3760 = and(_T_3759, obuf_valid) @[lsu_bus_buffer.scala 460:135] - node _T_3761 = and(_T_3760, obuf_wr_enQ) @[lsu_bus_buffer.scala 460:148] - buf_cmd_state_bus_en[1] <= _T_3761 @[lsu_bus_buffer.scala 460:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 461:29] - node _T_3762 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:49] - node _T_3763 = or(_T_3762, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 462:70] - buf_state_en[1] <= _T_3763 @[lsu_bus_buffer.scala 462:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 463:25] - node _T_3764 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 464:56] - node _T_3765 = eq(_T_3764, UInt<1>("h00")) @[lsu_bus_buffer.scala 464:46] - node _T_3766 = and(buf_state_en[1], _T_3765) @[lsu_bus_buffer.scala 464:44] - node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 464:60] - node _T_3768 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 464:76] - node _T_3769 = and(_T_3767, _T_3768) @[lsu_bus_buffer.scala 464:74] - buf_ldfwd_en[1] <= _T_3769 @[lsu_bus_buffer.scala 464:25] - node _T_3770 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 465:46] - buf_ldfwdtag_in[1] <= _T_3770 @[lsu_bus_buffer.scala 465:28] - node _T_3771 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:47] - node _T_3772 = and(_T_3771, obuf_nosend) @[lsu_bus_buffer.scala 466:67] - node _T_3773 = and(_T_3772, bus_rsp_read) @[lsu_bus_buffer.scala 466:81] - buf_data_en[1] <= _T_3773 @[lsu_bus_buffer.scala 466:24] - node _T_3774 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 467:48] - node _T_3775 = and(_T_3774, obuf_nosend) @[lsu_bus_buffer.scala 467:68] - node _T_3776 = and(_T_3775, bus_rsp_read_error) @[lsu_bus_buffer.scala 467:82] - buf_error_en[1] <= _T_3776 @[lsu_bus_buffer.scala 467:25] - node _T_3777 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 468:61] - node _T_3778 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 468:85] - node _T_3779 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 468:103] - node _T_3780 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 468:126] - node _T_3781 = mux(_T_3778, _T_3779, _T_3780) @[lsu_bus_buffer.scala 468:73] - node _T_3782 = mux(buf_error_en[1], _T_3777, _T_3781) @[lsu_bus_buffer.scala 468:30] - buf_data_in[1] <= _T_3782 @[lsu_bus_buffer.scala 468:24] - buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 469:20] + node _T_3750 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 461:60] + node _T_3751 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 461:89] + node _T_3752 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 461:124] + node _T_3753 = and(_T_3751, _T_3752) @[lsu_bus_buffer.scala 461:104] + node _T_3754 = mux(_T_3753, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 461:75] + node _T_3755 = mux(_T_3750, UInt<3>("h00"), _T_3754) @[lsu_bus_buffer.scala 461:31] + buf_nxtstate[1] <= _T_3755 @[lsu_bus_buffer.scala 461:25] + node _T_3756 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 462:48] + node _T_3757 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 462:104] + node _T_3758 = and(obuf_merge, _T_3757) @[lsu_bus_buffer.scala 462:91] + node _T_3759 = or(_T_3756, _T_3758) @[lsu_bus_buffer.scala 462:77] + node _T_3760 = and(_T_3759, obuf_valid) @[lsu_bus_buffer.scala 462:135] + node _T_3761 = and(_T_3760, obuf_wr_enQ) @[lsu_bus_buffer.scala 462:148] + buf_cmd_state_bus_en[1] <= _T_3761 @[lsu_bus_buffer.scala 462:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 463:29] + node _T_3762 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:49] + node _T_3763 = or(_T_3762, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 464:70] + buf_state_en[1] <= _T_3763 @[lsu_bus_buffer.scala 464:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 465:25] + node _T_3764 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 466:56] + node _T_3765 = eq(_T_3764, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:46] + node _T_3766 = and(buf_state_en[1], _T_3765) @[lsu_bus_buffer.scala 466:44] + node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 466:60] + node _T_3768 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:76] + node _T_3769 = and(_T_3767, _T_3768) @[lsu_bus_buffer.scala 466:74] + buf_ldfwd_en[1] <= _T_3769 @[lsu_bus_buffer.scala 466:25] + node _T_3770 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 467:46] + buf_ldfwdtag_in[1] <= _T_3770 @[lsu_bus_buffer.scala 467:28] + node _T_3771 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:47] + node _T_3772 = and(_T_3771, obuf_nosend) @[lsu_bus_buffer.scala 468:67] + node _T_3773 = and(_T_3772, bus_rsp_read) @[lsu_bus_buffer.scala 468:81] + buf_data_en[1] <= _T_3773 @[lsu_bus_buffer.scala 468:24] + node _T_3774 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 469:48] + node _T_3775 = and(_T_3774, obuf_nosend) @[lsu_bus_buffer.scala 469:68] + node _T_3776 = and(_T_3775, bus_rsp_read_error) @[lsu_bus_buffer.scala 469:82] + buf_error_en[1] <= _T_3776 @[lsu_bus_buffer.scala 469:25] + node _T_3777 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:61] + node _T_3778 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 470:85] + node _T_3779 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 470:103] + node _T_3780 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:126] + node _T_3781 = mux(_T_3778, _T_3779, _T_3780) @[lsu_bus_buffer.scala 470:73] + node _T_3782 = mux(buf_error_en[1], _T_3777, _T_3781) @[lsu_bus_buffer.scala 470:30] + buf_data_in[1] <= _T_3782 @[lsu_bus_buffer.scala 470:24] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 471:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3783 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3783 : @[Conditional.scala 39:67] - node _T_3784 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:69] - node _T_3785 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:75] - node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 472:73] - node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 472:57] - node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 472:104] - node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 473:30] - node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 473:28] - node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 473:57] - node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:47] - node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 473:45] - node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 473:90] - node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 473:61] - node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 474:27] - node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 474:31] - node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:70] - node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 474:68] - node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 474:97] - node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:87] - node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 474:85] + node _T_3784 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 474:69] + node _T_3785 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:75] + node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 474:73] + node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 474:57] + node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 474:104] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 475:30] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 475:28] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 475:57] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 475:47] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 475:45] + node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 475:90] + node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 475:61] + node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 476:27] + node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 476:31] + node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 476:70] + node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 476:68] + node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 476:97] + node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 476:87] + node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 476:85] node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 61:118] node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 61:129] node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 61:118] @@ -13075,275 +13065,275 @@ circuit lsu : node _T_3817 = or(_T_3816, _T_3814) @[Mux.scala 27:72] wire _T_3818 : UInt<1> @[Mux.scala 27:72] _T_3818 <= _T_3817 @[Mux.scala 27:72] - node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 474:101] - node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:167] - node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 474:138] - node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 474:187] - node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 474:53] - node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 474:16] - node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 473:14] - node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 472:33] - buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 472:27] - node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 475:73] - node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 475:52] - node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 476:46] - node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 477:23] - node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 477:47] - node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 477:27] - node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 476:77] - node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 478:26] - node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 478:54] - node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 478:44] - node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 478:42] - node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 478:58] - node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 478:94] - node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 478:74] - node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 477:71] - node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 476:25] - node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 475:105] - buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 475:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 479:29] - node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:49] - node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 480:70] - buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 480:25] - node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 481:47] - node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:62] - buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 481:24] - node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:48] - node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 482:111] - node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 482:91] - node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 483:42] - node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 483:31] - node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 483:66] - node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 483:46] - node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 482:143] - node _T_3856 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 484:54] - node _T_3857 = and(bus_rsp_write_error, _T_3856) @[lsu_bus_buffer.scala 484:33] - node _T_3858 = or(_T_3855, _T_3857) @[lsu_bus_buffer.scala 483:88] - node _T_3859 = and(_T_3848, _T_3858) @[lsu_bus_buffer.scala 482:68] - buf_error_en[1] <= _T_3859 @[lsu_bus_buffer.scala 482:25] - node _T_3860 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 485:50] - node _T_3861 = and(buf_state_en[1], _T_3860) @[lsu_bus_buffer.scala 485:48] - node _T_3862 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 485:84] - node _T_3863 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 485:102] - node _T_3864 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:125] - node _T_3865 = mux(_T_3862, _T_3863, _T_3864) @[lsu_bus_buffer.scala 485:72] - node _T_3866 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:148] - node _T_3867 = mux(_T_3861, _T_3865, _T_3866) @[lsu_bus_buffer.scala 485:30] - buf_data_in[1] <= _T_3867 @[lsu_bus_buffer.scala 485:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 486:34] - buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 487:20] + node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 476:101] + node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 476:167] + node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 476:138] + node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 476:187] + node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 476:53] + node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 476:16] + node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 475:14] + node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 474:33] + buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 474:27] + node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 477:73] + node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 477:52] + node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 478:46] + node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 479:23] + node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 479:47] + node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 479:27] + node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 478:77] + node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 480:26] + node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 480:54] + node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 480:44] + node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 480:42] + node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 480:58] + node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 480:94] + node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 480:74] + node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 479:71] + node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 478:25] + node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 477:105] + buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 477:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 481:29] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:49] + node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 482:70] + buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 482:25] + node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 483:47] + node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:62] + buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 483:24] + node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:48] + node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 484:111] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 484:91] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 485:42] + node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 485:31] + node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 485:66] + node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 485:46] + node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 484:143] + node _T_3856 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 486:54] + node _T_3857 = and(bus_rsp_write_error, _T_3856) @[lsu_bus_buffer.scala 486:33] + node _T_3858 = or(_T_3855, _T_3857) @[lsu_bus_buffer.scala 485:88] + node _T_3859 = and(_T_3848, _T_3858) @[lsu_bus_buffer.scala 484:68] + buf_error_en[1] <= _T_3859 @[lsu_bus_buffer.scala 484:25] + node _T_3860 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 487:50] + node _T_3861 = and(buf_state_en[1], _T_3860) @[lsu_bus_buffer.scala 487:48] + node _T_3862 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 487:84] + node _T_3863 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 487:102] + node _T_3864 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 487:125] + node _T_3865 = mux(_T_3862, _T_3863, _T_3864) @[lsu_bus_buffer.scala 487:72] + node _T_3866 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 487:148] + node _T_3867 = mux(_T_3861, _T_3865, _T_3866) @[lsu_bus_buffer.scala 487:30] + buf_data_in[1] <= _T_3867 @[lsu_bus_buffer.scala 487:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 489:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3868 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3868 : @[Conditional.scala 39:67] - node _T_3869 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 490:60] - node _T_3870 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 490:86] - node _T_3871 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 490:101] - node _T_3872 = bits(_T_3871, 0, 0) @[lsu_bus_buffer.scala 490:101] - node _T_3873 = or(_T_3870, _T_3872) @[lsu_bus_buffer.scala 490:90] - node _T_3874 = or(_T_3873, any_done_wait_state) @[lsu_bus_buffer.scala 490:118] - node _T_3875 = mux(_T_3874, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 490:75] - node _T_3876 = mux(_T_3869, UInt<3>("h00"), _T_3875) @[lsu_bus_buffer.scala 490:31] - buf_nxtstate[1] <= _T_3876 @[lsu_bus_buffer.scala 490:25] - node _T_3877 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 491:66] - node _T_3878 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 492:21] - node _T_3879 = bits(_T_3878, 0, 0) @[lsu_bus_buffer.scala 492:21] - node _T_3880 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 492:58] - node _T_3881 = and(_T_3879, _T_3880) @[lsu_bus_buffer.scala 492:38] - node _T_3882 = or(_T_3877, _T_3881) @[lsu_bus_buffer.scala 491:95] - node _T_3883 = and(bus_rsp_read, _T_3882) @[lsu_bus_buffer.scala 491:45] - buf_state_bus_en[1] <= _T_3883 @[lsu_bus_buffer.scala 491:29] - node _T_3884 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 493:49] - node _T_3885 = or(_T_3884, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 493:70] - buf_state_en[1] <= _T_3885 @[lsu_bus_buffer.scala 493:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:34] - buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 495:20] + node _T_3869 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 492:60] + node _T_3870 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 492:86] + node _T_3871 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 492:101] + node _T_3872 = bits(_T_3871, 0, 0) @[lsu_bus_buffer.scala 492:101] + node _T_3873 = or(_T_3870, _T_3872) @[lsu_bus_buffer.scala 492:90] + node _T_3874 = or(_T_3873, any_done_wait_state) @[lsu_bus_buffer.scala 492:118] + node _T_3875 = mux(_T_3874, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 492:75] + node _T_3876 = mux(_T_3869, UInt<3>("h00"), _T_3875) @[lsu_bus_buffer.scala 492:31] + buf_nxtstate[1] <= _T_3876 @[lsu_bus_buffer.scala 492:25] + node _T_3877 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 493:66] + node _T_3878 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 494:21] + node _T_3879 = bits(_T_3878, 0, 0) @[lsu_bus_buffer.scala 494:21] + node _T_3880 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 494:58] + node _T_3881 = and(_T_3879, _T_3880) @[lsu_bus_buffer.scala 494:38] + node _T_3882 = or(_T_3877, _T_3881) @[lsu_bus_buffer.scala 493:95] + node _T_3883 = and(bus_rsp_read, _T_3882) @[lsu_bus_buffer.scala 493:45] + buf_state_bus_en[1] <= _T_3883 @[lsu_bus_buffer.scala 493:29] + node _T_3884 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 495:49] + node _T_3885 = or(_T_3884, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:70] + buf_state_en[1] <= _T_3885 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 497:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3886 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3886 : @[Conditional.scala 39:67] - node _T_3887 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 498:60] - node _T_3888 = mux(_T_3887, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 498:31] - buf_nxtstate[1] <= _T_3888 @[lsu_bus_buffer.scala 498:25] - node _T_3889 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 499:37] - node _T_3890 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 499:98] - node _T_3891 = and(buf_dual[1], _T_3890) @[lsu_bus_buffer.scala 499:80] - node _T_3892 = or(_T_3889, _T_3891) @[lsu_bus_buffer.scala 499:65] - node _T_3893 = or(_T_3892, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 499:112] - buf_state_en[1] <= _T_3893 @[lsu_bus_buffer.scala 499:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 500:34] - buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 501:20] + node _T_3887 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 500:60] + node _T_3888 = mux(_T_3887, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 500:31] + buf_nxtstate[1] <= _T_3888 @[lsu_bus_buffer.scala 500:25] + node _T_3889 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 501:37] + node _T_3890 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 501:98] + node _T_3891 = and(buf_dual[1], _T_3890) @[lsu_bus_buffer.scala 501:80] + node _T_3892 = or(_T_3889, _T_3891) @[lsu_bus_buffer.scala 501:65] + node _T_3893 = or(_T_3892, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 501:112] + buf_state_en[1] <= _T_3893 @[lsu_bus_buffer.scala 501:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 503:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3894 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3894 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 504:25] - buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 505:20] - buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 506:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 508:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 509:34] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 506:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 507:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 509:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 510:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 511:34] skip @[Conditional.scala 39:67] - node _T_3895 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 512:108] + node _T_3895 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 514:108] reg _T_3896 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3895 : @[Reg.scala 28:19] _T_3896 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3896 @[lsu_bus_buffer.scala 512:18] - reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 513:60] - _T_3897 <= buf_age_in_1 @[lsu_bus_buffer.scala 513:60] - buf_ageQ[1] <= _T_3897 @[lsu_bus_buffer.scala 513:17] - reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 514:63] - _T_3898 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 514:63] - buf_rspageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 514:20] - node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:109] + buf_state[1] <= _T_3896 @[lsu_bus_buffer.scala 514:18] + reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 515:60] + _T_3897 <= buf_age_in_1 @[lsu_bus_buffer.scala 515:60] + buf_ageQ[1] <= _T_3897 @[lsu_bus_buffer.scala 515:17] + reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 516:63] + _T_3898 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 516:63] + buf_rspageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 516:20] + node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 517:109] reg _T_3900 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3899 : @[Reg.scala 28:19] _T_3900 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3900 @[lsu_bus_buffer.scala 515:20] - node _T_3901 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 516:74] - node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:107] + buf_dualtag[1] <= _T_3900 @[lsu_bus_buffer.scala 517:20] + node _T_3901 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 518:74] + node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 518:107] reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3902 : @[Reg.scala 28:19] _T_3903 <= _T_3901 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3903 @[lsu_bus_buffer.scala 516:17] - node _T_3904 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 517:78] - node _T_3905 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 517:111] + buf_dual[1] <= _T_3903 @[lsu_bus_buffer.scala 518:17] + node _T_3904 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 519:78] + node _T_3905 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 519:111] reg _T_3906 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3905 : @[Reg.scala 28:19] _T_3906 <= _T_3904 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3906 @[lsu_bus_buffer.scala 517:19] - node _T_3907 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 518:80] - node _T_3908 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 518:113] + buf_samedw[1] <= _T_3906 @[lsu_bus_buffer.scala 519:19] + node _T_3907 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 520:80] + node _T_3908 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 520:113] reg _T_3909 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3908 : @[Reg.scala 28:19] _T_3909 <= _T_3907 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3909 @[lsu_bus_buffer.scala 518:20] - node _T_3910 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 519:78] - node _T_3911 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 519:111] + buf_nomerge[1] <= _T_3909 @[lsu_bus_buffer.scala 520:20] + node _T_3910 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 521:78] + node _T_3911 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 521:111] reg _T_3912 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3911 : @[Reg.scala 28:19] _T_3912 <= _T_3910 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3912 @[lsu_bus_buffer.scala 519:19] + buf_dualhi[1] <= _T_3912 @[lsu_bus_buffer.scala 521:19] node _T_3913 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3913 : @[Conditional.scala 40:58] - node _T_3914 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 444:56] - node _T_3915 = mux(_T_3914, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 444:31] - buf_nxtstate[2] <= _T_3915 @[lsu_bus_buffer.scala 444:25] - node _T_3916 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 445:45] - node _T_3917 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 445:77] - node _T_3918 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 445:97] - node _T_3919 = and(_T_3917, _T_3918) @[lsu_bus_buffer.scala 445:95] - node _T_3920 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 445:117] - node _T_3921 = and(_T_3919, _T_3920) @[lsu_bus_buffer.scala 445:112] - node _T_3922 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 445:144] - node _T_3923 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 445:166] - node _T_3924 = and(_T_3922, _T_3923) @[lsu_bus_buffer.scala 445:161] - node _T_3925 = or(_T_3921, _T_3924) @[lsu_bus_buffer.scala 445:132] - node _T_3926 = and(_T_3916, _T_3925) @[lsu_bus_buffer.scala 445:63] - node _T_3927 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 445:206] - node _T_3928 = and(ibuf_drain_vld, _T_3927) @[lsu_bus_buffer.scala 445:201] - node _T_3929 = or(_T_3926, _T_3928) @[lsu_bus_buffer.scala 445:183] - buf_state_en[2] <= _T_3929 @[lsu_bus_buffer.scala 445:25] - buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 446:22] - buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 447:24] - node _T_3930 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 448:52] - node _T_3931 = and(ibuf_drain_vld, _T_3930) @[lsu_bus_buffer.scala 448:47] - node _T_3932 = bits(_T_3931, 0, 0) @[lsu_bus_buffer.scala 448:73] - node _T_3933 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 448:90] - node _T_3934 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 448:114] - node _T_3935 = mux(_T_3932, _T_3933, _T_3934) @[lsu_bus_buffer.scala 448:30] - buf_data_in[2] <= _T_3935 @[lsu_bus_buffer.scala 448:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 449:34] - buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 450:21] + node _T_3914 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 446:56] + node _T_3915 = mux(_T_3914, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 446:31] + buf_nxtstate[2] <= _T_3915 @[lsu_bus_buffer.scala 446:25] + node _T_3916 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 447:45] + node _T_3917 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 447:77] + node _T_3918 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 447:97] + node _T_3919 = and(_T_3917, _T_3918) @[lsu_bus_buffer.scala 447:95] + node _T_3920 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 447:117] + node _T_3921 = and(_T_3919, _T_3920) @[lsu_bus_buffer.scala 447:112] + node _T_3922 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 447:144] + node _T_3923 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 447:166] + node _T_3924 = and(_T_3922, _T_3923) @[lsu_bus_buffer.scala 447:161] + node _T_3925 = or(_T_3921, _T_3924) @[lsu_bus_buffer.scala 447:132] + node _T_3926 = and(_T_3916, _T_3925) @[lsu_bus_buffer.scala 447:63] + node _T_3927 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 447:206] + node _T_3928 = and(ibuf_drain_vld, _T_3927) @[lsu_bus_buffer.scala 447:201] + node _T_3929 = or(_T_3926, _T_3928) @[lsu_bus_buffer.scala 447:183] + buf_state_en[2] <= _T_3929 @[lsu_bus_buffer.scala 447:25] + buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 448:22] + buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 449:24] + node _T_3930 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 450:52] + node _T_3931 = and(ibuf_drain_vld, _T_3930) @[lsu_bus_buffer.scala 450:47] + node _T_3932 = bits(_T_3931, 0, 0) @[lsu_bus_buffer.scala 450:73] + node _T_3933 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 450:90] + node _T_3934 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 450:114] + node _T_3935 = mux(_T_3932, _T_3933, _T_3934) @[lsu_bus_buffer.scala 450:30] + buf_data_in[2] <= _T_3935 @[lsu_bus_buffer.scala 450:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 451:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 452:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3936 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3936 : @[Conditional.scala 39:67] - node _T_3937 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] - node _T_3938 = mux(_T_3937, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] - buf_nxtstate[2] <= _T_3938 @[lsu_bus_buffer.scala 453:25] - node _T_3939 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] - buf_state_en[2] <= _T_3939 @[lsu_bus_buffer.scala 454:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] - buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 456:20] + node _T_3937 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] + node _T_3938 = mux(_T_3937, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 455:31] + buf_nxtstate[2] <= _T_3938 @[lsu_bus_buffer.scala 455:25] + node _T_3939 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:46] + buf_state_en[2] <= _T_3939 @[lsu_bus_buffer.scala 456:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 457:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 458:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3940 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3940 : @[Conditional.scala 39:67] - node _T_3941 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 459:60] - node _T_3942 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 459:89] - node _T_3943 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 459:124] - node _T_3944 = and(_T_3942, _T_3943) @[lsu_bus_buffer.scala 459:104] - node _T_3945 = mux(_T_3944, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 459:75] - node _T_3946 = mux(_T_3941, UInt<3>("h00"), _T_3945) @[lsu_bus_buffer.scala 459:31] - buf_nxtstate[2] <= _T_3946 @[lsu_bus_buffer.scala 459:25] - node _T_3947 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 460:48] - node _T_3948 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 460:104] - node _T_3949 = and(obuf_merge, _T_3948) @[lsu_bus_buffer.scala 460:91] - node _T_3950 = or(_T_3947, _T_3949) @[lsu_bus_buffer.scala 460:77] - node _T_3951 = and(_T_3950, obuf_valid) @[lsu_bus_buffer.scala 460:135] - node _T_3952 = and(_T_3951, obuf_wr_enQ) @[lsu_bus_buffer.scala 460:148] - buf_cmd_state_bus_en[2] <= _T_3952 @[lsu_bus_buffer.scala 460:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 461:29] - node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:49] - node _T_3954 = or(_T_3953, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 462:70] - buf_state_en[2] <= _T_3954 @[lsu_bus_buffer.scala 462:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 463:25] - node _T_3955 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 464:56] - node _T_3956 = eq(_T_3955, UInt<1>("h00")) @[lsu_bus_buffer.scala 464:46] - node _T_3957 = and(buf_state_en[2], _T_3956) @[lsu_bus_buffer.scala 464:44] - node _T_3958 = and(_T_3957, obuf_nosend) @[lsu_bus_buffer.scala 464:60] - node _T_3959 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 464:76] - node _T_3960 = and(_T_3958, _T_3959) @[lsu_bus_buffer.scala 464:74] - buf_ldfwd_en[2] <= _T_3960 @[lsu_bus_buffer.scala 464:25] - node _T_3961 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 465:46] - buf_ldfwdtag_in[2] <= _T_3961 @[lsu_bus_buffer.scala 465:28] - node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:47] - node _T_3963 = and(_T_3962, obuf_nosend) @[lsu_bus_buffer.scala 466:67] - node _T_3964 = and(_T_3963, bus_rsp_read) @[lsu_bus_buffer.scala 466:81] - buf_data_en[2] <= _T_3964 @[lsu_bus_buffer.scala 466:24] - node _T_3965 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 467:48] - node _T_3966 = and(_T_3965, obuf_nosend) @[lsu_bus_buffer.scala 467:68] - node _T_3967 = and(_T_3966, bus_rsp_read_error) @[lsu_bus_buffer.scala 467:82] - buf_error_en[2] <= _T_3967 @[lsu_bus_buffer.scala 467:25] - node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 468:61] - node _T_3969 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 468:85] - node _T_3970 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 468:103] - node _T_3971 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 468:126] - node _T_3972 = mux(_T_3969, _T_3970, _T_3971) @[lsu_bus_buffer.scala 468:73] - node _T_3973 = mux(buf_error_en[2], _T_3968, _T_3972) @[lsu_bus_buffer.scala 468:30] - buf_data_in[2] <= _T_3973 @[lsu_bus_buffer.scala 468:24] - buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 469:20] + node _T_3941 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 461:60] + node _T_3942 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 461:89] + node _T_3943 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 461:124] + node _T_3944 = and(_T_3942, _T_3943) @[lsu_bus_buffer.scala 461:104] + node _T_3945 = mux(_T_3944, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 461:75] + node _T_3946 = mux(_T_3941, UInt<3>("h00"), _T_3945) @[lsu_bus_buffer.scala 461:31] + buf_nxtstate[2] <= _T_3946 @[lsu_bus_buffer.scala 461:25] + node _T_3947 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 462:48] + node _T_3948 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 462:104] + node _T_3949 = and(obuf_merge, _T_3948) @[lsu_bus_buffer.scala 462:91] + node _T_3950 = or(_T_3947, _T_3949) @[lsu_bus_buffer.scala 462:77] + node _T_3951 = and(_T_3950, obuf_valid) @[lsu_bus_buffer.scala 462:135] + node _T_3952 = and(_T_3951, obuf_wr_enQ) @[lsu_bus_buffer.scala 462:148] + buf_cmd_state_bus_en[2] <= _T_3952 @[lsu_bus_buffer.scala 462:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 463:29] + node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:49] + node _T_3954 = or(_T_3953, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 464:70] + buf_state_en[2] <= _T_3954 @[lsu_bus_buffer.scala 464:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 465:25] + node _T_3955 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 466:56] + node _T_3956 = eq(_T_3955, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:46] + node _T_3957 = and(buf_state_en[2], _T_3956) @[lsu_bus_buffer.scala 466:44] + node _T_3958 = and(_T_3957, obuf_nosend) @[lsu_bus_buffer.scala 466:60] + node _T_3959 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:76] + node _T_3960 = and(_T_3958, _T_3959) @[lsu_bus_buffer.scala 466:74] + buf_ldfwd_en[2] <= _T_3960 @[lsu_bus_buffer.scala 466:25] + node _T_3961 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 467:46] + buf_ldfwdtag_in[2] <= _T_3961 @[lsu_bus_buffer.scala 467:28] + node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:47] + node _T_3963 = and(_T_3962, obuf_nosend) @[lsu_bus_buffer.scala 468:67] + node _T_3964 = and(_T_3963, bus_rsp_read) @[lsu_bus_buffer.scala 468:81] + buf_data_en[2] <= _T_3964 @[lsu_bus_buffer.scala 468:24] + node _T_3965 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 469:48] + node _T_3966 = and(_T_3965, obuf_nosend) @[lsu_bus_buffer.scala 469:68] + node _T_3967 = and(_T_3966, bus_rsp_read_error) @[lsu_bus_buffer.scala 469:82] + buf_error_en[2] <= _T_3967 @[lsu_bus_buffer.scala 469:25] + node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:61] + node _T_3969 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 470:85] + node _T_3970 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 470:103] + node _T_3971 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:126] + node _T_3972 = mux(_T_3969, _T_3970, _T_3971) @[lsu_bus_buffer.scala 470:73] + node _T_3973 = mux(buf_error_en[2], _T_3968, _T_3972) @[lsu_bus_buffer.scala 470:30] + buf_data_in[2] <= _T_3973 @[lsu_bus_buffer.scala 470:24] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 471:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3974 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3974 : @[Conditional.scala 39:67] - node _T_3975 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:69] - node _T_3976 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:75] - node _T_3977 = and(_T_3975, _T_3976) @[lsu_bus_buffer.scala 472:73] - node _T_3978 = or(io.dec_tlu_force_halt, _T_3977) @[lsu_bus_buffer.scala 472:57] - node _T_3979 = bits(_T_3978, 0, 0) @[lsu_bus_buffer.scala 472:104] - node _T_3980 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 473:30] - node _T_3981 = and(buf_dual[2], _T_3980) @[lsu_bus_buffer.scala 473:28] - node _T_3982 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 473:57] - node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:47] - node _T_3984 = and(_T_3981, _T_3983) @[lsu_bus_buffer.scala 473:45] - node _T_3985 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 473:90] - node _T_3986 = and(_T_3984, _T_3985) @[lsu_bus_buffer.scala 473:61] - node _T_3987 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 474:27] - node _T_3988 = or(_T_3987, any_done_wait_state) @[lsu_bus_buffer.scala 474:31] - node _T_3989 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:70] - node _T_3990 = and(buf_dual[2], _T_3989) @[lsu_bus_buffer.scala 474:68] - node _T_3991 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 474:97] - node _T_3992 = eq(_T_3991, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:87] - node _T_3993 = and(_T_3990, _T_3992) @[lsu_bus_buffer.scala 474:85] + node _T_3975 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 474:69] + node _T_3976 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:75] + node _T_3977 = and(_T_3975, _T_3976) @[lsu_bus_buffer.scala 474:73] + node _T_3978 = or(io.dec_tlu_force_halt, _T_3977) @[lsu_bus_buffer.scala 474:57] + node _T_3979 = bits(_T_3978, 0, 0) @[lsu_bus_buffer.scala 474:104] + node _T_3980 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 475:30] + node _T_3981 = and(buf_dual[2], _T_3980) @[lsu_bus_buffer.scala 475:28] + node _T_3982 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 475:57] + node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[lsu_bus_buffer.scala 475:47] + node _T_3984 = and(_T_3981, _T_3983) @[lsu_bus_buffer.scala 475:45] + node _T_3985 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 475:90] + node _T_3986 = and(_T_3984, _T_3985) @[lsu_bus_buffer.scala 475:61] + node _T_3987 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 476:27] + node _T_3988 = or(_T_3987, any_done_wait_state) @[lsu_bus_buffer.scala 476:31] + node _T_3989 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 476:70] + node _T_3990 = and(buf_dual[2], _T_3989) @[lsu_bus_buffer.scala 476:68] + node _T_3991 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 476:97] + node _T_3992 = eq(_T_3991, UInt<1>("h00")) @[lsu_bus_buffer.scala 476:87] + node _T_3993 = and(_T_3990, _T_3992) @[lsu_bus_buffer.scala 476:85] node _T_3994 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 61:118] node _T_3995 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 61:129] node _T_3996 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 61:118] @@ -13361,275 +13351,275 @@ circuit lsu : node _T_4008 = or(_T_4007, _T_4005) @[Mux.scala 27:72] wire _T_4009 : UInt<1> @[Mux.scala 27:72] _T_4009 <= _T_4008 @[Mux.scala 27:72] - node _T_4010 = and(_T_3993, _T_4009) @[lsu_bus_buffer.scala 474:101] - node _T_4011 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:167] - node _T_4012 = and(_T_4010, _T_4011) @[lsu_bus_buffer.scala 474:138] - node _T_4013 = and(_T_4012, any_done_wait_state) @[lsu_bus_buffer.scala 474:187] - node _T_4014 = or(_T_3988, _T_4013) @[lsu_bus_buffer.scala 474:53] - node _T_4015 = mux(_T_4014, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 474:16] - node _T_4016 = mux(_T_3986, UInt<3>("h04"), _T_4015) @[lsu_bus_buffer.scala 473:14] - node _T_4017 = mux(_T_3979, UInt<3>("h00"), _T_4016) @[lsu_bus_buffer.scala 472:33] - buf_nxtstate[2] <= _T_4017 @[lsu_bus_buffer.scala 472:27] - node _T_4018 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 475:73] - node _T_4019 = and(bus_rsp_write, _T_4018) @[lsu_bus_buffer.scala 475:52] - node _T_4020 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 476:46] - node _T_4021 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 477:23] - node _T_4022 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 477:47] - node _T_4023 = and(_T_4021, _T_4022) @[lsu_bus_buffer.scala 477:27] - node _T_4024 = or(_T_4020, _T_4023) @[lsu_bus_buffer.scala 476:77] - node _T_4025 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 478:26] - node _T_4026 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 478:54] - node _T_4027 = not(_T_4026) @[lsu_bus_buffer.scala 478:44] - node _T_4028 = and(_T_4025, _T_4027) @[lsu_bus_buffer.scala 478:42] - node _T_4029 = and(_T_4028, buf_samedw[2]) @[lsu_bus_buffer.scala 478:58] - node _T_4030 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 478:94] - node _T_4031 = and(_T_4029, _T_4030) @[lsu_bus_buffer.scala 478:74] - node _T_4032 = or(_T_4024, _T_4031) @[lsu_bus_buffer.scala 477:71] - node _T_4033 = and(bus_rsp_read, _T_4032) @[lsu_bus_buffer.scala 476:25] - node _T_4034 = or(_T_4019, _T_4033) @[lsu_bus_buffer.scala 475:105] - buf_resp_state_bus_en[2] <= _T_4034 @[lsu_bus_buffer.scala 475:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 479:29] - node _T_4035 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:49] - node _T_4036 = or(_T_4035, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 480:70] - buf_state_en[2] <= _T_4036 @[lsu_bus_buffer.scala 480:25] - node _T_4037 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 481:47] - node _T_4038 = and(_T_4037, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:62] - buf_data_en[2] <= _T_4038 @[lsu_bus_buffer.scala 481:24] - node _T_4039 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:48] - node _T_4040 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 482:111] - node _T_4041 = and(bus_rsp_read_error, _T_4040) @[lsu_bus_buffer.scala 482:91] - node _T_4042 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 483:42] - node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 483:31] - node _T_4044 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 483:66] - node _T_4045 = and(_T_4043, _T_4044) @[lsu_bus_buffer.scala 483:46] - node _T_4046 = or(_T_4041, _T_4045) @[lsu_bus_buffer.scala 482:143] - node _T_4047 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 484:54] - node _T_4048 = and(bus_rsp_write_error, _T_4047) @[lsu_bus_buffer.scala 484:33] - node _T_4049 = or(_T_4046, _T_4048) @[lsu_bus_buffer.scala 483:88] - node _T_4050 = and(_T_4039, _T_4049) @[lsu_bus_buffer.scala 482:68] - buf_error_en[2] <= _T_4050 @[lsu_bus_buffer.scala 482:25] - node _T_4051 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 485:50] - node _T_4052 = and(buf_state_en[2], _T_4051) @[lsu_bus_buffer.scala 485:48] - node _T_4053 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 485:84] - node _T_4054 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 485:102] - node _T_4055 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:125] - node _T_4056 = mux(_T_4053, _T_4054, _T_4055) @[lsu_bus_buffer.scala 485:72] - node _T_4057 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:148] - node _T_4058 = mux(_T_4052, _T_4056, _T_4057) @[lsu_bus_buffer.scala 485:30] - buf_data_in[2] <= _T_4058 @[lsu_bus_buffer.scala 485:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 486:34] - buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 487:20] + node _T_4010 = and(_T_3993, _T_4009) @[lsu_bus_buffer.scala 476:101] + node _T_4011 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 476:167] + node _T_4012 = and(_T_4010, _T_4011) @[lsu_bus_buffer.scala 476:138] + node _T_4013 = and(_T_4012, any_done_wait_state) @[lsu_bus_buffer.scala 476:187] + node _T_4014 = or(_T_3988, _T_4013) @[lsu_bus_buffer.scala 476:53] + node _T_4015 = mux(_T_4014, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 476:16] + node _T_4016 = mux(_T_3986, UInt<3>("h04"), _T_4015) @[lsu_bus_buffer.scala 475:14] + node _T_4017 = mux(_T_3979, UInt<3>("h00"), _T_4016) @[lsu_bus_buffer.scala 474:33] + buf_nxtstate[2] <= _T_4017 @[lsu_bus_buffer.scala 474:27] + node _T_4018 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 477:73] + node _T_4019 = and(bus_rsp_write, _T_4018) @[lsu_bus_buffer.scala 477:52] + node _T_4020 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 478:46] + node _T_4021 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 479:23] + node _T_4022 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 479:47] + node _T_4023 = and(_T_4021, _T_4022) @[lsu_bus_buffer.scala 479:27] + node _T_4024 = or(_T_4020, _T_4023) @[lsu_bus_buffer.scala 478:77] + node _T_4025 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 480:26] + node _T_4026 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 480:54] + node _T_4027 = not(_T_4026) @[lsu_bus_buffer.scala 480:44] + node _T_4028 = and(_T_4025, _T_4027) @[lsu_bus_buffer.scala 480:42] + node _T_4029 = and(_T_4028, buf_samedw[2]) @[lsu_bus_buffer.scala 480:58] + node _T_4030 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 480:94] + node _T_4031 = and(_T_4029, _T_4030) @[lsu_bus_buffer.scala 480:74] + node _T_4032 = or(_T_4024, _T_4031) @[lsu_bus_buffer.scala 479:71] + node _T_4033 = and(bus_rsp_read, _T_4032) @[lsu_bus_buffer.scala 478:25] + node _T_4034 = or(_T_4019, _T_4033) @[lsu_bus_buffer.scala 477:105] + buf_resp_state_bus_en[2] <= _T_4034 @[lsu_bus_buffer.scala 477:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 481:29] + node _T_4035 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:49] + node _T_4036 = or(_T_4035, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 482:70] + buf_state_en[2] <= _T_4036 @[lsu_bus_buffer.scala 482:25] + node _T_4037 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 483:47] + node _T_4038 = and(_T_4037, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:62] + buf_data_en[2] <= _T_4038 @[lsu_bus_buffer.scala 483:24] + node _T_4039 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:48] + node _T_4040 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 484:111] + node _T_4041 = and(bus_rsp_read_error, _T_4040) @[lsu_bus_buffer.scala 484:91] + node _T_4042 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 485:42] + node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 485:31] + node _T_4044 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 485:66] + node _T_4045 = and(_T_4043, _T_4044) @[lsu_bus_buffer.scala 485:46] + node _T_4046 = or(_T_4041, _T_4045) @[lsu_bus_buffer.scala 484:143] + node _T_4047 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 486:54] + node _T_4048 = and(bus_rsp_write_error, _T_4047) @[lsu_bus_buffer.scala 486:33] + node _T_4049 = or(_T_4046, _T_4048) @[lsu_bus_buffer.scala 485:88] + node _T_4050 = and(_T_4039, _T_4049) @[lsu_bus_buffer.scala 484:68] + buf_error_en[2] <= _T_4050 @[lsu_bus_buffer.scala 484:25] + node _T_4051 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 487:50] + node _T_4052 = and(buf_state_en[2], _T_4051) @[lsu_bus_buffer.scala 487:48] + node _T_4053 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 487:84] + node _T_4054 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 487:102] + node _T_4055 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 487:125] + node _T_4056 = mux(_T_4053, _T_4054, _T_4055) @[lsu_bus_buffer.scala 487:72] + node _T_4057 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 487:148] + node _T_4058 = mux(_T_4052, _T_4056, _T_4057) @[lsu_bus_buffer.scala 487:30] + buf_data_in[2] <= _T_4058 @[lsu_bus_buffer.scala 487:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 489:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4059 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_4059 : @[Conditional.scala 39:67] - node _T_4060 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 490:60] - node _T_4061 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 490:86] - node _T_4062 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 490:101] - node _T_4063 = bits(_T_4062, 0, 0) @[lsu_bus_buffer.scala 490:101] - node _T_4064 = or(_T_4061, _T_4063) @[lsu_bus_buffer.scala 490:90] - node _T_4065 = or(_T_4064, any_done_wait_state) @[lsu_bus_buffer.scala 490:118] - node _T_4066 = mux(_T_4065, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 490:75] - node _T_4067 = mux(_T_4060, UInt<3>("h00"), _T_4066) @[lsu_bus_buffer.scala 490:31] - buf_nxtstate[2] <= _T_4067 @[lsu_bus_buffer.scala 490:25] - node _T_4068 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 491:66] - node _T_4069 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 492:21] - node _T_4070 = bits(_T_4069, 0, 0) @[lsu_bus_buffer.scala 492:21] - node _T_4071 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 492:58] - node _T_4072 = and(_T_4070, _T_4071) @[lsu_bus_buffer.scala 492:38] - node _T_4073 = or(_T_4068, _T_4072) @[lsu_bus_buffer.scala 491:95] - node _T_4074 = and(bus_rsp_read, _T_4073) @[lsu_bus_buffer.scala 491:45] - buf_state_bus_en[2] <= _T_4074 @[lsu_bus_buffer.scala 491:29] - node _T_4075 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 493:49] - node _T_4076 = or(_T_4075, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 493:70] - buf_state_en[2] <= _T_4076 @[lsu_bus_buffer.scala 493:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:34] - buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 495:20] + node _T_4060 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 492:60] + node _T_4061 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 492:86] + node _T_4062 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 492:101] + node _T_4063 = bits(_T_4062, 0, 0) @[lsu_bus_buffer.scala 492:101] + node _T_4064 = or(_T_4061, _T_4063) @[lsu_bus_buffer.scala 492:90] + node _T_4065 = or(_T_4064, any_done_wait_state) @[lsu_bus_buffer.scala 492:118] + node _T_4066 = mux(_T_4065, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 492:75] + node _T_4067 = mux(_T_4060, UInt<3>("h00"), _T_4066) @[lsu_bus_buffer.scala 492:31] + buf_nxtstate[2] <= _T_4067 @[lsu_bus_buffer.scala 492:25] + node _T_4068 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 493:66] + node _T_4069 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 494:21] + node _T_4070 = bits(_T_4069, 0, 0) @[lsu_bus_buffer.scala 494:21] + node _T_4071 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 494:58] + node _T_4072 = and(_T_4070, _T_4071) @[lsu_bus_buffer.scala 494:38] + node _T_4073 = or(_T_4068, _T_4072) @[lsu_bus_buffer.scala 493:95] + node _T_4074 = and(bus_rsp_read, _T_4073) @[lsu_bus_buffer.scala 493:45] + buf_state_bus_en[2] <= _T_4074 @[lsu_bus_buffer.scala 493:29] + node _T_4075 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 495:49] + node _T_4076 = or(_T_4075, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:70] + buf_state_en[2] <= _T_4076 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 497:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4077 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 498:60] - node _T_4079 = mux(_T_4078, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 498:31] - buf_nxtstate[2] <= _T_4079 @[lsu_bus_buffer.scala 498:25] - node _T_4080 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 499:37] - node _T_4081 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 499:98] - node _T_4082 = and(buf_dual[2], _T_4081) @[lsu_bus_buffer.scala 499:80] - node _T_4083 = or(_T_4080, _T_4082) @[lsu_bus_buffer.scala 499:65] - node _T_4084 = or(_T_4083, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 499:112] - buf_state_en[2] <= _T_4084 @[lsu_bus_buffer.scala 499:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 500:34] - buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 501:20] + node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 500:60] + node _T_4079 = mux(_T_4078, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 500:31] + buf_nxtstate[2] <= _T_4079 @[lsu_bus_buffer.scala 500:25] + node _T_4080 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 501:37] + node _T_4081 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 501:98] + node _T_4082 = and(buf_dual[2], _T_4081) @[lsu_bus_buffer.scala 501:80] + node _T_4083 = or(_T_4080, _T_4082) @[lsu_bus_buffer.scala 501:65] + node _T_4084 = or(_T_4083, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 501:112] + buf_state_en[2] <= _T_4084 @[lsu_bus_buffer.scala 501:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 503:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4085 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_4085 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 504:25] - buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 505:20] - buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 506:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 508:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 509:34] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 506:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 507:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 509:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 510:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 511:34] skip @[Conditional.scala 39:67] - node _T_4086 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 512:108] + node _T_4086 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 514:108] reg _T_4087 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4086 : @[Reg.scala 28:19] _T_4087 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4087 @[lsu_bus_buffer.scala 512:18] - reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 513:60] - _T_4088 <= buf_age_in_2 @[lsu_bus_buffer.scala 513:60] - buf_ageQ[2] <= _T_4088 @[lsu_bus_buffer.scala 513:17] - reg _T_4089 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 514:63] - _T_4089 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 514:63] - buf_rspageQ[2] <= _T_4089 @[lsu_bus_buffer.scala 514:20] - node _T_4090 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:109] + buf_state[2] <= _T_4087 @[lsu_bus_buffer.scala 514:18] + reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 515:60] + _T_4088 <= buf_age_in_2 @[lsu_bus_buffer.scala 515:60] + buf_ageQ[2] <= _T_4088 @[lsu_bus_buffer.scala 515:17] + reg _T_4089 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 516:63] + _T_4089 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 516:63] + buf_rspageQ[2] <= _T_4089 @[lsu_bus_buffer.scala 516:20] + node _T_4090 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 517:109] reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4090 : @[Reg.scala 28:19] _T_4091 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4091 @[lsu_bus_buffer.scala 515:20] - node _T_4092 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 516:74] - node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:107] + buf_dualtag[2] <= _T_4091 @[lsu_bus_buffer.scala 517:20] + node _T_4092 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 518:74] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 518:107] reg _T_4094 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4093 : @[Reg.scala 28:19] _T_4094 <= _T_4092 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4094 @[lsu_bus_buffer.scala 516:17] - node _T_4095 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 517:78] - node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 517:111] + buf_dual[2] <= _T_4094 @[lsu_bus_buffer.scala 518:17] + node _T_4095 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 519:78] + node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 519:111] reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4096 : @[Reg.scala 28:19] _T_4097 <= _T_4095 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4097 @[lsu_bus_buffer.scala 517:19] - node _T_4098 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 518:80] - node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 518:113] + buf_samedw[2] <= _T_4097 @[lsu_bus_buffer.scala 519:19] + node _T_4098 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 520:80] + node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 520:113] reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4099 : @[Reg.scala 28:19] _T_4100 <= _T_4098 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4100 @[lsu_bus_buffer.scala 518:20] - node _T_4101 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 519:78] - node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 519:111] + buf_nomerge[2] <= _T_4100 @[lsu_bus_buffer.scala 520:20] + node _T_4101 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 521:78] + node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 521:111] reg _T_4103 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4102 : @[Reg.scala 28:19] _T_4103 <= _T_4101 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4103 @[lsu_bus_buffer.scala 519:19] + buf_dualhi[2] <= _T_4103 @[lsu_bus_buffer.scala 521:19] node _T_4104 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4104 : @[Conditional.scala 40:58] - node _T_4105 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 444:56] - node _T_4106 = mux(_T_4105, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 444:31] - buf_nxtstate[3] <= _T_4106 @[lsu_bus_buffer.scala 444:25] - node _T_4107 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 445:45] - node _T_4108 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 445:77] - node _T_4109 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 445:97] - node _T_4110 = and(_T_4108, _T_4109) @[lsu_bus_buffer.scala 445:95] - node _T_4111 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 445:117] - node _T_4112 = and(_T_4110, _T_4111) @[lsu_bus_buffer.scala 445:112] - node _T_4113 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 445:144] - node _T_4114 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 445:166] - node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 445:161] - node _T_4116 = or(_T_4112, _T_4115) @[lsu_bus_buffer.scala 445:132] - node _T_4117 = and(_T_4107, _T_4116) @[lsu_bus_buffer.scala 445:63] - node _T_4118 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 445:206] - node _T_4119 = and(ibuf_drain_vld, _T_4118) @[lsu_bus_buffer.scala 445:201] - node _T_4120 = or(_T_4117, _T_4119) @[lsu_bus_buffer.scala 445:183] - buf_state_en[3] <= _T_4120 @[lsu_bus_buffer.scala 445:25] - buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 446:22] - buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 447:24] - node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 448:52] - node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 448:47] - node _T_4123 = bits(_T_4122, 0, 0) @[lsu_bus_buffer.scala 448:73] - node _T_4124 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 448:90] - node _T_4125 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 448:114] - node _T_4126 = mux(_T_4123, _T_4124, _T_4125) @[lsu_bus_buffer.scala 448:30] - buf_data_in[3] <= _T_4126 @[lsu_bus_buffer.scala 448:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 449:34] - buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 450:21] + node _T_4105 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 446:56] + node _T_4106 = mux(_T_4105, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 446:31] + buf_nxtstate[3] <= _T_4106 @[lsu_bus_buffer.scala 446:25] + node _T_4107 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 447:45] + node _T_4108 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 447:77] + node _T_4109 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 447:97] + node _T_4110 = and(_T_4108, _T_4109) @[lsu_bus_buffer.scala 447:95] + node _T_4111 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 447:117] + node _T_4112 = and(_T_4110, _T_4111) @[lsu_bus_buffer.scala 447:112] + node _T_4113 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 447:144] + node _T_4114 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 447:166] + node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 447:161] + node _T_4116 = or(_T_4112, _T_4115) @[lsu_bus_buffer.scala 447:132] + node _T_4117 = and(_T_4107, _T_4116) @[lsu_bus_buffer.scala 447:63] + node _T_4118 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 447:206] + node _T_4119 = and(ibuf_drain_vld, _T_4118) @[lsu_bus_buffer.scala 447:201] + node _T_4120 = or(_T_4117, _T_4119) @[lsu_bus_buffer.scala 447:183] + buf_state_en[3] <= _T_4120 @[lsu_bus_buffer.scala 447:25] + buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 448:22] + buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 449:24] + node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 450:52] + node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 450:47] + node _T_4123 = bits(_T_4122, 0, 0) @[lsu_bus_buffer.scala 450:73] + node _T_4124 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 450:90] + node _T_4125 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 450:114] + node _T_4126 = mux(_T_4123, _T_4124, _T_4125) @[lsu_bus_buffer.scala 450:30] + buf_data_in[3] <= _T_4126 @[lsu_bus_buffer.scala 450:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 451:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 452:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4127 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4127 : @[Conditional.scala 39:67] - node _T_4128 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] - node _T_4129 = mux(_T_4128, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] - buf_nxtstate[3] <= _T_4129 @[lsu_bus_buffer.scala 453:25] - node _T_4130 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] - buf_state_en[3] <= _T_4130 @[lsu_bus_buffer.scala 454:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] - buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 456:20] + node _T_4128 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] + node _T_4129 = mux(_T_4128, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 455:31] + buf_nxtstate[3] <= _T_4129 @[lsu_bus_buffer.scala 455:25] + node _T_4130 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:46] + buf_state_en[3] <= _T_4130 @[lsu_bus_buffer.scala 456:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 457:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 458:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4131 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4131 : @[Conditional.scala 39:67] - node _T_4132 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 459:60] - node _T_4133 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 459:89] - node _T_4134 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 459:124] - node _T_4135 = and(_T_4133, _T_4134) @[lsu_bus_buffer.scala 459:104] - node _T_4136 = mux(_T_4135, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 459:75] - node _T_4137 = mux(_T_4132, UInt<3>("h00"), _T_4136) @[lsu_bus_buffer.scala 459:31] - buf_nxtstate[3] <= _T_4137 @[lsu_bus_buffer.scala 459:25] - node _T_4138 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 460:48] - node _T_4139 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 460:104] - node _T_4140 = and(obuf_merge, _T_4139) @[lsu_bus_buffer.scala 460:91] - node _T_4141 = or(_T_4138, _T_4140) @[lsu_bus_buffer.scala 460:77] - node _T_4142 = and(_T_4141, obuf_valid) @[lsu_bus_buffer.scala 460:135] - node _T_4143 = and(_T_4142, obuf_wr_enQ) @[lsu_bus_buffer.scala 460:148] - buf_cmd_state_bus_en[3] <= _T_4143 @[lsu_bus_buffer.scala 460:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 461:29] - node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:49] - node _T_4145 = or(_T_4144, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 462:70] - buf_state_en[3] <= _T_4145 @[lsu_bus_buffer.scala 462:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 463:25] - node _T_4146 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 464:56] - node _T_4147 = eq(_T_4146, UInt<1>("h00")) @[lsu_bus_buffer.scala 464:46] - node _T_4148 = and(buf_state_en[3], _T_4147) @[lsu_bus_buffer.scala 464:44] - node _T_4149 = and(_T_4148, obuf_nosend) @[lsu_bus_buffer.scala 464:60] - node _T_4150 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 464:76] - node _T_4151 = and(_T_4149, _T_4150) @[lsu_bus_buffer.scala 464:74] - buf_ldfwd_en[3] <= _T_4151 @[lsu_bus_buffer.scala 464:25] - node _T_4152 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 465:46] - buf_ldfwdtag_in[3] <= _T_4152 @[lsu_bus_buffer.scala 465:28] - node _T_4153 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:47] - node _T_4154 = and(_T_4153, obuf_nosend) @[lsu_bus_buffer.scala 466:67] - node _T_4155 = and(_T_4154, bus_rsp_read) @[lsu_bus_buffer.scala 466:81] - buf_data_en[3] <= _T_4155 @[lsu_bus_buffer.scala 466:24] - node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 467:48] - node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 467:68] - node _T_4158 = and(_T_4157, bus_rsp_read_error) @[lsu_bus_buffer.scala 467:82] - buf_error_en[3] <= _T_4158 @[lsu_bus_buffer.scala 467:25] - node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 468:61] - node _T_4160 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 468:85] - node _T_4161 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 468:103] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 468:126] - node _T_4163 = mux(_T_4160, _T_4161, _T_4162) @[lsu_bus_buffer.scala 468:73] - node _T_4164 = mux(buf_error_en[3], _T_4159, _T_4163) @[lsu_bus_buffer.scala 468:30] - buf_data_in[3] <= _T_4164 @[lsu_bus_buffer.scala 468:24] - buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 469:20] + node _T_4132 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 461:60] + node _T_4133 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 461:89] + node _T_4134 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 461:124] + node _T_4135 = and(_T_4133, _T_4134) @[lsu_bus_buffer.scala 461:104] + node _T_4136 = mux(_T_4135, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 461:75] + node _T_4137 = mux(_T_4132, UInt<3>("h00"), _T_4136) @[lsu_bus_buffer.scala 461:31] + buf_nxtstate[3] <= _T_4137 @[lsu_bus_buffer.scala 461:25] + node _T_4138 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 462:48] + node _T_4139 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 462:104] + node _T_4140 = and(obuf_merge, _T_4139) @[lsu_bus_buffer.scala 462:91] + node _T_4141 = or(_T_4138, _T_4140) @[lsu_bus_buffer.scala 462:77] + node _T_4142 = and(_T_4141, obuf_valid) @[lsu_bus_buffer.scala 462:135] + node _T_4143 = and(_T_4142, obuf_wr_enQ) @[lsu_bus_buffer.scala 462:148] + buf_cmd_state_bus_en[3] <= _T_4143 @[lsu_bus_buffer.scala 462:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 463:29] + node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:49] + node _T_4145 = or(_T_4144, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 464:70] + buf_state_en[3] <= _T_4145 @[lsu_bus_buffer.scala 464:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 465:25] + node _T_4146 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 466:56] + node _T_4147 = eq(_T_4146, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:46] + node _T_4148 = and(buf_state_en[3], _T_4147) @[lsu_bus_buffer.scala 466:44] + node _T_4149 = and(_T_4148, obuf_nosend) @[lsu_bus_buffer.scala 466:60] + node _T_4150 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:76] + node _T_4151 = and(_T_4149, _T_4150) @[lsu_bus_buffer.scala 466:74] + buf_ldfwd_en[3] <= _T_4151 @[lsu_bus_buffer.scala 466:25] + node _T_4152 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 467:46] + buf_ldfwdtag_in[3] <= _T_4152 @[lsu_bus_buffer.scala 467:28] + node _T_4153 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:47] + node _T_4154 = and(_T_4153, obuf_nosend) @[lsu_bus_buffer.scala 468:67] + node _T_4155 = and(_T_4154, bus_rsp_read) @[lsu_bus_buffer.scala 468:81] + buf_data_en[3] <= _T_4155 @[lsu_bus_buffer.scala 468:24] + node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 469:48] + node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 469:68] + node _T_4158 = and(_T_4157, bus_rsp_read_error) @[lsu_bus_buffer.scala 469:82] + buf_error_en[3] <= _T_4158 @[lsu_bus_buffer.scala 469:25] + node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:61] + node _T_4160 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 470:85] + node _T_4161 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 470:103] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:126] + node _T_4163 = mux(_T_4160, _T_4161, _T_4162) @[lsu_bus_buffer.scala 470:73] + node _T_4164 = mux(buf_error_en[3], _T_4159, _T_4163) @[lsu_bus_buffer.scala 470:30] + buf_data_in[3] <= _T_4164 @[lsu_bus_buffer.scala 470:24] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 471:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4165 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4165 : @[Conditional.scala 39:67] - node _T_4166 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:69] - node _T_4167 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:75] - node _T_4168 = and(_T_4166, _T_4167) @[lsu_bus_buffer.scala 472:73] - node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[lsu_bus_buffer.scala 472:57] - node _T_4170 = bits(_T_4169, 0, 0) @[lsu_bus_buffer.scala 472:104] - node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 473:30] - node _T_4172 = and(buf_dual[3], _T_4171) @[lsu_bus_buffer.scala 473:28] - node _T_4173 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 473:57] - node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:47] - node _T_4175 = and(_T_4172, _T_4174) @[lsu_bus_buffer.scala 473:45] - node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 473:90] - node _T_4177 = and(_T_4175, _T_4176) @[lsu_bus_buffer.scala 473:61] - node _T_4178 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 474:27] - node _T_4179 = or(_T_4178, any_done_wait_state) @[lsu_bus_buffer.scala 474:31] - node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:70] - node _T_4181 = and(buf_dual[3], _T_4180) @[lsu_bus_buffer.scala 474:68] - node _T_4182 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 474:97] - node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:87] - node _T_4184 = and(_T_4181, _T_4183) @[lsu_bus_buffer.scala 474:85] + node _T_4166 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 474:69] + node _T_4167 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:75] + node _T_4168 = and(_T_4166, _T_4167) @[lsu_bus_buffer.scala 474:73] + node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[lsu_bus_buffer.scala 474:57] + node _T_4170 = bits(_T_4169, 0, 0) @[lsu_bus_buffer.scala 474:104] + node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 475:30] + node _T_4172 = and(buf_dual[3], _T_4171) @[lsu_bus_buffer.scala 475:28] + node _T_4173 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 475:57] + node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[lsu_bus_buffer.scala 475:47] + node _T_4175 = and(_T_4172, _T_4174) @[lsu_bus_buffer.scala 475:45] + node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 475:90] + node _T_4177 = and(_T_4175, _T_4176) @[lsu_bus_buffer.scala 475:61] + node _T_4178 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 476:27] + node _T_4179 = or(_T_4178, any_done_wait_state) @[lsu_bus_buffer.scala 476:31] + node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 476:70] + node _T_4181 = and(buf_dual[3], _T_4180) @[lsu_bus_buffer.scala 476:68] + node _T_4182 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 476:97] + node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[lsu_bus_buffer.scala 476:87] + node _T_4184 = and(_T_4181, _T_4183) @[lsu_bus_buffer.scala 476:85] node _T_4185 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 61:118] node _T_4186 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 61:129] node _T_4187 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 61:118] @@ -13647,178 +13637,178 @@ circuit lsu : node _T_4199 = or(_T_4198, _T_4196) @[Mux.scala 27:72] wire _T_4200 : UInt<1> @[Mux.scala 27:72] _T_4200 <= _T_4199 @[Mux.scala 27:72] - node _T_4201 = and(_T_4184, _T_4200) @[lsu_bus_buffer.scala 474:101] - node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:167] - node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 474:138] - node _T_4204 = and(_T_4203, any_done_wait_state) @[lsu_bus_buffer.scala 474:187] - node _T_4205 = or(_T_4179, _T_4204) @[lsu_bus_buffer.scala 474:53] - node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 474:16] - node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[lsu_bus_buffer.scala 473:14] - node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[lsu_bus_buffer.scala 472:33] - buf_nxtstate[3] <= _T_4208 @[lsu_bus_buffer.scala 472:27] - node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 475:73] - node _T_4210 = and(bus_rsp_write, _T_4209) @[lsu_bus_buffer.scala 475:52] - node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 476:46] - node _T_4212 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 477:23] - node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 477:47] - node _T_4214 = and(_T_4212, _T_4213) @[lsu_bus_buffer.scala 477:27] - node _T_4215 = or(_T_4211, _T_4214) @[lsu_bus_buffer.scala 476:77] - node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 478:26] - node _T_4217 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 478:54] - node _T_4218 = not(_T_4217) @[lsu_bus_buffer.scala 478:44] - node _T_4219 = and(_T_4216, _T_4218) @[lsu_bus_buffer.scala 478:42] - node _T_4220 = and(_T_4219, buf_samedw[3]) @[lsu_bus_buffer.scala 478:58] - node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 478:94] - node _T_4222 = and(_T_4220, _T_4221) @[lsu_bus_buffer.scala 478:74] - node _T_4223 = or(_T_4215, _T_4222) @[lsu_bus_buffer.scala 477:71] - node _T_4224 = and(bus_rsp_read, _T_4223) @[lsu_bus_buffer.scala 476:25] - node _T_4225 = or(_T_4210, _T_4224) @[lsu_bus_buffer.scala 475:105] - buf_resp_state_bus_en[3] <= _T_4225 @[lsu_bus_buffer.scala 475:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 479:29] - node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:49] - node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 480:70] - buf_state_en[3] <= _T_4227 @[lsu_bus_buffer.scala 480:25] - node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 481:47] - node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:62] - buf_data_en[3] <= _T_4229 @[lsu_bus_buffer.scala 481:24] - node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:48] - node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 482:111] - node _T_4232 = and(bus_rsp_read_error, _T_4231) @[lsu_bus_buffer.scala 482:91] - node _T_4233 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 483:42] - node _T_4234 = and(bus_rsp_read_error, _T_4233) @[lsu_bus_buffer.scala 483:31] - node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 483:66] - node _T_4236 = and(_T_4234, _T_4235) @[lsu_bus_buffer.scala 483:46] - node _T_4237 = or(_T_4232, _T_4236) @[lsu_bus_buffer.scala 482:143] - node _T_4238 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 484:54] - node _T_4239 = and(bus_rsp_write_error, _T_4238) @[lsu_bus_buffer.scala 484:33] - node _T_4240 = or(_T_4237, _T_4239) @[lsu_bus_buffer.scala 483:88] - node _T_4241 = and(_T_4230, _T_4240) @[lsu_bus_buffer.scala 482:68] - buf_error_en[3] <= _T_4241 @[lsu_bus_buffer.scala 482:25] - node _T_4242 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 485:50] - node _T_4243 = and(buf_state_en[3], _T_4242) @[lsu_bus_buffer.scala 485:48] - node _T_4244 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 485:84] - node _T_4245 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 485:102] - node _T_4246 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:125] - node _T_4247 = mux(_T_4244, _T_4245, _T_4246) @[lsu_bus_buffer.scala 485:72] - node _T_4248 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 485:148] - node _T_4249 = mux(_T_4243, _T_4247, _T_4248) @[lsu_bus_buffer.scala 485:30] - buf_data_in[3] <= _T_4249 @[lsu_bus_buffer.scala 485:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 486:34] - buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 487:20] + node _T_4201 = and(_T_4184, _T_4200) @[lsu_bus_buffer.scala 476:101] + node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 476:167] + node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 476:138] + node _T_4204 = and(_T_4203, any_done_wait_state) @[lsu_bus_buffer.scala 476:187] + node _T_4205 = or(_T_4179, _T_4204) @[lsu_bus_buffer.scala 476:53] + node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 476:16] + node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[lsu_bus_buffer.scala 475:14] + node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[lsu_bus_buffer.scala 474:33] + buf_nxtstate[3] <= _T_4208 @[lsu_bus_buffer.scala 474:27] + node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 477:73] + node _T_4210 = and(bus_rsp_write, _T_4209) @[lsu_bus_buffer.scala 477:52] + node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 478:46] + node _T_4212 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 479:23] + node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 479:47] + node _T_4214 = and(_T_4212, _T_4213) @[lsu_bus_buffer.scala 479:27] + node _T_4215 = or(_T_4211, _T_4214) @[lsu_bus_buffer.scala 478:77] + node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 480:26] + node _T_4217 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 480:54] + node _T_4218 = not(_T_4217) @[lsu_bus_buffer.scala 480:44] + node _T_4219 = and(_T_4216, _T_4218) @[lsu_bus_buffer.scala 480:42] + node _T_4220 = and(_T_4219, buf_samedw[3]) @[lsu_bus_buffer.scala 480:58] + node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 480:94] + node _T_4222 = and(_T_4220, _T_4221) @[lsu_bus_buffer.scala 480:74] + node _T_4223 = or(_T_4215, _T_4222) @[lsu_bus_buffer.scala 479:71] + node _T_4224 = and(bus_rsp_read, _T_4223) @[lsu_bus_buffer.scala 478:25] + node _T_4225 = or(_T_4210, _T_4224) @[lsu_bus_buffer.scala 477:105] + buf_resp_state_bus_en[3] <= _T_4225 @[lsu_bus_buffer.scala 477:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 481:29] + node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:49] + node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 482:70] + buf_state_en[3] <= _T_4227 @[lsu_bus_buffer.scala 482:25] + node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 483:47] + node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:62] + buf_data_en[3] <= _T_4229 @[lsu_bus_buffer.scala 483:24] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:48] + node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 484:111] + node _T_4232 = and(bus_rsp_read_error, _T_4231) @[lsu_bus_buffer.scala 484:91] + node _T_4233 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 485:42] + node _T_4234 = and(bus_rsp_read_error, _T_4233) @[lsu_bus_buffer.scala 485:31] + node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 485:66] + node _T_4236 = and(_T_4234, _T_4235) @[lsu_bus_buffer.scala 485:46] + node _T_4237 = or(_T_4232, _T_4236) @[lsu_bus_buffer.scala 484:143] + node _T_4238 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 486:54] + node _T_4239 = and(bus_rsp_write_error, _T_4238) @[lsu_bus_buffer.scala 486:33] + node _T_4240 = or(_T_4237, _T_4239) @[lsu_bus_buffer.scala 485:88] + node _T_4241 = and(_T_4230, _T_4240) @[lsu_bus_buffer.scala 484:68] + buf_error_en[3] <= _T_4241 @[lsu_bus_buffer.scala 484:25] + node _T_4242 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 487:50] + node _T_4243 = and(buf_state_en[3], _T_4242) @[lsu_bus_buffer.scala 487:48] + node _T_4244 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 487:84] + node _T_4245 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 487:102] + node _T_4246 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 487:125] + node _T_4247 = mux(_T_4244, _T_4245, _T_4246) @[lsu_bus_buffer.scala 487:72] + node _T_4248 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 487:148] + node _T_4249 = mux(_T_4243, _T_4247, _T_4248) @[lsu_bus_buffer.scala 487:30] + buf_data_in[3] <= _T_4249 @[lsu_bus_buffer.scala 487:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 489:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4250 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4250 : @[Conditional.scala 39:67] - node _T_4251 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 490:60] - node _T_4252 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 490:86] - node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 490:101] - node _T_4254 = bits(_T_4253, 0, 0) @[lsu_bus_buffer.scala 490:101] - node _T_4255 = or(_T_4252, _T_4254) @[lsu_bus_buffer.scala 490:90] - node _T_4256 = or(_T_4255, any_done_wait_state) @[lsu_bus_buffer.scala 490:118] - node _T_4257 = mux(_T_4256, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 490:75] - node _T_4258 = mux(_T_4251, UInt<3>("h00"), _T_4257) @[lsu_bus_buffer.scala 490:31] - buf_nxtstate[3] <= _T_4258 @[lsu_bus_buffer.scala 490:25] - node _T_4259 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 491:66] - node _T_4260 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 492:21] - node _T_4261 = bits(_T_4260, 0, 0) @[lsu_bus_buffer.scala 492:21] - node _T_4262 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 492:58] - node _T_4263 = and(_T_4261, _T_4262) @[lsu_bus_buffer.scala 492:38] - node _T_4264 = or(_T_4259, _T_4263) @[lsu_bus_buffer.scala 491:95] - node _T_4265 = and(bus_rsp_read, _T_4264) @[lsu_bus_buffer.scala 491:45] - buf_state_bus_en[3] <= _T_4265 @[lsu_bus_buffer.scala 491:29] - node _T_4266 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 493:49] - node _T_4267 = or(_T_4266, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 493:70] - buf_state_en[3] <= _T_4267 @[lsu_bus_buffer.scala 493:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:34] - buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 495:20] + node _T_4251 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 492:60] + node _T_4252 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 492:86] + node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 492:101] + node _T_4254 = bits(_T_4253, 0, 0) @[lsu_bus_buffer.scala 492:101] + node _T_4255 = or(_T_4252, _T_4254) @[lsu_bus_buffer.scala 492:90] + node _T_4256 = or(_T_4255, any_done_wait_state) @[lsu_bus_buffer.scala 492:118] + node _T_4257 = mux(_T_4256, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 492:75] + node _T_4258 = mux(_T_4251, UInt<3>("h00"), _T_4257) @[lsu_bus_buffer.scala 492:31] + buf_nxtstate[3] <= _T_4258 @[lsu_bus_buffer.scala 492:25] + node _T_4259 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 493:66] + node _T_4260 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 494:21] + node _T_4261 = bits(_T_4260, 0, 0) @[lsu_bus_buffer.scala 494:21] + node _T_4262 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 494:58] + node _T_4263 = and(_T_4261, _T_4262) @[lsu_bus_buffer.scala 494:38] + node _T_4264 = or(_T_4259, _T_4263) @[lsu_bus_buffer.scala 493:95] + node _T_4265 = and(bus_rsp_read, _T_4264) @[lsu_bus_buffer.scala 493:45] + buf_state_bus_en[3] <= _T_4265 @[lsu_bus_buffer.scala 493:29] + node _T_4266 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 495:49] + node _T_4267 = or(_T_4266, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:70] + buf_state_en[3] <= _T_4267 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 497:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4268 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4268 : @[Conditional.scala 39:67] - node _T_4269 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 498:60] - node _T_4270 = mux(_T_4269, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 498:31] - buf_nxtstate[3] <= _T_4270 @[lsu_bus_buffer.scala 498:25] - node _T_4271 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 499:37] - node _T_4272 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 499:98] - node _T_4273 = and(buf_dual[3], _T_4272) @[lsu_bus_buffer.scala 499:80] - node _T_4274 = or(_T_4271, _T_4273) @[lsu_bus_buffer.scala 499:65] - node _T_4275 = or(_T_4274, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 499:112] - buf_state_en[3] <= _T_4275 @[lsu_bus_buffer.scala 499:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 500:34] - buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 501:20] + node _T_4269 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 500:60] + node _T_4270 = mux(_T_4269, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 500:31] + buf_nxtstate[3] <= _T_4270 @[lsu_bus_buffer.scala 500:25] + node _T_4271 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 501:37] + node _T_4272 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 501:98] + node _T_4273 = and(buf_dual[3], _T_4272) @[lsu_bus_buffer.scala 501:80] + node _T_4274 = or(_T_4271, _T_4273) @[lsu_bus_buffer.scala 501:65] + node _T_4275 = or(_T_4274, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 501:112] + buf_state_en[3] <= _T_4275 @[lsu_bus_buffer.scala 501:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 503:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4276 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4276 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 504:25] - buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 505:20] - buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 506:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 508:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 509:34] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 506:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 507:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 509:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 510:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 511:34] skip @[Conditional.scala 39:67] - node _T_4277 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 512:108] + node _T_4277 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 514:108] reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4278 @[lsu_bus_buffer.scala 512:18] - reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 513:60] - _T_4279 <= buf_age_in_3 @[lsu_bus_buffer.scala 513:60] - buf_ageQ[3] <= _T_4279 @[lsu_bus_buffer.scala 513:17] - reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 514:63] - _T_4280 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 514:63] - buf_rspageQ[3] <= _T_4280 @[lsu_bus_buffer.scala 514:20] - node _T_4281 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:109] + buf_state[3] <= _T_4278 @[lsu_bus_buffer.scala 514:18] + reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 515:60] + _T_4279 <= buf_age_in_3 @[lsu_bus_buffer.scala 515:60] + buf_ageQ[3] <= _T_4279 @[lsu_bus_buffer.scala 515:17] + reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 516:63] + _T_4280 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 516:63] + buf_rspageQ[3] <= _T_4280 @[lsu_bus_buffer.scala 516:20] + node _T_4281 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 517:109] reg _T_4282 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4281 : @[Reg.scala 28:19] _T_4282 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4282 @[lsu_bus_buffer.scala 515:20] - node _T_4283 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 516:74] - node _T_4284 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:107] + buf_dualtag[3] <= _T_4282 @[lsu_bus_buffer.scala 517:20] + node _T_4283 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 518:74] + node _T_4284 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 518:107] reg _T_4285 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4284 : @[Reg.scala 28:19] _T_4285 <= _T_4283 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4285 @[lsu_bus_buffer.scala 516:17] - node _T_4286 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 517:78] - node _T_4287 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 517:111] + buf_dual[3] <= _T_4285 @[lsu_bus_buffer.scala 518:17] + node _T_4286 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 519:78] + node _T_4287 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 519:111] reg _T_4288 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4287 : @[Reg.scala 28:19] _T_4288 <= _T_4286 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4288 @[lsu_bus_buffer.scala 517:19] - node _T_4289 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 518:80] - node _T_4290 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 518:113] + buf_samedw[3] <= _T_4288 @[lsu_bus_buffer.scala 519:19] + node _T_4289 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 520:80] + node _T_4290 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 520:113] reg _T_4291 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4290 : @[Reg.scala 28:19] _T_4291 <= _T_4289 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4291 @[lsu_bus_buffer.scala 518:20] - node _T_4292 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 519:78] - node _T_4293 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 519:111] + buf_nomerge[3] <= _T_4291 @[lsu_bus_buffer.scala 520:20] + node _T_4292 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 521:78] + node _T_4293 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 521:111] reg _T_4294 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4293 : @[Reg.scala 28:19] _T_4294 <= _T_4292 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4294 @[lsu_bus_buffer.scala 519:19] - node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 522:131] + buf_dualhi[3] <= _T_4294 @[lsu_bus_buffer.scala 521:19] + node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 524:131] reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 522:131] + node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 524:131] reg _T_4298 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4297 : @[Reg.scala 28:19] _T_4298 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 522:131] + node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 524:131] reg _T_4300 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4299 : @[Reg.scala 28:19] _T_4300 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 522:131] + node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 524:131] reg _T_4302 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4301 : @[Reg.scala 28:19] _T_4302 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -13826,51 +13816,51 @@ circuit lsu : node _T_4303 = cat(_T_4302, _T_4300) @[Cat.scala 29:58] node _T_4304 = cat(_T_4303, _T_4298) @[Cat.scala 29:58] node _T_4305 = cat(_T_4304, _T_4296) @[Cat.scala 29:58] - buf_ldfwd <= _T_4305 @[lsu_bus_buffer.scala 522:13] - node _T_4306 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 523:132] + buf_ldfwd <= _T_4305 @[lsu_bus_buffer.scala 524:13] + node _T_4306 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 525:132] reg _T_4307 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4306 : @[Reg.scala 28:19] _T_4307 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4308 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 523:132] + node _T_4308 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 525:132] reg _T_4309 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4308 : @[Reg.scala 28:19] _T_4309 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4310 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 523:132] + node _T_4310 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 525:132] reg _T_4311 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4310 : @[Reg.scala 28:19] _T_4311 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4312 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 523:132] + node _T_4312 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 525:132] reg _T_4313 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4312 : @[Reg.scala 28:19] _T_4313 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4307 @[lsu_bus_buffer.scala 523:16] - buf_ldfwdtag[1] <= _T_4309 @[lsu_bus_buffer.scala 523:16] - buf_ldfwdtag[2] <= _T_4311 @[lsu_bus_buffer.scala 523:16] - buf_ldfwdtag[3] <= _T_4313 @[lsu_bus_buffer.scala 523:16] - node _T_4314 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 524:105] - node _T_4315 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 524:138] + buf_ldfwdtag[0] <= _T_4307 @[lsu_bus_buffer.scala 525:16] + buf_ldfwdtag[1] <= _T_4309 @[lsu_bus_buffer.scala 525:16] + buf_ldfwdtag[2] <= _T_4311 @[lsu_bus_buffer.scala 525:16] + buf_ldfwdtag[3] <= _T_4313 @[lsu_bus_buffer.scala 525:16] + node _T_4314 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 526:105] + node _T_4315 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 526:138] reg _T_4316 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4315 : @[Reg.scala 28:19] _T_4316 <= _T_4314 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4317 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 524:105] - node _T_4318 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 524:138] + node _T_4317 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 526:105] + node _T_4318 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 526:138] reg _T_4319 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4318 : @[Reg.scala 28:19] _T_4319 <= _T_4317 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4320 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 524:105] - node _T_4321 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 524:138] + node _T_4320 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 526:105] + node _T_4321 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 526:138] reg _T_4322 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4321 : @[Reg.scala 28:19] _T_4322 <= _T_4320 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4323 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 524:105] - node _T_4324 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 524:138] + node _T_4323 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 526:105] + node _T_4324 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 526:138] reg _T_4325 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4324 : @[Reg.scala 28:19] _T_4325 <= _T_4323 @[Reg.scala 28:23] @@ -13878,27 +13868,27 @@ circuit lsu : node _T_4326 = cat(_T_4325, _T_4322) @[Cat.scala 29:58] node _T_4327 = cat(_T_4326, _T_4319) @[Cat.scala 29:58] node _T_4328 = cat(_T_4327, _T_4316) @[Cat.scala 29:58] - buf_sideeffect <= _T_4328 @[lsu_bus_buffer.scala 524:18] - node _T_4329 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 525:97] - node _T_4330 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 525:130] + buf_sideeffect <= _T_4328 @[lsu_bus_buffer.scala 526:18] + node _T_4329 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 527:97] + node _T_4330 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 527:130] reg _T_4331 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4330 : @[Reg.scala 28:19] _T_4331 <= _T_4329 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4332 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 525:97] - node _T_4333 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 525:130] + node _T_4332 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 527:97] + node _T_4333 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 527:130] reg _T_4334 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4333 : @[Reg.scala 28:19] _T_4334 <= _T_4332 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4335 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 525:97] - node _T_4336 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 525:130] + node _T_4335 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 527:97] + node _T_4336 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 527:130] reg _T_4337 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4336 : @[Reg.scala 28:19] _T_4337 <= _T_4335 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4338 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 525:97] - node _T_4339 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 525:130] + node _T_4338 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 527:97] + node _T_4339 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 527:130] reg _T_4340 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4339 : @[Reg.scala 28:19] _T_4340 <= _T_4338 @[Reg.scala 28:23] @@ -13906,27 +13896,27 @@ circuit lsu : node _T_4341 = cat(_T_4340, _T_4337) @[Cat.scala 29:58] node _T_4342 = cat(_T_4341, _T_4334) @[Cat.scala 29:58] node _T_4343 = cat(_T_4342, _T_4331) @[Cat.scala 29:58] - buf_unsign <= _T_4343 @[lsu_bus_buffer.scala 525:14] - node _T_4344 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 526:95] - node _T_4345 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 526:128] + buf_unsign <= _T_4343 @[lsu_bus_buffer.scala 527:14] + node _T_4344 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 528:95] + node _T_4345 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 528:128] reg _T_4346 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4345 : @[Reg.scala 28:19] _T_4346 <= _T_4344 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4347 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 526:95] - node _T_4348 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 526:128] + node _T_4347 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 528:95] + node _T_4348 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 528:128] reg _T_4349 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4348 : @[Reg.scala 28:19] _T_4349 <= _T_4347 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4350 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 526:95] - node _T_4351 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 526:128] + node _T_4350 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 528:95] + node _T_4351 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 528:128] reg _T_4352 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4351 : @[Reg.scala 28:19] _T_4352 <= _T_4350 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4353 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 526:95] - node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 526:128] + node _T_4353 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 528:95] + node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 528:128] reg _T_4355 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4354 : @[Reg.scala 28:19] _T_4355 <= _T_4353 @[Reg.scala 28:23] @@ -13934,32 +13924,32 @@ circuit lsu : node _T_4356 = cat(_T_4355, _T_4352) @[Cat.scala 29:58] node _T_4357 = cat(_T_4356, _T_4349) @[Cat.scala 29:58] node _T_4358 = cat(_T_4357, _T_4346) @[Cat.scala 29:58] - buf_write <= _T_4358 @[lsu_bus_buffer.scala 526:13] - node _T_4359 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 527:117] + buf_write <= _T_4358 @[lsu_bus_buffer.scala 528:13] + node _T_4359 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 529:117] reg _T_4360 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4359 : @[Reg.scala 28:19] _T_4360 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4361 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 527:117] + node _T_4361 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 529:117] reg _T_4362 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4361 : @[Reg.scala 28:19] _T_4362 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4363 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 527:117] + node _T_4363 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 529:117] reg _T_4364 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4363 : @[Reg.scala 28:19] _T_4364 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4365 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 527:117] + node _T_4365 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 529:117] reg _T_4366 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4360 @[lsu_bus_buffer.scala 527:10] - buf_sz[1] <= _T_4362 @[lsu_bus_buffer.scala 527:10] - buf_sz[2] <= _T_4364 @[lsu_bus_buffer.scala 527:10] - buf_sz[3] <= _T_4366 @[lsu_bus_buffer.scala 527:10] - node _T_4367 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 528:80] + buf_sz[0] <= _T_4360 @[lsu_bus_buffer.scala 529:10] + buf_sz[1] <= _T_4362 @[lsu_bus_buffer.scala 529:10] + buf_sz[2] <= _T_4364 @[lsu_bus_buffer.scala 529:10] + buf_sz[3] <= _T_4366 @[lsu_bus_buffer.scala 529:10] + node _T_4367 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 530:80] inst rvclkhdr_4 of rvclkhdr_26 @[lib.scala 404:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -13970,7 +13960,7 @@ circuit lsu : when _T_4367 : @[Reg.scala 28:19] _T_4368 <= buf_addr_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4369 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 528:80] + node _T_4369 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 530:80] inst rvclkhdr_5 of rvclkhdr_27 @[lib.scala 404:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -13981,7 +13971,7 @@ circuit lsu : when _T_4369 : @[Reg.scala 28:19] _T_4370 <= buf_addr_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4371 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 528:80] + node _T_4371 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 530:80] inst rvclkhdr_6 of rvclkhdr_28 @[lib.scala 404:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -13992,7 +13982,7 @@ circuit lsu : when _T_4371 : @[Reg.scala 28:19] _T_4372 <= buf_addr_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4373 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 528:80] + node _T_4373 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 530:80] inst rvclkhdr_7 of rvclkhdr_29 @[lib.scala 404:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -14003,34 +13993,34 @@ circuit lsu : when _T_4373 : @[Reg.scala 28:19] _T_4374 <= buf_addr_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_addr[0] <= _T_4368 @[lsu_bus_buffer.scala 528:12] - buf_addr[1] <= _T_4370 @[lsu_bus_buffer.scala 528:12] - buf_addr[2] <= _T_4372 @[lsu_bus_buffer.scala 528:12] - buf_addr[3] <= _T_4374 @[lsu_bus_buffer.scala 528:12] - node _T_4375 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 529:125] + buf_addr[0] <= _T_4368 @[lsu_bus_buffer.scala 530:12] + buf_addr[1] <= _T_4370 @[lsu_bus_buffer.scala 530:12] + buf_addr[2] <= _T_4372 @[lsu_bus_buffer.scala 530:12] + buf_addr[3] <= _T_4374 @[lsu_bus_buffer.scala 530:12] + node _T_4375 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 531:125] reg _T_4376 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4375 : @[Reg.scala 28:19] _T_4376 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4377 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 529:125] + node _T_4377 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 531:125] reg _T_4378 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4377 : @[Reg.scala 28:19] _T_4378 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4379 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 529:125] + node _T_4379 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 531:125] reg _T_4380 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4379 : @[Reg.scala 28:19] _T_4380 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4381 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 529:125] + node _T_4381 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 531:125] reg _T_4382 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4381 : @[Reg.scala 28:19] _T_4382 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4376 @[lsu_bus_buffer.scala 529:14] - buf_byteen[1] <= _T_4378 @[lsu_bus_buffer.scala 529:14] - buf_byteen[2] <= _T_4380 @[lsu_bus_buffer.scala 529:14] - buf_byteen[3] <= _T_4382 @[lsu_bus_buffer.scala 529:14] + buf_byteen[0] <= _T_4376 @[lsu_bus_buffer.scala 531:14] + buf_byteen[1] <= _T_4378 @[lsu_bus_buffer.scala 531:14] + buf_byteen[2] <= _T_4380 @[lsu_bus_buffer.scala 531:14] + buf_byteen[3] <= _T_4382 @[lsu_bus_buffer.scala 531:14] inst rvclkhdr_8 of rvclkhdr_30 @[lib.scala 404:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -14071,171 +14061,171 @@ circuit lsu : when buf_data_en[3] : @[Reg.scala 28:19] _T_4386 <= buf_data_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_data[0] <= _T_4383 @[lsu_bus_buffer.scala 530:12] - buf_data[1] <= _T_4384 @[lsu_bus_buffer.scala 530:12] - buf_data[2] <= _T_4385 @[lsu_bus_buffer.scala 530:12] - buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 530:12] - node _T_4387 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:81] - node _T_4388 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 531:133] - node _T_4389 = mux(buf_error_en[0], UInt<1>("h01"), _T_4388) @[lsu_bus_buffer.scala 531:98] - node _T_4390 = and(_T_4387, _T_4389) @[lsu_bus_buffer.scala 531:93] - reg _T_4391 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 531:80] - _T_4391 <= _T_4390 @[lsu_bus_buffer.scala 531:80] - node _T_4392 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:81] - node _T_4393 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 531:133] - node _T_4394 = mux(buf_error_en[1], UInt<1>("h01"), _T_4393) @[lsu_bus_buffer.scala 531:98] - node _T_4395 = and(_T_4392, _T_4394) @[lsu_bus_buffer.scala 531:93] - reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 531:80] - _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 531:80] - node _T_4397 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:81] - node _T_4398 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 531:133] - node _T_4399 = mux(buf_error_en[2], UInt<1>("h01"), _T_4398) @[lsu_bus_buffer.scala 531:98] - node _T_4400 = and(_T_4397, _T_4399) @[lsu_bus_buffer.scala 531:93] - reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 531:80] - _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 531:80] - node _T_4402 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:81] - node _T_4403 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 531:133] - node _T_4404 = mux(buf_error_en[3], UInt<1>("h01"), _T_4403) @[lsu_bus_buffer.scala 531:98] - node _T_4405 = and(_T_4402, _T_4404) @[lsu_bus_buffer.scala 531:93] - reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 531:80] - _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 531:80] + buf_data[0] <= _T_4383 @[lsu_bus_buffer.scala 532:12] + buf_data[1] <= _T_4384 @[lsu_bus_buffer.scala 532:12] + buf_data[2] <= _T_4385 @[lsu_bus_buffer.scala 532:12] + buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 532:12] + node _T_4387 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:81] + node _T_4388 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 533:133] + node _T_4389 = mux(buf_error_en[0], UInt<1>("h01"), _T_4388) @[lsu_bus_buffer.scala 533:98] + node _T_4390 = and(_T_4387, _T_4389) @[lsu_bus_buffer.scala 533:93] + reg _T_4391 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 533:80] + _T_4391 <= _T_4390 @[lsu_bus_buffer.scala 533:80] + node _T_4392 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:81] + node _T_4393 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 533:133] + node _T_4394 = mux(buf_error_en[1], UInt<1>("h01"), _T_4393) @[lsu_bus_buffer.scala 533:98] + node _T_4395 = and(_T_4392, _T_4394) @[lsu_bus_buffer.scala 533:93] + reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 533:80] + _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 533:80] + node _T_4397 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:81] + node _T_4398 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 533:133] + node _T_4399 = mux(buf_error_en[2], UInt<1>("h01"), _T_4398) @[lsu_bus_buffer.scala 533:98] + node _T_4400 = and(_T_4397, _T_4399) @[lsu_bus_buffer.scala 533:93] + reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 533:80] + _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 533:80] + node _T_4402 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:81] + node _T_4403 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 533:133] + node _T_4404 = mux(buf_error_en[3], UInt<1>("h01"), _T_4403) @[lsu_bus_buffer.scala 533:98] + node _T_4405 = and(_T_4402, _T_4404) @[lsu_bus_buffer.scala 533:93] + reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 533:80] + _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 533:80] node _T_4407 = cat(_T_4406, _T_4401) @[Cat.scala 29:58] node _T_4408 = cat(_T_4407, _T_4396) @[Cat.scala 29:58] node _T_4409 = cat(_T_4408, _T_4391) @[Cat.scala 29:58] - buf_error <= _T_4409 @[lsu_bus_buffer.scala 531:13] + buf_error <= _T_4409 @[lsu_bus_buffer.scala 533:13] node _T_4410 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4411 = mux(io.ldst_dual_m, _T_4410, io.lsu_busreq_m) @[lsu_bus_buffer.scala 532:28] + node _T_4411 = mux(io.ldst_dual_m, _T_4410, io.lsu_busreq_m) @[lsu_bus_buffer.scala 534:28] node _T_4412 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4413 = mux(io.ldst_dual_r, _T_4412, io.lsu_busreq_r) @[lsu_bus_buffer.scala 532:94] - node _T_4414 = add(_T_4411, _T_4413) @[lsu_bus_buffer.scala 532:88] - node _T_4415 = add(_T_4414, ibuf_valid) @[lsu_bus_buffer.scala 532:154] - node _T_4416 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 532:190] - node _T_4417 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 532:190] - node _T_4418 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 532:190] - node _T_4419 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 532:190] - node _T_4420 = add(_T_4416, _T_4417) @[lsu_bus_buffer.scala 532:217] - node _T_4421 = add(_T_4420, _T_4418) @[lsu_bus_buffer.scala 532:217] - node _T_4422 = add(_T_4421, _T_4419) @[lsu_bus_buffer.scala 532:217] - node _T_4423 = add(_T_4415, _T_4422) @[lsu_bus_buffer.scala 532:169] - node buf_numvld_any = tail(_T_4423, 1) @[lsu_bus_buffer.scala 532:169] - node _T_4424 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 533:60] - node _T_4425 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:79] - node _T_4426 = and(_T_4424, _T_4425) @[lsu_bus_buffer.scala 533:64] - node _T_4427 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:91] - node _T_4428 = and(_T_4426, _T_4427) @[lsu_bus_buffer.scala 533:89] - node _T_4429 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 533:60] - node _T_4430 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:79] - node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 533:64] - node _T_4432 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:91] - node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 533:89] - node _T_4434 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 533:60] - node _T_4435 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:79] - node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 533:64] - node _T_4437 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:91] - node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 533:89] - node _T_4439 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 533:60] - node _T_4440 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:79] - node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 533:64] - node _T_4442 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:91] - node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 533:89] - node _T_4444 = add(_T_4443, _T_4438) @[lsu_bus_buffer.scala 533:142] - node _T_4445 = add(_T_4444, _T_4433) @[lsu_bus_buffer.scala 533:142] - node _T_4446 = add(_T_4445, _T_4428) @[lsu_bus_buffer.scala 533:142] - buf_numvld_wrcmd_any <= _T_4446 @[lsu_bus_buffer.scala 533:24] - node _T_4447 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 534:63] - node _T_4448 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 534:75] - node _T_4449 = and(_T_4447, _T_4448) @[lsu_bus_buffer.scala 534:73] - node _T_4450 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 534:63] - node _T_4451 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 534:75] - node _T_4452 = and(_T_4450, _T_4451) @[lsu_bus_buffer.scala 534:73] - node _T_4453 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 534:63] - node _T_4454 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 534:75] - node _T_4455 = and(_T_4453, _T_4454) @[lsu_bus_buffer.scala 534:73] - node _T_4456 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 534:63] - node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 534:75] - node _T_4458 = and(_T_4456, _T_4457) @[lsu_bus_buffer.scala 534:73] - node _T_4459 = add(_T_4458, _T_4455) @[lsu_bus_buffer.scala 534:126] - node _T_4460 = add(_T_4459, _T_4452) @[lsu_bus_buffer.scala 534:126] - node _T_4461 = add(_T_4460, _T_4449) @[lsu_bus_buffer.scala 534:126] - buf_numvld_cmd_any <= _T_4461 @[lsu_bus_buffer.scala 534:22] - node _T_4462 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 535:63] - node _T_4463 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 535:90] - node _T_4464 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 535:102] - node _T_4465 = and(_T_4463, _T_4464) @[lsu_bus_buffer.scala 535:100] - node _T_4466 = or(_T_4462, _T_4465) @[lsu_bus_buffer.scala 535:74] - node _T_4467 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 535:63] - node _T_4468 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 535:90] - node _T_4469 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 535:102] - node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 535:100] - node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 535:74] - node _T_4472 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 535:63] - node _T_4473 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 535:90] - node _T_4474 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 535:102] - node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 535:100] - node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 535:74] - node _T_4477 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 535:63] - node _T_4478 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 535:90] - node _T_4479 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 535:102] - node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 535:100] - node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 535:74] - node _T_4482 = add(_T_4481, _T_4476) @[lsu_bus_buffer.scala 535:154] - node _T_4483 = add(_T_4482, _T_4471) @[lsu_bus_buffer.scala 535:154] - node _T_4484 = add(_T_4483, _T_4466) @[lsu_bus_buffer.scala 535:154] - buf_numvld_pend_any <= _T_4484 @[lsu_bus_buffer.scala 535:23] - node _T_4485 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 536:61] - node _T_4486 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 536:61] - node _T_4487 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 536:61] - node _T_4488 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 536:61] - node _T_4489 = or(_T_4488, _T_4487) @[lsu_bus_buffer.scala 536:93] - node _T_4490 = or(_T_4489, _T_4486) @[lsu_bus_buffer.scala 536:93] - node _T_4491 = or(_T_4490, _T_4485) @[lsu_bus_buffer.scala 536:93] - any_done_wait_state <= _T_4491 @[lsu_bus_buffer.scala 536:23] - node _T_4492 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 537:53] - io.lsu_bus_buffer_pend_any <= _T_4492 @[lsu_bus_buffer.scala 537:30] - node _T_4493 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 538:52] - node _T_4494 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 538:92] - node _T_4495 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 538:121] - node _T_4496 = mux(_T_4493, _T_4494, _T_4495) @[lsu_bus_buffer.scala 538:36] - io.lsu_bus_buffer_full_any <= _T_4496 @[lsu_bus_buffer.scala 538:30] - node _T_4497 = orr(buf_state[0]) @[lsu_bus_buffer.scala 539:52] - node _T_4498 = orr(buf_state[1]) @[lsu_bus_buffer.scala 539:52] - node _T_4499 = orr(buf_state[2]) @[lsu_bus_buffer.scala 539:52] - node _T_4500 = orr(buf_state[3]) @[lsu_bus_buffer.scala 539:52] - node _T_4501 = or(_T_4497, _T_4498) @[lsu_bus_buffer.scala 539:65] - node _T_4502 = or(_T_4501, _T_4499) @[lsu_bus_buffer.scala 539:65] - node _T_4503 = or(_T_4502, _T_4500) @[lsu_bus_buffer.scala 539:65] - node _T_4504 = eq(_T_4503, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:34] - node _T_4505 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:72] - node _T_4506 = and(_T_4504, _T_4505) @[lsu_bus_buffer.scala 539:70] - node _T_4507 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:86] - node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 539:84] - io.lsu_bus_buffer_empty_any <= _T_4508 @[lsu_bus_buffer.scala 539:31] - node _T_4509 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 541:64] - node _T_4510 = and(_T_4509, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 541:85] - node _T_4511 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:112] - node _T_4512 = and(_T_4510, _T_4511) @[lsu_bus_buffer.scala 541:110] - node _T_4513 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:129] - node _T_4514 = and(_T_4512, _T_4513) @[lsu_bus_buffer.scala 541:127] - io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4514 @[lsu_bus_buffer.scala 541:45] - io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 542:43] + node _T_4413 = mux(io.ldst_dual_r, _T_4412, io.lsu_busreq_r) @[lsu_bus_buffer.scala 534:94] + node _T_4414 = add(_T_4411, _T_4413) @[lsu_bus_buffer.scala 534:88] + node _T_4415 = add(_T_4414, ibuf_valid) @[lsu_bus_buffer.scala 534:154] + node _T_4416 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 534:190] + node _T_4417 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 534:190] + node _T_4418 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 534:190] + node _T_4419 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 534:190] + node _T_4420 = add(_T_4416, _T_4417) @[lsu_bus_buffer.scala 534:217] + node _T_4421 = add(_T_4420, _T_4418) @[lsu_bus_buffer.scala 534:217] + node _T_4422 = add(_T_4421, _T_4419) @[lsu_bus_buffer.scala 534:217] + node _T_4423 = add(_T_4415, _T_4422) @[lsu_bus_buffer.scala 534:169] + node buf_numvld_any = tail(_T_4423, 1) @[lsu_bus_buffer.scala 534:169] + node _T_4424 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 535:60] + node _T_4425 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 535:79] + node _T_4426 = and(_T_4424, _T_4425) @[lsu_bus_buffer.scala 535:64] + node _T_4427 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 535:91] + node _T_4428 = and(_T_4426, _T_4427) @[lsu_bus_buffer.scala 535:89] + node _T_4429 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 535:60] + node _T_4430 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 535:79] + node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 535:64] + node _T_4432 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 535:91] + node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 535:89] + node _T_4434 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 535:60] + node _T_4435 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 535:79] + node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 535:64] + node _T_4437 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 535:91] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 535:89] + node _T_4439 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 535:60] + node _T_4440 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 535:79] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 535:64] + node _T_4442 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 535:91] + node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 535:89] + node _T_4444 = add(_T_4443, _T_4438) @[lsu_bus_buffer.scala 535:142] + node _T_4445 = add(_T_4444, _T_4433) @[lsu_bus_buffer.scala 535:142] + node _T_4446 = add(_T_4445, _T_4428) @[lsu_bus_buffer.scala 535:142] + buf_numvld_wrcmd_any <= _T_4446 @[lsu_bus_buffer.scala 535:24] + node _T_4447 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 536:63] + node _T_4448 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:75] + node _T_4449 = and(_T_4447, _T_4448) @[lsu_bus_buffer.scala 536:73] + node _T_4450 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 536:63] + node _T_4451 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:75] + node _T_4452 = and(_T_4450, _T_4451) @[lsu_bus_buffer.scala 536:73] + node _T_4453 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 536:63] + node _T_4454 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:75] + node _T_4455 = and(_T_4453, _T_4454) @[lsu_bus_buffer.scala 536:73] + node _T_4456 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 536:63] + node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:75] + node _T_4458 = and(_T_4456, _T_4457) @[lsu_bus_buffer.scala 536:73] + node _T_4459 = add(_T_4458, _T_4455) @[lsu_bus_buffer.scala 536:126] + node _T_4460 = add(_T_4459, _T_4452) @[lsu_bus_buffer.scala 536:126] + node _T_4461 = add(_T_4460, _T_4449) @[lsu_bus_buffer.scala 536:126] + buf_numvld_cmd_any <= _T_4461 @[lsu_bus_buffer.scala 536:22] + node _T_4462 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 537:63] + node _T_4463 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 537:90] + node _T_4464 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:102] + node _T_4465 = and(_T_4463, _T_4464) @[lsu_bus_buffer.scala 537:100] + node _T_4466 = or(_T_4462, _T_4465) @[lsu_bus_buffer.scala 537:74] + node _T_4467 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 537:63] + node _T_4468 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 537:90] + node _T_4469 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:102] + node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 537:100] + node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 537:74] + node _T_4472 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 537:63] + node _T_4473 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 537:90] + node _T_4474 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:102] + node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 537:100] + node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 537:74] + node _T_4477 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 537:63] + node _T_4478 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 537:90] + node _T_4479 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:102] + node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 537:100] + node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 537:74] + node _T_4482 = add(_T_4481, _T_4476) @[lsu_bus_buffer.scala 537:154] + node _T_4483 = add(_T_4482, _T_4471) @[lsu_bus_buffer.scala 537:154] + node _T_4484 = add(_T_4483, _T_4466) @[lsu_bus_buffer.scala 537:154] + buf_numvld_pend_any <= _T_4484 @[lsu_bus_buffer.scala 537:23] + node _T_4485 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 538:61] + node _T_4486 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 538:61] + node _T_4487 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 538:61] + node _T_4488 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 538:61] + node _T_4489 = or(_T_4488, _T_4487) @[lsu_bus_buffer.scala 538:93] + node _T_4490 = or(_T_4489, _T_4486) @[lsu_bus_buffer.scala 538:93] + node _T_4491 = or(_T_4490, _T_4485) @[lsu_bus_buffer.scala 538:93] + any_done_wait_state <= _T_4491 @[lsu_bus_buffer.scala 538:23] + node _T_4492 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 539:53] + io.lsu_bus_buffer_pend_any <= _T_4492 @[lsu_bus_buffer.scala 539:30] + node _T_4493 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 540:52] + node _T_4494 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 540:92] + node _T_4495 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 540:121] + node _T_4496 = mux(_T_4493, _T_4494, _T_4495) @[lsu_bus_buffer.scala 540:36] + io.lsu_bus_buffer_full_any <= _T_4496 @[lsu_bus_buffer.scala 540:30] + node _T_4497 = orr(buf_state[0]) @[lsu_bus_buffer.scala 541:52] + node _T_4498 = orr(buf_state[1]) @[lsu_bus_buffer.scala 541:52] + node _T_4499 = orr(buf_state[2]) @[lsu_bus_buffer.scala 541:52] + node _T_4500 = orr(buf_state[3]) @[lsu_bus_buffer.scala 541:52] + node _T_4501 = or(_T_4497, _T_4498) @[lsu_bus_buffer.scala 541:65] + node _T_4502 = or(_T_4501, _T_4499) @[lsu_bus_buffer.scala 541:65] + node _T_4503 = or(_T_4502, _T_4500) @[lsu_bus_buffer.scala 541:65] + node _T_4504 = eq(_T_4503, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:34] + node _T_4505 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:72] + node _T_4506 = and(_T_4504, _T_4505) @[lsu_bus_buffer.scala 541:70] + node _T_4507 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:86] + node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 541:84] + io.lsu_bus_buffer_empty_any <= _T_4508 @[lsu_bus_buffer.scala 541:31] + node _T_4509 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 543:64] + node _T_4510 = and(_T_4509, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 543:85] + node _T_4511 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:112] + node _T_4512 = and(_T_4510, _T_4511) @[lsu_bus_buffer.scala 543:110] + node _T_4513 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:129] + node _T_4514 = and(_T_4512, _T_4513) @[lsu_bus_buffer.scala 543:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4514 @[lsu_bus_buffer.scala 543:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 544:43] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4515 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:74] - node _T_4516 = and(lsu_nonblock_load_valid_r, _T_4515) @[lsu_bus_buffer.scala 544:72] - io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4516 @[lsu_bus_buffer.scala 544:43] - io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 545:47] - node _T_4517 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:80] - node _T_4518 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:106] - node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:95] - node _T_4520 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:80] - node _T_4521 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:106] - node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:95] - node _T_4523 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:80] - node _T_4524 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:106] - node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:95] - node _T_4526 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:80] - node _T_4527 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:106] - node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:95] + node _T_4515 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:74] + node _T_4516 = and(lsu_nonblock_load_valid_r, _T_4515) @[lsu_bus_buffer.scala 546:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4516 @[lsu_bus_buffer.scala 546:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 547:47] + node _T_4517 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:80] + node _T_4518 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 548:106] + node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:95] + node _T_4520 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:80] + node _T_4521 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 548:106] + node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:95] + node _T_4523 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:80] + node _T_4524 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 548:106] + node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:95] + node _T_4526 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:80] + node _T_4527 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 548:106] + node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:95] node _T_4529 = mux(_T_4517, _T_4519, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4530 = mux(_T_4520, _T_4522, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4531 = mux(_T_4523, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] @@ -14245,26 +14235,26 @@ circuit lsu : node _T_4535 = or(_T_4534, _T_4532) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4535 @[Mux.scala 27:72] - node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:93] - node _T_4537 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 547:117] - node _T_4538 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 547:133] - node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4540 = and(_T_4537, _T_4539) @[lsu_bus_buffer.scala 547:121] - node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:93] - node _T_4542 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 547:117] - node _T_4543 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 547:133] - node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4545 = and(_T_4542, _T_4544) @[lsu_bus_buffer.scala 547:121] - node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:93] - node _T_4547 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 547:117] - node _T_4548 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 547:133] - node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4550 = and(_T_4547, _T_4549) @[lsu_bus_buffer.scala 547:121] - node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:93] - node _T_4552 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 547:117] - node _T_4553 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 547:133] - node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4555 = and(_T_4552, _T_4554) @[lsu_bus_buffer.scala 547:121] + node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 549:93] + node _T_4537 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 549:117] + node _T_4538 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 549:133] + node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:123] + node _T_4540 = and(_T_4537, _T_4539) @[lsu_bus_buffer.scala 549:121] + node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 549:93] + node _T_4542 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 549:117] + node _T_4543 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 549:133] + node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:123] + node _T_4545 = and(_T_4542, _T_4544) @[lsu_bus_buffer.scala 549:121] + node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 549:93] + node _T_4547 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 549:117] + node _T_4548 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 549:133] + node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:123] + node _T_4550 = and(_T_4547, _T_4549) @[lsu_bus_buffer.scala 549:121] + node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 549:93] + node _T_4552 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 549:117] + node _T_4553 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 549:133] + node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:123] + node _T_4555 = and(_T_4552, _T_4554) @[lsu_bus_buffer.scala 549:121] node _T_4556 = mux(_T_4536, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4557 = mux(_T_4541, _T_4545, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4558 = mux(_T_4546, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] @@ -14274,39 +14264,39 @@ circuit lsu : node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] wire _T_4563 : UInt<1> @[Mux.scala 27:72] _T_4563 <= _T_4562 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4563 @[lsu_bus_buffer.scala 547:48] - node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:91] - node _T_4565 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 548:114] - node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:104] - node _T_4567 = and(_T_4564, _T_4566) @[lsu_bus_buffer.scala 548:102] - node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:121] - node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:136] - node _T_4570 = or(_T_4568, _T_4569) @[lsu_bus_buffer.scala 548:134] - node _T_4571 = and(_T_4567, _T_4570) @[lsu_bus_buffer.scala 548:118] - node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:91] - node _T_4573 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 548:114] - node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:104] - node _T_4575 = and(_T_4572, _T_4574) @[lsu_bus_buffer.scala 548:102] - node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:121] - node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:136] - node _T_4578 = or(_T_4576, _T_4577) @[lsu_bus_buffer.scala 548:134] - node _T_4579 = and(_T_4575, _T_4578) @[lsu_bus_buffer.scala 548:118] - node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:91] - node _T_4581 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 548:114] - node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:104] - node _T_4583 = and(_T_4580, _T_4582) @[lsu_bus_buffer.scala 548:102] - node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:121] - node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:136] - node _T_4586 = or(_T_4584, _T_4585) @[lsu_bus_buffer.scala 548:134] - node _T_4587 = and(_T_4583, _T_4586) @[lsu_bus_buffer.scala 548:118] - node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:91] - node _T_4589 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 548:114] - node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:104] - node _T_4591 = and(_T_4588, _T_4590) @[lsu_bus_buffer.scala 548:102] - node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:121] - node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 548:136] - node _T_4594 = or(_T_4592, _T_4593) @[lsu_bus_buffer.scala 548:134] - node _T_4595 = and(_T_4591, _T_4594) @[lsu_bus_buffer.scala 548:118] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4563 @[lsu_bus_buffer.scala 549:48] + node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 550:91] + node _T_4565 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 550:114] + node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:104] + node _T_4567 = and(_T_4564, _T_4566) @[lsu_bus_buffer.scala 550:102] + node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 550:121] + node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 550:136] + node _T_4570 = or(_T_4568, _T_4569) @[lsu_bus_buffer.scala 550:134] + node _T_4571 = and(_T_4567, _T_4570) @[lsu_bus_buffer.scala 550:118] + node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 550:91] + node _T_4573 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 550:114] + node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:104] + node _T_4575 = and(_T_4572, _T_4574) @[lsu_bus_buffer.scala 550:102] + node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 550:121] + node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 550:136] + node _T_4578 = or(_T_4576, _T_4577) @[lsu_bus_buffer.scala 550:134] + node _T_4579 = and(_T_4575, _T_4578) @[lsu_bus_buffer.scala 550:118] + node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 550:91] + node _T_4581 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 550:114] + node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:104] + node _T_4583 = and(_T_4580, _T_4582) @[lsu_bus_buffer.scala 550:102] + node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 550:121] + node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 550:136] + node _T_4586 = or(_T_4584, _T_4585) @[lsu_bus_buffer.scala 550:134] + node _T_4587 = and(_T_4583, _T_4586) @[lsu_bus_buffer.scala 550:118] + node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 550:91] + node _T_4589 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 550:114] + node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:104] + node _T_4591 = and(_T_4588, _T_4590) @[lsu_bus_buffer.scala 550:102] + node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 550:121] + node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 550:136] + node _T_4594 = or(_T_4592, _T_4593) @[lsu_bus_buffer.scala 550:134] + node _T_4595 = and(_T_4591, _T_4594) @[lsu_bus_buffer.scala 550:118] node _T_4596 = mux(_T_4571, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4597 = mux(_T_4579, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4598 = mux(_T_4587, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -14316,39 +14306,39 @@ circuit lsu : node _T_4602 = or(_T_4601, _T_4599) @[Mux.scala 27:72] wire _T_4603 : UInt<2> @[Mux.scala 27:72] _T_4603 <= _T_4602 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4603 @[lsu_bus_buffer.scala 548:45] - node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 549:78] - node _T_4605 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 549:101] - node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:91] - node _T_4607 = and(_T_4604, _T_4606) @[lsu_bus_buffer.scala 549:89] - node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 549:108] - node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 549:123] - node _T_4610 = or(_T_4608, _T_4609) @[lsu_bus_buffer.scala 549:121] - node _T_4611 = and(_T_4607, _T_4610) @[lsu_bus_buffer.scala 549:105] - node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 549:78] - node _T_4613 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 549:101] - node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:91] - node _T_4615 = and(_T_4612, _T_4614) @[lsu_bus_buffer.scala 549:89] - node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 549:108] - node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 549:123] - node _T_4618 = or(_T_4616, _T_4617) @[lsu_bus_buffer.scala 549:121] - node _T_4619 = and(_T_4615, _T_4618) @[lsu_bus_buffer.scala 549:105] - node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 549:78] - node _T_4621 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 549:101] - node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:91] - node _T_4623 = and(_T_4620, _T_4622) @[lsu_bus_buffer.scala 549:89] - node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 549:108] - node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 549:123] - node _T_4626 = or(_T_4624, _T_4625) @[lsu_bus_buffer.scala 549:121] - node _T_4627 = and(_T_4623, _T_4626) @[lsu_bus_buffer.scala 549:105] - node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 549:78] - node _T_4629 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 549:101] - node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:91] - node _T_4631 = and(_T_4628, _T_4630) @[lsu_bus_buffer.scala 549:89] - node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 549:108] - node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 549:123] - node _T_4634 = or(_T_4632, _T_4633) @[lsu_bus_buffer.scala 549:121] - node _T_4635 = and(_T_4631, _T_4634) @[lsu_bus_buffer.scala 549:105] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4603 @[lsu_bus_buffer.scala 550:45] + node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 551:78] + node _T_4605 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 551:101] + node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[lsu_bus_buffer.scala 551:91] + node _T_4607 = and(_T_4604, _T_4606) @[lsu_bus_buffer.scala 551:89] + node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 551:108] + node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 551:123] + node _T_4610 = or(_T_4608, _T_4609) @[lsu_bus_buffer.scala 551:121] + node _T_4611 = and(_T_4607, _T_4610) @[lsu_bus_buffer.scala 551:105] + node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 551:78] + node _T_4613 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 551:101] + node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[lsu_bus_buffer.scala 551:91] + node _T_4615 = and(_T_4612, _T_4614) @[lsu_bus_buffer.scala 551:89] + node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 551:108] + node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 551:123] + node _T_4618 = or(_T_4616, _T_4617) @[lsu_bus_buffer.scala 551:121] + node _T_4619 = and(_T_4615, _T_4618) @[lsu_bus_buffer.scala 551:105] + node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 551:78] + node _T_4621 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 551:101] + node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[lsu_bus_buffer.scala 551:91] + node _T_4623 = and(_T_4620, _T_4622) @[lsu_bus_buffer.scala 551:89] + node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 551:108] + node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 551:123] + node _T_4626 = or(_T_4624, _T_4625) @[lsu_bus_buffer.scala 551:121] + node _T_4627 = and(_T_4623, _T_4626) @[lsu_bus_buffer.scala 551:105] + node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 551:78] + node _T_4629 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 551:101] + node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[lsu_bus_buffer.scala 551:91] + node _T_4631 = and(_T_4628, _T_4630) @[lsu_bus_buffer.scala 551:89] + node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 551:108] + node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 551:123] + node _T_4634 = or(_T_4632, _T_4633) @[lsu_bus_buffer.scala 551:121] + node _T_4635 = and(_T_4631, _T_4634) @[lsu_bus_buffer.scala 551:105] node _T_4636 = mux(_T_4611, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4637 = mux(_T_4619, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4638 = mux(_T_4627, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -14358,30 +14348,30 @@ circuit lsu : node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4642 @[Mux.scala 27:72] - node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 550:78] - node _T_4644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 550:101] - node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:91] - node _T_4646 = and(_T_4643, _T_4645) @[lsu_bus_buffer.scala 550:89] - node _T_4647 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 550:120] - node _T_4648 = and(_T_4646, _T_4647) @[lsu_bus_buffer.scala 550:105] - node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 550:78] - node _T_4650 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 550:101] - node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:91] - node _T_4652 = and(_T_4649, _T_4651) @[lsu_bus_buffer.scala 550:89] - node _T_4653 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 550:120] - node _T_4654 = and(_T_4652, _T_4653) @[lsu_bus_buffer.scala 550:105] - node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 550:78] - node _T_4656 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 550:101] - node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:91] - node _T_4658 = and(_T_4655, _T_4657) @[lsu_bus_buffer.scala 550:89] - node _T_4659 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 550:120] - node _T_4660 = and(_T_4658, _T_4659) @[lsu_bus_buffer.scala 550:105] - node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 550:78] - node _T_4662 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 550:101] - node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:91] - node _T_4664 = and(_T_4661, _T_4663) @[lsu_bus_buffer.scala 550:89] - node _T_4665 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 550:120] - node _T_4666 = and(_T_4664, _T_4665) @[lsu_bus_buffer.scala 550:105] + node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 552:78] + node _T_4644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 552:101] + node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:91] + node _T_4646 = and(_T_4643, _T_4645) @[lsu_bus_buffer.scala 552:89] + node _T_4647 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 552:120] + node _T_4648 = and(_T_4646, _T_4647) @[lsu_bus_buffer.scala 552:105] + node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 552:78] + node _T_4650 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 552:101] + node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:91] + node _T_4652 = and(_T_4649, _T_4651) @[lsu_bus_buffer.scala 552:89] + node _T_4653 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 552:120] + node _T_4654 = and(_T_4652, _T_4653) @[lsu_bus_buffer.scala 552:105] + node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 552:78] + node _T_4656 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 552:101] + node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:91] + node _T_4658 = and(_T_4655, _T_4657) @[lsu_bus_buffer.scala 552:89] + node _T_4659 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 552:120] + node _T_4660 = and(_T_4658, _T_4659) @[lsu_bus_buffer.scala 552:105] + node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 552:78] + node _T_4662 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 552:101] + node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:91] + node _T_4664 = and(_T_4661, _T_4663) @[lsu_bus_buffer.scala 552:89] + node _T_4665 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 552:120] + node _T_4666 = and(_T_4664, _T_4665) @[lsu_bus_buffer.scala 552:105] node _T_4667 = mux(_T_4648, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4668 = mux(_T_4654, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4669 = mux(_T_4660, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -14404,7 +14394,7 @@ circuit lsu : node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] wire _T_4685 : UInt<32> @[Mux.scala 27:72] _T_4685 <= _T_4684 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[lsu_bus_buffer.scala 551:96] + node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[lsu_bus_buffer.scala 553:96] node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 62:123] node _T_4687 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 62:123] node _T_4688 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 62:123] @@ -14436,36 +14426,36 @@ circuit lsu : wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] lsu_nonblock_unsign <= _T_4711 @[Mux.scala 27:72] node _T_4712 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4713 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 555:121] - node lsu_nonblock_data_unalgn = dshr(_T_4712, _T_4713) @[lsu_bus_buffer.scala 555:92] - node _T_4714 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:82] - node _T_4715 = and(lsu_nonblock_load_data_ready, _T_4714) @[lsu_bus_buffer.scala 557:80] - io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4715 @[lsu_bus_buffer.scala 557:48] - node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:81] - node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 558:63] - node _T_4718 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 558:131] + node _T_4713 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 557:121] + node lsu_nonblock_data_unalgn = dshr(_T_4712, _T_4713) @[lsu_bus_buffer.scala 557:92] + node _T_4714 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 559:82] + node _T_4715 = and(lsu_nonblock_load_data_ready, _T_4714) @[lsu_bus_buffer.scala 559:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4715 @[lsu_bus_buffer.scala 559:48] + node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 560:81] + node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 560:63] + node _T_4718 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 560:131] node _T_4719 = cat(UInt<24>("h00"), _T_4718) @[Cat.scala 29:58] - node _T_4720 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 559:45] - node _T_4721 = and(lsu_nonblock_unsign, _T_4720) @[lsu_bus_buffer.scala 559:26] - node _T_4722 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 559:95] + node _T_4720 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 561:45] + node _T_4721 = and(lsu_nonblock_unsign, _T_4720) @[lsu_bus_buffer.scala 561:26] + node _T_4722 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 561:95] node _T_4723 = cat(UInt<16>("h00"), _T_4722) @[Cat.scala 29:58] - node _T_4724 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 560:6] - node _T_4725 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 560:45] - node _T_4726 = and(_T_4724, _T_4725) @[lsu_bus_buffer.scala 560:27] - node _T_4727 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 560:93] + node _T_4724 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 562:6] + node _T_4725 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 562:45] + node _T_4726 = and(_T_4724, _T_4725) @[lsu_bus_buffer.scala 562:27] + node _T_4727 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 562:93] node _T_4728 = bits(_T_4727, 0, 0) @[Bitwise.scala 72:15] node _T_4729 = mux(_T_4728, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4730 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 560:123] + node _T_4730 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 562:123] node _T_4731 = cat(_T_4729, _T_4730) @[Cat.scala 29:58] - node _T_4732 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:6] - node _T_4733 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 561:45] - node _T_4734 = and(_T_4732, _T_4733) @[lsu_bus_buffer.scala 561:27] - node _T_4735 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 561:93] + node _T_4732 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:6] + node _T_4733 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:45] + node _T_4734 = and(_T_4732, _T_4733) @[lsu_bus_buffer.scala 563:27] + node _T_4735 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 563:93] node _T_4736 = bits(_T_4735, 0, 0) @[Bitwise.scala 72:15] node _T_4737 = mux(_T_4736, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4738 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 561:124] + node _T_4738 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 563:124] node _T_4739 = cat(_T_4737, _T_4738) @[Cat.scala 29:58] - node _T_4740 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 562:21] + node _T_4740 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 564:21] node _T_4741 = mux(_T_4717, _T_4719, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4742 = mux(_T_4721, _T_4723, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4743 = mux(_T_4726, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] @@ -14477,74 +14467,74 @@ circuit lsu : node _T_4749 = or(_T_4748, _T_4745) @[Mux.scala 27:72] wire _T_4750 : UInt<64> @[Mux.scala 27:72] _T_4750 <= _T_4749 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4750 @[lsu_bus_buffer.scala 558:29] - node _T_4751 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 563:62] - node _T_4752 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 563:89] - node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 563:73] - node _T_4754 = and(_T_4753, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 563:93] - node _T_4755 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 563:62] - node _T_4756 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 563:89] - node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 563:73] - node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 563:93] - node _T_4759 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 563:62] - node _T_4760 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 563:89] - node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 563:73] - node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 563:93] - node _T_4763 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 563:62] - node _T_4764 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 563:89] - node _T_4765 = and(_T_4763, _T_4764) @[lsu_bus_buffer.scala 563:73] - node _T_4766 = and(_T_4765, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 563:93] - node _T_4767 = or(_T_4754, _T_4758) @[lsu_bus_buffer.scala 563:153] - node _T_4768 = or(_T_4767, _T_4762) @[lsu_bus_buffer.scala 563:153] - node _T_4769 = or(_T_4768, _T_4766) @[lsu_bus_buffer.scala 563:153] - node _T_4770 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 563:171] - node _T_4771 = and(_T_4770, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 563:189] - node _T_4772 = or(_T_4769, _T_4771) @[lsu_bus_buffer.scala 563:157] - bus_sideeffect_pend <= _T_4772 @[lsu_bus_buffer.scala 563:23] - node _T_4773 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 564:71] - node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 565:31] - node _T_4775 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 565:51] - node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 565:37] - node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 565:19] - node _T_4778 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 565:73] - node _T_4779 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 565:107] - node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 565:95] - node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 565:81] - node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 565:61] - node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 565:59] - node _T_4784 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 564:71] - node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 565:31] - node _T_4786 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 565:51] - node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 565:37] - node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 565:19] - node _T_4789 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 565:73] - node _T_4790 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 565:107] - node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 565:95] - node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 565:81] - node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 565:61] - node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 565:59] - node _T_4795 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 564:71] - node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 565:31] - node _T_4797 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 565:51] - node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 565:37] - node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 565:19] - node _T_4800 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 565:73] - node _T_4801 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 565:107] - node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 565:95] - node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 565:81] - node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 565:61] - node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 565:59] - node _T_4806 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 564:71] - node _T_4807 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 565:31] - node _T_4808 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 565:51] - node _T_4809 = eq(_T_4807, _T_4808) @[lsu_bus_buffer.scala 565:37] - node _T_4810 = and(obuf_valid, _T_4809) @[lsu_bus_buffer.scala 565:19] - node _T_4811 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 565:73] - node _T_4812 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 565:107] - node _T_4813 = and(obuf_merge, _T_4812) @[lsu_bus_buffer.scala 565:95] - node _T_4814 = or(_T_4811, _T_4813) @[lsu_bus_buffer.scala 565:81] - node _T_4815 = eq(_T_4814, UInt<1>("h00")) @[lsu_bus_buffer.scala 565:61] - node _T_4816 = and(_T_4810, _T_4815) @[lsu_bus_buffer.scala 565:59] + io.lsu_nonblock_load_data <= _T_4750 @[lsu_bus_buffer.scala 560:29] + node _T_4751 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 565:62] + node _T_4752 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 565:89] + node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 565:73] + node _T_4754 = and(_T_4753, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 565:93] + node _T_4755 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 565:62] + node _T_4756 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 565:89] + node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 565:73] + node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 565:93] + node _T_4759 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 565:62] + node _T_4760 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 565:89] + node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 565:73] + node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 565:93] + node _T_4763 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 565:62] + node _T_4764 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 565:89] + node _T_4765 = and(_T_4763, _T_4764) @[lsu_bus_buffer.scala 565:73] + node _T_4766 = and(_T_4765, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 565:93] + node _T_4767 = or(_T_4754, _T_4758) @[lsu_bus_buffer.scala 565:153] + node _T_4768 = or(_T_4767, _T_4762) @[lsu_bus_buffer.scala 565:153] + node _T_4769 = or(_T_4768, _T_4766) @[lsu_bus_buffer.scala 565:153] + node _T_4770 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 565:171] + node _T_4771 = and(_T_4770, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 565:189] + node _T_4772 = or(_T_4769, _T_4771) @[lsu_bus_buffer.scala 565:157] + bus_sideeffect_pend <= _T_4772 @[lsu_bus_buffer.scala 565:23] + node _T_4773 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 566:71] + node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 567:31] + node _T_4775 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 567:51] + node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 567:37] + node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 567:19] + node _T_4778 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 567:73] + node _T_4779 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 567:107] + node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 567:95] + node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 567:81] + node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 567:61] + node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 567:59] + node _T_4784 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 566:71] + node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 567:31] + node _T_4786 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 567:51] + node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 567:37] + node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 567:19] + node _T_4789 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 567:73] + node _T_4790 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 567:107] + node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 567:95] + node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 567:81] + node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 567:61] + node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 567:59] + node _T_4795 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 566:71] + node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 567:31] + node _T_4797 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 567:51] + node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 567:37] + node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 567:19] + node _T_4800 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 567:73] + node _T_4801 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 567:107] + node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 567:95] + node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 567:81] + node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 567:61] + node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 567:59] + node _T_4806 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 566:71] + node _T_4807 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 567:31] + node _T_4808 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 567:51] + node _T_4809 = eq(_T_4807, _T_4808) @[lsu_bus_buffer.scala 567:37] + node _T_4810 = and(obuf_valid, _T_4809) @[lsu_bus_buffer.scala 567:19] + node _T_4811 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 567:73] + node _T_4812 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 567:107] + node _T_4813 = and(obuf_merge, _T_4812) @[lsu_bus_buffer.scala 567:95] + node _T_4814 = or(_T_4811, _T_4813) @[lsu_bus_buffer.scala 567:81] + node _T_4815 = eq(_T_4814, UInt<1>("h00")) @[lsu_bus_buffer.scala 567:61] + node _T_4816 = and(_T_4810, _T_4815) @[lsu_bus_buffer.scala 567:59] node _T_4817 = mux(_T_4773, _T_4783, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4818 = mux(_T_4784, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4819 = mux(_T_4795, _T_4805, UInt<1>("h00")) @[Mux.scala 27:72] @@ -14554,117 +14544,117 @@ circuit lsu : node _T_4823 = or(_T_4822, _T_4820) @[Mux.scala 27:72] wire _T_4824 : UInt<1> @[Mux.scala 27:72] _T_4824 <= _T_4823 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4824 @[lsu_bus_buffer.scala 564:26] - node _T_4825 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 567:54] - node _T_4826 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 567:75] - node _T_4827 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 567:153] - node _T_4828 = mux(_T_4825, _T_4826, _T_4827) @[lsu_bus_buffer.scala 567:39] - node _T_4829 = mux(obuf_write, _T_4828, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 567:23] - bus_cmd_ready <= _T_4829 @[lsu_bus_buffer.scala 567:17] - node _T_4830 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 568:40] - bus_wcmd_sent <= _T_4830 @[lsu_bus_buffer.scala 568:17] - node _T_4831 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 569:40] - bus_wdata_sent <= _T_4831 @[lsu_bus_buffer.scala 569:18] - node _T_4832 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 570:35] - node _T_4833 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 570:70] - node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 570:52] - node _T_4835 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 570:112] - node _T_4836 = or(_T_4834, _T_4835) @[lsu_bus_buffer.scala 570:89] - bus_cmd_sent <= _T_4836 @[lsu_bus_buffer.scala 570:16] - node _T_4837 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 571:38] - bus_rsp_read <= _T_4837 @[lsu_bus_buffer.scala 571:16] - node _T_4838 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 572:39] - bus_rsp_write <= _T_4838 @[lsu_bus_buffer.scala 572:17] - bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 573:20] - bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 574:21] - node _T_4839 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 575:66] - node _T_4840 = and(bus_rsp_write, _T_4839) @[lsu_bus_buffer.scala 575:40] - bus_rsp_write_error <= _T_4840 @[lsu_bus_buffer.scala 575:23] - node _T_4841 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 576:64] - node _T_4842 = and(bus_rsp_read, _T_4841) @[lsu_bus_buffer.scala 576:38] - bus_rsp_read_error <= _T_4842 @[lsu_bus_buffer.scala 576:22] - bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 577:17] - node _T_4843 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 580:37] - node _T_4844 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 580:52] - node _T_4845 = and(_T_4843, _T_4844) @[lsu_bus_buffer.scala 580:50] - node _T_4846 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 580:69] - node _T_4847 = and(_T_4845, _T_4846) @[lsu_bus_buffer.scala 580:67] - io.lsu_axi.aw.valid <= _T_4847 @[lsu_bus_buffer.scala 580:23] - io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 581:25] - node _T_4848 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 582:75] + bus_addr_match_pending <= _T_4824 @[lsu_bus_buffer.scala 566:26] + node _T_4825 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 569:54] + node _T_4826 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 569:75] + node _T_4827 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 569:153] + node _T_4828 = mux(_T_4825, _T_4826, _T_4827) @[lsu_bus_buffer.scala 569:39] + node _T_4829 = mux(obuf_write, _T_4828, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 569:23] + bus_cmd_ready <= _T_4829 @[lsu_bus_buffer.scala 569:17] + node _T_4830 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 570:40] + bus_wcmd_sent <= _T_4830 @[lsu_bus_buffer.scala 570:17] + node _T_4831 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 571:40] + bus_wdata_sent <= _T_4831 @[lsu_bus_buffer.scala 571:18] + node _T_4832 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 572:35] + node _T_4833 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 572:70] + node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 572:52] + node _T_4835 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 572:112] + node _T_4836 = or(_T_4834, _T_4835) @[lsu_bus_buffer.scala 572:89] + bus_cmd_sent <= _T_4836 @[lsu_bus_buffer.scala 572:16] + node _T_4837 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 573:38] + bus_rsp_read <= _T_4837 @[lsu_bus_buffer.scala 573:16] + node _T_4838 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 574:39] + bus_rsp_write <= _T_4838 @[lsu_bus_buffer.scala 574:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 575:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 576:21] + node _T_4839 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 577:66] + node _T_4840 = and(bus_rsp_write, _T_4839) @[lsu_bus_buffer.scala 577:40] + bus_rsp_write_error <= _T_4840 @[lsu_bus_buffer.scala 577:23] + node _T_4841 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:64] + node _T_4842 = and(bus_rsp_read, _T_4841) @[lsu_bus_buffer.scala 578:38] + bus_rsp_read_error <= _T_4842 @[lsu_bus_buffer.scala 578:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 579:17] + node _T_4843 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 582:37] + node _T_4844 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 582:52] + node _T_4845 = and(_T_4843, _T_4844) @[lsu_bus_buffer.scala 582:50] + node _T_4846 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 582:69] + node _T_4847 = and(_T_4845, _T_4846) @[lsu_bus_buffer.scala 582:67] + io.lsu_axi.aw.valid <= _T_4847 @[lsu_bus_buffer.scala 582:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 583:25] + node _T_4848 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 584:75] node _T_4849 = cat(_T_4848, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4850 = mux(obuf_sideeffect, obuf_addr, _T_4849) @[lsu_bus_buffer.scala 582:33] - io.lsu_axi.aw.bits.addr <= _T_4850 @[lsu_bus_buffer.scala 582:27] + node _T_4850 = mux(obuf_sideeffect, obuf_addr, _T_4849) @[lsu_bus_buffer.scala 584:33] + io.lsu_axi.aw.bits.addr <= _T_4850 @[lsu_bus_buffer.scala 584:27] node _T_4851 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4852 = mux(obuf_sideeffect, _T_4851, UInt<3>("h03")) @[lsu_bus_buffer.scala 583:33] - io.lsu_axi.aw.bits.size <= _T_4852 @[lsu_bus_buffer.scala 583:27] - io.lsu_axi.aw.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 584:27] - node _T_4853 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 585:34] - io.lsu_axi.aw.bits.cache <= _T_4853 @[lsu_bus_buffer.scala 585:28] - node _T_4854 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 586:41] - io.lsu_axi.aw.bits.region <= _T_4854 @[lsu_bus_buffer.scala 586:29] - io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 587:26] - io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 588:28] - io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 589:26] - io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 590:27] - node _T_4855 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 592:36] - node _T_4856 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 592:51] - node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 592:49] - node _T_4858 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 592:69] - node _T_4859 = and(_T_4857, _T_4858) @[lsu_bus_buffer.scala 592:67] - io.lsu_axi.w.valid <= _T_4859 @[lsu_bus_buffer.scala 592:22] + node _T_4852 = mux(obuf_sideeffect, _T_4851, UInt<3>("h03")) @[lsu_bus_buffer.scala 585:33] + io.lsu_axi.aw.bits.size <= _T_4852 @[lsu_bus_buffer.scala 585:27] + io.lsu_axi.aw.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 586:27] + node _T_4853 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 587:34] + io.lsu_axi.aw.bits.cache <= _T_4853 @[lsu_bus_buffer.scala 587:28] + node _T_4854 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 588:41] + io.lsu_axi.aw.bits.region <= _T_4854 @[lsu_bus_buffer.scala 588:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 589:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 590:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 591:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 592:27] + node _T_4855 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 594:36] + node _T_4856 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 594:51] + node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 594:49] + node _T_4858 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 594:69] + node _T_4859 = and(_T_4857, _T_4858) @[lsu_bus_buffer.scala 594:67] + io.lsu_axi.w.valid <= _T_4859 @[lsu_bus_buffer.scala 594:22] node _T_4860 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4861 = mux(_T_4860, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4862 = and(obuf_byteen, _T_4861) @[lsu_bus_buffer.scala 593:41] - io.lsu_axi.w.bits.strb <= _T_4862 @[lsu_bus_buffer.scala 593:26] - io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 594:26] - io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 595:26] - node _T_4863 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 597:39] - node _T_4864 = and(obuf_valid, _T_4863) @[lsu_bus_buffer.scala 597:37] - node _T_4865 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 597:53] - node _T_4866 = and(_T_4864, _T_4865) @[lsu_bus_buffer.scala 597:51] - node _T_4867 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 597:68] - node _T_4868 = and(_T_4866, _T_4867) @[lsu_bus_buffer.scala 597:66] - io.lsu_axi.ar.valid <= _T_4868 @[lsu_bus_buffer.scala 597:23] - io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 598:25] - node _T_4869 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 599:75] + node _T_4862 = and(obuf_byteen, _T_4861) @[lsu_bus_buffer.scala 595:41] + io.lsu_axi.w.bits.strb <= _T_4862 @[lsu_bus_buffer.scala 595:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 596:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:26] + node _T_4863 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 599:39] + node _T_4864 = and(obuf_valid, _T_4863) @[lsu_bus_buffer.scala 599:37] + node _T_4865 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 599:53] + node _T_4866 = and(_T_4864, _T_4865) @[lsu_bus_buffer.scala 599:51] + node _T_4867 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 599:68] + node _T_4868 = and(_T_4866, _T_4867) @[lsu_bus_buffer.scala 599:66] + io.lsu_axi.ar.valid <= _T_4868 @[lsu_bus_buffer.scala 599:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 600:25] + node _T_4869 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 601:75] node _T_4870 = cat(_T_4869, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4871 = mux(obuf_sideeffect, obuf_addr, _T_4870) @[lsu_bus_buffer.scala 599:33] - io.lsu_axi.ar.bits.addr <= _T_4871 @[lsu_bus_buffer.scala 599:27] + node _T_4871 = mux(obuf_sideeffect, obuf_addr, _T_4870) @[lsu_bus_buffer.scala 601:33] + io.lsu_axi.ar.bits.addr <= _T_4871 @[lsu_bus_buffer.scala 601:27] node _T_4872 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4873 = mux(obuf_sideeffect, _T_4872, UInt<3>("h03")) @[lsu_bus_buffer.scala 600:33] - io.lsu_axi.ar.bits.size <= _T_4873 @[lsu_bus_buffer.scala 600:27] - io.lsu_axi.ar.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 601:27] - node _T_4874 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 602:34] - io.lsu_axi.ar.bits.cache <= _T_4874 @[lsu_bus_buffer.scala 602:28] - node _T_4875 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 603:41] - io.lsu_axi.ar.bits.region <= _T_4875 @[lsu_bus_buffer.scala 603:29] - io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 604:26] - io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 605:28] - io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 606:26] - io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 607:27] - io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 608:22] - io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 609:22] - node _T_4876 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 610:93] - node _T_4877 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 610:137] - node _T_4878 = and(io.lsu_bus_clk_en_q, _T_4877) @[lsu_bus_buffer.scala 610:126] - node _T_4879 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 610:152] - node _T_4880 = and(_T_4878, _T_4879) @[lsu_bus_buffer.scala 610:141] - node _T_4881 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 610:93] - node _T_4882 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 610:137] - node _T_4883 = and(io.lsu_bus_clk_en_q, _T_4882) @[lsu_bus_buffer.scala 610:126] - node _T_4884 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 610:152] - node _T_4885 = and(_T_4883, _T_4884) @[lsu_bus_buffer.scala 610:141] - node _T_4886 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 610:93] - node _T_4887 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 610:137] - node _T_4888 = and(io.lsu_bus_clk_en_q, _T_4887) @[lsu_bus_buffer.scala 610:126] - node _T_4889 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 610:152] - node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 610:141] - node _T_4891 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 610:93] - node _T_4892 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 610:137] - node _T_4893 = and(io.lsu_bus_clk_en_q, _T_4892) @[lsu_bus_buffer.scala 610:126] - node _T_4894 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 610:152] - node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 610:141] + node _T_4873 = mux(obuf_sideeffect, _T_4872, UInt<3>("h03")) @[lsu_bus_buffer.scala 602:33] + io.lsu_axi.ar.bits.size <= _T_4873 @[lsu_bus_buffer.scala 602:27] + io.lsu_axi.ar.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 603:27] + node _T_4874 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 604:34] + io.lsu_axi.ar.bits.cache <= _T_4874 @[lsu_bus_buffer.scala 604:28] + node _T_4875 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 605:41] + io.lsu_axi.ar.bits.region <= _T_4875 @[lsu_bus_buffer.scala 605:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 606:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 607:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 608:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 609:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 610:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 611:22] + node _T_4876 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 612:93] + node _T_4877 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 612:137] + node _T_4878 = and(io.lsu_bus_clk_en_q, _T_4877) @[lsu_bus_buffer.scala 612:126] + node _T_4879 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 612:152] + node _T_4880 = and(_T_4878, _T_4879) @[lsu_bus_buffer.scala 612:141] + node _T_4881 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 612:93] + node _T_4882 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 612:137] + node _T_4883 = and(io.lsu_bus_clk_en_q, _T_4882) @[lsu_bus_buffer.scala 612:126] + node _T_4884 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 612:152] + node _T_4885 = and(_T_4883, _T_4884) @[lsu_bus_buffer.scala 612:141] + node _T_4886 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 612:93] + node _T_4887 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 612:137] + node _T_4888 = and(io.lsu_bus_clk_en_q, _T_4887) @[lsu_bus_buffer.scala 612:126] + node _T_4889 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 612:152] + node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 612:141] + node _T_4891 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 612:93] + node _T_4892 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 612:137] + node _T_4893 = and(io.lsu_bus_clk_en_q, _T_4892) @[lsu_bus_buffer.scala 612:126] + node _T_4894 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 612:152] + node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 612:141] node _T_4896 = mux(_T_4876, _T_4880, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4897 = mux(_T_4881, _T_4885, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4898 = mux(_T_4886, _T_4890, UInt<1>("h00")) @[Mux.scala 27:72] @@ -14674,27 +14664,27 @@ circuit lsu : node _T_4902 = or(_T_4901, _T_4899) @[Mux.scala 27:72] wire _T_4903 : UInt<1> @[Mux.scala 27:72] _T_4903 <= _T_4902 @[Mux.scala 27:72] - io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4903 @[lsu_bus_buffer.scala 610:48] - node _T_4904 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 611:82] - node _T_4905 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 611:104] - node _T_4906 = and(_T_4904, _T_4905) @[lsu_bus_buffer.scala 611:93] - node _T_4907 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 611:119] - node _T_4908 = and(_T_4906, _T_4907) @[lsu_bus_buffer.scala 611:108] - node _T_4909 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 611:82] - node _T_4910 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 611:104] - node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 611:93] - node _T_4912 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 611:119] - node _T_4913 = and(_T_4911, _T_4912) @[lsu_bus_buffer.scala 611:108] - node _T_4914 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 611:82] - node _T_4915 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 611:104] - node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 611:93] - node _T_4917 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 611:119] - node _T_4918 = and(_T_4916, _T_4917) @[lsu_bus_buffer.scala 611:108] - node _T_4919 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 611:82] - node _T_4920 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 611:104] - node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 611:93] - node _T_4922 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 611:119] - node _T_4923 = and(_T_4921, _T_4922) @[lsu_bus_buffer.scala 611:108] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4903 @[lsu_bus_buffer.scala 612:48] + node _T_4904 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 613:82] + node _T_4905 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 613:104] + node _T_4906 = and(_T_4904, _T_4905) @[lsu_bus_buffer.scala 613:93] + node _T_4907 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 613:119] + node _T_4908 = and(_T_4906, _T_4907) @[lsu_bus_buffer.scala 613:108] + node _T_4909 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 613:82] + node _T_4910 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 613:104] + node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 613:93] + node _T_4912 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 613:119] + node _T_4913 = and(_T_4911, _T_4912) @[lsu_bus_buffer.scala 613:108] + node _T_4914 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 613:82] + node _T_4915 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 613:104] + node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 613:93] + node _T_4917 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 613:119] + node _T_4918 = and(_T_4916, _T_4917) @[lsu_bus_buffer.scala 613:108] + node _T_4919 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 613:82] + node _T_4920 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 613:104] + node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 613:93] + node _T_4922 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 613:119] + node _T_4923 = and(_T_4921, _T_4922) @[lsu_bus_buffer.scala 613:108] node _T_4924 = mux(_T_4908, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4925 = mux(_T_4913, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4926 = mux(_T_4918, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -14704,47 +14694,47 @@ circuit lsu : node _T_4930 = or(_T_4929, _T_4927) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4930 @[Mux.scala 27:72] - node _T_4931 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:97] - node _T_4932 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4931) @[lsu_bus_buffer.scala 613:95] - io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4932 @[lsu_bus_buffer.scala 613:47] - node _T_4933 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 614:53] - io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4933 @[lsu_bus_buffer.scala 614:47] - node _T_4934 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 620:59] - node _T_4935 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 620:104] - node _T_4936 = or(_T_4934, _T_4935) @[lsu_bus_buffer.scala 620:82] - node _T_4937 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 620:149] - node _T_4938 = or(_T_4936, _T_4937) @[lsu_bus_buffer.scala 620:126] - io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4938 @[lsu_bus_buffer.scala 620:35] - node _T_4939 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 621:60] - node _T_4940 = and(_T_4939, io.lsu_commit_r) @[lsu_bus_buffer.scala 621:77] - io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4940 @[lsu_bus_buffer.scala 621:41] - node _T_4941 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 622:83] - io.tlu_busbuff.lsu_pmu_bus_error <= _T_4941 @[lsu_bus_buffer.scala 622:36] - node _T_4942 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:61] - node _T_4943 = and(io.lsu_axi.aw.valid, _T_4942) @[lsu_bus_buffer.scala 624:59] - node _T_4944 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:107] - node _T_4945 = and(io.lsu_axi.w.valid, _T_4944) @[lsu_bus_buffer.scala 624:105] - node _T_4946 = or(_T_4943, _T_4945) @[lsu_bus_buffer.scala 624:83] - node _T_4947 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:153] - node _T_4948 = and(io.lsu_axi.ar.valid, _T_4947) @[lsu_bus_buffer.scala 624:151] - node _T_4949 = or(_T_4946, _T_4948) @[lsu_bus_buffer.scala 624:128] - io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4949 @[lsu_bus_buffer.scala 624:35] - reg _T_4950 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 626:49] - _T_4950 <= WrPtr0_m @[lsu_bus_buffer.scala 626:49] - WrPtr0_r <= _T_4950 @[lsu_bus_buffer.scala 626:12] - reg _T_4951 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 627:49] - _T_4951 <= WrPtr1_m @[lsu_bus_buffer.scala 627:49] - WrPtr1_r <= _T_4951 @[lsu_bus_buffer.scala 627:12] - node _T_4952 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 628:75] - node _T_4953 = and(io.lsu_busreq_m, _T_4952) @[lsu_bus_buffer.scala 628:73] - node _T_4954 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 628:89] - node _T_4955 = and(_T_4953, _T_4954) @[lsu_bus_buffer.scala 628:87] - reg _T_4956 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 628:56] - _T_4956 <= _T_4955 @[lsu_bus_buffer.scala 628:56] - io.lsu_busreq_r <= _T_4956 @[lsu_bus_buffer.scala 628:19] - reg _T_4957 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 629:66] - _T_4957 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 629:66] - lsu_nonblock_load_valid_r <= _T_4957 @[lsu_bus_buffer.scala 629:29] + node _T_4931 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 615:97] + node _T_4932 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4931) @[lsu_bus_buffer.scala 615:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4932 @[lsu_bus_buffer.scala 615:47] + node _T_4933 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 616:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4933 @[lsu_bus_buffer.scala 616:47] + node _T_4934 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 622:59] + node _T_4935 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 622:104] + node _T_4936 = or(_T_4934, _T_4935) @[lsu_bus_buffer.scala 622:82] + node _T_4937 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 622:149] + node _T_4938 = or(_T_4936, _T_4937) @[lsu_bus_buffer.scala 622:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4938 @[lsu_bus_buffer.scala 622:35] + node _T_4939 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 623:60] + node _T_4940 = and(_T_4939, io.lsu_commit_r) @[lsu_bus_buffer.scala 623:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4940 @[lsu_bus_buffer.scala 623:41] + node _T_4941 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 624:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4941 @[lsu_bus_buffer.scala 624:36] + node _T_4942 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:61] + node _T_4943 = and(io.lsu_axi.aw.valid, _T_4942) @[lsu_bus_buffer.scala 626:59] + node _T_4944 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:107] + node _T_4945 = and(io.lsu_axi.w.valid, _T_4944) @[lsu_bus_buffer.scala 626:105] + node _T_4946 = or(_T_4943, _T_4945) @[lsu_bus_buffer.scala 626:83] + node _T_4947 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:153] + node _T_4948 = and(io.lsu_axi.ar.valid, _T_4947) @[lsu_bus_buffer.scala 626:151] + node _T_4949 = or(_T_4946, _T_4948) @[lsu_bus_buffer.scala 626:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4949 @[lsu_bus_buffer.scala 626:35] + reg _T_4950 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 628:49] + _T_4950 <= WrPtr0_m @[lsu_bus_buffer.scala 628:49] + WrPtr0_r <= _T_4950 @[lsu_bus_buffer.scala 628:12] + reg _T_4951 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 629:49] + _T_4951 <= WrPtr1_m @[lsu_bus_buffer.scala 629:49] + WrPtr1_r <= _T_4951 @[lsu_bus_buffer.scala 629:12] + node _T_4952 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 630:75] + node _T_4953 = and(io.lsu_busreq_m, _T_4952) @[lsu_bus_buffer.scala 630:73] + node _T_4954 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 630:89] + node _T_4955 = and(_T_4953, _T_4954) @[lsu_bus_buffer.scala 630:87] + reg _T_4956 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 630:56] + _T_4956 <= _T_4955 @[lsu_bus_buffer.scala 630:56] + io.lsu_busreq_r <= _T_4956 @[lsu_bus_buffer.scala 630:19] + reg _T_4957 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 631:66] + _T_4957 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 631:66] + lsu_nonblock_load_valid_r <= _T_4957 @[lsu_bus_buffer.scala 631:29] module lsu_bus_intf : input clock : Clock @@ -15416,6 +15406,12 @@ circuit lsu : lsu_bus_obuf_c1_clken <= UInt<1>("h00") wire lsu_busreq_r : UInt<1> lsu_busreq_r <= UInt<1>("h00") + wire ldst_dual_d : UInt<1> + ldst_dual_d <= UInt<1>("h00") + wire ldst_dual_m : UInt<1> + ldst_dual_m <= UInt<1>("h00") + wire ldst_dual_r : UInt<1> + ldst_dual_r <= UInt<1>("h00") inst lsu_lsc_ctl of lsu_lsc_ctl @[lsu.scala 72:30] lsu_lsc_ctl.clock <= clock lsu_lsc_ctl.reset <= reset @@ -15534,18 +15530,9 @@ circuit lsu : lsu_lsc_ctl.io.lsu_double_ecc_error_m <= ecc.io.lsu_double_ecc_error_m @[lsu.scala 138:46] lsu_lsc_ctl.io.flush_m_up <= io.dec_tlu_flush_lower_r @[lsu.scala 139:46] lsu_lsc_ctl.io.flush_r <= io.dec_tlu_i0_kill_writeb_r @[lsu.scala 140:46] - node _T_61 = bits(lsu_lsc_ctl.io.lsu_addr_d, 2, 2) @[lsu.scala 141:74] - node _T_62 = bits(lsu_lsc_ctl.io.end_addr_d, 2, 2) @[lsu.scala 141:107] - node _T_63 = neq(_T_61, _T_62) @[lsu.scala 141:78] - lsu_lsc_ctl.io.ldst_dual_d <= _T_63 @[lsu.scala 141:46] - node _T_64 = bits(lsu_lsc_ctl.io.lsu_addr_m, 2, 2) @[lsu.scala 142:74] - node _T_65 = bits(lsu_lsc_ctl.io.end_addr_m, 2, 2) @[lsu.scala 142:107] - node _T_66 = neq(_T_64, _T_65) @[lsu.scala 142:78] - lsu_lsc_ctl.io.ldst_dual_m <= _T_66 @[lsu.scala 142:46] - node _T_67 = bits(lsu_lsc_ctl.io.lsu_addr_r, 2, 2) @[lsu.scala 143:74] - node _T_68 = bits(lsu_lsc_ctl.io.end_addr_r, 2, 2) @[lsu.scala 143:107] - node _T_69 = neq(_T_67, _T_68) @[lsu.scala 143:78] - lsu_lsc_ctl.io.ldst_dual_r <= _T_69 @[lsu.scala 143:46] + lsu_lsc_ctl.io.ldst_dual_d <= ldst_dual_d @[lsu.scala 141:46] + lsu_lsc_ctl.io.ldst_dual_m <= ldst_dual_m @[lsu.scala 142:46] + lsu_lsc_ctl.io.ldst_dual_r <= ldst_dual_r @[lsu.scala 143:46] io.lsu_exu.lsu_result_m <= lsu_lsc_ctl.io.lsu_exu.lsu_result_m @[lsu.scala 144:46] lsu_lsc_ctl.io.lsu_exu.exu_lsu_rs2_d <= io.lsu_exu.exu_lsu_rs2_d @[lsu.scala 144:46] lsu_lsc_ctl.io.lsu_exu.exu_lsu_rs1_d <= io.lsu_exu.exu_lsu_rs1_d @[lsu.scala 144:46] @@ -15574,6 +15561,22 @@ circuit lsu : lsu_lsc_ctl.io.dma_lsc_ctl.dma_dccm_req <= io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[lsu.scala 150:46] lsu_lsc_ctl.io.dec_tlu_mrac_ff <= io.dec_tlu_mrac_ff @[lsu.scala 151:46] lsu_lsc_ctl.io.scan_mode <= io.scan_mode @[lsu.scala 152:46] + node _T_61 = bits(lsu_lsc_ctl.io.lsu_addr_d, 2, 2) @[lsu.scala 156:44] + node _T_62 = bits(lsu_lsc_ctl.io.end_addr_d, 2, 2) @[lsu.scala 156:77] + node _T_63 = neq(_T_61, _T_62) @[lsu.scala 156:48] + ldst_dual_d <= _T_63 @[lsu.scala 156:16] + node _T_64 = bits(lsu_lsc_ctl.io.lsu_addr_m, 2, 2) @[lsu.scala 157:44] + node _T_65 = bits(lsu_lsc_ctl.io.end_addr_d, 2, 2) @[lsu.scala 157:122] + reg _T_66 : UInt<1>, clkdomain.io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 157:96] + _T_66 <= _T_65 @[lsu.scala 157:96] + node _T_67 = neq(_T_64, _T_66) @[lsu.scala 157:48] + ldst_dual_m <= _T_67 @[lsu.scala 157:16] + node _T_68 = bits(lsu_lsc_ctl.io.lsu_addr_r, 2, 2) @[lsu.scala 158:44] + node _T_69 = bits(lsu_lsc_ctl.io.end_addr_m, 2, 2) @[lsu.scala 158:122] + reg _T_70 : UInt<1>, clkdomain.io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 158:96] + _T_70 <= _T_69 @[lsu.scala 158:96] + node _T_71 = neq(_T_68, _T_70) @[lsu.scala 158:48] + ldst_dual_r <= _T_71 @[lsu.scala 158:16] io.lsu_single_ecc_error_incr <= lsu_lsc_ctl.io.lsu_single_ecc_error_incr @[lsu.scala 160:49] io.lsu_error_pkt_r.bits.addr <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.addr @[lsu.scala 161:49] io.lsu_error_pkt_r.bits.mscause <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.mscause @[lsu.scala 161:49] @@ -15584,14 +15587,8 @@ circuit lsu : io.lsu_fir_addr <= lsu_lsc_ctl.io.lsu_fir_addr @[lsu.scala 162:49] io.lsu_fir_error <= lsu_lsc_ctl.io.lsu_fir_error @[lsu.scala 163:49] dccm_ctl.io.clk_override <= io.clk_override @[lsu.scala 166:46] - node _T_70 = bits(lsu_lsc_ctl.io.lsu_addr_m, 2, 2) @[lsu.scala 167:74] - node _T_71 = bits(lsu_lsc_ctl.io.end_addr_m, 2, 2) @[lsu.scala 167:107] - node _T_72 = neq(_T_70, _T_71) @[lsu.scala 167:78] - dccm_ctl.io.ldst_dual_m <= _T_72 @[lsu.scala 167:46] - node _T_73 = bits(lsu_lsc_ctl.io.lsu_addr_r, 2, 2) @[lsu.scala 168:74] - node _T_74 = bits(lsu_lsc_ctl.io.end_addr_r, 2, 2) @[lsu.scala 168:107] - node _T_75 = neq(_T_73, _T_74) @[lsu.scala 168:78] - dccm_ctl.io.ldst_dual_r <= _T_75 @[lsu.scala 168:46] + dccm_ctl.io.ldst_dual_m <= ldst_dual_m @[lsu.scala 167:46] + dccm_ctl.io.ldst_dual_r <= ldst_dual_r @[lsu.scala 168:46] dccm_ctl.io.lsu_c2_m_clk <= clkdomain.io.lsu_c2_m_clk @[lsu.scala 169:46] dccm_ctl.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 170:46] dccm_ctl.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 171:46] @@ -15649,15 +15646,15 @@ circuit lsu : dccm_ctl.io.lsu_raw_fwd_hi_r <= lsu_raw_fwd_hi_r @[lsu.scala 184:46] dccm_ctl.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 185:46] dccm_ctl.io.lsu_addr_d <= lsu_lsc_ctl.io.lsu_addr_d @[lsu.scala 186:46] - node _T_76 = bits(lsu_lsc_ctl.io.lsu_addr_m, 15, 0) @[lsu.scala 187:74] - dccm_ctl.io.lsu_addr_m <= _T_76 @[lsu.scala 187:46] + node _T_72 = bits(lsu_lsc_ctl.io.lsu_addr_m, 15, 0) @[lsu.scala 187:74] + dccm_ctl.io.lsu_addr_m <= _T_72 @[lsu.scala 187:46] dccm_ctl.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 188:46] - node _T_77 = bits(lsu_lsc_ctl.io.end_addr_d, 15, 0) @[lsu.scala 189:74] - dccm_ctl.io.end_addr_d <= _T_77 @[lsu.scala 189:46] - node _T_78 = bits(lsu_lsc_ctl.io.end_addr_m, 15, 0) @[lsu.scala 190:74] - dccm_ctl.io.end_addr_m <= _T_78 @[lsu.scala 190:46] - node _T_79 = bits(lsu_lsc_ctl.io.end_addr_r, 15, 0) @[lsu.scala 191:74] - dccm_ctl.io.end_addr_r <= _T_79 @[lsu.scala 191:46] + node _T_73 = bits(lsu_lsc_ctl.io.end_addr_d, 15, 0) @[lsu.scala 189:74] + dccm_ctl.io.end_addr_d <= _T_73 @[lsu.scala 189:46] + node _T_74 = bits(lsu_lsc_ctl.io.end_addr_m, 15, 0) @[lsu.scala 190:74] + dccm_ctl.io.end_addr_m <= _T_74 @[lsu.scala 190:46] + node _T_75 = bits(lsu_lsc_ctl.io.end_addr_r, 15, 0) @[lsu.scala 191:74] + dccm_ctl.io.end_addr_r <= _T_75 @[lsu.scala 191:46] dccm_ctl.io.stbuf_reqvld_any <= stbuf.io.stbuf_reqvld_any @[lsu.scala 192:46] dccm_ctl.io.stbuf_addr_any <= stbuf.io.stbuf_addr_any @[lsu.scala 193:46] dccm_ctl.io.stbuf_data_any <= stbuf.io.stbuf_data_any @[lsu.scala 194:46] @@ -15710,18 +15707,9 @@ circuit lsu : io.lsu_pic.picm_mken <= dccm_ctl.io.lsu_pic.picm_mken @[lsu.scala 224:14] io.lsu_pic.picm_rden <= dccm_ctl.io.lsu_pic.picm_rden @[lsu.scala 224:14] io.lsu_pic.picm_wren <= dccm_ctl.io.lsu_pic.picm_wren @[lsu.scala 224:14] - node _T_80 = bits(lsu_lsc_ctl.io.lsu_addr_d, 2, 2) @[lsu.scala 227:78] - node _T_81 = bits(lsu_lsc_ctl.io.end_addr_d, 2, 2) @[lsu.scala 227:111] - node _T_82 = neq(_T_80, _T_81) @[lsu.scala 227:82] - stbuf.io.ldst_dual_d <= _T_82 @[lsu.scala 227:50] - node _T_83 = bits(lsu_lsc_ctl.io.lsu_addr_m, 2, 2) @[lsu.scala 228:78] - node _T_84 = bits(lsu_lsc_ctl.io.end_addr_m, 2, 2) @[lsu.scala 228:111] - node _T_85 = neq(_T_83, _T_84) @[lsu.scala 228:82] - stbuf.io.ldst_dual_m <= _T_85 @[lsu.scala 228:50] - node _T_86 = bits(lsu_lsc_ctl.io.lsu_addr_r, 2, 2) @[lsu.scala 229:78] - node _T_87 = bits(lsu_lsc_ctl.io.end_addr_r, 2, 2) @[lsu.scala 229:111] - node _T_88 = neq(_T_86, _T_87) @[lsu.scala 229:82] - stbuf.io.ldst_dual_r <= _T_88 @[lsu.scala 229:50] + stbuf.io.ldst_dual_d <= ldst_dual_d @[lsu.scala 227:50] + stbuf.io.ldst_dual_m <= ldst_dual_m @[lsu.scala 228:50] + stbuf.io.ldst_dual_r <= ldst_dual_r @[lsu.scala 229:50] stbuf.io.lsu_stbuf_c1_clk <= clkdomain.io.lsu_stbuf_c1_clk @[lsu.scala 230:54] stbuf.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 231:54] stbuf.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 232:50] @@ -15962,40 +15950,31 @@ circuit lsu : bus_intf.io.lsu_busm_clk <= clkdomain.io.lsu_busm_clk @[lsu.scala 327:49] bus_intf.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 328:49] bus_intf.io.lsu_busreq_m <= lsu_busreq_m @[lsu.scala 329:49] - node _T_89 = bits(lsu_lsc_ctl.io.lsu_addr_d, 2, 2) @[lsu.scala 330:77] - node _T_90 = bits(lsu_lsc_ctl.io.end_addr_d, 2, 2) @[lsu.scala 330:110] - node _T_91 = neq(_T_89, _T_90) @[lsu.scala 330:81] - bus_intf.io.ldst_dual_d <= _T_91 @[lsu.scala 330:49] - node _T_92 = bits(lsu_lsc_ctl.io.lsu_addr_m, 2, 2) @[lsu.scala 331:77] - node _T_93 = bits(lsu_lsc_ctl.io.end_addr_m, 2, 2) @[lsu.scala 331:110] - node _T_94 = neq(_T_92, _T_93) @[lsu.scala 331:81] - bus_intf.io.ldst_dual_m <= _T_94 @[lsu.scala 331:49] - node _T_95 = bits(lsu_lsc_ctl.io.lsu_addr_r, 2, 2) @[lsu.scala 332:77] - node _T_96 = bits(lsu_lsc_ctl.io.end_addr_r, 2, 2) @[lsu.scala 332:110] - node _T_97 = neq(_T_95, _T_96) @[lsu.scala 332:81] - bus_intf.io.ldst_dual_r <= _T_97 @[lsu.scala 332:49] - node _T_98 = and(lsu_lsc_ctl.io.addr_external_m, lsu_lsc_ctl.io.lsu_pkt_m.valid) @[lsu.scala 333:119] - node _T_99 = bits(_T_98, 0, 0) @[Bitwise.scala 72:15] - node _T_100 = mux(_T_99, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_101 = and(lsu_lsc_ctl.io.lsu_addr_m, _T_100) @[lsu.scala 333:78] - bus_intf.io.lsu_addr_m <= _T_101 @[lsu.scala 333:49] - node _T_102 = bits(lsu_busreq_r, 0, 0) @[Bitwise.scala 72:15] - node _T_103 = mux(_T_102, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_104 = and(lsu_lsc_ctl.io.lsu_addr_r, _T_103) @[lsu.scala 334:78] - bus_intf.io.lsu_addr_r <= _T_104 @[lsu.scala 334:49] - node _T_105 = and(lsu_lsc_ctl.io.addr_external_m, lsu_lsc_ctl.io.lsu_pkt_m.valid) @[lsu.scala 335:119] - node _T_106 = bits(_T_105, 0, 0) @[Bitwise.scala 72:15] - node _T_107 = mux(_T_106, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_108 = and(lsu_lsc_ctl.io.end_addr_m, _T_107) @[lsu.scala 335:78] - bus_intf.io.end_addr_m <= _T_108 @[lsu.scala 335:49] - node _T_109 = bits(lsu_busreq_r, 0, 0) @[Bitwise.scala 72:15] - node _T_110 = mux(_T_109, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_111 = and(lsu_lsc_ctl.io.end_addr_r, _T_110) @[lsu.scala 336:78] - bus_intf.io.end_addr_r <= _T_111 @[lsu.scala 336:49] - node _T_112 = bits(lsu_busreq_r, 0, 0) @[Bitwise.scala 72:15] - node _T_113 = mux(_T_112, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_114 = and(dccm_ctl.io.store_data_r, _T_113) @[lsu.scala 337:77] - bus_intf.io.store_data_r <= _T_114 @[lsu.scala 337:49] + bus_intf.io.ldst_dual_d <= ldst_dual_d @[lsu.scala 330:49] + bus_intf.io.ldst_dual_m <= ldst_dual_m @[lsu.scala 331:49] + bus_intf.io.ldst_dual_r <= ldst_dual_r @[lsu.scala 332:49] + node _T_76 = and(lsu_lsc_ctl.io.addr_external_m, lsu_lsc_ctl.io.lsu_pkt_m.valid) @[lsu.scala 333:119] + node _T_77 = bits(_T_76, 0, 0) @[Bitwise.scala 72:15] + node _T_78 = mux(_T_77, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_79 = and(lsu_lsc_ctl.io.lsu_addr_m, _T_78) @[lsu.scala 333:78] + bus_intf.io.lsu_addr_m <= _T_79 @[lsu.scala 333:49] + node _T_80 = bits(lsu_busreq_r, 0, 0) @[Bitwise.scala 72:15] + node _T_81 = mux(_T_80, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_82 = and(lsu_lsc_ctl.io.lsu_addr_r, _T_81) @[lsu.scala 334:78] + bus_intf.io.lsu_addr_r <= _T_82 @[lsu.scala 334:49] + node _T_83 = and(lsu_lsc_ctl.io.addr_external_m, lsu_lsc_ctl.io.lsu_pkt_m.valid) @[lsu.scala 335:119] + node _T_84 = bits(_T_83, 0, 0) @[Bitwise.scala 72:15] + node _T_85 = mux(_T_84, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_86 = and(lsu_lsc_ctl.io.end_addr_m, _T_85) @[lsu.scala 335:78] + bus_intf.io.end_addr_m <= _T_86 @[lsu.scala 335:49] + node _T_87 = bits(lsu_busreq_r, 0, 0) @[Bitwise.scala 72:15] + node _T_88 = mux(_T_87, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_89 = and(lsu_lsc_ctl.io.end_addr_r, _T_88) @[lsu.scala 336:78] + bus_intf.io.end_addr_r <= _T_89 @[lsu.scala 336:49] + node _T_90 = bits(lsu_busreq_r, 0, 0) @[Bitwise.scala 72:15] + node _T_91 = mux(_T_90, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_92 = and(dccm_ctl.io.store_data_r, _T_91) @[lsu.scala 337:77] + bus_intf.io.store_data_r <= _T_92 @[lsu.scala 337:49] bus_intf.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 338:49] bus_intf.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 338:49] bus_intf.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 338:49] @@ -16078,13 +16057,13 @@ circuit lsu : io.axi.aw.valid <= bus_intf.io.axi.aw.valid @[lsu.scala 349:31] bus_intf.io.axi.aw.ready <= io.axi.aw.ready @[lsu.scala 349:31] bus_intf.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu.scala 350:31] - reg _T_115 : UInt, clkdomain.io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 352:67] - _T_115 <= io.lsu_dma.dma_mem_tag @[lsu.scala 352:67] - dma_mem_tag_m <= _T_115 @[lsu.scala 352:57] - reg _T_116 : UInt<1>, clkdomain.io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 353:67] - _T_116 <= lsu_raw_fwd_hi_m @[lsu.scala 353:67] - lsu_raw_fwd_hi_r <= _T_116 @[lsu.scala 353:57] - reg _T_117 : UInt<1>, clkdomain.io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 354:67] - _T_117 <= lsu_raw_fwd_lo_m @[lsu.scala 354:67] - lsu_raw_fwd_lo_r <= _T_117 @[lsu.scala 354:57] + reg _T_93 : UInt, clkdomain.io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 352:67] + _T_93 <= io.lsu_dma.dma_mem_tag @[lsu.scala 352:67] + dma_mem_tag_m <= _T_93 @[lsu.scala 352:57] + reg _T_94 : UInt<1>, clkdomain.io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 353:67] + _T_94 <= lsu_raw_fwd_hi_m @[lsu.scala 353:67] + lsu_raw_fwd_hi_r <= _T_94 @[lsu.scala 353:57] + reg _T_95 : UInt<1>, clkdomain.io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 354:67] + _T_95 <= lsu_raw_fwd_lo_m @[lsu.scala 354:67] + lsu_raw_fwd_lo_r <= _T_95 @[lsu.scala 354:57] diff --git a/lsu.v b/lsu.v index 42c12f46..76eef522 100644 --- a/lsu.v +++ b/lsu.v @@ -219,6 +219,8 @@ module lsu_lsc_ctl( input io_flush_m_up, input io_flush_r, input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, input [31:0] io_lsu_exu_exu_lsu_rs1_d, input [31:0] io_lsu_exu_exu_lsu_rs2_d, output [31:0] io_lsu_exu_lsu_result_m, @@ -353,10 +355,6 @@ module lsu_lsc_ctl( reg [31:0] _RAND_43; reg [31:0] _RAND_44; reg [31:0] _RAND_45; - reg [31:0] _RAND_46; - reg [31:0] _RAND_47; - reg [31:0] _RAND_48; - reg [31:0] _RAND_49; `endif // RANDOMIZE_REG_INIT wire addrcheck_reset; // @[lsu_lsc_ctl.scala 117:25] wire addrcheck_io_lsu_c2_m_clk; // @[lsu_lsc_ctl.scala 117:25] @@ -496,92 +494,86 @@ module lsu_lsc_ctl( reg _T_143; // @[lsu_lsc_ctl.scala 219:65] wire [5:0] _T_146 = {io_dma_lsc_ctl_dma_mem_addr[2:0],3'h0}; // @[Cat.scala 29:58] wire [63:0] dma_mem_wdata_shifted = io_dma_lsc_ctl_dma_mem_wdata >> _T_146; // @[lsu_lsc_ctl.scala 221:66] - reg _T_154; // @[lsu_lsc_ctl.scala 224:48] - reg _T_156; // @[lsu_lsc_ctl.scala 224:110] - wire int_ = _T_154 != _T_156; // @[lsu_lsc_ctl.scala 224:72] - reg _T_158; // @[lsu_lsc_ctl.scala 225:48] - reg _T_160; // @[lsu_lsc_ctl.scala 225:110] - wire int1 = _T_158 != _T_160; // @[lsu_lsc_ctl.scala 225:72] - reg [31:0] store_data_pre_m; // @[lsu_lsc_ctl.scala 226:72] - reg [31:0] _T_161; // @[lsu_lsc_ctl.scala 227:62] - reg [31:0] _T_162; // @[lsu_lsc_ctl.scala 228:62] + reg [31:0] store_data_pre_m; // @[lsu_lsc_ctl.scala 225:72] + reg [31:0] _T_153; // @[lsu_lsc_ctl.scala 226:62] + reg [31:0] _T_154; // @[lsu_lsc_ctl.scala 227:62] reg [28:0] end_addr_pre_m; // @[Reg.scala 27:20] - wire [28:0] _T_164 = int_ ? end_addr_pre_m : io_lsu_addr_m[31:3]; // @[lsu_lsc_ctl.scala 229:27] - reg [2:0] _T_166; // @[lsu_lsc_ctl.scala 229:103] + wire [28:0] _T_157 = io_ldst_dual_m ? end_addr_pre_m : io_lsu_addr_m[31:3]; // @[lsu_lsc_ctl.scala 228:27] + reg [2:0] _T_159; // @[lsu_lsc_ctl.scala 228:114] reg [28:0] end_addr_pre_r; // @[Reg.scala 27:20] - wire [28:0] _T_169 = int1 ? end_addr_pre_r : io_lsu_addr_r[31:3]; // @[lsu_lsc_ctl.scala 230:27] - reg [2:0] _T_171; // @[lsu_lsc_ctl.scala 230:104] - wire _T_174 = io_lsu_pkt_d_valid & io_ldst_dual_d; // @[lsu_lsc_ctl.scala 231:69] + wire [28:0] _T_163 = io_ldst_dual_r ? end_addr_pre_r : io_lsu_addr_r[31:3]; // @[lsu_lsc_ctl.scala 229:27] + reg [2:0] _T_165; // @[lsu_lsc_ctl.scala 229:114] + wire _T_168 = io_lsu_pkt_d_valid & io_ldst_dual_d; // @[lsu_lsc_ctl.scala 230:69] + wire _T_169 = _T_168 | io_clk_override; // @[lsu_lsc_ctl.scala 230:87] + wire _T_174 = io_lsu_pkt_m_valid & io_ldst_dual_m; // @[lsu_lsc_ctl.scala 231:69] wire _T_175 = _T_174 | io_clk_override; // @[lsu_lsc_ctl.scala 231:87] - wire _T_179 = io_lsu_pkt_m_valid & int_; // @[lsu_lsc_ctl.scala 232:69] - wire _T_180 = _T_179 | io_clk_override; // @[lsu_lsc_ctl.scala 232:76] - reg _T_183; // @[lsu_lsc_ctl.scala 233:62] - reg _T_184; // @[lsu_lsc_ctl.scala 234:62] - reg _T_185; // @[lsu_lsc_ctl.scala 235:62] - reg _T_186; // @[lsu_lsc_ctl.scala 236:62] - reg _T_187; // @[lsu_lsc_ctl.scala 237:62] - reg addr_external_r; // @[lsu_lsc_ctl.scala 238:66] - wire _T_188 = io_addr_external_m | io_clk_override; // @[lsu_lsc_ctl.scala 239:77] + reg _T_179; // @[lsu_lsc_ctl.scala 232:62] + reg _T_180; // @[lsu_lsc_ctl.scala 233:62] + reg _T_181; // @[lsu_lsc_ctl.scala 234:62] + reg _T_182; // @[lsu_lsc_ctl.scala 235:62] + reg _T_183; // @[lsu_lsc_ctl.scala 236:62] + reg addr_external_r; // @[lsu_lsc_ctl.scala 237:66] + wire _T_184 = io_addr_external_m | io_clk_override; // @[lsu_lsc_ctl.scala 238:77] reg [31:0] bus_read_data_r; // @[Reg.scala 27:20] - wire _T_191 = io_lsu_pkt_r_bits_store | io_lsu_pkt_r_bits_load; // @[lsu_lsc_ctl.scala 246:68] - wire _T_192 = io_lsu_pkt_r_valid & _T_191; // @[lsu_lsc_ctl.scala 246:41] - wire _T_193 = ~io_flush_r; // @[lsu_lsc_ctl.scala 246:96] - wire _T_194 = _T_192 & _T_193; // @[lsu_lsc_ctl.scala 246:94] - wire _T_195 = ~io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 246:110] - wire _T_198 = ~io_addr_in_pic_m; // @[lsu_lsc_ctl.scala 247:69] - wire [31:0] _T_200 = _T_198 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_201 = io_picm_mask_data_m | _T_200; // @[lsu_lsc_ctl.scala 247:59] - wire [31:0] _T_203 = io_lsu_pkt_m_bits_store_data_bypass_m ? io_lsu_exu_lsu_result_m : store_data_pre_m; // @[lsu_lsc_ctl.scala 247:94] - wire [31:0] lsu_ld_datafn_m = io_addr_external_m ? io_bus_read_data_m : io_lsu_ld_data_m; // @[lsu_lsc_ctl.scala 268:33] - wire [31:0] lsu_ld_datafn_corr_r = addr_external_r ? bus_read_data_r : io_lsu_ld_data_corr_r; // @[lsu_lsc_ctl.scala 269:33] - wire _T_208 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 270:74] - wire [31:0] _T_210 = _T_208 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_212 = {24'h0,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_213 = _T_210 & _T_212; // @[lsu_lsc_ctl.scala 270:102] - wire _T_214 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 271:43] - wire [31:0] _T_216 = _T_214 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_218 = {16'h0,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_219 = _T_216 & _T_218; // @[lsu_lsc_ctl.scala 271:71] - wire [31:0] _T_220 = _T_213 | _T_219; // @[lsu_lsc_ctl.scala 270:141] - wire _T_221 = ~io_lsu_pkt_m_bits_unsign; // @[lsu_lsc_ctl.scala 272:17] - wire _T_222 = _T_221 & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 272:43] - wire [31:0] _T_224 = _T_222 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [23:0] _T_227 = lsu_ld_datafn_m[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_229 = {_T_227,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_230 = _T_224 & _T_229; // @[lsu_lsc_ctl.scala 272:71] - wire [31:0] _T_231 = _T_220 | _T_230; // @[lsu_lsc_ctl.scala 271:114] - wire _T_233 = _T_221 & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 273:43] - wire [31:0] _T_235 = _T_233 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [15:0] _T_238 = lsu_ld_datafn_m[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_240 = {_T_238,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_241 = _T_235 & _T_240; // @[lsu_lsc_ctl.scala 273:71] - wire [31:0] _T_242 = _T_231 | _T_241; // @[lsu_lsc_ctl.scala 272:134] - wire [31:0] _T_244 = io_lsu_pkt_m_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_246 = _T_244 & lsu_ld_datafn_m; // @[lsu_lsc_ctl.scala 274:43] - wire _T_248 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 275:66] - wire [31:0] _T_250 = _T_248 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_252 = {24'h0,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_253 = _T_250 & _T_252; // @[lsu_lsc_ctl.scala 275:94] - wire _T_254 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 276:43] - wire [31:0] _T_256 = _T_254 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_258 = {16'h0,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_259 = _T_256 & _T_258; // @[lsu_lsc_ctl.scala 276:71] - wire [31:0] _T_260 = _T_253 | _T_259; // @[lsu_lsc_ctl.scala 275:138] - wire _T_261 = ~io_lsu_pkt_r_bits_unsign; // @[lsu_lsc_ctl.scala 277:17] - wire _T_262 = _T_261 & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 277:43] - wire [31:0] _T_264 = _T_262 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [23:0] _T_267 = lsu_ld_datafn_corr_r[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_269 = {_T_267,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_270 = _T_264 & _T_269; // @[lsu_lsc_ctl.scala 277:71] - wire [31:0] _T_271 = _T_260 | _T_270; // @[lsu_lsc_ctl.scala 276:119] - wire _T_273 = _T_261 & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 278:43] - wire [31:0] _T_275 = _T_273 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [15:0] _T_278 = lsu_ld_datafn_corr_r[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_280 = {_T_278,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_281 = _T_275 & _T_280; // @[lsu_lsc_ctl.scala 278:71] - wire [31:0] _T_282 = _T_271 | _T_281; // @[lsu_lsc_ctl.scala 277:144] - wire [31:0] _T_284 = io_lsu_pkt_r_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_286 = _T_284 & lsu_ld_datafn_corr_r; // @[lsu_lsc_ctl.scala 279:43] + wire _T_187 = io_lsu_pkt_r_bits_store | io_lsu_pkt_r_bits_load; // @[lsu_lsc_ctl.scala 245:68] + wire _T_188 = io_lsu_pkt_r_valid & _T_187; // @[lsu_lsc_ctl.scala 245:41] + wire _T_189 = ~io_flush_r; // @[lsu_lsc_ctl.scala 245:96] + wire _T_190 = _T_188 & _T_189; // @[lsu_lsc_ctl.scala 245:94] + wire _T_191 = ~io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 245:110] + wire _T_194 = ~io_addr_in_pic_m; // @[lsu_lsc_ctl.scala 246:69] + wire [31:0] _T_196 = _T_194 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_197 = io_picm_mask_data_m | _T_196; // @[lsu_lsc_ctl.scala 246:59] + wire [31:0] _T_199 = io_lsu_pkt_m_bits_store_data_bypass_m ? io_lsu_exu_lsu_result_m : store_data_pre_m; // @[lsu_lsc_ctl.scala 246:94] + wire [31:0] lsu_ld_datafn_m = io_addr_external_m ? io_bus_read_data_m : io_lsu_ld_data_m; // @[lsu_lsc_ctl.scala 267:33] + wire [31:0] lsu_ld_datafn_corr_r = addr_external_r ? bus_read_data_r : io_lsu_ld_data_corr_r; // @[lsu_lsc_ctl.scala 268:33] + wire _T_204 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 269:74] + wire [31:0] _T_206 = _T_204 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_208 = {24'h0,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_209 = _T_206 & _T_208; // @[lsu_lsc_ctl.scala 269:102] + wire _T_210 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 270:43] + wire [31:0] _T_212 = _T_210 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_214 = {16'h0,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_215 = _T_212 & _T_214; // @[lsu_lsc_ctl.scala 270:71] + wire [31:0] _T_216 = _T_209 | _T_215; // @[lsu_lsc_ctl.scala 269:141] + wire _T_217 = ~io_lsu_pkt_m_bits_unsign; // @[lsu_lsc_ctl.scala 271:17] + wire _T_218 = _T_217 & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 271:43] + wire [31:0] _T_220 = _T_218 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_223 = lsu_ld_datafn_m[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_225 = {_T_223,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_226 = _T_220 & _T_225; // @[lsu_lsc_ctl.scala 271:71] + wire [31:0] _T_227 = _T_216 | _T_226; // @[lsu_lsc_ctl.scala 270:114] + wire _T_229 = _T_217 & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 272:43] + wire [31:0] _T_231 = _T_229 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_234 = lsu_ld_datafn_m[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_236 = {_T_234,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_237 = _T_231 & _T_236; // @[lsu_lsc_ctl.scala 272:71] + wire [31:0] _T_238 = _T_227 | _T_237; // @[lsu_lsc_ctl.scala 271:134] + wire [31:0] _T_240 = io_lsu_pkt_m_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_242 = _T_240 & lsu_ld_datafn_m; // @[lsu_lsc_ctl.scala 273:43] + wire _T_244 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 274:66] + wire [31:0] _T_246 = _T_244 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_248 = {24'h0,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_249 = _T_246 & _T_248; // @[lsu_lsc_ctl.scala 274:94] + wire _T_250 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 275:43] + wire [31:0] _T_252 = _T_250 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_254 = {16'h0,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_255 = _T_252 & _T_254; // @[lsu_lsc_ctl.scala 275:71] + wire [31:0] _T_256 = _T_249 | _T_255; // @[lsu_lsc_ctl.scala 274:138] + wire _T_257 = ~io_lsu_pkt_r_bits_unsign; // @[lsu_lsc_ctl.scala 276:17] + wire _T_258 = _T_257 & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 276:43] + wire [31:0] _T_260 = _T_258 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_263 = lsu_ld_datafn_corr_r[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_265 = {_T_263,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_266 = _T_260 & _T_265; // @[lsu_lsc_ctl.scala 276:71] + wire [31:0] _T_267 = _T_256 | _T_266; // @[lsu_lsc_ctl.scala 275:119] + wire _T_269 = _T_257 & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 277:43] + wire [31:0] _T_271 = _T_269 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_274 = lsu_ld_datafn_corr_r[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_276 = {_T_274,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_277 = _T_271 & _T_276; // @[lsu_lsc_ctl.scala 277:71] + wire [31:0] _T_278 = _T_267 | _T_277; // @[lsu_lsc_ctl.scala 276:144] + wire [31:0] _T_280 = io_lsu_pkt_r_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_282 = _T_280 & lsu_ld_datafn_corr_r; // @[lsu_lsc_ctl.scala 278:43] lsu_addrcheck addrcheck ( // @[lsu_lsc_ctl.scala 117:25] .reset(addrcheck_reset), .io_lsu_c2_m_clk(addrcheck_io_lsu_c2_m_clk), @@ -623,18 +615,18 @@ module lsu_lsc_ctl( .io_clk(rvclkhdr_3_io_clk), .io_en(rvclkhdr_3_io_en) ); - assign io_lsu_exu_lsu_result_m = _T_242 | _T_246; // @[lsu_lsc_ctl.scala 270:35] - assign io_lsu_result_corr_r = _T_282 | _T_286; // @[lsu_lsc_ctl.scala 275:27] - assign io_lsu_addr_d = {_T_41,_T_11[11:0]}; // @[lsu_lsc_ctl.scala 244:28] - assign io_lsu_addr_m = _T_161; // @[lsu_lsc_ctl.scala 227:24] - assign io_lsu_addr_r = _T_162; // @[lsu_lsc_ctl.scala 228:24] + assign io_lsu_exu_lsu_result_m = _T_238 | _T_242; // @[lsu_lsc_ctl.scala 269:35] + assign io_lsu_result_corr_r = _T_278 | _T_282; // @[lsu_lsc_ctl.scala 274:27] + assign io_lsu_addr_d = {_T_41,_T_11[11:0]}; // @[lsu_lsc_ctl.scala 243:28] + assign io_lsu_addr_m = _T_153; // @[lsu_lsc_ctl.scala 226:24] + assign io_lsu_addr_r = _T_154; // @[lsu_lsc_ctl.scala 227:24] assign io_end_addr_d = rs1_d + _T_65; // @[lsu_lsc_ctl.scala 114:24] - assign io_end_addr_m = {_T_164,_T_166}; // @[lsu_lsc_ctl.scala 229:17] - assign io_end_addr_r = {_T_169,_T_171}; // @[lsu_lsc_ctl.scala 230:17] - assign io_store_data_m = _T_201 & _T_203; // @[lsu_lsc_ctl.scala 247:29] + assign io_end_addr_m = {_T_157,_T_159}; // @[lsu_lsc_ctl.scala 228:17] + assign io_end_addr_r = {_T_163,_T_165}; // @[lsu_lsc_ctl.scala 229:17] + assign io_store_data_m = _T_197 & _T_199; // @[lsu_lsc_ctl.scala 246:29] assign io_lsu_exc_m = access_fault_m | misaligned_fault_m; // @[lsu_lsc_ctl.scala 154:16] assign io_is_sideeffects_m = addrcheck_io_is_sideeffects_m; // @[lsu_lsc_ctl.scala 127:42] - assign io_lsu_commit_r = _T_194 & _T_195; // @[lsu_lsc_ctl.scala 246:19] + assign io_lsu_commit_r = _T_190 & _T_191; // @[lsu_lsc_ctl.scala 245:19] assign io_lsu_single_ecc_error_incr = _T_74 & io_lsu_pkt_r_valid; // @[lsu_lsc_ctl.scala 155:32] assign io_lsu_error_pkt_r_valid = _T_112; // @[lsu_lsc_ctl.scala 184:24 lsu_lsc_ctl.scala 186:30] assign io_lsu_error_pkt_r_bits_single_ecc_error = _T_111; // @[lsu_lsc_ctl.scala 184:24 lsu_lsc_ctl.scala 185:46] @@ -642,15 +634,15 @@ module lsu_lsc_ctl( assign io_lsu_error_pkt_r_bits_exc_type = _T_110_bits_exc_type; // @[lsu_lsc_ctl.scala 184:24] assign io_lsu_error_pkt_r_bits_mscause = _T_110_bits_mscause; // @[lsu_lsc_ctl.scala 184:24] assign io_lsu_error_pkt_r_bits_addr = _T_110_bits_addr; // @[lsu_lsc_ctl.scala 184:24] - assign io_lsu_fir_addr = io_lsu_ld_data_corr_r[31:1]; // @[lsu_lsc_ctl.scala 242:28] + assign io_lsu_fir_addr = io_lsu_ld_data_corr_r[31:1]; // @[lsu_lsc_ctl.scala 241:28] assign io_lsu_fir_error = _T_113; // @[lsu_lsc_ctl.scala 187:38] assign io_addr_in_dccm_d = addrcheck_io_addr_in_dccm_d; // @[lsu_lsc_ctl.scala 128:42] - assign io_addr_in_dccm_m = _T_183; // @[lsu_lsc_ctl.scala 233:24] - assign io_addr_in_dccm_r = _T_184; // @[lsu_lsc_ctl.scala 234:24] + assign io_addr_in_dccm_m = _T_179; // @[lsu_lsc_ctl.scala 232:24] + assign io_addr_in_dccm_r = _T_180; // @[lsu_lsc_ctl.scala 233:24] assign io_addr_in_pic_d = addrcheck_io_addr_in_pic_d; // @[lsu_lsc_ctl.scala 129:42] - assign io_addr_in_pic_m = _T_185; // @[lsu_lsc_ctl.scala 235:24] - assign io_addr_in_pic_r = _T_186; // @[lsu_lsc_ctl.scala 236:24] - assign io_addr_external_m = _T_187; // @[lsu_lsc_ctl.scala 237:24] + assign io_addr_in_pic_m = _T_181; // @[lsu_lsc_ctl.scala 234:24] + assign io_addr_in_pic_r = _T_182; // @[lsu_lsc_ctl.scala 235:24] + assign io_addr_external_m = _T_183; // @[lsu_lsc_ctl.scala 236:24] assign io_lsu_pkt_d_valid = _T_128 | io_dma_lsc_ctl_dma_dccm_req; // @[lsu_lsc_ctl.scala 208:20 lsu_lsc_ctl.scala 212:24] assign io_lsu_pkt_d_bits_fast_int = io_dec_lsu_valid_raw_d & io_lsu_p_bits_fast_int; // @[lsu_lsc_ctl.scala 208:20] assign io_lsu_pkt_d_bits_by = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_by : dma_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 208:20] @@ -701,9 +693,9 @@ module lsu_lsc_ctl( assign rvclkhdr_io_clk = clock; // @[lib.scala 419:18] assign rvclkhdr_io_en = _T_105 | io_clk_override; // @[lib.scala 420:17] assign rvclkhdr_1_io_clk = clock; // @[lib.scala 406:18] - assign rvclkhdr_1_io_en = _T_174 | io_clk_override; // @[lib.scala 407:17] + assign rvclkhdr_1_io_en = _T_168 | io_clk_override; // @[lib.scala 407:17] assign rvclkhdr_2_io_clk = clock; // @[lib.scala 406:18] - assign rvclkhdr_2_io_en = _T_179 | io_clk_override; // @[lib.scala 407:17] + assign rvclkhdr_2_io_en = _T_174 | io_clk_override; // @[lib.scala 407:17] assign rvclkhdr_3_io_clk = clock; // @[lib.scala 406:18] assign rvclkhdr_3_io_en = io_addr_external_m | io_clk_override; // @[lib.scala 407:17] `ifdef RANDOMIZE_GARBAGE_ASSIGN @@ -806,41 +798,33 @@ initial begin _RAND_31 = {1{`RANDOM}}; _T_143 = _RAND_31[0:0]; _RAND_32 = {1{`RANDOM}}; - _T_154 = _RAND_32[0:0]; + store_data_pre_m = _RAND_32[31:0]; _RAND_33 = {1{`RANDOM}}; - _T_156 = _RAND_33[0:0]; + _T_153 = _RAND_33[31:0]; _RAND_34 = {1{`RANDOM}}; - _T_158 = _RAND_34[0:0]; + _T_154 = _RAND_34[31:0]; _RAND_35 = {1{`RANDOM}}; - _T_160 = _RAND_35[0:0]; + end_addr_pre_m = _RAND_35[28:0]; _RAND_36 = {1{`RANDOM}}; - store_data_pre_m = _RAND_36[31:0]; + _T_159 = _RAND_36[2:0]; _RAND_37 = {1{`RANDOM}}; - _T_161 = _RAND_37[31:0]; + end_addr_pre_r = _RAND_37[28:0]; _RAND_38 = {1{`RANDOM}}; - _T_162 = _RAND_38[31:0]; + _T_165 = _RAND_38[2:0]; _RAND_39 = {1{`RANDOM}}; - end_addr_pre_m = _RAND_39[28:0]; + _T_179 = _RAND_39[0:0]; _RAND_40 = {1{`RANDOM}}; - _T_166 = _RAND_40[2:0]; + _T_180 = _RAND_40[0:0]; _RAND_41 = {1{`RANDOM}}; - end_addr_pre_r = _RAND_41[28:0]; + _T_181 = _RAND_41[0:0]; _RAND_42 = {1{`RANDOM}}; - _T_171 = _RAND_42[2:0]; + _T_182 = _RAND_42[0:0]; _RAND_43 = {1{`RANDOM}}; _T_183 = _RAND_43[0:0]; _RAND_44 = {1{`RANDOM}}; - _T_184 = _RAND_44[0:0]; + addr_external_r = _RAND_44[0:0]; _RAND_45 = {1{`RANDOM}}; - _T_185 = _RAND_45[0:0]; - _RAND_46 = {1{`RANDOM}}; - _T_186 = _RAND_46[0:0]; - _RAND_47 = {1{`RANDOM}}; - _T_187 = _RAND_47[0:0]; - _RAND_48 = {1{`RANDOM}}; - addr_external_r = _RAND_48[0:0]; - _RAND_49 = {1{`RANDOM}}; - bus_read_data_r = _RAND_49[31:0]; + bus_read_data_r = _RAND_45[31:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin access_fault_m = 1'h0; @@ -938,54 +922,42 @@ initial begin if (reset) begin _T_143 = 1'h0; end - if (reset) begin - _T_154 = 1'h0; - end - if (reset) begin - _T_156 = 1'h0; - end - if (reset) begin - _T_158 = 1'h0; - end - if (reset) begin - _T_160 = 1'h0; - end if (reset) begin store_data_pre_m = 32'h0; end if (reset) begin - _T_161 = 32'h0; + _T_153 = 32'h0; end if (reset) begin - _T_162 = 32'h0; + _T_154 = 32'h0; end if (reset) begin end_addr_pre_m = 29'h0; end if (reset) begin - _T_166 = 3'h0; + _T_159 = 3'h0; end if (reset) begin end_addr_pre_r = 29'h0; end if (reset) begin - _T_171 = 3'h0; + _T_165 = 3'h0; + end + if (reset) begin + _T_179 = 1'h0; + end + if (reset) begin + _T_180 = 1'h0; + end + if (reset) begin + _T_181 = 1'h0; + end + if (reset) begin + _T_182 = 1'h0; end if (reset) begin _T_183 = 1'h0; end - if (reset) begin - _T_184 = 1'h0; - end - if (reset) begin - _T_185 = 1'h0; - end - if (reset) begin - _T_186 = 1'h0; - end - if (reset) begin - _T_187 = 1'h0; - end if (reset) begin addr_external_r = 1'h0; end @@ -1232,34 +1204,6 @@ end // initial _T_143 <= io_lsu_pkt_m_valid & _T_136; end end - always @(posedge io_lsu_c1_m_clk or posedge reset) begin - if (reset) begin - _T_154 <= 1'h0; - end else begin - _T_154 <= io_lsu_addr_d[2]; - end - end - always @(posedge io_lsu_c1_m_clk or posedge reset) begin - if (reset) begin - _T_156 <= 1'h0; - end else begin - _T_156 <= io_end_addr_d[2]; - end - end - always @(posedge io_lsu_c1_r_clk or posedge reset) begin - if (reset) begin - _T_158 <= 1'h0; - end else begin - _T_158 <= io_lsu_addr_m[2]; - end - end - always @(posedge io_lsu_c1_r_clk or posedge reset) begin - if (reset) begin - _T_160 <= 1'h0; - end else begin - _T_160 <= io_end_addr_m[2]; - end - end always @(posedge io_lsu_store_c1_m_clk or posedge reset) begin if (reset) begin store_data_pre_m <= 32'h0; @@ -1273,79 +1217,79 @@ end // initial end always @(posedge io_lsu_c1_m_clk or posedge reset) begin if (reset) begin - _T_161 <= 32'h0; + _T_153 <= 32'h0; end else begin - _T_161 <= io_lsu_addr_d; + _T_153 <= io_lsu_addr_d; end end always @(posedge io_lsu_c1_r_clk or posedge reset) begin if (reset) begin - _T_162 <= 32'h0; + _T_154 <= 32'h0; end else begin - _T_162 <= io_lsu_addr_m; + _T_154 <= io_lsu_addr_m; end end always @(posedge clock or posedge reset) begin if (reset) begin end_addr_pre_m <= 29'h0; - end else if (_T_175) begin + end else if (_T_169) begin end_addr_pre_m <= io_end_addr_d[31:3]; end end always @(posedge io_lsu_c1_m_clk or posedge reset) begin if (reset) begin - _T_166 <= 3'h0; + _T_159 <= 3'h0; end else begin - _T_166 <= io_end_addr_d[2:0]; + _T_159 <= io_end_addr_d[2:0]; end end always @(posedge clock or posedge reset) begin if (reset) begin end_addr_pre_r <= 29'h0; - end else if (_T_180) begin + end else if (_T_175) begin end_addr_pre_r <= io_end_addr_m[31:3]; end end always @(posedge io_lsu_c1_r_clk or posedge reset) begin if (reset) begin - _T_171 <= 3'h0; + _T_165 <= 3'h0; end else begin - _T_171 <= io_end_addr_m[2:0]; + _T_165 <= io_end_addr_m[2:0]; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_179 <= 1'h0; + end else begin + _T_179 <= io_addr_in_dccm_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_180 <= 1'h0; + end else begin + _T_180 <= io_addr_in_dccm_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_181 <= 1'h0; + end else begin + _T_181 <= io_addr_in_pic_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_182 <= 1'h0; + end else begin + _T_182 <= io_addr_in_pic_m; end end always @(posedge io_lsu_c1_m_clk or posedge reset) begin if (reset) begin _T_183 <= 1'h0; end else begin - _T_183 <= io_addr_in_dccm_d; - end - end - always @(posedge io_lsu_c1_r_clk or posedge reset) begin - if (reset) begin - _T_184 <= 1'h0; - end else begin - _T_184 <= io_addr_in_dccm_m; - end - end - always @(posedge io_lsu_c1_m_clk or posedge reset) begin - if (reset) begin - _T_185 <= 1'h0; - end else begin - _T_185 <= io_addr_in_pic_d; - end - end - always @(posedge io_lsu_c1_r_clk or posedge reset) begin - if (reset) begin - _T_186 <= 1'h0; - end else begin - _T_186 <= io_addr_in_pic_m; - end - end - always @(posedge io_lsu_c1_m_clk or posedge reset) begin - if (reset) begin - _T_187 <= 1'h0; - end else begin - _T_187 <= addrcheck_io_addr_external_d; + _T_183 <= addrcheck_io_addr_external_d; end end always @(posedge io_lsu_c1_r_clk or posedge reset) begin @@ -1358,7 +1302,7 @@ end // initial always @(posedge clock or posedge reset) begin if (reset) begin bus_read_data_r <= 32'h0; - end else if (_T_188) begin + end else if (_T_184) begin bus_read_data_r <= io_bus_read_data_m; end end @@ -5232,19 +5176,19 @@ module lsu_bus_buffer( wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 145:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 145:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 513:60] - wire _T_2590 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 417:93] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 515:60] + wire _T_2590 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 419:93] wire _T_4104 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4127 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4131 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] - reg obuf_valid; // @[lsu_bus_buffer.scala 349:54] + reg obuf_valid; // @[lsu_bus_buffer.scala 351:54] wire _T_4165 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4250 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4268 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4276 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_2594 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 417:132] - wire _T_2595 = buf_ageQ_3[3] & _T_2594; // @[lsu_bus_buffer.scala 417:130] - wire _T_2583 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 417:93] + wire _T_2594 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 419:132] + wire _T_2595 = buf_ageQ_3[3] & _T_2594; // @[lsu_bus_buffer.scala 419:130] + wire _T_2583 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 419:93] wire _T_3913 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3936 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3940 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] @@ -5252,8 +5196,8 @@ module lsu_bus_buffer( wire _T_4059 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] wire _T_4077 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] wire _T_4085 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_2588 = buf_ageQ_3[2] & _T_2594; // @[lsu_bus_buffer.scala 417:130] - wire _T_2576 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 417:93] + wire _T_2588 = buf_ageQ_3[2] & _T_2594; // @[lsu_bus_buffer.scala 419:130] + wire _T_2576 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 419:93] wire _T_3722 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3745 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3749 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] @@ -5261,8 +5205,8 @@ module lsu_bus_buffer( wire _T_3868 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3886 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3894 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_2581 = buf_ageQ_3[1] & _T_2594; // @[lsu_bus_buffer.scala 417:130] - wire _T_2569 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 417:93] + wire _T_2581 = buf_ageQ_3[1] & _T_2594; // @[lsu_bus_buffer.scala 419:130] + wire _T_2569 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 419:93] wire _T_3531 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3554 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3558 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] @@ -5270,14 +5214,14 @@ module lsu_bus_buffer( wire _T_3677 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3695 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3703 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_2574 = buf_ageQ_3[0] & _T_2594; // @[lsu_bus_buffer.scala 417:130] + wire _T_2574 = buf_ageQ_3[0] & _T_2594; // @[lsu_bus_buffer.scala 419:130] wire [3:0] buf_age_3 = {_T_2595,_T_2588,_T_2581,_T_2574}; // @[Cat.scala 29:58] - wire _T_2694 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2696 = _T_2694 & _T_19; // @[lsu_bus_buffer.scala 418:104] - wire _T_2688 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2690 = _T_2688 & _T_12; // @[lsu_bus_buffer.scala 418:104] - wire _T_2682 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2684 = _T_2682 & _T_5; // @[lsu_bus_buffer.scala 418:104] + wire _T_2694 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 420:89] + wire _T_2696 = _T_2694 & _T_19; // @[lsu_bus_buffer.scala 420:104] + wire _T_2688 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 420:89] + wire _T_2690 = _T_2688 & _T_12; // @[lsu_bus_buffer.scala 420:104] + wire _T_2682 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 420:89] + wire _T_2684 = _T_2682 & _T_5; // @[lsu_bus_buffer.scala 420:104] wire [3:0] buf_age_younger_3 = {1'h0,_T_2696,_T_2690,_T_2684}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 150:144] @@ -5287,7 +5231,7 @@ module lsu_bus_buffer( wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 156:51] reg ibuf_write; // @[Reg.scala 27:20] wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 156:73] - reg ibuf_valid; // @[lsu_bus_buffer.scala 244:54] + reg ibuf_valid; // @[lsu_bus_buffer.scala 246:54] wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 156:86] wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 156:99] wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] @@ -5296,54 +5240,54 @@ module lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 161:69] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 150:150] wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 150:148] - reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 513:60] - wire _T_2564 = buf_ageQ_2[3] & _T_2594; // @[lsu_bus_buffer.scala 417:130] - wire _T_2557 = buf_ageQ_2[2] & _T_2594; // @[lsu_bus_buffer.scala 417:130] - wire _T_2550 = buf_ageQ_2[1] & _T_2594; // @[lsu_bus_buffer.scala 417:130] - wire _T_2543 = buf_ageQ_2[0] & _T_2594; // @[lsu_bus_buffer.scala 417:130] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 515:60] + wire _T_2564 = buf_ageQ_2[3] & _T_2594; // @[lsu_bus_buffer.scala 419:130] + wire _T_2557 = buf_ageQ_2[2] & _T_2594; // @[lsu_bus_buffer.scala 419:130] + wire _T_2550 = buf_ageQ_2[1] & _T_2594; // @[lsu_bus_buffer.scala 419:130] + wire _T_2543 = buf_ageQ_2[0] & _T_2594; // @[lsu_bus_buffer.scala 419:130] wire [3:0] buf_age_2 = {_T_2564,_T_2557,_T_2550,_T_2543}; // @[Cat.scala 29:58] - wire _T_2673 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2675 = _T_2673 & _T_26; // @[lsu_bus_buffer.scala 418:104] - wire _T_2661 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2663 = _T_2661 & _T_12; // @[lsu_bus_buffer.scala 418:104] - wire _T_2655 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2657 = _T_2655 & _T_5; // @[lsu_bus_buffer.scala 418:104] + wire _T_2673 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 420:89] + wire _T_2675 = _T_2673 & _T_26; // @[lsu_bus_buffer.scala 420:104] + wire _T_2661 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 420:89] + wire _T_2663 = _T_2661 & _T_12; // @[lsu_bus_buffer.scala 420:104] + wire _T_2655 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 420:89] + wire _T_2657 = _T_2655 & _T_5; // @[lsu_bus_buffer.scala 420:104] wire [3:0] buf_age_younger_2 = {_T_2675,1'h0,_T_2663,_T_2657}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 150:144] wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 150:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 150:97] wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 150:148] - reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 513:60] - wire _T_2533 = buf_ageQ_1[3] & _T_2594; // @[lsu_bus_buffer.scala 417:130] - wire _T_2526 = buf_ageQ_1[2] & _T_2594; // @[lsu_bus_buffer.scala 417:130] - wire _T_2519 = buf_ageQ_1[1] & _T_2594; // @[lsu_bus_buffer.scala 417:130] - wire _T_2512 = buf_ageQ_1[0] & _T_2594; // @[lsu_bus_buffer.scala 417:130] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 515:60] + wire _T_2533 = buf_ageQ_1[3] & _T_2594; // @[lsu_bus_buffer.scala 419:130] + wire _T_2526 = buf_ageQ_1[2] & _T_2594; // @[lsu_bus_buffer.scala 419:130] + wire _T_2519 = buf_ageQ_1[1] & _T_2594; // @[lsu_bus_buffer.scala 419:130] + wire _T_2512 = buf_ageQ_1[0] & _T_2594; // @[lsu_bus_buffer.scala 419:130] wire [3:0] buf_age_1 = {_T_2533,_T_2526,_T_2519,_T_2512}; // @[Cat.scala 29:58] - wire _T_2646 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2648 = _T_2646 & _T_26; // @[lsu_bus_buffer.scala 418:104] - wire _T_2640 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2642 = _T_2640 & _T_19; // @[lsu_bus_buffer.scala 418:104] - wire _T_2628 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2630 = _T_2628 & _T_5; // @[lsu_bus_buffer.scala 418:104] + wire _T_2646 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 420:89] + wire _T_2648 = _T_2646 & _T_26; // @[lsu_bus_buffer.scala 420:104] + wire _T_2640 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 420:89] + wire _T_2642 = _T_2640 & _T_19; // @[lsu_bus_buffer.scala 420:104] + wire _T_2628 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 420:89] + wire _T_2630 = _T_2628 & _T_5; // @[lsu_bus_buffer.scala 420:104] wire [3:0] buf_age_younger_1 = {_T_2648,_T_2642,1'h0,_T_2630}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 150:144] wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 150:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 150:97] wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 150:148] - reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 513:60] - wire _T_2502 = buf_ageQ_0[3] & _T_2594; // @[lsu_bus_buffer.scala 417:130] - wire _T_2495 = buf_ageQ_0[2] & _T_2594; // @[lsu_bus_buffer.scala 417:130] - wire _T_2488 = buf_ageQ_0[1] & _T_2594; // @[lsu_bus_buffer.scala 417:130] - wire _T_2481 = buf_ageQ_0[0] & _T_2594; // @[lsu_bus_buffer.scala 417:130] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 515:60] + wire _T_2502 = buf_ageQ_0[3] & _T_2594; // @[lsu_bus_buffer.scala 419:130] + wire _T_2495 = buf_ageQ_0[2] & _T_2594; // @[lsu_bus_buffer.scala 419:130] + wire _T_2488 = buf_ageQ_0[1] & _T_2594; // @[lsu_bus_buffer.scala 419:130] + wire _T_2481 = buf_ageQ_0[0] & _T_2594; // @[lsu_bus_buffer.scala 419:130] wire [3:0] buf_age_0 = {_T_2502,_T_2495,_T_2488,_T_2481}; // @[Cat.scala 29:58] - wire _T_2619 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2621 = _T_2619 & _T_26; // @[lsu_bus_buffer.scala 418:104] - wire _T_2613 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2615 = _T_2613 & _T_19; // @[lsu_bus_buffer.scala 418:104] - wire _T_2607 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2609 = _T_2607 & _T_12; // @[lsu_bus_buffer.scala 418:104] + wire _T_2619 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 420:89] + wire _T_2621 = _T_2619 & _T_26; // @[lsu_bus_buffer.scala 420:104] + wire _T_2613 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 420:89] + wire _T_2615 = _T_2613 & _T_19; // @[lsu_bus_buffer.scala 420:104] + wire _T_2607 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 420:89] + wire _T_2609 = _T_2607 & _T_12; // @[lsu_bus_buffer.scala 420:104] wire [3:0] buf_age_younger_0 = {_T_2621,_T_2615,_T_2609,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 150:144] @@ -5605,112 +5549,112 @@ module lsu_bus_buffer( wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_0; // @[Reg.scala 27:20] - wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 169:91] + wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 170:65] wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_1; // @[Reg.scala 27:20] - wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 169:91] + wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 170:65] wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_2; // @[Reg.scala 27:20] - wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 169:91] + wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 170:65] wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_3; // @[Reg.scala 27:20] - wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 169:91] - wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 169:123] - wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 169:123] - wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 169:123] + wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 170:97] + wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 170:97] + wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 170:97] wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 171:65] wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 171:65] wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 171:65] wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 170:65] - wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 170:97] - wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 170:97] - wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 170:97] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 171:97] + wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 171:97] + wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 171:97] wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 172:65] wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 172:65] wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 172:65] wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 171:65] - wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 171:97] - wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 171:97] - wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 171:97] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 172:97] wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 173:65] wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 173:65] wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 173:65] wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 172:65] - wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 172:97] - wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 172:97] - wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 173:97] wire [31:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] reg [31:0] ibuf_data; // @[Reg.scala 27:20] - wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 173:32] + wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 174:32] wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 175:91] + wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 177:65] wire [7:0] _T_660 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 175:91] + wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 177:65] wire [7:0] _T_665 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 175:91] + wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 177:65] wire [7:0] _T_670 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 175:91] - wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 175:123] - wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 175:123] - wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 175:123] + wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 177:97] + wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 177:97] + wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 177:97] wire [7:0] _T_678 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 178:65] wire [7:0] _T_683 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 178:65] wire [7:0] _T_688 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 178:65] wire [7:0] _T_693 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 176:65] - wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 176:97] - wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 176:97] - wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 176:97] + wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 178:65] + wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 178:97] + wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 178:97] + wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 178:97] wire [7:0] _T_701 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 179:65] wire [7:0] _T_706 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 179:65] wire [7:0] _T_711 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 179:65] wire [7:0] _T_716 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 177:65] - wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 177:97] - wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 177:97] - wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 177:97] + wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 179:65] + wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 179:97] + wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 179:97] + wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 179:97] wire [7:0] _T_724 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 178:65] + wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 180:65] wire [7:0] _T_729 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 178:65] + wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 180:65] wire [7:0] _T_734 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 178:65] + wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 180:65] wire [7:0] _T_739 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 178:65] - wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 178:97] - wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 178:97] - wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 178:97] + wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 180:65] + wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 180:97] + wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 180:97] + wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 180:97] wire [31:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] - wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 179:32] + wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 181:32] wire [3:0] _T_750 = io_lsu_pkt_r_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_751 = io_lsu_pkt_r_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_752 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_753 = _T_750 | _T_751; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_753 | _T_752; // @[Mux.scala 27:72] - wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 186:55] - wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 187:24] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 188:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 189:24] wire [3:0] _T_760 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] - wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 188:24] + wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 190:24] wire [3:0] _T_764 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] - wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 189:24] + wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 191:24] wire [3:0] _T_768 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] wire [3:0] _T_770 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_771 = _T_762 ? _T_764 : 4'h0; // @[Mux.scala 27:72] @@ -5745,146 +5689,146 @@ module lsu_bus_buffer( wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 207:40] - wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 209:31] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 209:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 211:31] wire _T_845 = io_lsu_pkt_r_bits_word & _T_756; // @[Mux.scala 27:72] wire _T_846 = io_lsu_pkt_r_bits_half & _T_844; // @[Mux.scala 27:72] wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] wire is_aligned_r = _T_848 | io_lsu_pkt_r_bits_by; // @[Mux.scala 27:72] - wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 211:60] - wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 211:34] - wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 211:84] - wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 211:82] - wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 212:36] - wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 212:56] - wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 212:54] - wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 214:36] - reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 257:55] - wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 220:62] - wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 220:48] - wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 239:54] - wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 239:80] - wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 239:93] - wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 239:129] - wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 239:106] - wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 239:152] - wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 239:150] - wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 239:175] - wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 239:173] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 240:20] - wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 220:98] - wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 220:82] - wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 220:80] - wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 221:5] - wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 215:44] - wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 215:42] - wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 215:61] - wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 215:120] - wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 215:100] - wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 215:74] - wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 221:16] + wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 213:60] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 213:34] + wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 213:84] + wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 213:82] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 214:36] + wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 214:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 214:54] + wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 216:36] + reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 259:55] + wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 222:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 222:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 241:54] + wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 241:80] + wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 241:93] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 241:129] + wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 241:106] + wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 241:152] + wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 241:150] + wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 241:175] + wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 241:173] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 242:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 222:98] + wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 222:82] + wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 222:80] + wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 223:5] + wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 217:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 217:42] + wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 217:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 217:120] + wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 217:100] + wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 217:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 223:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 221:35] - wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 221:55] - wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 221:53] - wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 221:67] - wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 220:32] - wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 214:34] - wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 214:49] - reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 627:49] - reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 626:49] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 223:35] + wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 223:55] + wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 223:53] + wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 223:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 222:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 216:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 216:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 629:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 628:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] - wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 230:77] - wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 235:8] - wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 236:8] - wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 234:46] - wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 235:8] - wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 236:8] - wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 234:46] - wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 235:8] - wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 236:8] - wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 234:46] - wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 235:8] - wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 236:8] - wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 234:46] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 232:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 237:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 238:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 236:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 237:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 238:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 236:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 237:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 238:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 236:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 237:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 238:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 236:46] wire [31:0] ibuf_data_in = {_T_920,_T_911,_T_902,_T_893}; // @[Cat.scala 29:58] - wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 237:60] - wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 237:95] - wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 241:65] - wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 241:63] - wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 241:96] - wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 241:48] - wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 241:96] - wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 241:48] - wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 241:96] - wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 241:48] - wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 241:96] - wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 241:48] + wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 239:60] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 239:95] + wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 243:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 243:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 243:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 243:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 243:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 243:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 243:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 243:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 243:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 243:48] wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] - wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 242:45] - wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 242:45] - wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 242:45] - wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 242:45] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 244:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 244:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 244:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 244:45] wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] - wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 244:58] - wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 244:93] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 246:58] + wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 246:93] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4441 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 533:64] - wire _T_4436 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 533:64] - wire [1:0] _T_4444 = _T_4441 + _T_4436; // @[lsu_bus_buffer.scala 533:142] - wire _T_4431 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 533:64] - wire [1:0] _GEN_376 = {{1'd0}, _T_4431}; // @[lsu_bus_buffer.scala 533:142] - wire [2:0] _T_4445 = _T_4444 + _GEN_376; // @[lsu_bus_buffer.scala 533:142] - wire _T_4426 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 533:64] - wire [2:0] _GEN_377 = {{2'd0}, _T_4426}; // @[lsu_bus_buffer.scala 533:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4445 + _GEN_377; // @[lsu_bus_buffer.scala 533:142] - wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 267:43] - wire [1:0] _T_4459 = _T_2590 + _T_2583; // @[lsu_bus_buffer.scala 534:126] - wire [1:0] _GEN_378 = {{1'd0}, _T_2576}; // @[lsu_bus_buffer.scala 534:126] - wire [2:0] _T_4460 = _T_4459 + _GEN_378; // @[lsu_bus_buffer.scala 534:126] - wire [2:0] _GEN_379 = {{2'd0}, _T_2569}; // @[lsu_bus_buffer.scala 534:126] - wire [3:0] buf_numvld_cmd_any = _T_4460 + _GEN_379; // @[lsu_bus_buffer.scala 534:126] - wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 267:72] - wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 267:51] + wire _T_4441 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 535:64] + wire _T_4436 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 535:64] + wire [1:0] _T_4444 = _T_4441 + _T_4436; // @[lsu_bus_buffer.scala 535:142] + wire _T_4431 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 535:64] + wire [1:0] _GEN_376 = {{1'd0}, _T_4431}; // @[lsu_bus_buffer.scala 535:142] + wire [2:0] _T_4445 = _T_4444 + _GEN_376; // @[lsu_bus_buffer.scala 535:142] + wire _T_4426 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 535:64] + wire [2:0] _GEN_377 = {{2'd0}, _T_4426}; // @[lsu_bus_buffer.scala 535:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4445 + _GEN_377; // @[lsu_bus_buffer.scala 535:142] + wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 269:43] + wire [1:0] _T_4459 = _T_2590 + _T_2583; // @[lsu_bus_buffer.scala 536:126] + wire [1:0] _GEN_378 = {{1'd0}, _T_2576}; // @[lsu_bus_buffer.scala 536:126] + wire [2:0] _T_4460 = _T_4459 + _GEN_378; // @[lsu_bus_buffer.scala 536:126] + wire [2:0] _GEN_379 = {{2'd0}, _T_2569}; // @[lsu_bus_buffer.scala 536:126] + wire [3:0] buf_numvld_cmd_any = _T_4460 + _GEN_379; // @[lsu_bus_buffer.scala 536:126] + wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 269:72] + wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 269:51] reg [2:0] obuf_wr_timer; // @[Reg.scala 27:20] - wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 267:97] - wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 267:80] - wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 267:114] - wire _T_1918 = |buf_age_3; // @[lsu_bus_buffer.scala 383:58] - wire _T_1919 = ~_T_1918; // @[lsu_bus_buffer.scala 383:45] - wire _T_1921 = _T_1919 & _T_2590; // @[lsu_bus_buffer.scala 383:63] - wire _T_1912 = |buf_age_2; // @[lsu_bus_buffer.scala 383:58] - wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 383:45] - wire _T_1915 = _T_1913 & _T_2583; // @[lsu_bus_buffer.scala 383:63] - wire _T_1906 = |buf_age_1; // @[lsu_bus_buffer.scala 383:58] - wire _T_1907 = ~_T_1906; // @[lsu_bus_buffer.scala 383:45] - wire _T_1909 = _T_1907 & _T_2576; // @[lsu_bus_buffer.scala 383:63] - wire _T_1900 = |buf_age_0; // @[lsu_bus_buffer.scala 383:58] - wire _T_1901 = ~_T_1900; // @[lsu_bus_buffer.scala 383:45] - wire _T_1903 = _T_1901 & _T_2569; // @[lsu_bus_buffer.scala 383:63] + wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 269:97] + wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 269:80] + wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 269:114] + wire _T_1918 = |buf_age_3; // @[lsu_bus_buffer.scala 385:58] + wire _T_1919 = ~_T_1918; // @[lsu_bus_buffer.scala 385:45] + wire _T_1921 = _T_1919 & _T_2590; // @[lsu_bus_buffer.scala 385:63] + wire _T_1912 = |buf_age_2; // @[lsu_bus_buffer.scala 385:58] + wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 385:45] + wire _T_1915 = _T_1913 & _T_2583; // @[lsu_bus_buffer.scala 385:63] + wire _T_1906 = |buf_age_1; // @[lsu_bus_buffer.scala 385:58] + wire _T_1907 = ~_T_1906; // @[lsu_bus_buffer.scala 385:45] + wire _T_1909 = _T_1907 & _T_2576; // @[lsu_bus_buffer.scala 385:63] + wire _T_1900 = |buf_age_0; // @[lsu_bus_buffer.scala 385:58] + wire _T_1901 = ~_T_1900; // @[lsu_bus_buffer.scala 385:45] + wire _T_1903 = _T_1901 & _T_2569; // @[lsu_bus_buffer.scala 385:63] wire [3:0] CmdPtr0Dec = {_T_1921,_T_1915,_T_1909,_T_1903}; // @[Cat.scala 29:58] wire [7:0] _T_1993 = {4'h0,_T_1921,_T_1915,_T_1909,_T_1903}; // @[Cat.scala 29:58] - wire _T_1996 = _T_1993[4] | _T_1993[5]; // @[lsu_bus_buffer.scala 391:42] - wire _T_1998 = _T_1996 | _T_1993[6]; // @[lsu_bus_buffer.scala 391:48] - wire _T_2000 = _T_1998 | _T_1993[7]; // @[lsu_bus_buffer.scala 391:54] - wire _T_2003 = _T_1993[2] | _T_1993[3]; // @[lsu_bus_buffer.scala 391:67] - wire _T_2005 = _T_2003 | _T_1993[6]; // @[lsu_bus_buffer.scala 391:73] - wire _T_2007 = _T_2005 | _T_1993[7]; // @[lsu_bus_buffer.scala 391:79] - wire _T_2010 = _T_1993[1] | _T_1993[3]; // @[lsu_bus_buffer.scala 391:92] - wire _T_2012 = _T_2010 | _T_1993[5]; // @[lsu_bus_buffer.scala 391:98] - wire _T_2014 = _T_2012 | _T_1993[7]; // @[lsu_bus_buffer.scala 391:104] + wire _T_1996 = _T_1993[4] | _T_1993[5]; // @[lsu_bus_buffer.scala 393:42] + wire _T_1998 = _T_1996 | _T_1993[6]; // @[lsu_bus_buffer.scala 393:48] + wire _T_2000 = _T_1998 | _T_1993[7]; // @[lsu_bus_buffer.scala 393:54] + wire _T_2003 = _T_1993[2] | _T_1993[3]; // @[lsu_bus_buffer.scala 393:67] + wire _T_2005 = _T_2003 | _T_1993[6]; // @[lsu_bus_buffer.scala 393:73] + wire _T_2007 = _T_2005 | _T_1993[7]; // @[lsu_bus_buffer.scala 393:79] + wire _T_2010 = _T_1993[1] | _T_1993[3]; // @[lsu_bus_buffer.scala 393:92] + wire _T_2012 = _T_2010 | _T_1993[5]; // @[lsu_bus_buffer.scala 393:98] + wire _T_2014 = _T_2012 | _T_1993[7]; // @[lsu_bus_buffer.scala 393:104] wire [2:0] _T_2016 = {_T_2000,_T_2007,_T_2014}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2016[1:0]; // @[lsu_bus_buffer.scala 396:11] - wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 268:114] - wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 268:114] - wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 268:114] - wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 268:114] + wire [1:0] CmdPtr0 = _T_2016[1:0]; // @[lsu_bus_buffer.scala 398:11] + wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 270:114] + wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 270:114] + wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 270:114] + wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 270:114] reg buf_nomerge_0; // @[Reg.scala 27:20] wire _T_1027 = _T_1023 & buf_nomerge_0; // @[Mux.scala 27:72] reg buf_nomerge_1; // @[Reg.scala 27:20] @@ -5896,8 +5840,8 @@ module lsu_bus_buffer( wire _T_1031 = _T_1027 | _T_1028; // @[Mux.scala 27:72] wire _T_1032 = _T_1031 | _T_1029; // @[Mux.scala 27:72] wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] - wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 268:31] - wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 268:29] + wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 270:31] + wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 270:29] reg _T_4325; // @[Reg.scala 27:20] reg _T_4322; // @[Reg.scala 27:20] reg _T_4319; // @[Reg.scala 27:20] @@ -5910,10 +5854,10 @@ module lsu_bus_buffer( wire _T_1049 = _T_1045 | _T_1046; // @[Mux.scala 27:72] wire _T_1050 = _T_1049 | _T_1047; // @[Mux.scala 27:72] wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] - wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 269:5] - wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 268:140] - wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 271:58] - wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 271:72] + wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 271:5] + wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 270:140] + wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 273:58] + wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 273:72] wire [29:0] _T_1077 = _T_1023 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1078 = _T_1024 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1081 = _T_1077 | _T_1078; // @[Mux.scala 27:72] @@ -5921,51 +5865,51 @@ module lsu_bus_buffer( wire [29:0] _T_1082 = _T_1081 | _T_1079; // @[Mux.scala 27:72] wire [29:0] _T_1080 = _T_1026 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1083 = _T_1082 | _T_1080; // @[Mux.scala 27:72] - wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 271:123] - wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 271:101] - wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 269:119] - wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 269:117] - wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 270:75] - wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 270:95] - wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 270:79] - wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 270:123] - wire _T_4477 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 535:63] - wire _T_4481 = _T_4477 | _T_2590; // @[lsu_bus_buffer.scala 535:74] - wire _T_4472 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 535:63] - wire _T_4476 = _T_4472 | _T_2583; // @[lsu_bus_buffer.scala 535:74] - wire [1:0] _T_4482 = _T_4481 + _T_4476; // @[lsu_bus_buffer.scala 535:154] - wire _T_4467 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 535:63] - wire _T_4471 = _T_4467 | _T_2576; // @[lsu_bus_buffer.scala 535:74] - wire [1:0] _GEN_380 = {{1'd0}, _T_4471}; // @[lsu_bus_buffer.scala 535:154] - wire [2:0] _T_4483 = _T_4482 + _GEN_380; // @[lsu_bus_buffer.scala 535:154] - wire _T_4462 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 535:63] - wire _T_4466 = _T_4462 | _T_2569; // @[lsu_bus_buffer.scala 535:74] - wire [2:0] _GEN_381 = {{2'd0}, _T_4466}; // @[lsu_bus_buffer.scala 535:154] - wire [3:0] buf_numvld_pend_any = _T_4483 + _GEN_381; // @[lsu_bus_buffer.scala 535:154] - wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 273:53] - wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 273:31] - wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 273:64] - wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 273:89] - wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 273:61] - wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 289:32] - wire _T_4751 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 563:62] - wire _T_4753 = _T_4751 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 563:73] - wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 563:93] - wire _T_4755 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 563:62] - wire _T_4757 = _T_4755 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 563:73] - wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 563:93] - wire _T_4767 = _T_4754 | _T_4758; // @[lsu_bus_buffer.scala 563:153] - wire _T_4759 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 563:62] - wire _T_4761 = _T_4759 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 563:73] - wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 563:93] - wire _T_4768 = _T_4767 | _T_4762; // @[lsu_bus_buffer.scala 563:153] - wire _T_4763 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 563:62] - wire _T_4765 = _T_4763 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 563:73] - wire _T_4766 = _T_4765 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 563:93] - wire bus_sideeffect_pend = _T_4768 | _T_4766; // @[lsu_bus_buffer.scala 563:153] - wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 289:74] - wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 289:52] - wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 289:50] + wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 273:123] + wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 273:101] + wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 271:119] + wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 271:117] + wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 272:75] + wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 272:95] + wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 272:79] + wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 272:123] + wire _T_4477 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 537:63] + wire _T_4481 = _T_4477 | _T_2590; // @[lsu_bus_buffer.scala 537:74] + wire _T_4472 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 537:63] + wire _T_4476 = _T_4472 | _T_2583; // @[lsu_bus_buffer.scala 537:74] + wire [1:0] _T_4482 = _T_4481 + _T_4476; // @[lsu_bus_buffer.scala 537:154] + wire _T_4467 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 537:63] + wire _T_4471 = _T_4467 | _T_2576; // @[lsu_bus_buffer.scala 537:74] + wire [1:0] _GEN_380 = {{1'd0}, _T_4471}; // @[lsu_bus_buffer.scala 537:154] + wire [2:0] _T_4483 = _T_4482 + _GEN_380; // @[lsu_bus_buffer.scala 537:154] + wire _T_4462 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 537:63] + wire _T_4466 = _T_4462 | _T_2569; // @[lsu_bus_buffer.scala 537:74] + wire [2:0] _GEN_381 = {{2'd0}, _T_4466}; // @[lsu_bus_buffer.scala 537:154] + wire [3:0] buf_numvld_pend_any = _T_4483 + _GEN_381; // @[lsu_bus_buffer.scala 537:154] + wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 275:53] + wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 275:31] + wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 275:64] + wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 275:89] + wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 275:61] + wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 291:32] + wire _T_4751 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 565:62] + wire _T_4753 = _T_4751 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 565:73] + wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 565:93] + wire _T_4755 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 565:62] + wire _T_4757 = _T_4755 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 565:73] + wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 565:93] + wire _T_4767 = _T_4754 | _T_4758; // @[lsu_bus_buffer.scala 565:153] + wire _T_4759 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 565:62] + wire _T_4761 = _T_4759 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 565:73] + wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 565:93] + wire _T_4768 = _T_4767 | _T_4762; // @[lsu_bus_buffer.scala 565:153] + wire _T_4763 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 565:62] + wire _T_4765 = _T_4763 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 565:73] + wire _T_4766 = _T_4765 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 565:93] + wire bus_sideeffect_pend = _T_4768 | _T_4766; // @[lsu_bus_buffer.scala 565:153] + wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 291:74] + wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 291:52] + wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 291:50] wire [2:0] _T_1099 = _T_1023 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1100 = _T_1024 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1103 = _T_1099 | _T_1100; // @[Mux.scala 27:72] @@ -5973,12 +5917,12 @@ module lsu_bus_buffer( wire [2:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] - wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 290:36] - wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 388:31] - wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 290:47] - wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 291:141] - wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 291:105] - wire _T_1148 = _T_1108 & _T_1147; // @[lsu_bus_buffer.scala 291:103] + wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 292:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 390:31] + wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 292:47] + wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 293:141] + wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 293:105] + wire _T_1148 = _T_1108 & _T_1147; // @[lsu_bus_buffer.scala 293:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] @@ -6003,7 +5947,7 @@ module lsu_bus_buffer( wire _T_1184 = _T_1183 | _T_1181; // @[Mux.scala 27:72] wire _T_1182 = _T_1026 & _T_1170[3]; // @[Mux.scala 27:72] wire _T_1185 = _T_1184 | _T_1182; // @[Mux.scala 27:72] - wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 292:77] + wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 294:77] wire _T_1196 = _T_1023 & buf_write[0]; // @[Mux.scala 27:72] wire _T_1197 = _T_1024 & buf_write[1]; // @[Mux.scala 27:72] wire _T_1200 = _T_1196 | _T_1197; // @[Mux.scala 27:72] @@ -6011,37 +5955,37 @@ module lsu_bus_buffer( wire _T_1201 = _T_1200 | _T_1198; // @[Mux.scala 27:72] wire _T_1199 = _T_1026 & buf_write[3]; // @[Mux.scala 27:72] wire _T_1202 = _T_1201 | _T_1199; // @[Mux.scala 27:72] - wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 292:150] - wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 292:148] - wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 292:8] - wire [3:0] _T_1959 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 384:62] - wire [3:0] _T_1960 = buf_age_3 & _T_1959; // @[lsu_bus_buffer.scala 384:59] - wire _T_1961 = |_T_1960; // @[lsu_bus_buffer.scala 384:76] - wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 384:45] - wire _T_1964 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 384:83] - wire _T_1965 = _T_1962 & _T_1964; // @[lsu_bus_buffer.scala 384:81] - wire _T_1967 = _T_1965 & _T_2590; // @[lsu_bus_buffer.scala 384:98] - wire [3:0] _T_1949 = buf_age_2 & _T_1959; // @[lsu_bus_buffer.scala 384:59] - wire _T_1950 = |_T_1949; // @[lsu_bus_buffer.scala 384:76] - wire _T_1951 = ~_T_1950; // @[lsu_bus_buffer.scala 384:45] - wire _T_1953 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 384:83] - wire _T_1954 = _T_1951 & _T_1953; // @[lsu_bus_buffer.scala 384:81] - wire _T_1956 = _T_1954 & _T_2583; // @[lsu_bus_buffer.scala 384:98] - wire [3:0] _T_1938 = buf_age_1 & _T_1959; // @[lsu_bus_buffer.scala 384:59] - wire _T_1939 = |_T_1938; // @[lsu_bus_buffer.scala 384:76] - wire _T_1940 = ~_T_1939; // @[lsu_bus_buffer.scala 384:45] - wire _T_1942 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 384:83] - wire _T_1943 = _T_1940 & _T_1942; // @[lsu_bus_buffer.scala 384:81] - wire _T_1945 = _T_1943 & _T_2576; // @[lsu_bus_buffer.scala 384:98] - wire [3:0] _T_1927 = buf_age_0 & _T_1959; // @[lsu_bus_buffer.scala 384:59] - wire _T_1928 = |_T_1927; // @[lsu_bus_buffer.scala 384:76] - wire _T_1929 = ~_T_1928; // @[lsu_bus_buffer.scala 384:45] - wire _T_1931 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 384:83] - wire _T_1932 = _T_1929 & _T_1931; // @[lsu_bus_buffer.scala 384:81] - wire _T_1934 = _T_1932 & _T_2569; // @[lsu_bus_buffer.scala 384:98] + wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 294:150] + wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 294:148] + wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 294:8] + wire [3:0] _T_1959 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 386:62] + wire [3:0] _T_1960 = buf_age_3 & _T_1959; // @[lsu_bus_buffer.scala 386:59] + wire _T_1961 = |_T_1960; // @[lsu_bus_buffer.scala 386:76] + wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 386:45] + wire _T_1964 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 386:83] + wire _T_1965 = _T_1962 & _T_1964; // @[lsu_bus_buffer.scala 386:81] + wire _T_1967 = _T_1965 & _T_2590; // @[lsu_bus_buffer.scala 386:98] + wire [3:0] _T_1949 = buf_age_2 & _T_1959; // @[lsu_bus_buffer.scala 386:59] + wire _T_1950 = |_T_1949; // @[lsu_bus_buffer.scala 386:76] + wire _T_1951 = ~_T_1950; // @[lsu_bus_buffer.scala 386:45] + wire _T_1953 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 386:83] + wire _T_1954 = _T_1951 & _T_1953; // @[lsu_bus_buffer.scala 386:81] + wire _T_1956 = _T_1954 & _T_2583; // @[lsu_bus_buffer.scala 386:98] + wire [3:0] _T_1938 = buf_age_1 & _T_1959; // @[lsu_bus_buffer.scala 386:59] + wire _T_1939 = |_T_1938; // @[lsu_bus_buffer.scala 386:76] + wire _T_1940 = ~_T_1939; // @[lsu_bus_buffer.scala 386:45] + wire _T_1942 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 386:83] + wire _T_1943 = _T_1940 & _T_1942; // @[lsu_bus_buffer.scala 386:81] + wire _T_1945 = _T_1943 & _T_2576; // @[lsu_bus_buffer.scala 386:98] + wire [3:0] _T_1927 = buf_age_0 & _T_1959; // @[lsu_bus_buffer.scala 386:59] + wire _T_1928 = |_T_1927; // @[lsu_bus_buffer.scala 386:76] + wire _T_1929 = ~_T_1928; // @[lsu_bus_buffer.scala 386:45] + wire _T_1931 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 386:83] + wire _T_1932 = _T_1929 & _T_1931; // @[lsu_bus_buffer.scala 386:81] + wire _T_1934 = _T_1932 & _T_2569; // @[lsu_bus_buffer.scala 386:98] wire [3:0] CmdPtr1Dec = {_T_1967,_T_1956,_T_1945,_T_1934}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 389:31] - wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 292:181] + wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 391:31] + wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 294:181] wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] wire _T_1220 = _T_1024 & _T_1210[1]; // @[Mux.scala 27:72] @@ -6050,44 +5994,44 @@ module lsu_bus_buffer( wire _T_1224 = _T_1223 | _T_1221; // @[Mux.scala 27:72] wire _T_1222 = _T_1026 & _T_1210[3]; // @[Mux.scala 27:72] wire _T_1225 = _T_1224 | _T_1222; // @[Mux.scala 27:72] - wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 292:197] - wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 292:269] - wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 291:164] - wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 289:98] - wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 293:48] - wire _T_1232 = io_lsu_axi_ar_ready | _T_1231; // @[lsu_bus_buffer.scala 293:46] + wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 294:197] + wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 294:269] + wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 293:164] + wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 291:98] + wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 295:48] + wire _T_1232 = io_lsu_axi_ar_ready | _T_1231; // @[lsu_bus_buffer.scala 295:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 293:60] - wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 293:29] - wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 293:77] - wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 293:75] + wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 295:60] + wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 295:29] + wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 295:77] + wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 295:75] reg [31:0] obuf_addr; // @[Reg.scala 27:20] - wire _T_4787 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 565:37] - wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 565:19] + wire _T_4787 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 567:37] + wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 567:19] wire _T_4818 = _T_4755 & _T_4788; // @[Mux.scala 27:72] - wire _T_4798 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 565:37] - wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 565:19] + wire _T_4798 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 567:37] + wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 567:19] wire _T_4819 = _T_4759 & _T_4799; // @[Mux.scala 27:72] wire _T_4822 = _T_4818 | _T_4819; // @[Mux.scala 27:72] - wire _T_4809 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 565:37] - wire _T_4810 = obuf_valid & _T_4809; // @[lsu_bus_buffer.scala 565:19] + wire _T_4809 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 567:37] + wire _T_4810 = obuf_valid & _T_4809; // @[lsu_bus_buffer.scala 567:19] wire _T_4820 = _T_4763 & _T_4810; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4822 | _T_4820; // @[Mux.scala 27:72] - wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 293:94] - wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 293:92] - wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 293:118] - wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 296:47] - wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 568:40] - wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 569:40] - wire _T_4834 = bus_wcmd_sent & bus_wdata_sent; // @[lsu_bus_buffer.scala 570:52] - wire _T_4835 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 570:112] - wire bus_cmd_sent = _T_4834 | _T_4835; // @[lsu_bus_buffer.scala 570:89] - wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 296:33] - wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 296:65] - wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 296:63] - wire _T_1244 = _T_1243 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 296:77] - wire obuf_rst = _T_1244 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 296:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 297:26] + wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 295:94] + wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 295:92] + wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 295:118] + wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 298:47] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 570:40] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 571:40] + wire _T_4834 = bus_wcmd_sent & bus_wdata_sent; // @[lsu_bus_buffer.scala 572:52] + wire _T_4835 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 572:112] + wire bus_cmd_sent = _T_4834 | _T_4835; // @[lsu_bus_buffer.scala 572:89] + wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 298:33] + wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 298:65] + wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 298:63] + wire _T_1244 = _T_1243 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 298:77] + wire obuf_rst = _T_1244 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 298:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 299:26] wire [31:0] _T_1281 = _T_1023 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1282 = _T_1024 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1283 = _T_1025 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -6095,7 +6039,7 @@ module lsu_bus_buffer( wire [31:0] _T_1285 = _T_1281 | _T_1282; // @[Mux.scala 27:72] wire [31:0] _T_1286 = _T_1285 | _T_1283; // @[Mux.scala 27:72] wire [31:0] _T_1287 = _T_1286 | _T_1284; // @[Mux.scala 27:72] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1287; // @[lsu_bus_buffer.scala 299:25] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1287; // @[lsu_bus_buffer.scala 301:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] wire [1:0] _T_1294 = _T_1023 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] @@ -6107,55 +6051,55 @@ module lsu_bus_buffer( wire [1:0] _T_1298 = _T_1294 | _T_1295; // @[Mux.scala 27:72] wire [1:0] _T_1299 = _T_1298 | _T_1296; // @[Mux.scala 27:72] wire [1:0] _T_1300 = _T_1299 | _T_1297; // @[Mux.scala 27:72] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1300; // @[lsu_bus_buffer.scala 302:23] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1300; // @[lsu_bus_buffer.scala 304:23] wire [7:0] _T_2018 = {4'h0,_T_1967,_T_1956,_T_1945,_T_1934}; // @[Cat.scala 29:58] - wire _T_2021 = _T_2018[4] | _T_2018[5]; // @[lsu_bus_buffer.scala 391:42] - wire _T_2023 = _T_2021 | _T_2018[6]; // @[lsu_bus_buffer.scala 391:48] - wire _T_2025 = _T_2023 | _T_2018[7]; // @[lsu_bus_buffer.scala 391:54] - wire _T_2028 = _T_2018[2] | _T_2018[3]; // @[lsu_bus_buffer.scala 391:67] - wire _T_2030 = _T_2028 | _T_2018[6]; // @[lsu_bus_buffer.scala 391:73] - wire _T_2032 = _T_2030 | _T_2018[7]; // @[lsu_bus_buffer.scala 391:79] - wire _T_2035 = _T_2018[1] | _T_2018[3]; // @[lsu_bus_buffer.scala 391:92] - wire _T_2037 = _T_2035 | _T_2018[5]; // @[lsu_bus_buffer.scala 391:98] - wire _T_2039 = _T_2037 | _T_2018[7]; // @[lsu_bus_buffer.scala 391:104] + wire _T_2021 = _T_2018[4] | _T_2018[5]; // @[lsu_bus_buffer.scala 393:42] + wire _T_2023 = _T_2021 | _T_2018[6]; // @[lsu_bus_buffer.scala 393:48] + wire _T_2025 = _T_2023 | _T_2018[7]; // @[lsu_bus_buffer.scala 393:54] + wire _T_2028 = _T_2018[2] | _T_2018[3]; // @[lsu_bus_buffer.scala 393:67] + wire _T_2030 = _T_2028 | _T_2018[6]; // @[lsu_bus_buffer.scala 393:73] + wire _T_2032 = _T_2030 | _T_2018[7]; // @[lsu_bus_buffer.scala 393:79] + wire _T_2035 = _T_2018[1] | _T_2018[3]; // @[lsu_bus_buffer.scala 393:92] + wire _T_2037 = _T_2035 | _T_2018[5]; // @[lsu_bus_buffer.scala 393:98] + wire _T_2039 = _T_2037 | _T_2018[7]; // @[lsu_bus_buffer.scala 393:104] wire [2:0] _T_2041 = {_T_2025,_T_2032,_T_2039}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr1 = _T_2041[1:0]; // @[lsu_bus_buffer.scala 398:11] - wire _T_1309 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 314:72] - wire _T_1312 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 314:98] - wire _T_1313 = obuf_sz_in[0] & _T_1312; // @[lsu_bus_buffer.scala 314:96] - wire _T_1314 = _T_1309 | _T_1313; // @[lsu_bus_buffer.scala 314:79] - wire _T_1317 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 314:153] - wire _T_1318 = ~_T_1317; // @[lsu_bus_buffer.scala 314:134] - wire _T_1319 = obuf_sz_in[1] & _T_1318; // @[lsu_bus_buffer.scala 314:132] - wire _T_1320 = _T_1314 | _T_1319; // @[lsu_bus_buffer.scala 314:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1320; // @[lsu_bus_buffer.scala 314:28] - wire _T_1337 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 328:40] - wire _T_1338 = _T_1337 & obuf_aligned_in; // @[lsu_bus_buffer.scala 328:60] - wire _T_1343 = ~obuf_write_in; // @[lsu_bus_buffer.scala 328:113] - wire _T_1344 = _T_1338 & _T_1343; // @[lsu_bus_buffer.scala 328:111] - wire _T_1345 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 328:130] - wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 328:128] - wire _T_1347 = ~obuf_nosend; // @[lsu_bus_buffer.scala 329:20] - wire _T_1348 = obuf_valid & _T_1347; // @[lsu_bus_buffer.scala 329:18] + wire [1:0] CmdPtr1 = _T_2041[1:0]; // @[lsu_bus_buffer.scala 400:11] + wire _T_1309 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 316:72] + wire _T_1312 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 316:98] + wire _T_1313 = obuf_sz_in[0] & _T_1312; // @[lsu_bus_buffer.scala 316:96] + wire _T_1314 = _T_1309 | _T_1313; // @[lsu_bus_buffer.scala 316:79] + wire _T_1317 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 316:153] + wire _T_1318 = ~_T_1317; // @[lsu_bus_buffer.scala 316:134] + wire _T_1319 = obuf_sz_in[1] & _T_1318; // @[lsu_bus_buffer.scala 316:132] + wire _T_1320 = _T_1314 | _T_1319; // @[lsu_bus_buffer.scala 316:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1320; // @[lsu_bus_buffer.scala 316:28] + wire _T_1337 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 330:40] + wire _T_1338 = _T_1337 & obuf_aligned_in; // @[lsu_bus_buffer.scala 330:60] + wire _T_1343 = ~obuf_write_in; // @[lsu_bus_buffer.scala 330:113] + wire _T_1344 = _T_1338 & _T_1343; // @[lsu_bus_buffer.scala 330:111] + wire _T_1345 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 330:130] + wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 330:128] + wire _T_1347 = ~obuf_nosend; // @[lsu_bus_buffer.scala 331:20] + wire _T_1348 = obuf_valid & _T_1347; // @[lsu_bus_buffer.scala 331:18] reg obuf_rdrsp_pend; // @[Reg.scala 27:20] - wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 571:38] - wire _T_1349 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 329:90] - wire _T_1350 = bus_rsp_read & _T_1349; // @[lsu_bus_buffer.scala 329:70] - wire _T_1351 = ~_T_1350; // @[lsu_bus_buffer.scala 329:55] - wire _T_1352 = obuf_rdrsp_pend & _T_1351; // @[lsu_bus_buffer.scala 329:53] - wire _T_1353 = _T_1348 | _T_1352; // @[lsu_bus_buffer.scala 329:34] - wire obuf_nosend_in = _T_1346 & _T_1353; // @[lsu_bus_buffer.scala 328:177] - wire _T_1321 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 322:45] - wire _T_1322 = obuf_wr_en & _T_1321; // @[lsu_bus_buffer.scala 322:43] - wire _T_1323 = ~_T_1322; // @[lsu_bus_buffer.scala 322:30] - wire _T_1324 = _T_1323 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 322:62] - wire _T_1328 = _T_1324 & _T_1351; // @[lsu_bus_buffer.scala 322:80] - wire _T_1331 = _T_1328 | bus_cmd_sent; // @[lsu_bus_buffer.scala 322:139] - wire obuf_rdrsp_pend_in = _T_1331 & _T_2594; // @[lsu_bus_buffer.scala 322:171] - wire obuf_rdrsp_pend_en = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 323:47] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 573:38] + wire _T_1349 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 331:90] + wire _T_1350 = bus_rsp_read & _T_1349; // @[lsu_bus_buffer.scala 331:70] + wire _T_1351 = ~_T_1350; // @[lsu_bus_buffer.scala 331:55] + wire _T_1352 = obuf_rdrsp_pend & _T_1351; // @[lsu_bus_buffer.scala 331:53] + wire _T_1353 = _T_1348 | _T_1352; // @[lsu_bus_buffer.scala 331:34] + wire obuf_nosend_in = _T_1346 & _T_1353; // @[lsu_bus_buffer.scala 330:177] + wire _T_1321 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 324:45] + wire _T_1322 = obuf_wr_en & _T_1321; // @[lsu_bus_buffer.scala 324:43] + wire _T_1323 = ~_T_1322; // @[lsu_bus_buffer.scala 324:30] + wire _T_1324 = _T_1323 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 324:62] + wire _T_1328 = _T_1324 & _T_1351; // @[lsu_bus_buffer.scala 324:80] + wire _T_1331 = _T_1328 | bus_cmd_sent; // @[lsu_bus_buffer.scala 324:139] + wire obuf_rdrsp_pend_in = _T_1331 & _T_2594; // @[lsu_bus_buffer.scala 324:171] + wire obuf_rdrsp_pend_en = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 325:47] wire [7:0] _T_1401 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1402 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1403 = io_end_addr_r[2] ? _T_1401 : _T_1402; // @[lsu_bus_buffer.scala 332:46] + wire [7:0] _T_1403 = io_end_addr_r[2] ? _T_1401 : _T_1402; // @[lsu_bus_buffer.scala 334:46] wire _T_1404 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 62:123] wire _T_1405 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 62:123] wire _T_1406 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 62:123] @@ -6176,11 +6120,11 @@ module lsu_bus_buffer( wire [3:0] _T_1428 = _T_1427 | _T_1425; // @[Mux.scala 27:72] wire [7:0] _T_1430 = {_T_1428,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1443 = {4'h0,_T_1428}; // @[Cat.scala 29:58] - wire [7:0] _T_1444 = _T_1414[2] ? _T_1430 : _T_1443; // @[lsu_bus_buffer.scala 333:8] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1403 : _T_1444; // @[lsu_bus_buffer.scala 332:28] + wire [7:0] _T_1444 = _T_1414[2] ? _T_1430 : _T_1443; // @[lsu_bus_buffer.scala 335:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1403 : _T_1444; // @[lsu_bus_buffer.scala 334:28] wire [63:0] _T_1446 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1447 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1448 = io_lsu_addr_r[2] ? _T_1446 : _T_1447; // @[lsu_bus_buffer.scala 335:44] + wire [63:0] _T_1448 = io_lsu_addr_r[2] ? _T_1446 : _T_1447; // @[lsu_bus_buffer.scala 337:44] wire [31:0] _T_1467 = _T_1023 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1468 = _T_1024 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1469 = _T_1025 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -6190,11 +6134,11 @@ module lsu_bus_buffer( wire [31:0] _T_1473 = _T_1472 | _T_1470; // @[Mux.scala 27:72] wire [63:0] _T_1475 = {_T_1473,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1488 = {32'h0,_T_1473}; // @[Cat.scala 29:58] - wire [63:0] _T_1489 = _T_1287[2] ? _T_1475 : _T_1488; // @[lsu_bus_buffer.scala 336:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1448 : _T_1489; // @[lsu_bus_buffer.scala 335:26] + wire [63:0] _T_1489 = _T_1287[2] ? _T_1475 : _T_1488; // @[lsu_bus_buffer.scala 338:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1448 : _T_1489; // @[lsu_bus_buffer.scala 337:26] wire [63:0] _T_1491 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1492 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1493 = io_end_addr_r[2] ? _T_1491 : _T_1492; // @[lsu_bus_buffer.scala 337:44] + wire [63:0] _T_1493 = io_end_addr_r[2] ? _T_1491 : _T_1492; // @[lsu_bus_buffer.scala 339:44] wire [31:0] _T_1512 = _T_1404 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1513 = _T_1405 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1514 = _T_1406 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -6204,12 +6148,12 @@ module lsu_bus_buffer( wire [31:0] _T_1518 = _T_1517 | _T_1515; // @[Mux.scala 27:72] wire [63:0] _T_1520 = {_T_1518,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1533 = {32'h0,_T_1518}; // @[Cat.scala 29:58] - wire [63:0] _T_1534 = _T_1414[2] ? _T_1520 : _T_1533; // @[lsu_bus_buffer.scala 338:8] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1493 : _T_1534; // @[lsu_bus_buffer.scala 337:26] - wire _T_1619 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 344:30] - wire _T_1620 = _T_1619 & found_cmdptr0; // @[lsu_bus_buffer.scala 344:43] - wire _T_1621 = _T_1620 & found_cmdptr1; // @[lsu_bus_buffer.scala 344:59] - wire _T_1635 = _T_1621 & _T_1107; // @[lsu_bus_buffer.scala 344:75] + wire [63:0] _T_1534 = _T_1414[2] ? _T_1520 : _T_1533; // @[lsu_bus_buffer.scala 340:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1493 : _T_1534; // @[lsu_bus_buffer.scala 339:26] + wire _T_1619 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 346:30] + wire _T_1620 = _T_1619 & found_cmdptr0; // @[lsu_bus_buffer.scala 346:43] + wire _T_1621 = _T_1620 & found_cmdptr1; // @[lsu_bus_buffer.scala 346:59] + wire _T_1635 = _T_1621 & _T_1107; // @[lsu_bus_buffer.scala 346:75] wire [2:0] _T_1640 = _T_1404 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1641 = _T_1405 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1644 = _T_1640 | _T_1641; // @[Mux.scala 27:72] @@ -6217,10 +6161,10 @@ module lsu_bus_buffer( wire [2:0] _T_1645 = _T_1644 | _T_1642; // @[Mux.scala 27:72] wire [2:0] _T_1643 = _T_1407 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1646 = _T_1645 | _T_1643; // @[Mux.scala 27:72] - wire _T_1648 = _T_1646 == 3'h2; // @[lsu_bus_buffer.scala 344:150] - wire _T_1649 = _T_1635 & _T_1648; // @[lsu_bus_buffer.scala 344:118] - wire _T_1688 = _T_1649 & _T_1053; // @[lsu_bus_buffer.scala 345:85] - wire _T_1725 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 346:36] + wire _T_1648 = _T_1646 == 3'h2; // @[lsu_bus_buffer.scala 346:150] + wire _T_1649 = _T_1635 & _T_1648; // @[lsu_bus_buffer.scala 346:118] + wire _T_1688 = _T_1649 & _T_1053; // @[lsu_bus_buffer.scala 347:85] + wire _T_1725 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 348:36] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] @@ -6233,683 +6177,683 @@ module lsu_bus_buffer( wire _T_1742 = _T_1741 | _T_1739; // @[Mux.scala 27:72] wire _T_1740 = _T_1026 & _T_1728[3]; // @[Mux.scala 27:72] wire _T_1743 = _T_1742 | _T_1740; // @[Mux.scala 27:72] - wire _T_1745 = ~_T_1743; // @[lsu_bus_buffer.scala 346:107] - wire _T_1746 = _T_1725 & _T_1745; // @[lsu_bus_buffer.scala 346:105] - wire _T_1766 = _T_1746 & _T_1185; // @[lsu_bus_buffer.scala 346:177] - wire _T_1767 = _T_1688 & _T_1766; // @[lsu_bus_buffer.scala 345:122] - wire _T_1768 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 347:19] - wire _T_1769 = _T_1768 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 347:35] - wire obuf_merge_en = _T_1767 | _T_1769; // @[lsu_bus_buffer.scala 346:250] - wire _T_1537 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1541 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1545 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1549 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1553 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1557 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1561 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1565 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 339:80] - wire [7:0] _T_1577 = _T_1537 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1582 = _T_1541 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1587 = _T_1545 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1592 = _T_1549 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1597 = _T_1553 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1602 = _T_1557 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1607 = _T_1561 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1612 = _T_1565 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 340:44] + wire _T_1745 = ~_T_1743; // @[lsu_bus_buffer.scala 348:107] + wire _T_1746 = _T_1725 & _T_1745; // @[lsu_bus_buffer.scala 348:105] + wire _T_1766 = _T_1746 & _T_1185; // @[lsu_bus_buffer.scala 348:177] + wire _T_1767 = _T_1688 & _T_1766; // @[lsu_bus_buffer.scala 347:122] + wire _T_1768 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 349:19] + wire _T_1769 = _T_1768 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 349:35] + wire obuf_merge_en = _T_1767 | _T_1769; // @[lsu_bus_buffer.scala 348:250] + wire _T_1537 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 341:80] + wire _T_1541 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 341:80] + wire _T_1545 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 341:80] + wire _T_1549 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 341:80] + wire _T_1553 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 341:80] + wire _T_1557 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 341:80] + wire _T_1561 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 341:80] + wire _T_1565 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 341:80] + wire [7:0] _T_1577 = _T_1537 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 342:44] + wire [7:0] _T_1582 = _T_1541 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 342:44] + wire [7:0] _T_1587 = _T_1545 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 342:44] + wire [7:0] _T_1592 = _T_1549 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 342:44] + wire [7:0] _T_1597 = _T_1553 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 342:44] + wire [7:0] _T_1602 = _T_1557 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 342:44] + wire [7:0] _T_1607 = _T_1561 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 342:44] + wire [7:0] _T_1612 = _T_1565 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 342:44] wire [63:0] obuf_data_in = {_T_1612,_T_1607,_T_1602,_T_1597,_T_1592,_T_1587,_T_1582,_T_1577}; // @[Cat.scala 29:58] - wire _T_1771 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 349:58] - wire _T_1772 = ~obuf_rst; // @[lsu_bus_buffer.scala 349:93] + wire _T_1771 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 351:58] + wire _T_1772 = ~obuf_rst; // @[lsu_bus_buffer.scala 351:93] reg [63:0] obuf_data; // @[Reg.scala 27:20] - wire _T_1792 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 369:65] - wire _T_1793 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 370:30] - wire _T_1794 = ibuf_valid & _T_1793; // @[lsu_bus_buffer.scala 370:19] - wire _T_1795 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 371:18] - wire _T_1796 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 371:57] - wire _T_1797 = io_ldst_dual_r & _T_1796; // @[lsu_bus_buffer.scala 371:45] - wire _T_1798 = _T_1795 | _T_1797; // @[lsu_bus_buffer.scala 371:27] - wire _T_1799 = io_lsu_busreq_r & _T_1798; // @[lsu_bus_buffer.scala 370:58] - wire _T_1800 = _T_1794 | _T_1799; // @[lsu_bus_buffer.scala 370:39] - wire _T_1801 = ~_T_1800; // @[lsu_bus_buffer.scala 370:5] - wire _T_1802 = _T_1792 & _T_1801; // @[lsu_bus_buffer.scala 369:76] - wire _T_1803 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 369:65] - wire _T_1804 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 370:30] - wire _T_1805 = ibuf_valid & _T_1804; // @[lsu_bus_buffer.scala 370:19] - wire _T_1806 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 371:18] - wire _T_1807 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 371:57] - wire _T_1808 = io_ldst_dual_r & _T_1807; // @[lsu_bus_buffer.scala 371:45] - wire _T_1809 = _T_1806 | _T_1808; // @[lsu_bus_buffer.scala 371:27] - wire _T_1810 = io_lsu_busreq_r & _T_1809; // @[lsu_bus_buffer.scala 370:58] - wire _T_1811 = _T_1805 | _T_1810; // @[lsu_bus_buffer.scala 370:39] - wire _T_1812 = ~_T_1811; // @[lsu_bus_buffer.scala 370:5] - wire _T_1813 = _T_1803 & _T_1812; // @[lsu_bus_buffer.scala 369:76] - wire _T_1814 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 369:65] - wire _T_1815 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 370:30] - wire _T_1816 = ibuf_valid & _T_1815; // @[lsu_bus_buffer.scala 370:19] - wire _T_1817 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 371:18] - wire _T_1818 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 371:57] - wire _T_1819 = io_ldst_dual_r & _T_1818; // @[lsu_bus_buffer.scala 371:45] - wire _T_1820 = _T_1817 | _T_1819; // @[lsu_bus_buffer.scala 371:27] - wire _T_1821 = io_lsu_busreq_r & _T_1820; // @[lsu_bus_buffer.scala 370:58] - wire _T_1822 = _T_1816 | _T_1821; // @[lsu_bus_buffer.scala 370:39] - wire _T_1823 = ~_T_1822; // @[lsu_bus_buffer.scala 370:5] - wire _T_1824 = _T_1814 & _T_1823; // @[lsu_bus_buffer.scala 369:76] - wire _T_1825 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 369:65] - wire _T_1826 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 370:30] - wire _T_1828 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 371:18] - wire _T_1829 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 371:57] + wire _T_1792 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 371:65] + wire _T_1793 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 372:30] + wire _T_1794 = ibuf_valid & _T_1793; // @[lsu_bus_buffer.scala 372:19] + wire _T_1795 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 373:18] + wire _T_1796 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 373:57] + wire _T_1797 = io_ldst_dual_r & _T_1796; // @[lsu_bus_buffer.scala 373:45] + wire _T_1798 = _T_1795 | _T_1797; // @[lsu_bus_buffer.scala 373:27] + wire _T_1799 = io_lsu_busreq_r & _T_1798; // @[lsu_bus_buffer.scala 372:58] + wire _T_1800 = _T_1794 | _T_1799; // @[lsu_bus_buffer.scala 372:39] + wire _T_1801 = ~_T_1800; // @[lsu_bus_buffer.scala 372:5] + wire _T_1802 = _T_1792 & _T_1801; // @[lsu_bus_buffer.scala 371:76] + wire _T_1803 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 371:65] + wire _T_1804 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 372:30] + wire _T_1805 = ibuf_valid & _T_1804; // @[lsu_bus_buffer.scala 372:19] + wire _T_1806 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 373:18] + wire _T_1807 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 373:57] + wire _T_1808 = io_ldst_dual_r & _T_1807; // @[lsu_bus_buffer.scala 373:45] + wire _T_1809 = _T_1806 | _T_1808; // @[lsu_bus_buffer.scala 373:27] + wire _T_1810 = io_lsu_busreq_r & _T_1809; // @[lsu_bus_buffer.scala 372:58] + wire _T_1811 = _T_1805 | _T_1810; // @[lsu_bus_buffer.scala 372:39] + wire _T_1812 = ~_T_1811; // @[lsu_bus_buffer.scala 372:5] + wire _T_1813 = _T_1803 & _T_1812; // @[lsu_bus_buffer.scala 371:76] + wire _T_1814 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 371:65] + wire _T_1815 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 372:30] + wire _T_1816 = ibuf_valid & _T_1815; // @[lsu_bus_buffer.scala 372:19] + wire _T_1817 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 373:18] + wire _T_1818 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 373:57] + wire _T_1819 = io_ldst_dual_r & _T_1818; // @[lsu_bus_buffer.scala 373:45] + wire _T_1820 = _T_1817 | _T_1819; // @[lsu_bus_buffer.scala 373:27] + wire _T_1821 = io_lsu_busreq_r & _T_1820; // @[lsu_bus_buffer.scala 372:58] + wire _T_1822 = _T_1816 | _T_1821; // @[lsu_bus_buffer.scala 372:39] + wire _T_1823 = ~_T_1822; // @[lsu_bus_buffer.scala 372:5] + wire _T_1824 = _T_1814 & _T_1823; // @[lsu_bus_buffer.scala 371:76] + wire _T_1825 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 371:65] + wire _T_1826 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 372:30] + wire _T_1828 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 373:18] + wire _T_1829 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 373:57] wire [1:0] _T_1837 = _T_1824 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] wire [1:0] _T_1838 = _T_1813 ? 2'h1 : _T_1837; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1802 ? 2'h0 : _T_1838; // @[Mux.scala 98:16] - wire _T_1843 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 376:33] - wire _T_1844 = io_lsu_busreq_m & _T_1843; // @[lsu_bus_buffer.scala 376:22] - wire _T_1845 = _T_1794 | _T_1844; // @[lsu_bus_buffer.scala 375:112] - wire _T_1851 = _T_1845 | _T_1799; // @[lsu_bus_buffer.scala 376:42] - wire _T_1852 = ~_T_1851; // @[lsu_bus_buffer.scala 375:78] - wire _T_1853 = _T_1792 & _T_1852; // @[lsu_bus_buffer.scala 375:76] - wire _T_1857 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 376:33] - wire _T_1858 = io_lsu_busreq_m & _T_1857; // @[lsu_bus_buffer.scala 376:22] - wire _T_1859 = _T_1805 | _T_1858; // @[lsu_bus_buffer.scala 375:112] - wire _T_1865 = _T_1859 | _T_1810; // @[lsu_bus_buffer.scala 376:42] - wire _T_1866 = ~_T_1865; // @[lsu_bus_buffer.scala 375:78] - wire _T_1867 = _T_1803 & _T_1866; // @[lsu_bus_buffer.scala 375:76] - wire _T_1871 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 376:33] - wire _T_1872 = io_lsu_busreq_m & _T_1871; // @[lsu_bus_buffer.scala 376:22] - wire _T_1873 = _T_1816 | _T_1872; // @[lsu_bus_buffer.scala 375:112] - wire _T_1879 = _T_1873 | _T_1821; // @[lsu_bus_buffer.scala 376:42] - wire _T_1880 = ~_T_1879; // @[lsu_bus_buffer.scala 375:78] - wire _T_1881 = _T_1814 & _T_1880; // @[lsu_bus_buffer.scala 375:76] - reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 514:63] - wire _T_2717 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 419:102] - wire _T_2718 = buf_rspageQ_0[3] & _T_2717; // @[lsu_bus_buffer.scala 419:87] - wire _T_2714 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 419:102] - wire _T_2715 = buf_rspageQ_0[2] & _T_2714; // @[lsu_bus_buffer.scala 419:87] - wire _T_2711 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 419:102] - wire _T_2712 = buf_rspageQ_0[1] & _T_2711; // @[lsu_bus_buffer.scala 419:87] - wire _T_2708 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 419:102] - wire _T_2709 = buf_rspageQ_0[0] & _T_2708; // @[lsu_bus_buffer.scala 419:87] + wire _T_1843 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 378:33] + wire _T_1844 = io_lsu_busreq_m & _T_1843; // @[lsu_bus_buffer.scala 378:22] + wire _T_1845 = _T_1794 | _T_1844; // @[lsu_bus_buffer.scala 377:112] + wire _T_1851 = _T_1845 | _T_1799; // @[lsu_bus_buffer.scala 378:42] + wire _T_1852 = ~_T_1851; // @[lsu_bus_buffer.scala 377:78] + wire _T_1853 = _T_1792 & _T_1852; // @[lsu_bus_buffer.scala 377:76] + wire _T_1857 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 378:33] + wire _T_1858 = io_lsu_busreq_m & _T_1857; // @[lsu_bus_buffer.scala 378:22] + wire _T_1859 = _T_1805 | _T_1858; // @[lsu_bus_buffer.scala 377:112] + wire _T_1865 = _T_1859 | _T_1810; // @[lsu_bus_buffer.scala 378:42] + wire _T_1866 = ~_T_1865; // @[lsu_bus_buffer.scala 377:78] + wire _T_1867 = _T_1803 & _T_1866; // @[lsu_bus_buffer.scala 377:76] + wire _T_1871 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 378:33] + wire _T_1872 = io_lsu_busreq_m & _T_1871; // @[lsu_bus_buffer.scala 378:22] + wire _T_1873 = _T_1816 | _T_1872; // @[lsu_bus_buffer.scala 377:112] + wire _T_1879 = _T_1873 | _T_1821; // @[lsu_bus_buffer.scala 378:42] + wire _T_1880 = ~_T_1879; // @[lsu_bus_buffer.scala 377:78] + wire _T_1881 = _T_1814 & _T_1880; // @[lsu_bus_buffer.scala 377:76] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 516:63] + wire _T_2717 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 421:102] + wire _T_2718 = buf_rspageQ_0[3] & _T_2717; // @[lsu_bus_buffer.scala 421:87] + wire _T_2714 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 421:102] + wire _T_2715 = buf_rspageQ_0[2] & _T_2714; // @[lsu_bus_buffer.scala 421:87] + wire _T_2711 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 421:102] + wire _T_2712 = buf_rspageQ_0[1] & _T_2711; // @[lsu_bus_buffer.scala 421:87] + wire _T_2708 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 421:102] + wire _T_2709 = buf_rspageQ_0[0] & _T_2708; // @[lsu_bus_buffer.scala 421:87] wire [3:0] buf_rsp_pickage_0 = {_T_2718,_T_2715,_T_2712,_T_2709}; // @[Cat.scala 29:58] - wire _T_1972 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 387:65] - wire _T_1973 = ~_T_1972; // @[lsu_bus_buffer.scala 387:44] - wire _T_1975 = _T_1973 & _T_2708; // @[lsu_bus_buffer.scala 387:70] - reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 514:63] - wire _T_2733 = buf_rspageQ_1[3] & _T_2717; // @[lsu_bus_buffer.scala 419:87] - wire _T_2730 = buf_rspageQ_1[2] & _T_2714; // @[lsu_bus_buffer.scala 419:87] - wire _T_2727 = buf_rspageQ_1[1] & _T_2711; // @[lsu_bus_buffer.scala 419:87] - wire _T_2724 = buf_rspageQ_1[0] & _T_2708; // @[lsu_bus_buffer.scala 419:87] + wire _T_1972 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 389:65] + wire _T_1973 = ~_T_1972; // @[lsu_bus_buffer.scala 389:44] + wire _T_1975 = _T_1973 & _T_2708; // @[lsu_bus_buffer.scala 389:70] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 516:63] + wire _T_2733 = buf_rspageQ_1[3] & _T_2717; // @[lsu_bus_buffer.scala 421:87] + wire _T_2730 = buf_rspageQ_1[2] & _T_2714; // @[lsu_bus_buffer.scala 421:87] + wire _T_2727 = buf_rspageQ_1[1] & _T_2711; // @[lsu_bus_buffer.scala 421:87] + wire _T_2724 = buf_rspageQ_1[0] & _T_2708; // @[lsu_bus_buffer.scala 421:87] wire [3:0] buf_rsp_pickage_1 = {_T_2733,_T_2730,_T_2727,_T_2724}; // @[Cat.scala 29:58] - wire _T_1976 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 387:65] - wire _T_1977 = ~_T_1976; // @[lsu_bus_buffer.scala 387:44] - wire _T_1979 = _T_1977 & _T_2711; // @[lsu_bus_buffer.scala 387:70] - reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 514:63] - wire _T_2748 = buf_rspageQ_2[3] & _T_2717; // @[lsu_bus_buffer.scala 419:87] - wire _T_2745 = buf_rspageQ_2[2] & _T_2714; // @[lsu_bus_buffer.scala 419:87] - wire _T_2742 = buf_rspageQ_2[1] & _T_2711; // @[lsu_bus_buffer.scala 419:87] - wire _T_2739 = buf_rspageQ_2[0] & _T_2708; // @[lsu_bus_buffer.scala 419:87] + wire _T_1976 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 389:65] + wire _T_1977 = ~_T_1976; // @[lsu_bus_buffer.scala 389:44] + wire _T_1979 = _T_1977 & _T_2711; // @[lsu_bus_buffer.scala 389:70] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 516:63] + wire _T_2748 = buf_rspageQ_2[3] & _T_2717; // @[lsu_bus_buffer.scala 421:87] + wire _T_2745 = buf_rspageQ_2[2] & _T_2714; // @[lsu_bus_buffer.scala 421:87] + wire _T_2742 = buf_rspageQ_2[1] & _T_2711; // @[lsu_bus_buffer.scala 421:87] + wire _T_2739 = buf_rspageQ_2[0] & _T_2708; // @[lsu_bus_buffer.scala 421:87] wire [3:0] buf_rsp_pickage_2 = {_T_2748,_T_2745,_T_2742,_T_2739}; // @[Cat.scala 29:58] - wire _T_1980 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 387:65] - wire _T_1981 = ~_T_1980; // @[lsu_bus_buffer.scala 387:44] - wire _T_1983 = _T_1981 & _T_2714; // @[lsu_bus_buffer.scala 387:70] - reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 514:63] - wire _T_2763 = buf_rspageQ_3[3] & _T_2717; // @[lsu_bus_buffer.scala 419:87] - wire _T_2760 = buf_rspageQ_3[2] & _T_2714; // @[lsu_bus_buffer.scala 419:87] - wire _T_2757 = buf_rspageQ_3[1] & _T_2711; // @[lsu_bus_buffer.scala 419:87] - wire _T_2754 = buf_rspageQ_3[0] & _T_2708; // @[lsu_bus_buffer.scala 419:87] + wire _T_1980 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 389:65] + wire _T_1981 = ~_T_1980; // @[lsu_bus_buffer.scala 389:44] + wire _T_1983 = _T_1981 & _T_2714; // @[lsu_bus_buffer.scala 389:70] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 516:63] + wire _T_2763 = buf_rspageQ_3[3] & _T_2717; // @[lsu_bus_buffer.scala 421:87] + wire _T_2760 = buf_rspageQ_3[2] & _T_2714; // @[lsu_bus_buffer.scala 421:87] + wire _T_2757 = buf_rspageQ_3[1] & _T_2711; // @[lsu_bus_buffer.scala 421:87] + wire _T_2754 = buf_rspageQ_3[0] & _T_2708; // @[lsu_bus_buffer.scala 421:87] wire [3:0] buf_rsp_pickage_3 = {_T_2763,_T_2760,_T_2757,_T_2754}; // @[Cat.scala 29:58] - wire _T_1984 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 387:65] - wire _T_1985 = ~_T_1984; // @[lsu_bus_buffer.scala 387:44] - wire _T_1987 = _T_1985 & _T_2717; // @[lsu_bus_buffer.scala 387:70] + wire _T_1984 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 389:65] + wire _T_1985 = ~_T_1984; // @[lsu_bus_buffer.scala 389:44] + wire _T_1987 = _T_1985 & _T_2717; // @[lsu_bus_buffer.scala 389:70] wire [7:0] _T_2043 = {4'h0,_T_1987,_T_1983,_T_1979,_T_1975}; // @[Cat.scala 29:58] - wire _T_2046 = _T_2043[4] | _T_2043[5]; // @[lsu_bus_buffer.scala 391:42] - wire _T_2048 = _T_2046 | _T_2043[6]; // @[lsu_bus_buffer.scala 391:48] - wire _T_2050 = _T_2048 | _T_2043[7]; // @[lsu_bus_buffer.scala 391:54] - wire _T_2053 = _T_2043[2] | _T_2043[3]; // @[lsu_bus_buffer.scala 391:67] - wire _T_2055 = _T_2053 | _T_2043[6]; // @[lsu_bus_buffer.scala 391:73] - wire _T_2057 = _T_2055 | _T_2043[7]; // @[lsu_bus_buffer.scala 391:79] - wire _T_2060 = _T_2043[1] | _T_2043[3]; // @[lsu_bus_buffer.scala 391:92] - wire _T_2062 = _T_2060 | _T_2043[5]; // @[lsu_bus_buffer.scala 391:98] - wire _T_2064 = _T_2062 | _T_2043[7]; // @[lsu_bus_buffer.scala 391:104] + wire _T_2046 = _T_2043[4] | _T_2043[5]; // @[lsu_bus_buffer.scala 393:42] + wire _T_2048 = _T_2046 | _T_2043[6]; // @[lsu_bus_buffer.scala 393:48] + wire _T_2050 = _T_2048 | _T_2043[7]; // @[lsu_bus_buffer.scala 393:54] + wire _T_2053 = _T_2043[2] | _T_2043[3]; // @[lsu_bus_buffer.scala 393:67] + wire _T_2055 = _T_2053 | _T_2043[6]; // @[lsu_bus_buffer.scala 393:73] + wire _T_2057 = _T_2055 | _T_2043[7]; // @[lsu_bus_buffer.scala 393:79] + wire _T_2060 = _T_2043[1] | _T_2043[3]; // @[lsu_bus_buffer.scala 393:92] + wire _T_2062 = _T_2060 | _T_2043[5]; // @[lsu_bus_buffer.scala 393:98] + wire _T_2064 = _T_2062 | _T_2043[7]; // @[lsu_bus_buffer.scala 393:104] wire [2:0] _T_2066 = {_T_2050,_T_2057,_T_2064}; // @[Cat.scala 29:58] - wire _T_3535 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 445:77] - wire _T_3536 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 445:97] - wire _T_3537 = _T_3535 & _T_3536; // @[lsu_bus_buffer.scala 445:95] - wire _T_3538 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 445:117] - wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 445:112] - wire _T_3540 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 445:144] - wire _T_3541 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 445:166] - wire _T_3542 = _T_3540 & _T_3541; // @[lsu_bus_buffer.scala 445:161] - wire _T_3543 = _T_3539 | _T_3542; // @[lsu_bus_buffer.scala 445:132] - wire _T_3544 = _T_853 & _T_3543; // @[lsu_bus_buffer.scala 445:63] - wire _T_3545 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 445:206] - wire _T_3546 = ibuf_drain_vld & _T_3545; // @[lsu_bus_buffer.scala 445:201] - wire _T_3547 = _T_3544 | _T_3546; // @[lsu_bus_buffer.scala 445:183] - wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 572:39] - wire _T_3636 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 475:73] - wire _T_3637 = bus_rsp_write & _T_3636; // @[lsu_bus_buffer.scala 475:52] + wire _T_3535 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 447:77] + wire _T_3536 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 447:97] + wire _T_3537 = _T_3535 & _T_3536; // @[lsu_bus_buffer.scala 447:95] + wire _T_3538 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 447:117] + wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 447:112] + wire _T_3540 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 447:144] + wire _T_3541 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 447:166] + wire _T_3542 = _T_3540 & _T_3541; // @[lsu_bus_buffer.scala 447:161] + wire _T_3543 = _T_3539 | _T_3542; // @[lsu_bus_buffer.scala 447:132] + wire _T_3544 = _T_853 & _T_3543; // @[lsu_bus_buffer.scala 447:63] + wire _T_3545 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 447:206] + wire _T_3546 = ibuf_drain_vld & _T_3545; // @[lsu_bus_buffer.scala 447:201] + wire _T_3547 = _T_3544 | _T_3546; // @[lsu_bus_buffer.scala 447:183] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 574:39] + wire _T_3636 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 477:73] + wire _T_3637 = bus_rsp_write & _T_3636; // @[lsu_bus_buffer.scala 477:52] reg _T_4302; // @[Reg.scala 27:20] reg _T_4300; // @[Reg.scala 27:20] reg _T_4298; // @[Reg.scala 27:20] reg _T_4296; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4302,_T_4300,_T_4298,_T_4296}; // @[Cat.scala 29:58] - wire _T_3641 = buf_ldfwd[0] & _T_1349; // @[lsu_bus_buffer.scala 477:27] - wire _T_3642 = _T_1349 | _T_3641; // @[lsu_bus_buffer.scala 476:77] - wire _T_3643 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 478:26] - wire _T_3645 = ~buf_write[0]; // @[lsu_bus_buffer.scala 478:44] - wire _T_3646 = _T_3643 & _T_3645; // @[lsu_bus_buffer.scala 478:42] - wire _T_3647 = _T_3646 & buf_samedw_0; // @[lsu_bus_buffer.scala 478:58] + wire _T_3641 = buf_ldfwd[0] & _T_1349; // @[lsu_bus_buffer.scala 479:27] + wire _T_3642 = _T_1349 | _T_3641; // @[lsu_bus_buffer.scala 478:77] + wire _T_3643 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 480:26] + wire _T_3645 = ~buf_write[0]; // @[lsu_bus_buffer.scala 480:44] + wire _T_3646 = _T_3643 & _T_3645; // @[lsu_bus_buffer.scala 480:42] + wire _T_3647 = _T_3646 & buf_samedw_0; // @[lsu_bus_buffer.scala 480:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_382 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 478:94] - wire _T_3648 = io_lsu_axi_r_bits_id == _GEN_382; // @[lsu_bus_buffer.scala 478:94] - wire _T_3649 = _T_3647 & _T_3648; // @[lsu_bus_buffer.scala 478:74] - wire _T_3650 = _T_3642 | _T_3649; // @[lsu_bus_buffer.scala 477:71] - wire _T_3651 = bus_rsp_read & _T_3650; // @[lsu_bus_buffer.scala 476:25] - wire _T_3652 = _T_3637 | _T_3651; // @[lsu_bus_buffer.scala 475:105] + wire [2:0] _GEN_382 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 480:94] + wire _T_3648 = io_lsu_axi_r_bits_id == _GEN_382; // @[lsu_bus_buffer.scala 480:94] + wire _T_3649 = _T_3647 & _T_3648; // @[lsu_bus_buffer.scala 480:74] + wire _T_3650 = _T_3642 | _T_3649; // @[lsu_bus_buffer.scala 479:71] + wire _T_3651 = bus_rsp_read & _T_3650; // @[lsu_bus_buffer.scala 478:25] + wire _T_3652 = _T_3637 | _T_3651; // @[lsu_bus_buffer.scala 477:105] wire _GEN_52 = _T_3592 & _T_3652; // @[Conditional.scala 39:67] wire _GEN_72 = _T_3558 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire _GEN_84 = _T_3554 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] - wire [3:0] _T_3687 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 492:21] - wire _T_3690 = _T_3687[0] & _T_1349; // @[lsu_bus_buffer.scala 492:38] - wire _T_3691 = _T_3648 | _T_3690; // @[lsu_bus_buffer.scala 491:95] - wire _T_3692 = bus_rsp_read & _T_3691; // @[lsu_bus_buffer.scala 491:45] + wire [3:0] _T_3687 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 494:21] + wire _T_3690 = _T_3687[0] & _T_1349; // @[lsu_bus_buffer.scala 494:38] + wire _T_3691 = _T_3648 | _T_3690; // @[lsu_bus_buffer.scala 493:95] + wire _T_3692 = bus_rsp_read & _T_3691; // @[lsu_bus_buffer.scala 493:45] wire _GEN_46 = _T_3677 & _T_3692; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3592 ? buf_resp_state_bus_en_0 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_63 = _T_3558 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire _GEN_77 = _T_3554 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_77; // @[Conditional.scala 40:58] - wire _T_3571 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 462:49] - wire _T_3572 = _T_3571 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 462:70] - wire [1:0] RspPtr = _T_2066[1:0]; // @[lsu_bus_buffer.scala 399:10] - wire _T_3698 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 499:37] - wire _T_3699 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 499:98] - wire _T_3700 = buf_dual_0 & _T_3699; // @[lsu_bus_buffer.scala 499:80] - wire _T_3701 = _T_3698 | _T_3700; // @[lsu_bus_buffer.scala 499:65] - wire _T_3702 = _T_3701 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 499:112] + wire _T_3571 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 464:49] + wire _T_3572 = _T_3571 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 464:70] + wire [1:0] RspPtr = _T_2066[1:0]; // @[lsu_bus_buffer.scala 401:10] + wire _T_3698 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 501:37] + wire _T_3699 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 501:98] + wire _T_3700 = buf_dual_0 & _T_3699; // @[lsu_bus_buffer.scala 501:80] + wire _T_3701 = _T_3698 | _T_3700; // @[lsu_bus_buffer.scala 501:65] + wire _T_3702 = _T_3701 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 501:112] wire _GEN_41 = _T_3695 ? _T_3702 : _T_3703; // @[Conditional.scala 39:67] wire _GEN_47 = _T_3677 ? _T_3572 : _GEN_41; // @[Conditional.scala 39:67] wire _GEN_54 = _T_3592 ? _T_3572 : _GEN_47; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3558 ? _T_3572 : _GEN_54; // @[Conditional.scala 39:67] wire _GEN_74 = _T_3554 ? obuf_rdrsp_pend_en : _GEN_64; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3531 ? _T_3547 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_2068 = _T_1792 & buf_state_en_0; // @[lsu_bus_buffer.scala 411:94] - wire _T_2074 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 413:23] - wire _T_2076 = _T_2074 & _T_3535; // @[lsu_bus_buffer.scala 413:41] - wire _T_2078 = _T_2076 & _T_1795; // @[lsu_bus_buffer.scala 413:71] - wire _T_2080 = _T_2078 & _T_1793; // @[lsu_bus_buffer.scala 413:92] - wire _T_2081 = _T_4466 | _T_2080; // @[lsu_bus_buffer.scala 412:86] - wire _T_2082 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 414:17] - wire _T_2083 = _T_2082 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 414:35] - wire _T_2085 = _T_2083 & _T_1796; // @[lsu_bus_buffer.scala 414:52] - wire _T_2087 = _T_2085 & _T_1795; // @[lsu_bus_buffer.scala 414:73] - wire _T_2088 = _T_2081 | _T_2087; // @[lsu_bus_buffer.scala 413:114] - wire _T_2089 = _T_2068 & _T_2088; // @[lsu_bus_buffer.scala 411:113] - wire _T_2091 = _T_2089 | buf_age_0[0]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2105 = _T_2078 & _T_1804; // @[lsu_bus_buffer.scala 413:92] - wire _T_2106 = _T_4471 | _T_2105; // @[lsu_bus_buffer.scala 412:86] - wire _T_2112 = _T_2085 & _T_1806; // @[lsu_bus_buffer.scala 414:73] - wire _T_2113 = _T_2106 | _T_2112; // @[lsu_bus_buffer.scala 413:114] - wire _T_2114 = _T_2068 & _T_2113; // @[lsu_bus_buffer.scala 411:113] - wire _T_2116 = _T_2114 | buf_age_0[1]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2130 = _T_2078 & _T_1815; // @[lsu_bus_buffer.scala 413:92] - wire _T_2131 = _T_4476 | _T_2130; // @[lsu_bus_buffer.scala 412:86] - wire _T_2137 = _T_2085 & _T_1817; // @[lsu_bus_buffer.scala 414:73] - wire _T_2138 = _T_2131 | _T_2137; // @[lsu_bus_buffer.scala 413:114] - wire _T_2139 = _T_2068 & _T_2138; // @[lsu_bus_buffer.scala 411:113] - wire _T_2141 = _T_2139 | buf_age_0[2]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2155 = _T_2078 & _T_1826; // @[lsu_bus_buffer.scala 413:92] - wire _T_2156 = _T_4481 | _T_2155; // @[lsu_bus_buffer.scala 412:86] - wire _T_2162 = _T_2085 & _T_1828; // @[lsu_bus_buffer.scala 414:73] - wire _T_2163 = _T_2156 | _T_2162; // @[lsu_bus_buffer.scala 413:114] - wire _T_2164 = _T_2068 & _T_2163; // @[lsu_bus_buffer.scala 411:113] - wire _T_2166 = _T_2164 | buf_age_0[3]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2068 = _T_1792 & buf_state_en_0; // @[lsu_bus_buffer.scala 413:94] + wire _T_2074 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 415:23] + wire _T_2076 = _T_2074 & _T_3535; // @[lsu_bus_buffer.scala 415:41] + wire _T_2078 = _T_2076 & _T_1795; // @[lsu_bus_buffer.scala 415:71] + wire _T_2080 = _T_2078 & _T_1793; // @[lsu_bus_buffer.scala 415:92] + wire _T_2081 = _T_4466 | _T_2080; // @[lsu_bus_buffer.scala 414:86] + wire _T_2082 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 416:17] + wire _T_2083 = _T_2082 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 416:35] + wire _T_2085 = _T_2083 & _T_1796; // @[lsu_bus_buffer.scala 416:52] + wire _T_2087 = _T_2085 & _T_1795; // @[lsu_bus_buffer.scala 416:73] + wire _T_2088 = _T_2081 | _T_2087; // @[lsu_bus_buffer.scala 415:114] + wire _T_2089 = _T_2068 & _T_2088; // @[lsu_bus_buffer.scala 413:113] + wire _T_2091 = _T_2089 | buf_age_0[0]; // @[lsu_bus_buffer.scala 416:97] + wire _T_2105 = _T_2078 & _T_1804; // @[lsu_bus_buffer.scala 415:92] + wire _T_2106 = _T_4471 | _T_2105; // @[lsu_bus_buffer.scala 414:86] + wire _T_2112 = _T_2085 & _T_1806; // @[lsu_bus_buffer.scala 416:73] + wire _T_2113 = _T_2106 | _T_2112; // @[lsu_bus_buffer.scala 415:114] + wire _T_2114 = _T_2068 & _T_2113; // @[lsu_bus_buffer.scala 413:113] + wire _T_2116 = _T_2114 | buf_age_0[1]; // @[lsu_bus_buffer.scala 416:97] + wire _T_2130 = _T_2078 & _T_1815; // @[lsu_bus_buffer.scala 415:92] + wire _T_2131 = _T_4476 | _T_2130; // @[lsu_bus_buffer.scala 414:86] + wire _T_2137 = _T_2085 & _T_1817; // @[lsu_bus_buffer.scala 416:73] + wire _T_2138 = _T_2131 | _T_2137; // @[lsu_bus_buffer.scala 415:114] + wire _T_2139 = _T_2068 & _T_2138; // @[lsu_bus_buffer.scala 413:113] + wire _T_2141 = _T_2139 | buf_age_0[2]; // @[lsu_bus_buffer.scala 416:97] + wire _T_2155 = _T_2078 & _T_1826; // @[lsu_bus_buffer.scala 415:92] + wire _T_2156 = _T_4481 | _T_2155; // @[lsu_bus_buffer.scala 414:86] + wire _T_2162 = _T_2085 & _T_1828; // @[lsu_bus_buffer.scala 416:73] + wire _T_2163 = _T_2156 | _T_2162; // @[lsu_bus_buffer.scala 415:114] + wire _T_2164 = _T_2068 & _T_2163; // @[lsu_bus_buffer.scala 413:113] + wire _T_2166 = _T_2164 | buf_age_0[3]; // @[lsu_bus_buffer.scala 416:97] wire [2:0] _T_2168 = {_T_2166,_T_2141,_T_2116}; // @[Cat.scala 29:58] - wire _T_3729 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 445:117] - wire _T_3730 = _T_3537 & _T_3729; // @[lsu_bus_buffer.scala 445:112] - wire _T_3732 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 445:166] - wire _T_3733 = _T_3540 & _T_3732; // @[lsu_bus_buffer.scala 445:161] - wire _T_3734 = _T_3730 | _T_3733; // @[lsu_bus_buffer.scala 445:132] - wire _T_3735 = _T_853 & _T_3734; // @[lsu_bus_buffer.scala 445:63] - wire _T_3736 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 445:206] - wire _T_3737 = ibuf_drain_vld & _T_3736; // @[lsu_bus_buffer.scala 445:201] - wire _T_3738 = _T_3735 | _T_3737; // @[lsu_bus_buffer.scala 445:183] - wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 475:73] - wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 475:52] - wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 476:46] - wire _T_3832 = buf_ldfwd[1] & _T_1349; // @[lsu_bus_buffer.scala 477:27] - wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 476:77] - wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 478:26] - wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 478:44] - wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 478:42] - wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 478:58] + wire _T_3729 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 447:117] + wire _T_3730 = _T_3537 & _T_3729; // @[lsu_bus_buffer.scala 447:112] + wire _T_3732 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 447:166] + wire _T_3733 = _T_3540 & _T_3732; // @[lsu_bus_buffer.scala 447:161] + wire _T_3734 = _T_3730 | _T_3733; // @[lsu_bus_buffer.scala 447:132] + wire _T_3735 = _T_853 & _T_3734; // @[lsu_bus_buffer.scala 447:63] + wire _T_3736 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 447:206] + wire _T_3737 = ibuf_drain_vld & _T_3736; // @[lsu_bus_buffer.scala 447:201] + wire _T_3738 = _T_3735 | _T_3737; // @[lsu_bus_buffer.scala 447:183] + wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 477:73] + wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 477:52] + wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 478:46] + wire _T_3832 = buf_ldfwd[1] & _T_1349; // @[lsu_bus_buffer.scala 479:27] + wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 478:77] + wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 480:26] + wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 480:44] + wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 480:42] + wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 480:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 478:94] - wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_384; // @[lsu_bus_buffer.scala 478:94] - wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 478:74] - wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 477:71] - wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 476:25] - wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 475:105] + wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 480:94] + wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_384; // @[lsu_bus_buffer.scala 480:94] + wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 480:74] + wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 479:71] + wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 478:25] + wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 477:105] wire _GEN_128 = _T_3783 & _T_3843; // @[Conditional.scala 39:67] wire _GEN_148 = _T_3749 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire _GEN_160 = _T_3745 ? 1'h0 : _GEN_148; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_160; // @[Conditional.scala 40:58] - wire [3:0] _T_3878 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 492:21] - wire _T_3881 = _T_3878[0] & _T_1349; // @[lsu_bus_buffer.scala 492:38] - wire _T_3882 = _T_3839 | _T_3881; // @[lsu_bus_buffer.scala 491:95] - wire _T_3883 = bus_rsp_read & _T_3882; // @[lsu_bus_buffer.scala 491:45] + wire [3:0] _T_3878 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 494:21] + wire _T_3881 = _T_3878[0] & _T_1349; // @[lsu_bus_buffer.scala 494:38] + wire _T_3882 = _T_3839 | _T_3881; // @[lsu_bus_buffer.scala 493:95] + wire _T_3883 = bus_rsp_read & _T_3882; // @[lsu_bus_buffer.scala 493:45] wire _GEN_122 = _T_3868 & _T_3883; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3783 ? buf_resp_state_bus_en_1 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_139 = _T_3749 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire _GEN_153 = _T_3745 ? 1'h0 : _GEN_139; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_153; // @[Conditional.scala 40:58] - wire _T_3762 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 462:49] - wire _T_3763 = _T_3762 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 462:70] - wire _T_3889 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 499:37] - wire _T_3890 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 499:98] - wire _T_3891 = buf_dual_1 & _T_3890; // @[lsu_bus_buffer.scala 499:80] - wire _T_3892 = _T_3889 | _T_3891; // @[lsu_bus_buffer.scala 499:65] - wire _T_3893 = _T_3892 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 499:112] + wire _T_3762 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 464:49] + wire _T_3763 = _T_3762 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 464:70] + wire _T_3889 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 501:37] + wire _T_3890 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 501:98] + wire _T_3891 = buf_dual_1 & _T_3890; // @[lsu_bus_buffer.scala 501:80] + wire _T_3892 = _T_3889 | _T_3891; // @[lsu_bus_buffer.scala 501:65] + wire _T_3893 = _T_3892 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 501:112] wire _GEN_117 = _T_3886 ? _T_3893 : _T_3894; // @[Conditional.scala 39:67] wire _GEN_123 = _T_3868 ? _T_3763 : _GEN_117; // @[Conditional.scala 39:67] wire _GEN_130 = _T_3783 ? _T_3763 : _GEN_123; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3749 ? _T_3763 : _GEN_130; // @[Conditional.scala 39:67] wire _GEN_150 = _T_3745 ? obuf_rdrsp_pend_en : _GEN_140; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3722 ? _T_3738 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_2170 = _T_1803 & buf_state_en_1; // @[lsu_bus_buffer.scala 411:94] - wire _T_2180 = _T_2076 & _T_1806; // @[lsu_bus_buffer.scala 413:71] - wire _T_2182 = _T_2180 & _T_1793; // @[lsu_bus_buffer.scala 413:92] - wire _T_2183 = _T_4466 | _T_2182; // @[lsu_bus_buffer.scala 412:86] - wire _T_2187 = _T_2083 & _T_1807; // @[lsu_bus_buffer.scala 414:52] - wire _T_2189 = _T_2187 & _T_1795; // @[lsu_bus_buffer.scala 414:73] - wire _T_2190 = _T_2183 | _T_2189; // @[lsu_bus_buffer.scala 413:114] - wire _T_2191 = _T_2170 & _T_2190; // @[lsu_bus_buffer.scala 411:113] - wire _T_2193 = _T_2191 | buf_age_1[0]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2207 = _T_2180 & _T_1804; // @[lsu_bus_buffer.scala 413:92] - wire _T_2208 = _T_4471 | _T_2207; // @[lsu_bus_buffer.scala 412:86] - wire _T_2214 = _T_2187 & _T_1806; // @[lsu_bus_buffer.scala 414:73] - wire _T_2215 = _T_2208 | _T_2214; // @[lsu_bus_buffer.scala 413:114] - wire _T_2216 = _T_2170 & _T_2215; // @[lsu_bus_buffer.scala 411:113] - wire _T_2218 = _T_2216 | buf_age_1[1]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2232 = _T_2180 & _T_1815; // @[lsu_bus_buffer.scala 413:92] - wire _T_2233 = _T_4476 | _T_2232; // @[lsu_bus_buffer.scala 412:86] - wire _T_2239 = _T_2187 & _T_1817; // @[lsu_bus_buffer.scala 414:73] - wire _T_2240 = _T_2233 | _T_2239; // @[lsu_bus_buffer.scala 413:114] - wire _T_2241 = _T_2170 & _T_2240; // @[lsu_bus_buffer.scala 411:113] - wire _T_2243 = _T_2241 | buf_age_1[2]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2257 = _T_2180 & _T_1826; // @[lsu_bus_buffer.scala 413:92] - wire _T_2258 = _T_4481 | _T_2257; // @[lsu_bus_buffer.scala 412:86] - wire _T_2264 = _T_2187 & _T_1828; // @[lsu_bus_buffer.scala 414:73] - wire _T_2265 = _T_2258 | _T_2264; // @[lsu_bus_buffer.scala 413:114] - wire _T_2266 = _T_2170 & _T_2265; // @[lsu_bus_buffer.scala 411:113] - wire _T_2268 = _T_2266 | buf_age_1[3]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2170 = _T_1803 & buf_state_en_1; // @[lsu_bus_buffer.scala 413:94] + wire _T_2180 = _T_2076 & _T_1806; // @[lsu_bus_buffer.scala 415:71] + wire _T_2182 = _T_2180 & _T_1793; // @[lsu_bus_buffer.scala 415:92] + wire _T_2183 = _T_4466 | _T_2182; // @[lsu_bus_buffer.scala 414:86] + wire _T_2187 = _T_2083 & _T_1807; // @[lsu_bus_buffer.scala 416:52] + wire _T_2189 = _T_2187 & _T_1795; // @[lsu_bus_buffer.scala 416:73] + wire _T_2190 = _T_2183 | _T_2189; // @[lsu_bus_buffer.scala 415:114] + wire _T_2191 = _T_2170 & _T_2190; // @[lsu_bus_buffer.scala 413:113] + wire _T_2193 = _T_2191 | buf_age_1[0]; // @[lsu_bus_buffer.scala 416:97] + wire _T_2207 = _T_2180 & _T_1804; // @[lsu_bus_buffer.scala 415:92] + wire _T_2208 = _T_4471 | _T_2207; // @[lsu_bus_buffer.scala 414:86] + wire _T_2214 = _T_2187 & _T_1806; // @[lsu_bus_buffer.scala 416:73] + wire _T_2215 = _T_2208 | _T_2214; // @[lsu_bus_buffer.scala 415:114] + wire _T_2216 = _T_2170 & _T_2215; // @[lsu_bus_buffer.scala 413:113] + wire _T_2218 = _T_2216 | buf_age_1[1]; // @[lsu_bus_buffer.scala 416:97] + wire _T_2232 = _T_2180 & _T_1815; // @[lsu_bus_buffer.scala 415:92] + wire _T_2233 = _T_4476 | _T_2232; // @[lsu_bus_buffer.scala 414:86] + wire _T_2239 = _T_2187 & _T_1817; // @[lsu_bus_buffer.scala 416:73] + wire _T_2240 = _T_2233 | _T_2239; // @[lsu_bus_buffer.scala 415:114] + wire _T_2241 = _T_2170 & _T_2240; // @[lsu_bus_buffer.scala 413:113] + wire _T_2243 = _T_2241 | buf_age_1[2]; // @[lsu_bus_buffer.scala 416:97] + wire _T_2257 = _T_2180 & _T_1826; // @[lsu_bus_buffer.scala 415:92] + wire _T_2258 = _T_4481 | _T_2257; // @[lsu_bus_buffer.scala 414:86] + wire _T_2264 = _T_2187 & _T_1828; // @[lsu_bus_buffer.scala 416:73] + wire _T_2265 = _T_2258 | _T_2264; // @[lsu_bus_buffer.scala 415:114] + wire _T_2266 = _T_2170 & _T_2265; // @[lsu_bus_buffer.scala 413:113] + wire _T_2268 = _T_2266 | buf_age_1[3]; // @[lsu_bus_buffer.scala 416:97] wire [2:0] _T_2270 = {_T_2268,_T_2243,_T_2218}; // @[Cat.scala 29:58] - wire _T_3920 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 445:117] - wire _T_3921 = _T_3537 & _T_3920; // @[lsu_bus_buffer.scala 445:112] - wire _T_3923 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 445:166] - wire _T_3924 = _T_3540 & _T_3923; // @[lsu_bus_buffer.scala 445:161] - wire _T_3925 = _T_3921 | _T_3924; // @[lsu_bus_buffer.scala 445:132] - wire _T_3926 = _T_853 & _T_3925; // @[lsu_bus_buffer.scala 445:63] - wire _T_3927 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 445:206] - wire _T_3928 = ibuf_drain_vld & _T_3927; // @[lsu_bus_buffer.scala 445:201] - wire _T_3929 = _T_3926 | _T_3928; // @[lsu_bus_buffer.scala 445:183] - wire _T_4018 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 475:73] - wire _T_4019 = bus_rsp_write & _T_4018; // @[lsu_bus_buffer.scala 475:52] - wire _T_4020 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 476:46] - wire _T_4023 = buf_ldfwd[2] & _T_1349; // @[lsu_bus_buffer.scala 477:27] - wire _T_4024 = _T_4020 | _T_4023; // @[lsu_bus_buffer.scala 476:77] - wire _T_4025 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 478:26] - wire _T_4027 = ~buf_write[2]; // @[lsu_bus_buffer.scala 478:44] - wire _T_4028 = _T_4025 & _T_4027; // @[lsu_bus_buffer.scala 478:42] - wire _T_4029 = _T_4028 & buf_samedw_2; // @[lsu_bus_buffer.scala 478:58] + wire _T_3920 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 447:117] + wire _T_3921 = _T_3537 & _T_3920; // @[lsu_bus_buffer.scala 447:112] + wire _T_3923 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 447:166] + wire _T_3924 = _T_3540 & _T_3923; // @[lsu_bus_buffer.scala 447:161] + wire _T_3925 = _T_3921 | _T_3924; // @[lsu_bus_buffer.scala 447:132] + wire _T_3926 = _T_853 & _T_3925; // @[lsu_bus_buffer.scala 447:63] + wire _T_3927 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 447:206] + wire _T_3928 = ibuf_drain_vld & _T_3927; // @[lsu_bus_buffer.scala 447:201] + wire _T_3929 = _T_3926 | _T_3928; // @[lsu_bus_buffer.scala 447:183] + wire _T_4018 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 477:73] + wire _T_4019 = bus_rsp_write & _T_4018; // @[lsu_bus_buffer.scala 477:52] + wire _T_4020 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 478:46] + wire _T_4023 = buf_ldfwd[2] & _T_1349; // @[lsu_bus_buffer.scala 479:27] + wire _T_4024 = _T_4020 | _T_4023; // @[lsu_bus_buffer.scala 478:77] + wire _T_4025 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 480:26] + wire _T_4027 = ~buf_write[2]; // @[lsu_bus_buffer.scala 480:44] + wire _T_4028 = _T_4025 & _T_4027; // @[lsu_bus_buffer.scala 480:42] + wire _T_4029 = _T_4028 & buf_samedw_2; // @[lsu_bus_buffer.scala 480:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_386 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 478:94] - wire _T_4030 = io_lsu_axi_r_bits_id == _GEN_386; // @[lsu_bus_buffer.scala 478:94] - wire _T_4031 = _T_4029 & _T_4030; // @[lsu_bus_buffer.scala 478:74] - wire _T_4032 = _T_4024 | _T_4031; // @[lsu_bus_buffer.scala 477:71] - wire _T_4033 = bus_rsp_read & _T_4032; // @[lsu_bus_buffer.scala 476:25] - wire _T_4034 = _T_4019 | _T_4033; // @[lsu_bus_buffer.scala 475:105] + wire [2:0] _GEN_386 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 480:94] + wire _T_4030 = io_lsu_axi_r_bits_id == _GEN_386; // @[lsu_bus_buffer.scala 480:94] + wire _T_4031 = _T_4029 & _T_4030; // @[lsu_bus_buffer.scala 480:74] + wire _T_4032 = _T_4024 | _T_4031; // @[lsu_bus_buffer.scala 479:71] + wire _T_4033 = bus_rsp_read & _T_4032; // @[lsu_bus_buffer.scala 478:25] + wire _T_4034 = _T_4019 | _T_4033; // @[lsu_bus_buffer.scala 477:105] wire _GEN_204 = _T_3974 & _T_4034; // @[Conditional.scala 39:67] wire _GEN_224 = _T_3940 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire _GEN_236 = _T_3936 ? 1'h0 : _GEN_224; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_236; // @[Conditional.scala 40:58] - wire [3:0] _T_4069 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 492:21] - wire _T_4072 = _T_4069[0] & _T_1349; // @[lsu_bus_buffer.scala 492:38] - wire _T_4073 = _T_4030 | _T_4072; // @[lsu_bus_buffer.scala 491:95] - wire _T_4074 = bus_rsp_read & _T_4073; // @[lsu_bus_buffer.scala 491:45] + wire [3:0] _T_4069 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 494:21] + wire _T_4072 = _T_4069[0] & _T_1349; // @[lsu_bus_buffer.scala 494:38] + wire _T_4073 = _T_4030 | _T_4072; // @[lsu_bus_buffer.scala 493:95] + wire _T_4074 = bus_rsp_read & _T_4073; // @[lsu_bus_buffer.scala 493:45] wire _GEN_198 = _T_4059 & _T_4074; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3974 ? buf_resp_state_bus_en_2 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_215 = _T_3940 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire _GEN_229 = _T_3936 ? 1'h0 : _GEN_215; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_229; // @[Conditional.scala 40:58] - wire _T_3953 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 462:49] - wire _T_3954 = _T_3953 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 462:70] - wire _T_4080 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 499:37] - wire _T_4081 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 499:98] - wire _T_4082 = buf_dual_2 & _T_4081; // @[lsu_bus_buffer.scala 499:80] - wire _T_4083 = _T_4080 | _T_4082; // @[lsu_bus_buffer.scala 499:65] - wire _T_4084 = _T_4083 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 499:112] + wire _T_3953 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 464:49] + wire _T_3954 = _T_3953 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 464:70] + wire _T_4080 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 501:37] + wire _T_4081 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 501:98] + wire _T_4082 = buf_dual_2 & _T_4081; // @[lsu_bus_buffer.scala 501:80] + wire _T_4083 = _T_4080 | _T_4082; // @[lsu_bus_buffer.scala 501:65] + wire _T_4084 = _T_4083 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 501:112] wire _GEN_193 = _T_4077 ? _T_4084 : _T_4085; // @[Conditional.scala 39:67] wire _GEN_199 = _T_4059 ? _T_3954 : _GEN_193; // @[Conditional.scala 39:67] wire _GEN_206 = _T_3974 ? _T_3954 : _GEN_199; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3940 ? _T_3954 : _GEN_206; // @[Conditional.scala 39:67] wire _GEN_226 = _T_3936 ? obuf_rdrsp_pend_en : _GEN_216; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3913 ? _T_3929 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_2272 = _T_1814 & buf_state_en_2; // @[lsu_bus_buffer.scala 411:94] - wire _T_2282 = _T_2076 & _T_1817; // @[lsu_bus_buffer.scala 413:71] - wire _T_2284 = _T_2282 & _T_1793; // @[lsu_bus_buffer.scala 413:92] - wire _T_2285 = _T_4466 | _T_2284; // @[lsu_bus_buffer.scala 412:86] - wire _T_2289 = _T_2083 & _T_1818; // @[lsu_bus_buffer.scala 414:52] - wire _T_2291 = _T_2289 & _T_1795; // @[lsu_bus_buffer.scala 414:73] - wire _T_2292 = _T_2285 | _T_2291; // @[lsu_bus_buffer.scala 413:114] - wire _T_2293 = _T_2272 & _T_2292; // @[lsu_bus_buffer.scala 411:113] - wire _T_2295 = _T_2293 | buf_age_2[0]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2309 = _T_2282 & _T_1804; // @[lsu_bus_buffer.scala 413:92] - wire _T_2310 = _T_4471 | _T_2309; // @[lsu_bus_buffer.scala 412:86] - wire _T_2316 = _T_2289 & _T_1806; // @[lsu_bus_buffer.scala 414:73] - wire _T_2317 = _T_2310 | _T_2316; // @[lsu_bus_buffer.scala 413:114] - wire _T_2318 = _T_2272 & _T_2317; // @[lsu_bus_buffer.scala 411:113] - wire _T_2320 = _T_2318 | buf_age_2[1]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2334 = _T_2282 & _T_1815; // @[lsu_bus_buffer.scala 413:92] - wire _T_2335 = _T_4476 | _T_2334; // @[lsu_bus_buffer.scala 412:86] - wire _T_2341 = _T_2289 & _T_1817; // @[lsu_bus_buffer.scala 414:73] - wire _T_2342 = _T_2335 | _T_2341; // @[lsu_bus_buffer.scala 413:114] - wire _T_2343 = _T_2272 & _T_2342; // @[lsu_bus_buffer.scala 411:113] - wire _T_2345 = _T_2343 | buf_age_2[2]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2359 = _T_2282 & _T_1826; // @[lsu_bus_buffer.scala 413:92] - wire _T_2360 = _T_4481 | _T_2359; // @[lsu_bus_buffer.scala 412:86] - wire _T_2366 = _T_2289 & _T_1828; // @[lsu_bus_buffer.scala 414:73] - wire _T_2367 = _T_2360 | _T_2366; // @[lsu_bus_buffer.scala 413:114] - wire _T_2368 = _T_2272 & _T_2367; // @[lsu_bus_buffer.scala 411:113] - wire _T_2370 = _T_2368 | buf_age_2[3]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2272 = _T_1814 & buf_state_en_2; // @[lsu_bus_buffer.scala 413:94] + wire _T_2282 = _T_2076 & _T_1817; // @[lsu_bus_buffer.scala 415:71] + wire _T_2284 = _T_2282 & _T_1793; // @[lsu_bus_buffer.scala 415:92] + wire _T_2285 = _T_4466 | _T_2284; // @[lsu_bus_buffer.scala 414:86] + wire _T_2289 = _T_2083 & _T_1818; // @[lsu_bus_buffer.scala 416:52] + wire _T_2291 = _T_2289 & _T_1795; // @[lsu_bus_buffer.scala 416:73] + wire _T_2292 = _T_2285 | _T_2291; // @[lsu_bus_buffer.scala 415:114] + wire _T_2293 = _T_2272 & _T_2292; // @[lsu_bus_buffer.scala 413:113] + wire _T_2295 = _T_2293 | buf_age_2[0]; // @[lsu_bus_buffer.scala 416:97] + wire _T_2309 = _T_2282 & _T_1804; // @[lsu_bus_buffer.scala 415:92] + wire _T_2310 = _T_4471 | _T_2309; // @[lsu_bus_buffer.scala 414:86] + wire _T_2316 = _T_2289 & _T_1806; // @[lsu_bus_buffer.scala 416:73] + wire _T_2317 = _T_2310 | _T_2316; // @[lsu_bus_buffer.scala 415:114] + wire _T_2318 = _T_2272 & _T_2317; // @[lsu_bus_buffer.scala 413:113] + wire _T_2320 = _T_2318 | buf_age_2[1]; // @[lsu_bus_buffer.scala 416:97] + wire _T_2334 = _T_2282 & _T_1815; // @[lsu_bus_buffer.scala 415:92] + wire _T_2335 = _T_4476 | _T_2334; // @[lsu_bus_buffer.scala 414:86] + wire _T_2341 = _T_2289 & _T_1817; // @[lsu_bus_buffer.scala 416:73] + wire _T_2342 = _T_2335 | _T_2341; // @[lsu_bus_buffer.scala 415:114] + wire _T_2343 = _T_2272 & _T_2342; // @[lsu_bus_buffer.scala 413:113] + wire _T_2345 = _T_2343 | buf_age_2[2]; // @[lsu_bus_buffer.scala 416:97] + wire _T_2359 = _T_2282 & _T_1826; // @[lsu_bus_buffer.scala 415:92] + wire _T_2360 = _T_4481 | _T_2359; // @[lsu_bus_buffer.scala 414:86] + wire _T_2366 = _T_2289 & _T_1828; // @[lsu_bus_buffer.scala 416:73] + wire _T_2367 = _T_2360 | _T_2366; // @[lsu_bus_buffer.scala 415:114] + wire _T_2368 = _T_2272 & _T_2367; // @[lsu_bus_buffer.scala 413:113] + wire _T_2370 = _T_2368 | buf_age_2[3]; // @[lsu_bus_buffer.scala 416:97] wire [2:0] _T_2372 = {_T_2370,_T_2345,_T_2320}; // @[Cat.scala 29:58] - wire _T_4111 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 445:117] - wire _T_4112 = _T_3537 & _T_4111; // @[lsu_bus_buffer.scala 445:112] - wire _T_4114 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 445:166] - wire _T_4115 = _T_3540 & _T_4114; // @[lsu_bus_buffer.scala 445:161] - wire _T_4116 = _T_4112 | _T_4115; // @[lsu_bus_buffer.scala 445:132] - wire _T_4117 = _T_853 & _T_4116; // @[lsu_bus_buffer.scala 445:63] - wire _T_4118 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 445:206] - wire _T_4119 = ibuf_drain_vld & _T_4118; // @[lsu_bus_buffer.scala 445:201] - wire _T_4120 = _T_4117 | _T_4119; // @[lsu_bus_buffer.scala 445:183] - wire _T_4209 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 475:73] - wire _T_4210 = bus_rsp_write & _T_4209; // @[lsu_bus_buffer.scala 475:52] - wire _T_4211 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 476:46] - wire _T_4214 = buf_ldfwd[3] & _T_1349; // @[lsu_bus_buffer.scala 477:27] - wire _T_4215 = _T_4211 | _T_4214; // @[lsu_bus_buffer.scala 476:77] - wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 478:26] - wire _T_4218 = ~buf_write[3]; // @[lsu_bus_buffer.scala 478:44] - wire _T_4219 = _T_4216 & _T_4218; // @[lsu_bus_buffer.scala 478:42] - wire _T_4220 = _T_4219 & buf_samedw_3; // @[lsu_bus_buffer.scala 478:58] + wire _T_4111 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 447:117] + wire _T_4112 = _T_3537 & _T_4111; // @[lsu_bus_buffer.scala 447:112] + wire _T_4114 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 447:166] + wire _T_4115 = _T_3540 & _T_4114; // @[lsu_bus_buffer.scala 447:161] + wire _T_4116 = _T_4112 | _T_4115; // @[lsu_bus_buffer.scala 447:132] + wire _T_4117 = _T_853 & _T_4116; // @[lsu_bus_buffer.scala 447:63] + wire _T_4118 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 447:206] + wire _T_4119 = ibuf_drain_vld & _T_4118; // @[lsu_bus_buffer.scala 447:201] + wire _T_4120 = _T_4117 | _T_4119; // @[lsu_bus_buffer.scala 447:183] + wire _T_4209 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 477:73] + wire _T_4210 = bus_rsp_write & _T_4209; // @[lsu_bus_buffer.scala 477:52] + wire _T_4211 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 478:46] + wire _T_4214 = buf_ldfwd[3] & _T_1349; // @[lsu_bus_buffer.scala 479:27] + wire _T_4215 = _T_4211 | _T_4214; // @[lsu_bus_buffer.scala 478:77] + wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 480:26] + wire _T_4218 = ~buf_write[3]; // @[lsu_bus_buffer.scala 480:44] + wire _T_4219 = _T_4216 & _T_4218; // @[lsu_bus_buffer.scala 480:42] + wire _T_4220 = _T_4219 & buf_samedw_3; // @[lsu_bus_buffer.scala 480:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 478:94] - wire _T_4221 = io_lsu_axi_r_bits_id == _GEN_388; // @[lsu_bus_buffer.scala 478:94] - wire _T_4222 = _T_4220 & _T_4221; // @[lsu_bus_buffer.scala 478:74] - wire _T_4223 = _T_4215 | _T_4222; // @[lsu_bus_buffer.scala 477:71] - wire _T_4224 = bus_rsp_read & _T_4223; // @[lsu_bus_buffer.scala 476:25] - wire _T_4225 = _T_4210 | _T_4224; // @[lsu_bus_buffer.scala 475:105] + wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 480:94] + wire _T_4221 = io_lsu_axi_r_bits_id == _GEN_388; // @[lsu_bus_buffer.scala 480:94] + wire _T_4222 = _T_4220 & _T_4221; // @[lsu_bus_buffer.scala 480:74] + wire _T_4223 = _T_4215 | _T_4222; // @[lsu_bus_buffer.scala 479:71] + wire _T_4224 = bus_rsp_read & _T_4223; // @[lsu_bus_buffer.scala 478:25] + wire _T_4225 = _T_4210 | _T_4224; // @[lsu_bus_buffer.scala 477:105] wire _GEN_280 = _T_4165 & _T_4225; // @[Conditional.scala 39:67] wire _GEN_300 = _T_4131 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire _GEN_312 = _T_4127 ? 1'h0 : _GEN_300; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_312; // @[Conditional.scala 40:58] - wire [3:0] _T_4260 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 492:21] - wire _T_4263 = _T_4260[0] & _T_1349; // @[lsu_bus_buffer.scala 492:38] - wire _T_4264 = _T_4221 | _T_4263; // @[lsu_bus_buffer.scala 491:95] - wire _T_4265 = bus_rsp_read & _T_4264; // @[lsu_bus_buffer.scala 491:45] + wire [3:0] _T_4260 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 494:21] + wire _T_4263 = _T_4260[0] & _T_1349; // @[lsu_bus_buffer.scala 494:38] + wire _T_4264 = _T_4221 | _T_4263; // @[lsu_bus_buffer.scala 493:95] + wire _T_4265 = bus_rsp_read & _T_4264; // @[lsu_bus_buffer.scala 493:45] wire _GEN_274 = _T_4250 & _T_4265; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4165 ? buf_resp_state_bus_en_3 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_291 = _T_4131 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire _GEN_305 = _T_4127 ? 1'h0 : _GEN_291; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_305; // @[Conditional.scala 40:58] - wire _T_4144 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 462:49] - wire _T_4145 = _T_4144 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 462:70] - wire _T_4271 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 499:37] - wire _T_4272 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 499:98] - wire _T_4273 = buf_dual_3 & _T_4272; // @[lsu_bus_buffer.scala 499:80] - wire _T_4274 = _T_4271 | _T_4273; // @[lsu_bus_buffer.scala 499:65] - wire _T_4275 = _T_4274 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 499:112] + wire _T_4144 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 464:49] + wire _T_4145 = _T_4144 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 464:70] + wire _T_4271 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 501:37] + wire _T_4272 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 501:98] + wire _T_4273 = buf_dual_3 & _T_4272; // @[lsu_bus_buffer.scala 501:80] + wire _T_4274 = _T_4271 | _T_4273; // @[lsu_bus_buffer.scala 501:65] + wire _T_4275 = _T_4274 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 501:112] wire _GEN_269 = _T_4268 ? _T_4275 : _T_4276; // @[Conditional.scala 39:67] wire _GEN_275 = _T_4250 ? _T_4145 : _GEN_269; // @[Conditional.scala 39:67] wire _GEN_282 = _T_4165 ? _T_4145 : _GEN_275; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4131 ? _T_4145 : _GEN_282; // @[Conditional.scala 39:67] wire _GEN_302 = _T_4127 ? obuf_rdrsp_pend_en : _GEN_292; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4104 ? _T_4120 : _GEN_302; // @[Conditional.scala 40:58] - wire _T_2374 = _T_1825 & buf_state_en_3; // @[lsu_bus_buffer.scala 411:94] - wire _T_2384 = _T_2076 & _T_1828; // @[lsu_bus_buffer.scala 413:71] - wire _T_2386 = _T_2384 & _T_1793; // @[lsu_bus_buffer.scala 413:92] - wire _T_2387 = _T_4466 | _T_2386; // @[lsu_bus_buffer.scala 412:86] - wire _T_2391 = _T_2083 & _T_1829; // @[lsu_bus_buffer.scala 414:52] - wire _T_2393 = _T_2391 & _T_1795; // @[lsu_bus_buffer.scala 414:73] - wire _T_2394 = _T_2387 | _T_2393; // @[lsu_bus_buffer.scala 413:114] - wire _T_2395 = _T_2374 & _T_2394; // @[lsu_bus_buffer.scala 411:113] - wire _T_2397 = _T_2395 | buf_age_3[0]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2411 = _T_2384 & _T_1804; // @[lsu_bus_buffer.scala 413:92] - wire _T_2412 = _T_4471 | _T_2411; // @[lsu_bus_buffer.scala 412:86] - wire _T_2418 = _T_2391 & _T_1806; // @[lsu_bus_buffer.scala 414:73] - wire _T_2419 = _T_2412 | _T_2418; // @[lsu_bus_buffer.scala 413:114] - wire _T_2420 = _T_2374 & _T_2419; // @[lsu_bus_buffer.scala 411:113] - wire _T_2422 = _T_2420 | buf_age_3[1]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2436 = _T_2384 & _T_1815; // @[lsu_bus_buffer.scala 413:92] - wire _T_2437 = _T_4476 | _T_2436; // @[lsu_bus_buffer.scala 412:86] - wire _T_2443 = _T_2391 & _T_1817; // @[lsu_bus_buffer.scala 414:73] - wire _T_2444 = _T_2437 | _T_2443; // @[lsu_bus_buffer.scala 413:114] - wire _T_2445 = _T_2374 & _T_2444; // @[lsu_bus_buffer.scala 411:113] - wire _T_2447 = _T_2445 | buf_age_3[2]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2461 = _T_2384 & _T_1826; // @[lsu_bus_buffer.scala 413:92] - wire _T_2462 = _T_4481 | _T_2461; // @[lsu_bus_buffer.scala 412:86] - wire _T_2468 = _T_2391 & _T_1828; // @[lsu_bus_buffer.scala 414:73] - wire _T_2469 = _T_2462 | _T_2468; // @[lsu_bus_buffer.scala 413:114] - wire _T_2470 = _T_2374 & _T_2469; // @[lsu_bus_buffer.scala 411:113] - wire _T_2472 = _T_2470 | buf_age_3[3]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2374 = _T_1825 & buf_state_en_3; // @[lsu_bus_buffer.scala 413:94] + wire _T_2384 = _T_2076 & _T_1828; // @[lsu_bus_buffer.scala 415:71] + wire _T_2386 = _T_2384 & _T_1793; // @[lsu_bus_buffer.scala 415:92] + wire _T_2387 = _T_4466 | _T_2386; // @[lsu_bus_buffer.scala 414:86] + wire _T_2391 = _T_2083 & _T_1829; // @[lsu_bus_buffer.scala 416:52] + wire _T_2393 = _T_2391 & _T_1795; // @[lsu_bus_buffer.scala 416:73] + wire _T_2394 = _T_2387 | _T_2393; // @[lsu_bus_buffer.scala 415:114] + wire _T_2395 = _T_2374 & _T_2394; // @[lsu_bus_buffer.scala 413:113] + wire _T_2397 = _T_2395 | buf_age_3[0]; // @[lsu_bus_buffer.scala 416:97] + wire _T_2411 = _T_2384 & _T_1804; // @[lsu_bus_buffer.scala 415:92] + wire _T_2412 = _T_4471 | _T_2411; // @[lsu_bus_buffer.scala 414:86] + wire _T_2418 = _T_2391 & _T_1806; // @[lsu_bus_buffer.scala 416:73] + wire _T_2419 = _T_2412 | _T_2418; // @[lsu_bus_buffer.scala 415:114] + wire _T_2420 = _T_2374 & _T_2419; // @[lsu_bus_buffer.scala 413:113] + wire _T_2422 = _T_2420 | buf_age_3[1]; // @[lsu_bus_buffer.scala 416:97] + wire _T_2436 = _T_2384 & _T_1815; // @[lsu_bus_buffer.scala 415:92] + wire _T_2437 = _T_4476 | _T_2436; // @[lsu_bus_buffer.scala 414:86] + wire _T_2443 = _T_2391 & _T_1817; // @[lsu_bus_buffer.scala 416:73] + wire _T_2444 = _T_2437 | _T_2443; // @[lsu_bus_buffer.scala 415:114] + wire _T_2445 = _T_2374 & _T_2444; // @[lsu_bus_buffer.scala 413:113] + wire _T_2447 = _T_2445 | buf_age_3[2]; // @[lsu_bus_buffer.scala 416:97] + wire _T_2461 = _T_2384 & _T_1826; // @[lsu_bus_buffer.scala 415:92] + wire _T_2462 = _T_4481 | _T_2461; // @[lsu_bus_buffer.scala 414:86] + wire _T_2468 = _T_2391 & _T_1828; // @[lsu_bus_buffer.scala 416:73] + wire _T_2469 = _T_2462 | _T_2468; // @[lsu_bus_buffer.scala 415:114] + wire _T_2470 = _T_2374 & _T_2469; // @[lsu_bus_buffer.scala 413:113] + wire _T_2472 = _T_2470 | buf_age_3[3]; // @[lsu_bus_buffer.scala 416:97] wire [2:0] _T_2474 = {_T_2472,_T_2447,_T_2422}; // @[Cat.scala 29:58] - wire _T_2770 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 422:47] - wire _T_2771 = _T_1792 | _T_2770; // @[lsu_bus_buffer.scala 422:32] - wire _T_2772 = ~_T_2771; // @[lsu_bus_buffer.scala 422:6] - wire _T_2780 = _T_2772 | _T_2080; // @[lsu_bus_buffer.scala 422:59] - wire _T_2787 = _T_2780 | _T_2087; // @[lsu_bus_buffer.scala 423:110] - wire _T_2788 = _T_2068 & _T_2787; // @[lsu_bus_buffer.scala 421:112] - wire _T_2792 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 422:47] - wire _T_2793 = _T_1803 | _T_2792; // @[lsu_bus_buffer.scala 422:32] - wire _T_2794 = ~_T_2793; // @[lsu_bus_buffer.scala 422:6] - wire _T_2802 = _T_2794 | _T_2105; // @[lsu_bus_buffer.scala 422:59] - wire _T_2809 = _T_2802 | _T_2112; // @[lsu_bus_buffer.scala 423:110] - wire _T_2810 = _T_2068 & _T_2809; // @[lsu_bus_buffer.scala 421:112] - wire _T_2814 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 422:47] - wire _T_2815 = _T_1814 | _T_2814; // @[lsu_bus_buffer.scala 422:32] - wire _T_2816 = ~_T_2815; // @[lsu_bus_buffer.scala 422:6] - wire _T_2824 = _T_2816 | _T_2130; // @[lsu_bus_buffer.scala 422:59] - wire _T_2831 = _T_2824 | _T_2137; // @[lsu_bus_buffer.scala 423:110] - wire _T_2832 = _T_2068 & _T_2831; // @[lsu_bus_buffer.scala 421:112] - wire _T_2836 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 422:47] - wire _T_2837 = _T_1825 | _T_2836; // @[lsu_bus_buffer.scala 422:32] - wire _T_2838 = ~_T_2837; // @[lsu_bus_buffer.scala 422:6] - wire _T_2846 = _T_2838 | _T_2155; // @[lsu_bus_buffer.scala 422:59] - wire _T_2853 = _T_2846 | _T_2162; // @[lsu_bus_buffer.scala 423:110] - wire _T_2854 = _T_2068 & _T_2853; // @[lsu_bus_buffer.scala 421:112] + wire _T_2770 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 424:47] + wire _T_2771 = _T_1792 | _T_2770; // @[lsu_bus_buffer.scala 424:32] + wire _T_2772 = ~_T_2771; // @[lsu_bus_buffer.scala 424:6] + wire _T_2780 = _T_2772 | _T_2080; // @[lsu_bus_buffer.scala 424:59] + wire _T_2787 = _T_2780 | _T_2087; // @[lsu_bus_buffer.scala 425:110] + wire _T_2788 = _T_2068 & _T_2787; // @[lsu_bus_buffer.scala 423:112] + wire _T_2792 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 424:47] + wire _T_2793 = _T_1803 | _T_2792; // @[lsu_bus_buffer.scala 424:32] + wire _T_2794 = ~_T_2793; // @[lsu_bus_buffer.scala 424:6] + wire _T_2802 = _T_2794 | _T_2105; // @[lsu_bus_buffer.scala 424:59] + wire _T_2809 = _T_2802 | _T_2112; // @[lsu_bus_buffer.scala 425:110] + wire _T_2810 = _T_2068 & _T_2809; // @[lsu_bus_buffer.scala 423:112] + wire _T_2814 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 424:47] + wire _T_2815 = _T_1814 | _T_2814; // @[lsu_bus_buffer.scala 424:32] + wire _T_2816 = ~_T_2815; // @[lsu_bus_buffer.scala 424:6] + wire _T_2824 = _T_2816 | _T_2130; // @[lsu_bus_buffer.scala 424:59] + wire _T_2831 = _T_2824 | _T_2137; // @[lsu_bus_buffer.scala 425:110] + wire _T_2832 = _T_2068 & _T_2831; // @[lsu_bus_buffer.scala 423:112] + wire _T_2836 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 424:47] + wire _T_2837 = _T_1825 | _T_2836; // @[lsu_bus_buffer.scala 424:32] + wire _T_2838 = ~_T_2837; // @[lsu_bus_buffer.scala 424:6] + wire _T_2846 = _T_2838 | _T_2155; // @[lsu_bus_buffer.scala 424:59] + wire _T_2853 = _T_2846 | _T_2162; // @[lsu_bus_buffer.scala 425:110] + wire _T_2854 = _T_2068 & _T_2853; // @[lsu_bus_buffer.scala 423:112] wire [3:0] buf_rspage_set_0 = {_T_2854,_T_2832,_T_2810,_T_2788}; // @[Cat.scala 29:58] - wire _T_2871 = _T_2772 | _T_2182; // @[lsu_bus_buffer.scala 422:59] - wire _T_2878 = _T_2871 | _T_2189; // @[lsu_bus_buffer.scala 423:110] - wire _T_2879 = _T_2170 & _T_2878; // @[lsu_bus_buffer.scala 421:112] - wire _T_2893 = _T_2794 | _T_2207; // @[lsu_bus_buffer.scala 422:59] - wire _T_2900 = _T_2893 | _T_2214; // @[lsu_bus_buffer.scala 423:110] - wire _T_2901 = _T_2170 & _T_2900; // @[lsu_bus_buffer.scala 421:112] - wire _T_2915 = _T_2816 | _T_2232; // @[lsu_bus_buffer.scala 422:59] - wire _T_2922 = _T_2915 | _T_2239; // @[lsu_bus_buffer.scala 423:110] - wire _T_2923 = _T_2170 & _T_2922; // @[lsu_bus_buffer.scala 421:112] - wire _T_2937 = _T_2838 | _T_2257; // @[lsu_bus_buffer.scala 422:59] - wire _T_2944 = _T_2937 | _T_2264; // @[lsu_bus_buffer.scala 423:110] - wire _T_2945 = _T_2170 & _T_2944; // @[lsu_bus_buffer.scala 421:112] + wire _T_2871 = _T_2772 | _T_2182; // @[lsu_bus_buffer.scala 424:59] + wire _T_2878 = _T_2871 | _T_2189; // @[lsu_bus_buffer.scala 425:110] + wire _T_2879 = _T_2170 & _T_2878; // @[lsu_bus_buffer.scala 423:112] + wire _T_2893 = _T_2794 | _T_2207; // @[lsu_bus_buffer.scala 424:59] + wire _T_2900 = _T_2893 | _T_2214; // @[lsu_bus_buffer.scala 425:110] + wire _T_2901 = _T_2170 & _T_2900; // @[lsu_bus_buffer.scala 423:112] + wire _T_2915 = _T_2816 | _T_2232; // @[lsu_bus_buffer.scala 424:59] + wire _T_2922 = _T_2915 | _T_2239; // @[lsu_bus_buffer.scala 425:110] + wire _T_2923 = _T_2170 & _T_2922; // @[lsu_bus_buffer.scala 423:112] + wire _T_2937 = _T_2838 | _T_2257; // @[lsu_bus_buffer.scala 424:59] + wire _T_2944 = _T_2937 | _T_2264; // @[lsu_bus_buffer.scala 425:110] + wire _T_2945 = _T_2170 & _T_2944; // @[lsu_bus_buffer.scala 423:112] wire [3:0] buf_rspage_set_1 = {_T_2945,_T_2923,_T_2901,_T_2879}; // @[Cat.scala 29:58] - wire _T_2962 = _T_2772 | _T_2284; // @[lsu_bus_buffer.scala 422:59] - wire _T_2969 = _T_2962 | _T_2291; // @[lsu_bus_buffer.scala 423:110] - wire _T_2970 = _T_2272 & _T_2969; // @[lsu_bus_buffer.scala 421:112] - wire _T_2984 = _T_2794 | _T_2309; // @[lsu_bus_buffer.scala 422:59] - wire _T_2991 = _T_2984 | _T_2316; // @[lsu_bus_buffer.scala 423:110] - wire _T_2992 = _T_2272 & _T_2991; // @[lsu_bus_buffer.scala 421:112] - wire _T_3006 = _T_2816 | _T_2334; // @[lsu_bus_buffer.scala 422:59] - wire _T_3013 = _T_3006 | _T_2341; // @[lsu_bus_buffer.scala 423:110] - wire _T_3014 = _T_2272 & _T_3013; // @[lsu_bus_buffer.scala 421:112] - wire _T_3028 = _T_2838 | _T_2359; // @[lsu_bus_buffer.scala 422:59] - wire _T_3035 = _T_3028 | _T_2366; // @[lsu_bus_buffer.scala 423:110] - wire _T_3036 = _T_2272 & _T_3035; // @[lsu_bus_buffer.scala 421:112] + wire _T_2962 = _T_2772 | _T_2284; // @[lsu_bus_buffer.scala 424:59] + wire _T_2969 = _T_2962 | _T_2291; // @[lsu_bus_buffer.scala 425:110] + wire _T_2970 = _T_2272 & _T_2969; // @[lsu_bus_buffer.scala 423:112] + wire _T_2984 = _T_2794 | _T_2309; // @[lsu_bus_buffer.scala 424:59] + wire _T_2991 = _T_2984 | _T_2316; // @[lsu_bus_buffer.scala 425:110] + wire _T_2992 = _T_2272 & _T_2991; // @[lsu_bus_buffer.scala 423:112] + wire _T_3006 = _T_2816 | _T_2334; // @[lsu_bus_buffer.scala 424:59] + wire _T_3013 = _T_3006 | _T_2341; // @[lsu_bus_buffer.scala 425:110] + wire _T_3014 = _T_2272 & _T_3013; // @[lsu_bus_buffer.scala 423:112] + wire _T_3028 = _T_2838 | _T_2359; // @[lsu_bus_buffer.scala 424:59] + wire _T_3035 = _T_3028 | _T_2366; // @[lsu_bus_buffer.scala 425:110] + wire _T_3036 = _T_2272 & _T_3035; // @[lsu_bus_buffer.scala 423:112] wire [3:0] buf_rspage_set_2 = {_T_3036,_T_3014,_T_2992,_T_2970}; // @[Cat.scala 29:58] - wire _T_3053 = _T_2772 | _T_2386; // @[lsu_bus_buffer.scala 422:59] - wire _T_3060 = _T_3053 | _T_2393; // @[lsu_bus_buffer.scala 423:110] - wire _T_3061 = _T_2374 & _T_3060; // @[lsu_bus_buffer.scala 421:112] - wire _T_3075 = _T_2794 | _T_2411; // @[lsu_bus_buffer.scala 422:59] - wire _T_3082 = _T_3075 | _T_2418; // @[lsu_bus_buffer.scala 423:110] - wire _T_3083 = _T_2374 & _T_3082; // @[lsu_bus_buffer.scala 421:112] - wire _T_3097 = _T_2816 | _T_2436; // @[lsu_bus_buffer.scala 422:59] - wire _T_3104 = _T_3097 | _T_2443; // @[lsu_bus_buffer.scala 423:110] - wire _T_3105 = _T_2374 & _T_3104; // @[lsu_bus_buffer.scala 421:112] - wire _T_3119 = _T_2838 | _T_2461; // @[lsu_bus_buffer.scala 422:59] - wire _T_3126 = _T_3119 | _T_2468; // @[lsu_bus_buffer.scala 423:110] - wire _T_3127 = _T_2374 & _T_3126; // @[lsu_bus_buffer.scala 421:112] + wire _T_3053 = _T_2772 | _T_2386; // @[lsu_bus_buffer.scala 424:59] + wire _T_3060 = _T_3053 | _T_2393; // @[lsu_bus_buffer.scala 425:110] + wire _T_3061 = _T_2374 & _T_3060; // @[lsu_bus_buffer.scala 423:112] + wire _T_3075 = _T_2794 | _T_2411; // @[lsu_bus_buffer.scala 424:59] + wire _T_3082 = _T_3075 | _T_2418; // @[lsu_bus_buffer.scala 425:110] + wire _T_3083 = _T_2374 & _T_3082; // @[lsu_bus_buffer.scala 423:112] + wire _T_3097 = _T_2816 | _T_2436; // @[lsu_bus_buffer.scala 424:59] + wire _T_3104 = _T_3097 | _T_2443; // @[lsu_bus_buffer.scala 425:110] + wire _T_3105 = _T_2374 & _T_3104; // @[lsu_bus_buffer.scala 423:112] + wire _T_3119 = _T_2838 | _T_2461; // @[lsu_bus_buffer.scala 424:59] + wire _T_3126 = _T_3119 | _T_2468; // @[lsu_bus_buffer.scala 425:110] + wire _T_3127 = _T_2374 & _T_3126; // @[lsu_bus_buffer.scala 423:112] wire [3:0] buf_rspage_set_3 = {_T_3127,_T_3105,_T_3083,_T_3061}; // @[Cat.scala 29:58] - wire _T_3218 = _T_2836 | _T_1825; // @[lsu_bus_buffer.scala 426:110] - wire _T_3219 = ~_T_3218; // @[lsu_bus_buffer.scala 426:84] - wire _T_3220 = buf_rspageQ_0[3] & _T_3219; // @[lsu_bus_buffer.scala 426:82] - wire _T_3222 = _T_3220 & _T_2594; // @[lsu_bus_buffer.scala 426:136] - wire _T_3210 = _T_2814 | _T_1814; // @[lsu_bus_buffer.scala 426:110] - wire _T_3211 = ~_T_3210; // @[lsu_bus_buffer.scala 426:84] - wire _T_3212 = buf_rspageQ_0[2] & _T_3211; // @[lsu_bus_buffer.scala 426:82] - wire _T_3214 = _T_3212 & _T_2594; // @[lsu_bus_buffer.scala 426:136] - wire _T_3202 = _T_2792 | _T_1803; // @[lsu_bus_buffer.scala 426:110] - wire _T_3203 = ~_T_3202; // @[lsu_bus_buffer.scala 426:84] - wire _T_3204 = buf_rspageQ_0[1] & _T_3203; // @[lsu_bus_buffer.scala 426:82] - wire _T_3206 = _T_3204 & _T_2594; // @[lsu_bus_buffer.scala 426:136] - wire _T_3194 = _T_2770 | _T_1792; // @[lsu_bus_buffer.scala 426:110] - wire _T_3195 = ~_T_3194; // @[lsu_bus_buffer.scala 426:84] - wire _T_3196 = buf_rspageQ_0[0] & _T_3195; // @[lsu_bus_buffer.scala 426:82] - wire _T_3198 = _T_3196 & _T_2594; // @[lsu_bus_buffer.scala 426:136] + wire _T_3218 = _T_2836 | _T_1825; // @[lsu_bus_buffer.scala 428:110] + wire _T_3219 = ~_T_3218; // @[lsu_bus_buffer.scala 428:84] + wire _T_3220 = buf_rspageQ_0[3] & _T_3219; // @[lsu_bus_buffer.scala 428:82] + wire _T_3222 = _T_3220 & _T_2594; // @[lsu_bus_buffer.scala 428:136] + wire _T_3210 = _T_2814 | _T_1814; // @[lsu_bus_buffer.scala 428:110] + wire _T_3211 = ~_T_3210; // @[lsu_bus_buffer.scala 428:84] + wire _T_3212 = buf_rspageQ_0[2] & _T_3211; // @[lsu_bus_buffer.scala 428:82] + wire _T_3214 = _T_3212 & _T_2594; // @[lsu_bus_buffer.scala 428:136] + wire _T_3202 = _T_2792 | _T_1803; // @[lsu_bus_buffer.scala 428:110] + wire _T_3203 = ~_T_3202; // @[lsu_bus_buffer.scala 428:84] + wire _T_3204 = buf_rspageQ_0[1] & _T_3203; // @[lsu_bus_buffer.scala 428:82] + wire _T_3206 = _T_3204 & _T_2594; // @[lsu_bus_buffer.scala 428:136] + wire _T_3194 = _T_2770 | _T_1792; // @[lsu_bus_buffer.scala 428:110] + wire _T_3195 = ~_T_3194; // @[lsu_bus_buffer.scala 428:84] + wire _T_3196 = buf_rspageQ_0[0] & _T_3195; // @[lsu_bus_buffer.scala 428:82] + wire _T_3198 = _T_3196 & _T_2594; // @[lsu_bus_buffer.scala 428:136] wire [3:0] buf_rspage_0 = {_T_3222,_T_3214,_T_3206,_T_3198}; // @[Cat.scala 29:58] - wire _T_3133 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3136 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3139 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3142 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3133 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 427:88] + wire _T_3136 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 427:88] + wire _T_3139 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 427:88] + wire _T_3142 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 427:88] wire [2:0] _T_3144 = {_T_3142,_T_3139,_T_3136}; // @[Cat.scala 29:58] - wire _T_3255 = buf_rspageQ_1[3] & _T_3219; // @[lsu_bus_buffer.scala 426:82] - wire _T_3257 = _T_3255 & _T_2594; // @[lsu_bus_buffer.scala 426:136] - wire _T_3247 = buf_rspageQ_1[2] & _T_3211; // @[lsu_bus_buffer.scala 426:82] - wire _T_3249 = _T_3247 & _T_2594; // @[lsu_bus_buffer.scala 426:136] - wire _T_3239 = buf_rspageQ_1[1] & _T_3203; // @[lsu_bus_buffer.scala 426:82] - wire _T_3241 = _T_3239 & _T_2594; // @[lsu_bus_buffer.scala 426:136] - wire _T_3231 = buf_rspageQ_1[0] & _T_3195; // @[lsu_bus_buffer.scala 426:82] - wire _T_3233 = _T_3231 & _T_2594; // @[lsu_bus_buffer.scala 426:136] + wire _T_3255 = buf_rspageQ_1[3] & _T_3219; // @[lsu_bus_buffer.scala 428:82] + wire _T_3257 = _T_3255 & _T_2594; // @[lsu_bus_buffer.scala 428:136] + wire _T_3247 = buf_rspageQ_1[2] & _T_3211; // @[lsu_bus_buffer.scala 428:82] + wire _T_3249 = _T_3247 & _T_2594; // @[lsu_bus_buffer.scala 428:136] + wire _T_3239 = buf_rspageQ_1[1] & _T_3203; // @[lsu_bus_buffer.scala 428:82] + wire _T_3241 = _T_3239 & _T_2594; // @[lsu_bus_buffer.scala 428:136] + wire _T_3231 = buf_rspageQ_1[0] & _T_3195; // @[lsu_bus_buffer.scala 428:82] + wire _T_3233 = _T_3231 & _T_2594; // @[lsu_bus_buffer.scala 428:136] wire [3:0] buf_rspage_1 = {_T_3257,_T_3249,_T_3241,_T_3233}; // @[Cat.scala 29:58] - wire _T_3148 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3151 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3154 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3157 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3148 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 427:88] + wire _T_3151 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 427:88] + wire _T_3154 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 427:88] + wire _T_3157 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 427:88] wire [2:0] _T_3159 = {_T_3157,_T_3154,_T_3151}; // @[Cat.scala 29:58] - wire _T_3290 = buf_rspageQ_2[3] & _T_3219; // @[lsu_bus_buffer.scala 426:82] - wire _T_3292 = _T_3290 & _T_2594; // @[lsu_bus_buffer.scala 426:136] - wire _T_3282 = buf_rspageQ_2[2] & _T_3211; // @[lsu_bus_buffer.scala 426:82] - wire _T_3284 = _T_3282 & _T_2594; // @[lsu_bus_buffer.scala 426:136] - wire _T_3274 = buf_rspageQ_2[1] & _T_3203; // @[lsu_bus_buffer.scala 426:82] - wire _T_3276 = _T_3274 & _T_2594; // @[lsu_bus_buffer.scala 426:136] - wire _T_3266 = buf_rspageQ_2[0] & _T_3195; // @[lsu_bus_buffer.scala 426:82] - wire _T_3268 = _T_3266 & _T_2594; // @[lsu_bus_buffer.scala 426:136] + wire _T_3290 = buf_rspageQ_2[3] & _T_3219; // @[lsu_bus_buffer.scala 428:82] + wire _T_3292 = _T_3290 & _T_2594; // @[lsu_bus_buffer.scala 428:136] + wire _T_3282 = buf_rspageQ_2[2] & _T_3211; // @[lsu_bus_buffer.scala 428:82] + wire _T_3284 = _T_3282 & _T_2594; // @[lsu_bus_buffer.scala 428:136] + wire _T_3274 = buf_rspageQ_2[1] & _T_3203; // @[lsu_bus_buffer.scala 428:82] + wire _T_3276 = _T_3274 & _T_2594; // @[lsu_bus_buffer.scala 428:136] + wire _T_3266 = buf_rspageQ_2[0] & _T_3195; // @[lsu_bus_buffer.scala 428:82] + wire _T_3268 = _T_3266 & _T_2594; // @[lsu_bus_buffer.scala 428:136] wire [3:0] buf_rspage_2 = {_T_3292,_T_3284,_T_3276,_T_3268}; // @[Cat.scala 29:58] - wire _T_3163 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3166 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3169 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3172 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3163 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 427:88] + wire _T_3166 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 427:88] + wire _T_3169 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 427:88] + wire _T_3172 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 427:88] wire [2:0] _T_3174 = {_T_3172,_T_3169,_T_3166}; // @[Cat.scala 29:58] - wire _T_3325 = buf_rspageQ_3[3] & _T_3219; // @[lsu_bus_buffer.scala 426:82] - wire _T_3327 = _T_3325 & _T_2594; // @[lsu_bus_buffer.scala 426:136] - wire _T_3317 = buf_rspageQ_3[2] & _T_3211; // @[lsu_bus_buffer.scala 426:82] - wire _T_3319 = _T_3317 & _T_2594; // @[lsu_bus_buffer.scala 426:136] - wire _T_3309 = buf_rspageQ_3[1] & _T_3203; // @[lsu_bus_buffer.scala 426:82] - wire _T_3311 = _T_3309 & _T_2594; // @[lsu_bus_buffer.scala 426:136] - wire _T_3301 = buf_rspageQ_3[0] & _T_3195; // @[lsu_bus_buffer.scala 426:82] - wire _T_3303 = _T_3301 & _T_2594; // @[lsu_bus_buffer.scala 426:136] + wire _T_3325 = buf_rspageQ_3[3] & _T_3219; // @[lsu_bus_buffer.scala 428:82] + wire _T_3327 = _T_3325 & _T_2594; // @[lsu_bus_buffer.scala 428:136] + wire _T_3317 = buf_rspageQ_3[2] & _T_3211; // @[lsu_bus_buffer.scala 428:82] + wire _T_3319 = _T_3317 & _T_2594; // @[lsu_bus_buffer.scala 428:136] + wire _T_3309 = buf_rspageQ_3[1] & _T_3203; // @[lsu_bus_buffer.scala 428:82] + wire _T_3311 = _T_3309 & _T_2594; // @[lsu_bus_buffer.scala 428:136] + wire _T_3301 = buf_rspageQ_3[0] & _T_3195; // @[lsu_bus_buffer.scala 428:82] + wire _T_3303 = _T_3301 & _T_2594; // @[lsu_bus_buffer.scala 428:136] wire [3:0] buf_rspage_3 = {_T_3327,_T_3319,_T_3311,_T_3303}; // @[Cat.scala 29:58] - wire _T_3178 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3181 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3184 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3187 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3178 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 427:88] + wire _T_3181 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 427:88] + wire _T_3184 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 427:88] + wire _T_3187 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 427:88] wire [2:0] _T_3189 = {_T_3187,_T_3184,_T_3181}; // @[Cat.scala 29:58] - wire _T_3332 = ibuf_drain_vld & _T_1793; // @[lsu_bus_buffer.scala 427:63] - wire _T_3334 = ibuf_drain_vld & _T_1804; // @[lsu_bus_buffer.scala 427:63] - wire _T_3336 = ibuf_drain_vld & _T_1815; // @[lsu_bus_buffer.scala 427:63] - wire _T_3338 = ibuf_drain_vld & _T_1826; // @[lsu_bus_buffer.scala 427:63] + wire _T_3332 = ibuf_drain_vld & _T_1793; // @[lsu_bus_buffer.scala 429:63] + wire _T_3334 = ibuf_drain_vld & _T_1804; // @[lsu_bus_buffer.scala 429:63] + wire _T_3336 = ibuf_drain_vld & _T_1815; // @[lsu_bus_buffer.scala 429:63] + wire _T_3338 = ibuf_drain_vld & _T_1826; // @[lsu_bus_buffer.scala 429:63] wire [3:0] ibuf_drainvec_vld = {_T_3338,_T_3336,_T_3334,_T_3332}; // @[Cat.scala 29:58] - wire _T_3346 = _T_3540 & _T_1796; // @[lsu_bus_buffer.scala 429:35] - wire _T_3355 = _T_3540 & _T_1807; // @[lsu_bus_buffer.scala 429:35] - wire _T_3364 = _T_3540 & _T_1818; // @[lsu_bus_buffer.scala 429:35] - wire _T_3373 = _T_3540 & _T_1829; // @[lsu_bus_buffer.scala 429:35] - wire _T_3403 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 431:45] - wire _T_3405 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 431:45] - wire _T_3407 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 431:45] - wire _T_3409 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 431:45] + wire _T_3346 = _T_3540 & _T_1796; // @[lsu_bus_buffer.scala 431:35] + wire _T_3355 = _T_3540 & _T_1807; // @[lsu_bus_buffer.scala 431:35] + wire _T_3364 = _T_3540 & _T_1818; // @[lsu_bus_buffer.scala 431:35] + wire _T_3373 = _T_3540 & _T_1829; // @[lsu_bus_buffer.scala 431:35] + wire _T_3403 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 433:45] + wire _T_3405 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 433:45] + wire _T_3407 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 433:45] + wire _T_3409 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 433:45] wire [3:0] buf_dual_in = {_T_3409,_T_3407,_T_3405,_T_3403}; // @[Cat.scala 29:58] - wire _T_3414 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 432:47] - wire _T_3416 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 432:47] - wire _T_3418 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 432:47] - wire _T_3420 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 432:47] + wire _T_3414 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 434:47] + wire _T_3416 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 434:47] + wire _T_3418 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 434:47] + wire _T_3420 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 434:47] wire [3:0] buf_samedw_in = {_T_3420,_T_3418,_T_3416,_T_3414}; // @[Cat.scala 29:58] - wire _T_3425 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 433:84] - wire _T_3426 = ibuf_drainvec_vld[0] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 433:48] - wire _T_3429 = ibuf_drainvec_vld[1] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 433:48] - wire _T_3432 = ibuf_drainvec_vld[2] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 433:48] - wire _T_3435 = ibuf_drainvec_vld[3] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 433:48] + wire _T_3425 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 435:84] + wire _T_3426 = ibuf_drainvec_vld[0] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 435:48] + wire _T_3429 = ibuf_drainvec_vld[1] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 435:48] + wire _T_3432 = ibuf_drainvec_vld[2] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 435:48] + wire _T_3435 = ibuf_drainvec_vld[3] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 435:48] wire [3:0] buf_nomerge_in = {_T_3435,_T_3432,_T_3429,_T_3426}; // @[Cat.scala 29:58] - wire _T_3443 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3346; // @[lsu_bus_buffer.scala 434:47] - wire _T_3448 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3355; // @[lsu_bus_buffer.scala 434:47] - wire _T_3453 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3364; // @[lsu_bus_buffer.scala 434:47] - wire _T_3458 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3373; // @[lsu_bus_buffer.scala 434:47] + wire _T_3443 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3346; // @[lsu_bus_buffer.scala 436:47] + wire _T_3448 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3355; // @[lsu_bus_buffer.scala 436:47] + wire _T_3453 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3364; // @[lsu_bus_buffer.scala 436:47] + wire _T_3458 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3373; // @[lsu_bus_buffer.scala 436:47] wire [3:0] buf_dualhi_in = {_T_3458,_T_3453,_T_3448,_T_3443}; // @[Cat.scala 29:58] - wire _T_3487 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 436:51] - wire _T_3489 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 436:51] - wire _T_3491 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 436:51] - wire _T_3493 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 436:51] + wire _T_3487 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 438:51] + wire _T_3489 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 438:51] + wire _T_3491 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 438:51] + wire _T_3493 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 438:51] wire [3:0] buf_sideeffect_in = {_T_3493,_T_3491,_T_3489,_T_3487}; // @[Cat.scala 29:58] - wire _T_3498 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 437:47] - wire _T_3500 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 437:47] - wire _T_3502 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 437:47] - wire _T_3504 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 437:47] + wire _T_3498 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 439:47] + wire _T_3500 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 439:47] + wire _T_3502 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 439:47] + wire _T_3504 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 439:47] wire [3:0] buf_unsign_in = {_T_3504,_T_3502,_T_3500,_T_3498}; // @[Cat.scala 29:58] - wire _T_3521 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 439:46] - wire _T_3523 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 439:46] - wire _T_3525 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 439:46] - wire _T_3527 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 439:46] + wire _T_3521 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 441:46] + wire _T_3523 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 441:46] + wire _T_3525 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 441:46] + wire _T_3527 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 441:46] wire [3:0] buf_write_in = {_T_3527,_T_3525,_T_3523,_T_3521}; // @[Cat.scala 29:58] - wire _T_3560 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 459:89] - wire _T_3562 = _T_3560 & _T_1349; // @[lsu_bus_buffer.scala 459:104] - wire _T_3575 = buf_state_en_0 & _T_3645; // @[lsu_bus_buffer.scala 464:44] - wire _T_3576 = _T_3575 & obuf_nosend; // @[lsu_bus_buffer.scala 464:60] - wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 464:74] - wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 466:67] - wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 466:81] - wire _T_4841 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 576:64] - wire bus_rsp_read_error = bus_rsp_read & _T_4841; // @[lsu_bus_buffer.scala 576:38] - wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 467:82] - wire _T_3659 = bus_rsp_read_error & _T_1349; // @[lsu_bus_buffer.scala 482:91] - wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 483:31] - wire _T_3663 = _T_3661 & _T_1349; // @[lsu_bus_buffer.scala 483:46] - wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 482:143] - wire _T_4839 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 575:66] - wire bus_rsp_write_error = bus_rsp_write & _T_4839; // @[lsu_bus_buffer.scala 575:40] - wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 484:33] - wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 483:88] - wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 482:68] + wire _T_3560 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 461:89] + wire _T_3562 = _T_3560 & _T_1349; // @[lsu_bus_buffer.scala 461:104] + wire _T_3575 = buf_state_en_0 & _T_3645; // @[lsu_bus_buffer.scala 466:44] + wire _T_3576 = _T_3575 & obuf_nosend; // @[lsu_bus_buffer.scala 466:60] + wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 466:74] + wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] + wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] + wire _T_4841 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 578:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4841; // @[lsu_bus_buffer.scala 578:38] + wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] + wire _T_3659 = bus_rsp_read_error & _T_1349; // @[lsu_bus_buffer.scala 484:91] + wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 485:31] + wire _T_3663 = _T_3661 & _T_1349; // @[lsu_bus_buffer.scala 485:46] + wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 484:143] + wire _T_4839 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 577:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4839; // @[lsu_bus_buffer.scala 577:40] + wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 486:33] + wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 485:88] + wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 484:68] wire _GEN_56 = _T_3592 & _T_3668; // @[Conditional.scala 39:67] wire _GEN_69 = _T_3558 ? _T_3585 : _GEN_56; // @[Conditional.scala 39:67] wire _GEN_82 = _T_3554 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3531 ? 1'h0 : _GEN_82; // @[Conditional.scala 40:58] - wire _T_3594 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 472:75] - wire _T_3595 = buf_write[0] & _T_3594; // @[lsu_bus_buffer.scala 472:73] - wire _T_3596 = io_dec_tlu_force_halt | _T_3595; // @[lsu_bus_buffer.scala 472:57] - wire _T_3598 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 473:30] - wire _T_3599 = buf_dual_0 & _T_3598; // @[lsu_bus_buffer.scala 473:28] - wire _T_3602 = _T_3599 & _T_3645; // @[lsu_bus_buffer.scala 473:45] - wire [2:0] _GEN_29 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_30 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_29; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_30; // @[lsu_bus_buffer.scala 473:90] - wire _T_3603 = _GEN_31 != 3'h4; // @[lsu_bus_buffer.scala 473:90] - wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 473:61] - wire _T_4489 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 536:93] - wire _T_4490 = _T_4489 | _T_2711; // @[lsu_bus_buffer.scala 536:93] - wire any_done_wait_state = _T_4490 | _T_2708; // @[lsu_bus_buffer.scala 536:93] - wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 474:31] + wire _T_3594 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 474:75] + wire _T_3595 = buf_write[0] & _T_3594; // @[lsu_bus_buffer.scala 474:73] + wire _T_3596 = io_dec_tlu_force_halt | _T_3595; // @[lsu_bus_buffer.scala 474:57] + wire _T_3598 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 475:30] + wire _T_3599 = buf_dual_0 & _T_3598; // @[lsu_bus_buffer.scala 475:28] + wire _T_3602 = _T_3599 & _T_3645; // @[lsu_bus_buffer.scala 475:45] + wire [2:0] _GEN_29 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 475:90] + wire [2:0] _GEN_30 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_29; // @[lsu_bus_buffer.scala 475:90] + wire [2:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_30; // @[lsu_bus_buffer.scala 475:90] + wire _T_3603 = _GEN_31 != 3'h4; // @[lsu_bus_buffer.scala 475:90] + wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 475:61] + wire _T_4489 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 538:93] + wire _T_4490 = _T_4489 | _T_2711; // @[lsu_bus_buffer.scala 538:93] + wire any_done_wait_state = _T_4490 | _T_2708; // @[lsu_bus_buffer.scala 538:93] + wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 476:31] wire _T_3612 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 61:118] wire _T_3614 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 61:118] wire _T_3616 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 61:118] @@ -6921,17 +6865,17 @@ module lsu_bus_buffer( wire _T_3624 = _T_3620 | _T_3621; // @[Mux.scala 27:72] wire _T_3625 = _T_3624 | _T_3622; // @[Mux.scala 27:72] wire _T_3626 = _T_3625 | _T_3623; // @[Mux.scala 27:72] - wire _T_3628 = _T_3602 & _T_3626; // @[lsu_bus_buffer.scala 474:101] - wire _T_3629 = _GEN_31 == 3'h4; // @[lsu_bus_buffer.scala 474:167] - wire _T_3630 = _T_3628 & _T_3629; // @[lsu_bus_buffer.scala 474:138] - wire _T_3631 = _T_3630 & any_done_wait_state; // @[lsu_bus_buffer.scala 474:187] - wire _T_3632 = _T_3606 | _T_3631; // @[lsu_bus_buffer.scala 474:53] - wire _T_3655 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 481:47] - wire _T_3656 = _T_3655 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 481:62] - wire _T_3669 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 485:50] - wire _T_3670 = buf_state_en_0 & _T_3669; // @[lsu_bus_buffer.scala 485:48] - wire _T_3682 = buf_ldfwd[0] | _T_3687[0]; // @[lsu_bus_buffer.scala 490:90] - wire _T_3683 = _T_3682 | any_done_wait_state; // @[lsu_bus_buffer.scala 490:118] + wire _T_3628 = _T_3602 & _T_3626; // @[lsu_bus_buffer.scala 476:101] + wire _T_3629 = _GEN_31 == 3'h4; // @[lsu_bus_buffer.scala 476:167] + wire _T_3630 = _T_3628 & _T_3629; // @[lsu_bus_buffer.scala 476:138] + wire _T_3631 = _T_3630 & any_done_wait_state; // @[lsu_bus_buffer.scala 476:187] + wire _T_3632 = _T_3606 | _T_3631; // @[lsu_bus_buffer.scala 476:53] + wire _T_3655 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 483:47] + wire _T_3656 = _T_3655 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 483:62] + wire _T_3669 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 487:50] + wire _T_3670 = buf_state_en_0 & _T_3669; // @[lsu_bus_buffer.scala 487:48] + wire _T_3682 = buf_ldfwd[0] | _T_3687[0]; // @[lsu_bus_buffer.scala 492:90] + wire _T_3683 = _T_3682 | any_done_wait_state; // @[lsu_bus_buffer.scala 492:118] wire _GEN_39 = _T_3703 ? buf_state_en_0 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3695 ? io_dec_tlu_force_halt : _T_3703; // @[Conditional.scala 39:67] wire _GEN_44 = _T_3695 ? io_dec_tlu_force_halt : _GEN_39; // @[Conditional.scala 39:67] @@ -6950,34 +6894,34 @@ module lsu_bus_buffer( wire buf_data_en_0 = _T_3531 ? buf_state_en_0 : _GEN_81; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_76; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_79; // @[Conditional.scala 40:58] - wire _T_3766 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 464:44] - wire _T_3767 = _T_3766 & obuf_nosend; // @[lsu_bus_buffer.scala 464:60] - wire _T_3769 = _T_3767 & _T_2594; // @[lsu_bus_buffer.scala 464:74] - wire _T_3772 = _T_3762 & obuf_nosend; // @[lsu_bus_buffer.scala 466:67] - wire _T_3773 = _T_3772 & bus_rsp_read; // @[lsu_bus_buffer.scala 466:81] - wire _T_3776 = _T_3772 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 467:82] - wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 482:91] - wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 483:31] - wire _T_3854 = _T_3852 & _T_1349; // @[lsu_bus_buffer.scala 483:46] - wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 482:143] - wire _T_3857 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 484:33] - wire _T_3858 = _T_3855 | _T_3857; // @[lsu_bus_buffer.scala 483:88] - wire _T_3859 = _T_3762 & _T_3858; // @[lsu_bus_buffer.scala 482:68] + wire _T_3766 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 466:44] + wire _T_3767 = _T_3766 & obuf_nosend; // @[lsu_bus_buffer.scala 466:60] + wire _T_3769 = _T_3767 & _T_2594; // @[lsu_bus_buffer.scala 466:74] + wire _T_3772 = _T_3762 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] + wire _T_3773 = _T_3772 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] + wire _T_3776 = _T_3772 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] + wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 484:91] + wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 485:31] + wire _T_3854 = _T_3852 & _T_1349; // @[lsu_bus_buffer.scala 485:46] + wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 484:143] + wire _T_3857 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 486:33] + wire _T_3858 = _T_3855 | _T_3857; // @[lsu_bus_buffer.scala 485:88] + wire _T_3859 = _T_3762 & _T_3858; // @[lsu_bus_buffer.scala 484:68] wire _GEN_132 = _T_3783 & _T_3859; // @[Conditional.scala 39:67] wire _GEN_145 = _T_3749 ? _T_3776 : _GEN_132; // @[Conditional.scala 39:67] wire _GEN_158 = _T_3745 ? 1'h0 : _GEN_145; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3722 ? 1'h0 : _GEN_158; // @[Conditional.scala 40:58] - wire _T_3786 = buf_write[1] & _T_3594; // @[lsu_bus_buffer.scala 472:73] - wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 472:57] - wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 473:30] - wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 473:28] - wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 473:45] - wire [2:0] _GEN_105 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_106 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_105; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_107 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_106; // @[lsu_bus_buffer.scala 473:90] - wire _T_3794 = _GEN_107 != 3'h4; // @[lsu_bus_buffer.scala 473:90] - wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 473:61] - wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 474:31] + wire _T_3786 = buf_write[1] & _T_3594; // @[lsu_bus_buffer.scala 474:73] + wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 474:57] + wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 475:30] + wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 475:28] + wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 475:45] + wire [2:0] _GEN_105 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 475:90] + wire [2:0] _GEN_106 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_105; // @[lsu_bus_buffer.scala 475:90] + wire [2:0] _GEN_107 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_106; // @[lsu_bus_buffer.scala 475:90] + wire _T_3794 = _GEN_107 != 3'h4; // @[lsu_bus_buffer.scala 475:90] + wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 475:61] + wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 476:31] wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 61:118] wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 61:118] wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 61:118] @@ -6989,17 +6933,17 @@ module lsu_bus_buffer( wire _T_3815 = _T_3811 | _T_3812; // @[Mux.scala 27:72] wire _T_3816 = _T_3815 | _T_3813; // @[Mux.scala 27:72] wire _T_3817 = _T_3816 | _T_3814; // @[Mux.scala 27:72] - wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 474:101] - wire _T_3820 = _GEN_107 == 3'h4; // @[lsu_bus_buffer.scala 474:167] - wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 474:138] - wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 474:187] - wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 474:53] - wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 481:47] - wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 481:62] - wire _T_3860 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 485:50] - wire _T_3861 = buf_state_en_1 & _T_3860; // @[lsu_bus_buffer.scala 485:48] - wire _T_3873 = buf_ldfwd[1] | _T_3878[0]; // @[lsu_bus_buffer.scala 490:90] - wire _T_3874 = _T_3873 | any_done_wait_state; // @[lsu_bus_buffer.scala 490:118] + wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 476:101] + wire _T_3820 = _GEN_107 == 3'h4; // @[lsu_bus_buffer.scala 476:167] + wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 476:138] + wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 476:187] + wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 476:53] + wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 483:47] + wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 483:62] + wire _T_3860 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 487:50] + wire _T_3861 = buf_state_en_1 & _T_3860; // @[lsu_bus_buffer.scala 487:48] + wire _T_3873 = buf_ldfwd[1] | _T_3878[0]; // @[lsu_bus_buffer.scala 492:90] + wire _T_3874 = _T_3873 | any_done_wait_state; // @[lsu_bus_buffer.scala 492:118] wire _GEN_115 = _T_3894 ? buf_state_en_1 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3886 ? io_dec_tlu_force_halt : _T_3894; // @[Conditional.scala 39:67] wire _GEN_120 = _T_3886 ? io_dec_tlu_force_halt : _GEN_115; // @[Conditional.scala 39:67] @@ -7018,34 +6962,34 @@ module lsu_bus_buffer( wire buf_data_en_1 = _T_3722 ? buf_state_en_1 : _GEN_157; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3722 ? io_dec_tlu_force_halt : _GEN_152; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3722 ? io_dec_tlu_force_halt : _GEN_155; // @[Conditional.scala 40:58] - wire _T_3957 = buf_state_en_2 & _T_4027; // @[lsu_bus_buffer.scala 464:44] - wire _T_3958 = _T_3957 & obuf_nosend; // @[lsu_bus_buffer.scala 464:60] - wire _T_3960 = _T_3958 & _T_2594; // @[lsu_bus_buffer.scala 464:74] - wire _T_3963 = _T_3953 & obuf_nosend; // @[lsu_bus_buffer.scala 466:67] - wire _T_3964 = _T_3963 & bus_rsp_read; // @[lsu_bus_buffer.scala 466:81] - wire _T_3967 = _T_3963 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 467:82] - wire _T_4041 = bus_rsp_read_error & _T_4020; // @[lsu_bus_buffer.scala 482:91] - wire _T_4043 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 483:31] - wire _T_4045 = _T_4043 & _T_1349; // @[lsu_bus_buffer.scala 483:46] - wire _T_4046 = _T_4041 | _T_4045; // @[lsu_bus_buffer.scala 482:143] - wire _T_4048 = bus_rsp_write_error & _T_4018; // @[lsu_bus_buffer.scala 484:33] - wire _T_4049 = _T_4046 | _T_4048; // @[lsu_bus_buffer.scala 483:88] - wire _T_4050 = _T_3953 & _T_4049; // @[lsu_bus_buffer.scala 482:68] + wire _T_3957 = buf_state_en_2 & _T_4027; // @[lsu_bus_buffer.scala 466:44] + wire _T_3958 = _T_3957 & obuf_nosend; // @[lsu_bus_buffer.scala 466:60] + wire _T_3960 = _T_3958 & _T_2594; // @[lsu_bus_buffer.scala 466:74] + wire _T_3963 = _T_3953 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] + wire _T_3964 = _T_3963 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] + wire _T_3967 = _T_3963 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] + wire _T_4041 = bus_rsp_read_error & _T_4020; // @[lsu_bus_buffer.scala 484:91] + wire _T_4043 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 485:31] + wire _T_4045 = _T_4043 & _T_1349; // @[lsu_bus_buffer.scala 485:46] + wire _T_4046 = _T_4041 | _T_4045; // @[lsu_bus_buffer.scala 484:143] + wire _T_4048 = bus_rsp_write_error & _T_4018; // @[lsu_bus_buffer.scala 486:33] + wire _T_4049 = _T_4046 | _T_4048; // @[lsu_bus_buffer.scala 485:88] + wire _T_4050 = _T_3953 & _T_4049; // @[lsu_bus_buffer.scala 484:68] wire _GEN_208 = _T_3974 & _T_4050; // @[Conditional.scala 39:67] wire _GEN_221 = _T_3940 ? _T_3967 : _GEN_208; // @[Conditional.scala 39:67] wire _GEN_234 = _T_3936 ? 1'h0 : _GEN_221; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3913 ? 1'h0 : _GEN_234; // @[Conditional.scala 40:58] - wire _T_3977 = buf_write[2] & _T_3594; // @[lsu_bus_buffer.scala 472:73] - wire _T_3978 = io_dec_tlu_force_halt | _T_3977; // @[lsu_bus_buffer.scala 472:57] - wire _T_3980 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 473:30] - wire _T_3981 = buf_dual_2 & _T_3980; // @[lsu_bus_buffer.scala 473:28] - wire _T_3984 = _T_3981 & _T_4027; // @[lsu_bus_buffer.scala 473:45] - wire [2:0] _GEN_181 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_182 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_181; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_183 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_182; // @[lsu_bus_buffer.scala 473:90] - wire _T_3985 = _GEN_183 != 3'h4; // @[lsu_bus_buffer.scala 473:90] - wire _T_3986 = _T_3984 & _T_3985; // @[lsu_bus_buffer.scala 473:61] - wire _T_3988 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 474:31] + wire _T_3977 = buf_write[2] & _T_3594; // @[lsu_bus_buffer.scala 474:73] + wire _T_3978 = io_dec_tlu_force_halt | _T_3977; // @[lsu_bus_buffer.scala 474:57] + wire _T_3980 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 475:30] + wire _T_3981 = buf_dual_2 & _T_3980; // @[lsu_bus_buffer.scala 475:28] + wire _T_3984 = _T_3981 & _T_4027; // @[lsu_bus_buffer.scala 475:45] + wire [2:0] _GEN_181 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 475:90] + wire [2:0] _GEN_182 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_181; // @[lsu_bus_buffer.scala 475:90] + wire [2:0] _GEN_183 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_182; // @[lsu_bus_buffer.scala 475:90] + wire _T_3985 = _GEN_183 != 3'h4; // @[lsu_bus_buffer.scala 475:90] + wire _T_3986 = _T_3984 & _T_3985; // @[lsu_bus_buffer.scala 475:61] + wire _T_3988 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 476:31] wire _T_3994 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 61:118] wire _T_3996 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 61:118] wire _T_3998 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 61:118] @@ -7057,17 +7001,17 @@ module lsu_bus_buffer( wire _T_4006 = _T_4002 | _T_4003; // @[Mux.scala 27:72] wire _T_4007 = _T_4006 | _T_4004; // @[Mux.scala 27:72] wire _T_4008 = _T_4007 | _T_4005; // @[Mux.scala 27:72] - wire _T_4010 = _T_3984 & _T_4008; // @[lsu_bus_buffer.scala 474:101] - wire _T_4011 = _GEN_183 == 3'h4; // @[lsu_bus_buffer.scala 474:167] - wire _T_4012 = _T_4010 & _T_4011; // @[lsu_bus_buffer.scala 474:138] - wire _T_4013 = _T_4012 & any_done_wait_state; // @[lsu_bus_buffer.scala 474:187] - wire _T_4014 = _T_3988 | _T_4013; // @[lsu_bus_buffer.scala 474:53] - wire _T_4037 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 481:47] - wire _T_4038 = _T_4037 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 481:62] - wire _T_4051 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 485:50] - wire _T_4052 = buf_state_en_2 & _T_4051; // @[lsu_bus_buffer.scala 485:48] - wire _T_4064 = buf_ldfwd[2] | _T_4069[0]; // @[lsu_bus_buffer.scala 490:90] - wire _T_4065 = _T_4064 | any_done_wait_state; // @[lsu_bus_buffer.scala 490:118] + wire _T_4010 = _T_3984 & _T_4008; // @[lsu_bus_buffer.scala 476:101] + wire _T_4011 = _GEN_183 == 3'h4; // @[lsu_bus_buffer.scala 476:167] + wire _T_4012 = _T_4010 & _T_4011; // @[lsu_bus_buffer.scala 476:138] + wire _T_4013 = _T_4012 & any_done_wait_state; // @[lsu_bus_buffer.scala 476:187] + wire _T_4014 = _T_3988 | _T_4013; // @[lsu_bus_buffer.scala 476:53] + wire _T_4037 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 483:47] + wire _T_4038 = _T_4037 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 483:62] + wire _T_4051 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 487:50] + wire _T_4052 = buf_state_en_2 & _T_4051; // @[lsu_bus_buffer.scala 487:48] + wire _T_4064 = buf_ldfwd[2] | _T_4069[0]; // @[lsu_bus_buffer.scala 492:90] + wire _T_4065 = _T_4064 | any_done_wait_state; // @[lsu_bus_buffer.scala 492:118] wire _GEN_191 = _T_4085 ? buf_state_en_2 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_195 = _T_4077 ? io_dec_tlu_force_halt : _T_4085; // @[Conditional.scala 39:67] wire _GEN_196 = _T_4077 ? io_dec_tlu_force_halt : _GEN_191; // @[Conditional.scala 39:67] @@ -7086,34 +7030,34 @@ module lsu_bus_buffer( wire buf_data_en_2 = _T_3913 ? buf_state_en_2 : _GEN_233; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3913 ? io_dec_tlu_force_halt : _GEN_228; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3913 ? io_dec_tlu_force_halt : _GEN_231; // @[Conditional.scala 40:58] - wire _T_4148 = buf_state_en_3 & _T_4218; // @[lsu_bus_buffer.scala 464:44] - wire _T_4149 = _T_4148 & obuf_nosend; // @[lsu_bus_buffer.scala 464:60] - wire _T_4151 = _T_4149 & _T_2594; // @[lsu_bus_buffer.scala 464:74] - wire _T_4154 = _T_4144 & obuf_nosend; // @[lsu_bus_buffer.scala 466:67] - wire _T_4155 = _T_4154 & bus_rsp_read; // @[lsu_bus_buffer.scala 466:81] - wire _T_4158 = _T_4154 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 467:82] - wire _T_4232 = bus_rsp_read_error & _T_4211; // @[lsu_bus_buffer.scala 482:91] - wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 483:31] - wire _T_4236 = _T_4234 & _T_1349; // @[lsu_bus_buffer.scala 483:46] - wire _T_4237 = _T_4232 | _T_4236; // @[lsu_bus_buffer.scala 482:143] - wire _T_4239 = bus_rsp_write_error & _T_4209; // @[lsu_bus_buffer.scala 484:33] - wire _T_4240 = _T_4237 | _T_4239; // @[lsu_bus_buffer.scala 483:88] - wire _T_4241 = _T_4144 & _T_4240; // @[lsu_bus_buffer.scala 482:68] + wire _T_4148 = buf_state_en_3 & _T_4218; // @[lsu_bus_buffer.scala 466:44] + wire _T_4149 = _T_4148 & obuf_nosend; // @[lsu_bus_buffer.scala 466:60] + wire _T_4151 = _T_4149 & _T_2594; // @[lsu_bus_buffer.scala 466:74] + wire _T_4154 = _T_4144 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] + wire _T_4155 = _T_4154 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] + wire _T_4158 = _T_4154 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] + wire _T_4232 = bus_rsp_read_error & _T_4211; // @[lsu_bus_buffer.scala 484:91] + wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 485:31] + wire _T_4236 = _T_4234 & _T_1349; // @[lsu_bus_buffer.scala 485:46] + wire _T_4237 = _T_4232 | _T_4236; // @[lsu_bus_buffer.scala 484:143] + wire _T_4239 = bus_rsp_write_error & _T_4209; // @[lsu_bus_buffer.scala 486:33] + wire _T_4240 = _T_4237 | _T_4239; // @[lsu_bus_buffer.scala 485:88] + wire _T_4241 = _T_4144 & _T_4240; // @[lsu_bus_buffer.scala 484:68] wire _GEN_284 = _T_4165 & _T_4241; // @[Conditional.scala 39:67] wire _GEN_297 = _T_4131 ? _T_4158 : _GEN_284; // @[Conditional.scala 39:67] wire _GEN_310 = _T_4127 ? 1'h0 : _GEN_297; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4104 ? 1'h0 : _GEN_310; // @[Conditional.scala 40:58] - wire _T_4168 = buf_write[3] & _T_3594; // @[lsu_bus_buffer.scala 472:73] - wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[lsu_bus_buffer.scala 472:57] - wire _T_4171 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 473:30] - wire _T_4172 = buf_dual_3 & _T_4171; // @[lsu_bus_buffer.scala 473:28] - wire _T_4175 = _T_4172 & _T_4218; // @[lsu_bus_buffer.scala 473:45] - wire [2:0] _GEN_257 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_258 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_257; // @[lsu_bus_buffer.scala 473:90] - wire [2:0] _GEN_259 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_258; // @[lsu_bus_buffer.scala 473:90] - wire _T_4176 = _GEN_259 != 3'h4; // @[lsu_bus_buffer.scala 473:90] - wire _T_4177 = _T_4175 & _T_4176; // @[lsu_bus_buffer.scala 473:61] - wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 474:31] + wire _T_4168 = buf_write[3] & _T_3594; // @[lsu_bus_buffer.scala 474:73] + wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[lsu_bus_buffer.scala 474:57] + wire _T_4171 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 475:30] + wire _T_4172 = buf_dual_3 & _T_4171; // @[lsu_bus_buffer.scala 475:28] + wire _T_4175 = _T_4172 & _T_4218; // @[lsu_bus_buffer.scala 475:45] + wire [2:0] _GEN_257 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 475:90] + wire [2:0] _GEN_258 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_257; // @[lsu_bus_buffer.scala 475:90] + wire [2:0] _GEN_259 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_258; // @[lsu_bus_buffer.scala 475:90] + wire _T_4176 = _GEN_259 != 3'h4; // @[lsu_bus_buffer.scala 475:90] + wire _T_4177 = _T_4175 & _T_4176; // @[lsu_bus_buffer.scala 475:61] + wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 476:31] wire _T_4185 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 61:118] wire _T_4187 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 61:118] wire _T_4189 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 61:118] @@ -7125,17 +7069,17 @@ module lsu_bus_buffer( wire _T_4197 = _T_4193 | _T_4194; // @[Mux.scala 27:72] wire _T_4198 = _T_4197 | _T_4195; // @[Mux.scala 27:72] wire _T_4199 = _T_4198 | _T_4196; // @[Mux.scala 27:72] - wire _T_4201 = _T_4175 & _T_4199; // @[lsu_bus_buffer.scala 474:101] - wire _T_4202 = _GEN_259 == 3'h4; // @[lsu_bus_buffer.scala 474:167] - wire _T_4203 = _T_4201 & _T_4202; // @[lsu_bus_buffer.scala 474:138] - wire _T_4204 = _T_4203 & any_done_wait_state; // @[lsu_bus_buffer.scala 474:187] - wire _T_4205 = _T_4179 | _T_4204; // @[lsu_bus_buffer.scala 474:53] - wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 481:47] - wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 481:62] - wire _T_4242 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 485:50] - wire _T_4243 = buf_state_en_3 & _T_4242; // @[lsu_bus_buffer.scala 485:48] - wire _T_4255 = buf_ldfwd[3] | _T_4260[0]; // @[lsu_bus_buffer.scala 490:90] - wire _T_4256 = _T_4255 | any_done_wait_state; // @[lsu_bus_buffer.scala 490:118] + wire _T_4201 = _T_4175 & _T_4199; // @[lsu_bus_buffer.scala 476:101] + wire _T_4202 = _GEN_259 == 3'h4; // @[lsu_bus_buffer.scala 476:167] + wire _T_4203 = _T_4201 & _T_4202; // @[lsu_bus_buffer.scala 476:138] + wire _T_4204 = _T_4203 & any_done_wait_state; // @[lsu_bus_buffer.scala 476:187] + wire _T_4205 = _T_4179 | _T_4204; // @[lsu_bus_buffer.scala 476:53] + wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 483:47] + wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 483:62] + wire _T_4242 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 487:50] + wire _T_4243 = buf_state_en_3 & _T_4242; // @[lsu_bus_buffer.scala 487:48] + wire _T_4255 = buf_ldfwd[3] | _T_4260[0]; // @[lsu_bus_buffer.scala 492:90] + wire _T_4256 = _T_4255 | any_done_wait_state; // @[lsu_bus_buffer.scala 492:118] wire _GEN_267 = _T_4276 ? buf_state_en_3 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4268 ? io_dec_tlu_force_halt : _T_4276; // @[Conditional.scala 39:67] wire _GEN_272 = _T_4268 ? io_dec_tlu_force_halt : _GEN_267; // @[Conditional.scala 39:67] @@ -7159,51 +7103,51 @@ module lsu_bus_buffer( reg _T_4337; // @[Reg.scala 27:20] reg _T_4340; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4340,_T_4337,_T_4334,_T_4331}; // @[Cat.scala 29:58] - wire _T_4387 = ~buf_rst_0; // @[lsu_bus_buffer.scala 531:81] - reg _T_4406; // @[lsu_bus_buffer.scala 531:80] - reg _T_4401; // @[lsu_bus_buffer.scala 531:80] - reg _T_4396; // @[lsu_bus_buffer.scala 531:80] - reg _T_4391; // @[lsu_bus_buffer.scala 531:80] + wire _T_4387 = ~buf_rst_0; // @[lsu_bus_buffer.scala 533:81] + reg _T_4406; // @[lsu_bus_buffer.scala 533:80] + reg _T_4401; // @[lsu_bus_buffer.scala 533:80] + reg _T_4396; // @[lsu_bus_buffer.scala 533:80] + reg _T_4391; // @[lsu_bus_buffer.scala 533:80] wire [3:0] buf_error = {_T_4406,_T_4401,_T_4396,_T_4391}; // @[Cat.scala 29:58] - wire _T_4389 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 531:98] - wire _T_4392 = ~buf_rst_1; // @[lsu_bus_buffer.scala 531:81] - wire _T_4394 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 531:98] - wire _T_4397 = ~buf_rst_2; // @[lsu_bus_buffer.scala 531:81] - wire _T_4399 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 531:98] - wire _T_4402 = ~buf_rst_3; // @[lsu_bus_buffer.scala 531:81] - wire _T_4404 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 531:98] + wire _T_4389 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 533:98] + wire _T_4392 = ~buf_rst_1; // @[lsu_bus_buffer.scala 533:81] + wire _T_4394 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 533:98] + wire _T_4397 = ~buf_rst_2; // @[lsu_bus_buffer.scala 533:81] + wire _T_4399 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 533:98] + wire _T_4402 = ~buf_rst_3; // @[lsu_bus_buffer.scala 533:81] + wire _T_4404 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 533:98] wire [1:0] _T_4410 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4411 = io_ldst_dual_m ? _T_4410 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 532:28] + wire [1:0] _T_4411 = io_ldst_dual_m ? _T_4410 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 534:28] wire [1:0] _T_4412 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4413 = io_ldst_dual_r ? _T_4412 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 532:94] - wire [2:0] _T_4414 = _T_4411 + _T_4413; // @[lsu_bus_buffer.scala 532:88] - wire [2:0] _GEN_390 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 532:154] - wire [3:0] _T_4415 = _T_4414 + _GEN_390; // @[lsu_bus_buffer.scala 532:154] - wire [1:0] _T_4420 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 532:217] - wire [1:0] _GEN_391 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 532:217] - wire [2:0] _T_4421 = _T_4420 + _GEN_391; // @[lsu_bus_buffer.scala 532:217] - wire [2:0] _GEN_392 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 532:217] - wire [3:0] _T_4422 = _T_4421 + _GEN_392; // @[lsu_bus_buffer.scala 532:217] - wire [3:0] buf_numvld_any = _T_4415 + _T_4422; // @[lsu_bus_buffer.scala 532:169] - wire _T_4493 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 538:52] - wire _T_4494 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 538:92] - wire _T_4495 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 538:121] - wire _T_4497 = |buf_state_0; // @[lsu_bus_buffer.scala 539:52] - wire _T_4498 = |buf_state_1; // @[lsu_bus_buffer.scala 539:52] - wire _T_4499 = |buf_state_2; // @[lsu_bus_buffer.scala 539:52] - wire _T_4500 = |buf_state_3; // @[lsu_bus_buffer.scala 539:52] - wire _T_4501 = _T_4497 | _T_4498; // @[lsu_bus_buffer.scala 539:65] - wire _T_4502 = _T_4501 | _T_4499; // @[lsu_bus_buffer.scala 539:65] - wire _T_4503 = _T_4502 | _T_4500; // @[lsu_bus_buffer.scala 539:65] - wire _T_4504 = ~_T_4503; // @[lsu_bus_buffer.scala 539:34] - wire _T_4506 = _T_4504 & _T_852; // @[lsu_bus_buffer.scala 539:70] - wire _T_4509 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 541:64] - wire _T_4510 = _T_4509 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 541:85] - wire _T_4511 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 541:112] - wire _T_4512 = _T_4510 & _T_4511; // @[lsu_bus_buffer.scala 541:110] - wire _T_4513 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 541:129] - wire _T_4515 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 544:74] - reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 629:66] + wire [1:0] _T_4413 = io_ldst_dual_r ? _T_4412 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 534:94] + wire [2:0] _T_4414 = _T_4411 + _T_4413; // @[lsu_bus_buffer.scala 534:88] + wire [2:0] _GEN_390 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 534:154] + wire [3:0] _T_4415 = _T_4414 + _GEN_390; // @[lsu_bus_buffer.scala 534:154] + wire [1:0] _T_4420 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 534:217] + wire [1:0] _GEN_391 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 534:217] + wire [2:0] _T_4421 = _T_4420 + _GEN_391; // @[lsu_bus_buffer.scala 534:217] + wire [2:0] _GEN_392 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 534:217] + wire [3:0] _T_4422 = _T_4421 + _GEN_392; // @[lsu_bus_buffer.scala 534:217] + wire [3:0] buf_numvld_any = _T_4415 + _T_4422; // @[lsu_bus_buffer.scala 534:169] + wire _T_4493 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 540:52] + wire _T_4494 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 540:92] + wire _T_4495 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 540:121] + wire _T_4497 = |buf_state_0; // @[lsu_bus_buffer.scala 541:52] + wire _T_4498 = |buf_state_1; // @[lsu_bus_buffer.scala 541:52] + wire _T_4499 = |buf_state_2; // @[lsu_bus_buffer.scala 541:52] + wire _T_4500 = |buf_state_3; // @[lsu_bus_buffer.scala 541:52] + wire _T_4501 = _T_4497 | _T_4498; // @[lsu_bus_buffer.scala 541:65] + wire _T_4502 = _T_4501 | _T_4499; // @[lsu_bus_buffer.scala 541:65] + wire _T_4503 = _T_4502 | _T_4500; // @[lsu_bus_buffer.scala 541:65] + wire _T_4504 = ~_T_4503; // @[lsu_bus_buffer.scala 541:34] + wire _T_4506 = _T_4504 & _T_852; // @[lsu_bus_buffer.scala 541:70] + wire _T_4509 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 543:64] + wire _T_4510 = _T_4509 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 543:85] + wire _T_4511 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 543:112] + wire _T_4512 = _T_4510 & _T_4511; // @[lsu_bus_buffer.scala 543:110] + wire _T_4513 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 543:129] + wire _T_4515 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 546:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 631:66] wire _T_4529 = _T_2770 & _T_3645; // @[Mux.scala 27:72] wire _T_4530 = _T_2792 & _T_3836; // @[Mux.scala 27:72] wire _T_4531 = _T_2814 & _T_4027; // @[Mux.scala 27:72] @@ -7211,32 +7155,32 @@ module lsu_bus_buffer( wire _T_4533 = _T_4529 | _T_4530; // @[Mux.scala 27:72] wire _T_4534 = _T_4533 | _T_4531; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4534 | _T_4532; // @[Mux.scala 27:72] - wire _T_4540 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 547:121] - wire _T_4545 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 547:121] - wire _T_4550 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 547:121] - wire _T_4555 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 547:121] + wire _T_4540 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 549:121] + wire _T_4545 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 549:121] + wire _T_4550 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 549:121] + wire _T_4555 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 549:121] wire _T_4556 = _T_2770 & _T_4540; // @[Mux.scala 27:72] wire _T_4557 = _T_2792 & _T_4545; // @[Mux.scala 27:72] wire _T_4558 = _T_2814 & _T_4550; // @[Mux.scala 27:72] wire _T_4559 = _T_2836 & _T_4555; // @[Mux.scala 27:72] wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] - wire _T_4568 = ~buf_dual_0; // @[lsu_bus_buffer.scala 548:121] - wire _T_4569 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 548:136] - wire _T_4570 = _T_4568 | _T_4569; // @[lsu_bus_buffer.scala 548:134] - wire _T_4571 = _T_4529 & _T_4570; // @[lsu_bus_buffer.scala 548:118] - wire _T_4576 = ~buf_dual_1; // @[lsu_bus_buffer.scala 548:121] - wire _T_4577 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 548:136] - wire _T_4578 = _T_4576 | _T_4577; // @[lsu_bus_buffer.scala 548:134] - wire _T_4579 = _T_4530 & _T_4578; // @[lsu_bus_buffer.scala 548:118] - wire _T_4584 = ~buf_dual_2; // @[lsu_bus_buffer.scala 548:121] - wire _T_4585 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 548:136] - wire _T_4586 = _T_4584 | _T_4585; // @[lsu_bus_buffer.scala 548:134] - wire _T_4587 = _T_4531 & _T_4586; // @[lsu_bus_buffer.scala 548:118] - wire _T_4592 = ~buf_dual_3; // @[lsu_bus_buffer.scala 548:121] - wire _T_4593 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 548:136] - wire _T_4594 = _T_4592 | _T_4593; // @[lsu_bus_buffer.scala 548:134] - wire _T_4595 = _T_4532 & _T_4594; // @[lsu_bus_buffer.scala 548:118] + wire _T_4568 = ~buf_dual_0; // @[lsu_bus_buffer.scala 550:121] + wire _T_4569 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 550:136] + wire _T_4570 = _T_4568 | _T_4569; // @[lsu_bus_buffer.scala 550:134] + wire _T_4571 = _T_4529 & _T_4570; // @[lsu_bus_buffer.scala 550:118] + wire _T_4576 = ~buf_dual_1; // @[lsu_bus_buffer.scala 550:121] + wire _T_4577 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 550:136] + wire _T_4578 = _T_4576 | _T_4577; // @[lsu_bus_buffer.scala 550:134] + wire _T_4579 = _T_4530 & _T_4578; // @[lsu_bus_buffer.scala 550:118] + wire _T_4584 = ~buf_dual_2; // @[lsu_bus_buffer.scala 550:121] + wire _T_4585 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 550:136] + wire _T_4586 = _T_4584 | _T_4585; // @[lsu_bus_buffer.scala 550:134] + wire _T_4587 = _T_4531 & _T_4586; // @[lsu_bus_buffer.scala 550:118] + wire _T_4592 = ~buf_dual_3; // @[lsu_bus_buffer.scala 550:121] + wire _T_4593 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 550:136] + wire _T_4594 = _T_4592 | _T_4593; // @[lsu_bus_buffer.scala 550:134] + wire _T_4595 = _T_4532 & _T_4594; // @[lsu_bus_buffer.scala 550:118] wire [1:0] _T_4598 = _T_4587 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4599 = _T_4595 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_393 = {{1'd0}, _T_4579}; // @[Mux.scala 27:72] @@ -7248,10 +7192,10 @@ module lsu_bus_buffer( wire [31:0] _T_4640 = _T_4636 | _T_4637; // @[Mux.scala 27:72] wire [31:0] _T_4641 = _T_4640 | _T_4638; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4641 | _T_4639; // @[Mux.scala 27:72] - wire _T_4648 = _T_4529 & _T_3643; // @[lsu_bus_buffer.scala 550:105] - wire _T_4654 = _T_4530 & _T_3834; // @[lsu_bus_buffer.scala 550:105] - wire _T_4660 = _T_4531 & _T_4025; // @[lsu_bus_buffer.scala 550:105] - wire _T_4666 = _T_4532 & _T_4216; // @[lsu_bus_buffer.scala 550:105] + wire _T_4648 = _T_4529 & _T_3643; // @[lsu_bus_buffer.scala 552:105] + wire _T_4654 = _T_4530 & _T_3834; // @[lsu_bus_buffer.scala 552:105] + wire _T_4660 = _T_4531 & _T_4025; // @[lsu_bus_buffer.scala 552:105] + wire _T_4666 = _T_4532 & _T_4216; // @[lsu_bus_buffer.scala 552:105] wire [31:0] _T_4667 = _T_4648 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4668 = _T_4654 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4669 = _T_4660 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -7270,7 +7214,7 @@ module lsu_bus_buffer( wire [31:0] _T_4682 = _T_4678 | _T_4679; // @[Mux.scala 27:72] wire [31:0] _T_4683 = _T_4682 | _T_4680; // @[Mux.scala 27:72] wire [31:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[lsu_bus_buffer.scala 551:96] + wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[lsu_bus_buffer.scala 553:96] wire [1:0] _T_4690 = _T_4674 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4691 = _T_4675 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4692 = _T_4676 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -7286,24 +7230,24 @@ module lsu_bus_buffer( wire _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4710 | _T_4708; // @[Mux.scala 27:72] wire [63:0] _T_4712 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 555:121] - wire [5:0] _T_4713 = _GEN_394 * 4'h8; // @[lsu_bus_buffer.scala 555:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4712 >> _T_4713; // @[lsu_bus_buffer.scala 555:92] - wire _T_4714 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 557:82] - wire _T_4716 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 558:81] - wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 558:63] + wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 557:121] + wire [5:0] _T_4713 = _GEN_394 * 4'h8; // @[lsu_bus_buffer.scala 557:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4712 >> _T_4713; // @[lsu_bus_buffer.scala 557:92] + wire _T_4714 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 559:82] + wire _T_4716 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 560:81] + wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 560:63] wire [31:0] _T_4719 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4720 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 559:45] - wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 559:26] + wire _T_4720 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 561:45] + wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 561:26] wire [31:0] _T_4723 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4724 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 560:6] - wire _T_4726 = _T_4724 & _T_4716; // @[lsu_bus_buffer.scala 560:27] + wire _T_4724 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 562:6] + wire _T_4726 = _T_4724 & _T_4716; // @[lsu_bus_buffer.scala 562:27] wire [23:0] _T_4729 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4731 = {_T_4729,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4734 = _T_4724 & _T_4720; // @[lsu_bus_buffer.scala 561:27] + wire _T_4734 = _T_4724 & _T_4720; // @[lsu_bus_buffer.scala 563:27] wire [15:0] _T_4737 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4739 = {_T_4737,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4740 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 562:21] + wire _T_4740 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 564:21] wire [31:0] _T_4741 = _T_4717 ? _T_4719 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4742 = _T_4721 ? _T_4723 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4743 = _T_4726 ? _T_4731 : 32'h0; // @[Mux.scala 27:72] @@ -7314,50 +7258,50 @@ module lsu_bus_buffer( wire [31:0] _T_4748 = _T_4747 | _T_4744; // @[Mux.scala 27:72] wire [63:0] _GEN_395 = {{32'd0}, _T_4748}; // @[Mux.scala 27:72] wire [63:0] _T_4749 = _GEN_395 | _T_4745; // @[Mux.scala 27:72] - wire _T_4878 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 610:126] - wire _T_4880 = _T_4878 & buf_write[0]; // @[lsu_bus_buffer.scala 610:141] - wire _T_4883 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 610:126] - wire _T_4885 = _T_4883 & buf_write[1]; // @[lsu_bus_buffer.scala 610:141] - wire _T_4888 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 610:126] - wire _T_4890 = _T_4888 & buf_write[2]; // @[lsu_bus_buffer.scala 610:141] - wire _T_4893 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 610:126] - wire _T_4895 = _T_4893 & buf_write[3]; // @[lsu_bus_buffer.scala 610:141] + wire _T_4878 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 612:126] + wire _T_4880 = _T_4878 & buf_write[0]; // @[lsu_bus_buffer.scala 612:141] + wire _T_4883 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 612:126] + wire _T_4885 = _T_4883 & buf_write[1]; // @[lsu_bus_buffer.scala 612:141] + wire _T_4888 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 612:126] + wire _T_4890 = _T_4888 & buf_write[2]; // @[lsu_bus_buffer.scala 612:141] + wire _T_4893 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 612:126] + wire _T_4895 = _T_4893 & buf_write[3]; // @[lsu_bus_buffer.scala 612:141] wire _T_4896 = _T_2770 & _T_4880; // @[Mux.scala 27:72] wire _T_4897 = _T_2792 & _T_4885; // @[Mux.scala 27:72] wire _T_4898 = _T_2814 & _T_4890; // @[Mux.scala 27:72] wire _T_4899 = _T_2836 & _T_4895; // @[Mux.scala 27:72] wire _T_4900 = _T_4896 | _T_4897; // @[Mux.scala 27:72] wire _T_4901 = _T_4900 | _T_4898; // @[Mux.scala 27:72] - wire _T_4911 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 611:93] - wire _T_4913 = _T_4911 & buf_write[1]; // @[lsu_bus_buffer.scala 611:108] - wire _T_4916 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 611:93] - wire _T_4918 = _T_4916 & buf_write[2]; // @[lsu_bus_buffer.scala 611:108] - wire _T_4921 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 611:93] - wire _T_4923 = _T_4921 & buf_write[3]; // @[lsu_bus_buffer.scala 611:108] + wire _T_4911 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 613:93] + wire _T_4913 = _T_4911 & buf_write[1]; // @[lsu_bus_buffer.scala 613:108] + wire _T_4916 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 613:93] + wire _T_4918 = _T_4916 & buf_write[2]; // @[lsu_bus_buffer.scala 613:108] + wire _T_4921 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 613:93] + wire _T_4923 = _T_4921 & buf_write[3]; // @[lsu_bus_buffer.scala 613:108] wire [1:0] _T_4926 = _T_4918 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4927 = _T_4923 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_396 = {{1'd0}, _T_4913}; // @[Mux.scala 27:72] wire [1:0] _T_4929 = _GEN_396 | _T_4926; // @[Mux.scala 27:72] wire [1:0] lsu_imprecise_error_store_tag = _T_4929 | _T_4927; // @[Mux.scala 27:72] - wire _T_4931 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 613:97] - wire [31:0] _GEN_369 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 614:53] - wire [31:0] _GEN_370 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_369; // @[lsu_bus_buffer.scala 614:53] - wire [31:0] _GEN_371 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_370; // @[lsu_bus_buffer.scala 614:53] - wire [31:0] _GEN_373 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 614:53] - wire [31:0] _GEN_374 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_373; // @[lsu_bus_buffer.scala 614:53] - wire [31:0] _GEN_375 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_374; // @[lsu_bus_buffer.scala 614:53] - wire _T_4936 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 620:82] - wire _T_4939 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 621:60] - wire _T_4942 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 624:61] - wire _T_4943 = io_lsu_axi_aw_valid & _T_4942; // @[lsu_bus_buffer.scala 624:59] - wire _T_4944 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 624:107] - wire _T_4945 = io_lsu_axi_w_valid & _T_4944; // @[lsu_bus_buffer.scala 624:105] - wire _T_4946 = _T_4943 | _T_4945; // @[lsu_bus_buffer.scala 624:83] - wire _T_4947 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 624:153] - wire _T_4948 = io_lsu_axi_ar_valid & _T_4947; // @[lsu_bus_buffer.scala 624:151] - wire _T_4952 = ~io_flush_r; // @[lsu_bus_buffer.scala 628:75] - wire _T_4953 = io_lsu_busreq_m & _T_4952; // @[lsu_bus_buffer.scala 628:73] - reg _T_4956; // @[lsu_bus_buffer.scala 628:56] + wire _T_4931 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 615:97] + wire [31:0] _GEN_369 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 616:53] + wire [31:0] _GEN_370 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_369; // @[lsu_bus_buffer.scala 616:53] + wire [31:0] _GEN_371 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_370; // @[lsu_bus_buffer.scala 616:53] + wire [31:0] _GEN_373 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 616:53] + wire [31:0] _GEN_374 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_373; // @[lsu_bus_buffer.scala 616:53] + wire [31:0] _GEN_375 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_374; // @[lsu_bus_buffer.scala 616:53] + wire _T_4936 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 622:82] + wire _T_4939 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 623:60] + wire _T_4942 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 626:61] + wire _T_4943 = io_lsu_axi_aw_valid & _T_4942; // @[lsu_bus_buffer.scala 626:59] + wire _T_4944 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 626:107] + wire _T_4945 = io_lsu_axi_w_valid & _T_4944; // @[lsu_bus_buffer.scala 626:105] + wire _T_4946 = _T_4943 | _T_4945; // @[lsu_bus_buffer.scala 626:83] + wire _T_4947 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 626:153] + wire _T_4948 = io_lsu_axi_ar_valid & _T_4947; // @[lsu_bus_buffer.scala 626:151] + wire _T_4952 = ~io_flush_r; // @[lsu_bus_buffer.scala 630:75] + wire _T_4953 = io_lsu_busreq_m & _T_4952; // @[lsu_bus_buffer.scala 630:73] + reg _T_4956; // @[lsu_bus_buffer.scala 630:56] rvclkhdr rvclkhdr ( // @[lib.scala 404:23] .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en) @@ -7406,39 +7350,39 @@ module lsu_bus_buffer( .io_clk(rvclkhdr_11_io_clk), .io_en(rvclkhdr_11_io_en) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4936 | _T_4835; // @[lsu_bus_buffer.scala 620:35] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4939 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 621:41] - assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 622:36] - assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4946 | _T_4948; // @[lsu_bus_buffer.scala 624:35] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4931; // @[lsu_bus_buffer.scala 613:47] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4901 | _T_4899; // @[lsu_bus_buffer.scala 610:48] - assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_371 : _GEN_375; // @[lsu_bus_buffer.scala 614:47] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4512 & _T_4513; // @[lsu_bus_buffer.scala 541:45] - assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 542:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4515; // @[lsu_bus_buffer.scala 544:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 545:47] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4714; // @[lsu_bus_buffer.scala 557:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[lsu_bus_buffer.scala 547:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[lsu_bus_buffer.scala 548:45] - assign io_lsu_axi_aw_valid = 1'h0; // @[lsu_bus_buffer.scala 580:23] - assign io_lsu_axi_aw_bits_addr = {obuf_addr[31:3],3'h0}; // @[lsu_bus_buffer.scala 582:27] - assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 586:29] - assign io_lsu_axi_w_valid = 1'h0; // @[lsu_bus_buffer.scala 592:22] - assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 594:26] - assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 608:22] - assign io_lsu_axi_ar_valid = _T_1348 & _T_1237; // @[lsu_bus_buffer.scala 597:23] - assign io_lsu_axi_ar_bits_addr = {obuf_addr[31:3],3'h0}; // @[lsu_bus_buffer.scala 599:27] - assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 603:29] - assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 609:22] - assign io_lsu_busreq_r = _T_4956; // @[lsu_bus_buffer.scala 628:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 537:30] - assign io_lsu_bus_buffer_full_any = _T_4493 ? _T_4494 : _T_4495; // @[lsu_bus_buffer.scala 538:30] - assign io_lsu_bus_buffer_empty_any = _T_4506 & _T_1231; // @[lsu_bus_buffer.scala 539:31] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4936 | _T_4835; // @[lsu_bus_buffer.scala 622:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4939 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 623:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 624:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4946 | _T_4948; // @[lsu_bus_buffer.scala 626:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4931; // @[lsu_bus_buffer.scala 615:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4901 | _T_4899; // @[lsu_bus_buffer.scala 612:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_371 : _GEN_375; // @[lsu_bus_buffer.scala 616:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4512 & _T_4513; // @[lsu_bus_buffer.scala 543:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 544:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4515; // @[lsu_bus_buffer.scala 546:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 547:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4714; // @[lsu_bus_buffer.scala 559:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[lsu_bus_buffer.scala 549:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[lsu_bus_buffer.scala 550:45] + assign io_lsu_axi_aw_valid = 1'h0; // @[lsu_bus_buffer.scala 582:23] + assign io_lsu_axi_aw_bits_addr = {obuf_addr[31:3],3'h0}; // @[lsu_bus_buffer.scala 584:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 588:29] + assign io_lsu_axi_w_valid = 1'h0; // @[lsu_bus_buffer.scala 594:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 596:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 610:22] + assign io_lsu_axi_ar_valid = _T_1348 & _T_1237; // @[lsu_bus_buffer.scala 599:23] + assign io_lsu_axi_ar_bits_addr = {obuf_addr[31:3],3'h0}; // @[lsu_bus_buffer.scala 601:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 605:29] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 611:22] + assign io_lsu_busreq_r = _T_4956; // @[lsu_bus_buffer.scala 630:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 539:30] + assign io_lsu_bus_buffer_full_any = _T_4493 ? _T_4494 : _T_4495; // @[lsu_bus_buffer.scala 540:30] + assign io_lsu_bus_buffer_empty_any = _T_4506 & _T_1231; // @[lsu_bus_buffer.scala 541:31] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 142:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 143:25] assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 169:24] - assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 175:24] - assign io_lsu_nonblock_load_data = _T_4749[31:0]; // @[lsu_bus_buffer.scala 558:29] + assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 176:24] + assign io_lsu_nonblock_load_data = _T_4749[31:0]; // @[lsu_bus_buffer.scala 560:29] assign rvclkhdr_io_clk = clock; // @[lib.scala 406:18] assign rvclkhdr_io_en = _T_853 & _T_854; // @[lib.scala 407:17] assign rvclkhdr_1_io_clk = clock; // @[lib.scala 406:18] @@ -9804,6 +9748,8 @@ module lsu( reg [31:0] _RAND_0; reg [31:0] _RAND_1; reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; `endif // RANDOMIZE_REG_INIT wire lsu_lsc_ctl_clock; // @[lsu.scala 72:30] wire lsu_lsc_ctl_reset; // @[lsu.scala 72:30] @@ -9822,6 +9768,8 @@ module lsu( wire lsu_lsc_ctl_io_flush_m_up; // @[lsu.scala 72:30] wire lsu_lsc_ctl_io_flush_r; // @[lsu.scala 72:30] wire lsu_lsc_ctl_io_ldst_dual_d; // @[lsu.scala 72:30] + wire lsu_lsc_ctl_io_ldst_dual_m; // @[lsu.scala 72:30] + wire lsu_lsc_ctl_io_ldst_dual_r; // @[lsu.scala 72:30] wire [31:0] lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs1_d; // @[lsu.scala 72:30] wire [31:0] lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs2_d; // @[lsu.scala 72:30] wire [31:0] lsu_lsc_ctl_io_lsu_exu_lsu_result_m; // @[lsu.scala 72:30] @@ -10263,10 +10211,12 @@ module lsu( wire _T_55 = _T_51 | _T_54; // @[lsu.scala 120:144] wire _T_57 = lsu_lsc_ctl_io_lsu_pkt_m_valid & lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 121:73] wire _T_59 = lsu_lsc_ctl_io_lsu_pkt_m_valid & lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 122:73] - wire _T_98 = lsu_lsc_ctl_io_addr_external_m & lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 333:119] - wire [31:0] _T_100 = _T_98 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + reg _T_66; // @[lsu.scala 157:96] + reg _T_70; // @[lsu.scala 158:96] + wire _T_76 = lsu_lsc_ctl_io_addr_external_m & lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 333:119] + wire [31:0] _T_78 = _T_76 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] wire lsu_busreq_r = bus_intf_io_lsu_busreq_r; // @[lsu.scala 348:31] - wire [31:0] _T_103 = lsu_busreq_r ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_81 = lsu_busreq_r ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] reg [2:0] dma_mem_tag_m; // @[lsu.scala 352:67] reg lsu_raw_fwd_hi_r; // @[lsu.scala 353:67] reg lsu_raw_fwd_lo_r; // @[lsu.scala 354:67] @@ -10288,6 +10238,8 @@ module lsu( .io_flush_m_up(lsu_lsc_ctl_io_flush_m_up), .io_flush_r(lsu_lsc_ctl_io_flush_r), .io_ldst_dual_d(lsu_lsc_ctl_io_ldst_dual_d), + .io_ldst_dual_m(lsu_lsc_ctl_io_ldst_dual_m), + .io_ldst_dual_r(lsu_lsc_ctl_io_ldst_dual_r), .io_lsu_exu_exu_lsu_rs1_d(lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs1_d), .io_lsu_exu_exu_lsu_rs2_d(lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs2_d), .io_lsu_exu_lsu_result_m(lsu_lsc_ctl_io_lsu_exu_lsu_result_m), @@ -10800,6 +10752,8 @@ module lsu( assign lsu_lsc_ctl_io_flush_m_up = io_dec_tlu_flush_lower_r; // @[lsu.scala 139:46] assign lsu_lsc_ctl_io_flush_r = io_dec_tlu_i0_kill_writeb_r; // @[lsu.scala 140:46] assign lsu_lsc_ctl_io_ldst_dual_d = lsu_lsc_ctl_io_lsu_addr_d[2] != lsu_lsc_ctl_io_end_addr_d[2]; // @[lsu.scala 141:46] + assign lsu_lsc_ctl_io_ldst_dual_m = lsu_lsc_ctl_io_lsu_addr_m[2] != _T_66; // @[lsu.scala 142:46] + assign lsu_lsc_ctl_io_ldst_dual_r = lsu_lsc_ctl_io_lsu_addr_r[2] != _T_70; // @[lsu.scala 143:46] assign lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs1_d = io_lsu_exu_exu_lsu_rs1_d; // @[lsu.scala 144:46] assign lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs2_d = io_lsu_exu_exu_lsu_rs2_d; // @[lsu.scala 144:46] assign lsu_lsc_ctl_io_lsu_p_valid = io_lsu_p_valid; // @[lsu.scala 145:46] @@ -10860,7 +10814,7 @@ module lsu( assign dccm_ctl_io_lsu_raw_fwd_lo_r = lsu_raw_fwd_lo_r; // @[lsu.scala 183:46] assign dccm_ctl_io_lsu_raw_fwd_hi_r = lsu_raw_fwd_hi_r; // @[lsu.scala 184:46] assign dccm_ctl_io_lsu_commit_r = lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 185:46] - assign dccm_ctl_io_ldst_dual_m = lsu_lsc_ctl_io_lsu_addr_m[2] != lsu_lsc_ctl_io_end_addr_m[2]; // @[lsu.scala 167:46] + assign dccm_ctl_io_ldst_dual_m = lsu_lsc_ctl_io_lsu_addr_m[2] != _T_66; // @[lsu.scala 167:46] assign dccm_ctl_io_lsu_addr_d = lsu_lsc_ctl_io_lsu_addr_d; // @[lsu.scala 186:46] assign dccm_ctl_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m[15:0]; // @[lsu.scala 187:46] assign dccm_ctl_io_lsu_addr_r = lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 188:46] @@ -10925,8 +10879,8 @@ module lsu( assign stbuf_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m; // @[lsu.scala 246:66] assign stbuf_io_end_addr_r = lsu_lsc_ctl_io_end_addr_r; // @[lsu.scala 247:66] assign stbuf_io_ldst_dual_d = lsu_lsc_ctl_io_lsu_addr_d[2] != lsu_lsc_ctl_io_end_addr_d[2]; // @[lsu.scala 227:50] - assign stbuf_io_ldst_dual_m = lsu_lsc_ctl_io_lsu_addr_m[2] != lsu_lsc_ctl_io_end_addr_m[2]; // @[lsu.scala 228:50] - assign stbuf_io_ldst_dual_r = lsu_lsc_ctl_io_lsu_addr_r[2] != lsu_lsc_ctl_io_end_addr_r[2]; // @[lsu.scala 229:50] + assign stbuf_io_ldst_dual_m = lsu_lsc_ctl_io_lsu_addr_m[2] != _T_66; // @[lsu.scala 228:50] + assign stbuf_io_ldst_dual_r = lsu_lsc_ctl_io_lsu_addr_r[2] != _T_70; // @[lsu.scala 229:50] assign stbuf_io_addr_in_dccm_m = lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 248:50] assign stbuf_io_addr_in_dccm_r = lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 249:56] assign ecc_clock = clock; @@ -11025,14 +10979,14 @@ module lsu( assign bus_intf_io_lsu_pkt_r_bits_load = lsu_lsc_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 339:49] assign bus_intf_io_lsu_pkt_r_bits_store = lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 339:49] assign bus_intf_io_lsu_pkt_r_bits_unsign = lsu_lsc_ctl_io_lsu_pkt_r_bits_unsign; // @[lsu.scala 339:49] - assign bus_intf_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m & _T_100; // @[lsu.scala 333:49] - assign bus_intf_io_lsu_addr_r = lsu_lsc_ctl_io_lsu_addr_r & _T_103; // @[lsu.scala 334:49] - assign bus_intf_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m & _T_100; // @[lsu.scala 335:49] - assign bus_intf_io_end_addr_r = lsu_lsc_ctl_io_end_addr_r & _T_103; // @[lsu.scala 336:49] + assign bus_intf_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m & _T_78; // @[lsu.scala 333:49] + assign bus_intf_io_lsu_addr_r = lsu_lsc_ctl_io_lsu_addr_r & _T_81; // @[lsu.scala 334:49] + assign bus_intf_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m & _T_78; // @[lsu.scala 335:49] + assign bus_intf_io_end_addr_r = lsu_lsc_ctl_io_end_addr_r & _T_81; // @[lsu.scala 336:49] assign bus_intf_io_ldst_dual_d = lsu_lsc_ctl_io_lsu_addr_d[2] != lsu_lsc_ctl_io_end_addr_d[2]; // @[lsu.scala 330:49] - assign bus_intf_io_ldst_dual_m = lsu_lsc_ctl_io_lsu_addr_m[2] != lsu_lsc_ctl_io_end_addr_m[2]; // @[lsu.scala 331:49] - assign bus_intf_io_ldst_dual_r = lsu_lsc_ctl_io_lsu_addr_r[2] != lsu_lsc_ctl_io_end_addr_r[2]; // @[lsu.scala 332:49] - assign bus_intf_io_store_data_r = dccm_ctl_io_store_data_r & _T_103; // @[lsu.scala 337:49] + assign bus_intf_io_ldst_dual_m = lsu_lsc_ctl_io_lsu_addr_m[2] != _T_66; // @[lsu.scala 331:49] + assign bus_intf_io_ldst_dual_r = lsu_lsc_ctl_io_lsu_addr_r[2] != _T_70; // @[lsu.scala 332:49] + assign bus_intf_io_store_data_r = dccm_ctl_io_store_data_r & _T_81; // @[lsu.scala 337:49] assign bus_intf_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu.scala 340:49] assign bus_intf_io_lsu_commit_r = lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 341:49] assign bus_intf_io_is_sideeffects_m = lsu_lsc_ctl_io_is_sideeffects_m; // @[lsu.scala 342:49] @@ -11075,12 +11029,22 @@ initial begin `endif `ifdef RANDOMIZE_REG_INIT _RAND_0 = {1{`RANDOM}}; - dma_mem_tag_m = _RAND_0[2:0]; + _T_66 = _RAND_0[0:0]; _RAND_1 = {1{`RANDOM}}; - lsu_raw_fwd_hi_r = _RAND_1[0:0]; + _T_70 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - lsu_raw_fwd_lo_r = _RAND_2[0:0]; + dma_mem_tag_m = _RAND_2[2:0]; + _RAND_3 = {1{`RANDOM}}; + lsu_raw_fwd_hi_r = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + lsu_raw_fwd_lo_r = _RAND_4[0:0]; `endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_66 = 1'h0; + end + if (reset) begin + _T_70 = 1'h0; + end if (reset) begin dma_mem_tag_m = 3'h0; end @@ -11096,6 +11060,20 @@ end // initial `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS + always @(posedge clkdomain_io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_66 <= 1'h0; + end else begin + _T_66 <= lsu_lsc_ctl_io_end_addr_d[2]; + end + end + always @(posedge clkdomain_io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_70 <= 1'h0; + end else begin + _T_70 <= lsu_lsc_ctl_io_end_addr_m[2]; + end + end always @(posedge clkdomain_io_lsu_c1_m_clk or posedge reset) begin if (reset) begin dma_mem_tag_m <= 3'h0; diff --git a/lsu_lsc_ctl.anno.json b/lsu_lsc_ctl.anno.json index 0a7903d3..a26c17e5 100644 --- a/lsu_lsc_ctl.anno.json +++ b/lsu_lsc_ctl.anno.json @@ -1,11 +1,4 @@ [ - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_end_addr_r", - "sources":[ - "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_addr_r" - ] - }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_word", @@ -31,6 +24,14 @@ "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_end_addr_r", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_ldst_dual_r", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_addr_r" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_store", @@ -56,6 +57,14 @@ "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_ld_data_corr_r" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_end_addr_m", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_ldst_dual_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_addr_m" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_store_data_m", @@ -109,13 +118,6 @@ "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d" ] }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_end_addr_m", - "sources":[ - "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_addr_m" - ] - }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_stack", diff --git a/lsu_lsc_ctl.fir b/lsu_lsc_ctl.fir index 2ca19b53..edbe32c8 100644 --- a/lsu_lsc_ctl.fir +++ b/lsu_lsc_ctl.fir @@ -783,205 +783,195 @@ circuit lsu_lsc_ctl : node _T_151 = bits(io.lsu_exu.lsu_result_m, 31, 0) @[lsu_lsc_ctl.scala 223:103] node _T_152 = bits(store_data_d, 31, 0) @[lsu_lsc_ctl.scala 223:122] node store_data_m_in = mux(_T_150, _T_151, _T_152) @[lsu_lsc_ctl.scala 223:34] - node _T_153 = bits(io.lsu_addr_d, 2, 2) @[lsu_lsc_ctl.scala 224:62] - reg _T_154 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 224:48] - _T_154 <= _T_153 @[lsu_lsc_ctl.scala 224:48] - node _T_155 = bits(io.end_addr_d, 2, 2) @[lsu_lsc_ctl.scala 224:124] - reg _T_156 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 224:110] - _T_156 <= _T_155 @[lsu_lsc_ctl.scala 224:110] - node int = neq(_T_154, _T_156) @[lsu_lsc_ctl.scala 224:72] - node _T_157 = bits(io.lsu_addr_m, 2, 2) @[lsu_lsc_ctl.scala 225:62] - reg _T_158 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 225:48] - _T_158 <= _T_157 @[lsu_lsc_ctl.scala 225:48] - node _T_159 = bits(io.end_addr_m, 2, 2) @[lsu_lsc_ctl.scala 225:124] - reg _T_160 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 225:110] - _T_160 <= _T_159 @[lsu_lsc_ctl.scala 225:110] - node int1 = neq(_T_158, _T_160) @[lsu_lsc_ctl.scala 225:72] - reg store_data_pre_m : UInt, io.lsu_store_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 226:72] - store_data_pre_m <= store_data_m_in @[lsu_lsc_ctl.scala 226:72] - reg _T_161 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 227:62] - _T_161 <= io.lsu_addr_d @[lsu_lsc_ctl.scala 227:62] - io.lsu_addr_m <= _T_161 @[lsu_lsc_ctl.scala 227:24] - reg _T_162 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 228:62] - _T_162 <= io.lsu_addr_m @[lsu_lsc_ctl.scala 228:62] - io.lsu_addr_r <= _T_162 @[lsu_lsc_ctl.scala 228:24] - node _T_163 = bits(io.lsu_addr_m, 31, 3) @[lsu_lsc_ctl.scala 229:60] - node _T_164 = mux(int, end_addr_pre_m, _T_163) @[lsu_lsc_ctl.scala 229:27] - node _T_165 = bits(io.end_addr_d, 2, 0) @[lsu_lsc_ctl.scala 229:117] - reg _T_166 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 229:103] - _T_166 <= _T_165 @[lsu_lsc_ctl.scala 229:103] - node _T_167 = cat(_T_164, _T_166) @[Cat.scala 29:58] - io.end_addr_m <= _T_167 @[lsu_lsc_ctl.scala 229:17] - node _T_168 = bits(io.lsu_addr_r, 31, 3) @[lsu_lsc_ctl.scala 230:61] - node _T_169 = mux(int1, end_addr_pre_r, _T_168) @[lsu_lsc_ctl.scala 230:27] - node _T_170 = bits(io.end_addr_m, 2, 0) @[lsu_lsc_ctl.scala 230:118] - reg _T_171 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 230:104] - _T_171 <= _T_170 @[lsu_lsc_ctl.scala 230:104] - node _T_172 = cat(_T_169, _T_171) @[Cat.scala 29:58] - io.end_addr_r <= _T_172 @[lsu_lsc_ctl.scala 230:17] - node _T_173 = bits(io.end_addr_d, 31, 3) @[lsu_lsc_ctl.scala 231:41] - node _T_174 = and(io.lsu_pkt_d.valid, io.ldst_dual_d) @[lsu_lsc_ctl.scala 231:69] - node _T_175 = or(_T_174, io.clk_override) @[lsu_lsc_ctl.scala 231:87] - node _T_176 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + reg store_data_pre_m : UInt, io.lsu_store_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 225:72] + store_data_pre_m <= store_data_m_in @[lsu_lsc_ctl.scala 225:72] + reg _T_153 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 226:62] + _T_153 <= io.lsu_addr_d @[lsu_lsc_ctl.scala 226:62] + io.lsu_addr_m <= _T_153 @[lsu_lsc_ctl.scala 226:24] + reg _T_154 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 227:62] + _T_154 <= io.lsu_addr_m @[lsu_lsc_ctl.scala 227:62] + io.lsu_addr_r <= _T_154 @[lsu_lsc_ctl.scala 227:24] + node _T_155 = bits(io.ldst_dual_m, 0, 0) @[lib.scala 8:44] + node _T_156 = bits(io.lsu_addr_m, 31, 3) @[lsu_lsc_ctl.scala 228:71] + node _T_157 = mux(_T_155, end_addr_pre_m, _T_156) @[lsu_lsc_ctl.scala 228:27] + node _T_158 = bits(io.end_addr_d, 2, 0) @[lsu_lsc_ctl.scala 228:128] + reg _T_159 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 228:114] + _T_159 <= _T_158 @[lsu_lsc_ctl.scala 228:114] + node _T_160 = cat(_T_157, _T_159) @[Cat.scala 29:58] + io.end_addr_m <= _T_160 @[lsu_lsc_ctl.scala 228:17] + node _T_161 = bits(io.ldst_dual_r, 0, 0) @[lib.scala 8:44] + node _T_162 = bits(io.lsu_addr_r, 31, 3) @[lsu_lsc_ctl.scala 229:71] + node _T_163 = mux(_T_161, end_addr_pre_r, _T_162) @[lsu_lsc_ctl.scala 229:27] + node _T_164 = bits(io.end_addr_m, 2, 0) @[lsu_lsc_ctl.scala 229:128] + reg _T_165 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 229:114] + _T_165 <= _T_164 @[lsu_lsc_ctl.scala 229:114] + node _T_166 = cat(_T_163, _T_165) @[Cat.scala 29:58] + io.end_addr_r <= _T_166 @[lsu_lsc_ctl.scala 229:17] + node _T_167 = bits(io.end_addr_d, 31, 3) @[lsu_lsc_ctl.scala 230:41] + node _T_168 = and(io.lsu_pkt_d.valid, io.ldst_dual_d) @[lsu_lsc_ctl.scala 230:69] + node _T_169 = or(_T_168, io.clk_override) @[lsu_lsc_ctl.scala 230:87] + node _T_170 = bits(_T_169, 0, 0) @[lib.scala 8:44] + node _T_171 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 404:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[lib.scala 406:18] - rvclkhdr_1.io.en <= _T_175 @[lib.scala 407:17] + rvclkhdr_1.io.en <= _T_170 @[lib.scala 407:17] rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 408:24] - reg _T_177 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_175 : @[Reg.scala 28:19] - _T_177 <= _T_173 @[Reg.scala 28:23] + reg _T_172 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_170 : @[Reg.scala 28:19] + _T_172 <= _T_167 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - end_addr_pre_m <= _T_177 @[lsu_lsc_ctl.scala 231:18] - node _T_178 = bits(io.end_addr_m, 31, 3) @[lsu_lsc_ctl.scala 232:41] - node _T_179 = and(io.lsu_pkt_m.valid, int) @[lsu_lsc_ctl.scala 232:69] - node _T_180 = or(_T_179, io.clk_override) @[lsu_lsc_ctl.scala 232:76] - node _T_181 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + end_addr_pre_m <= _T_172 @[lsu_lsc_ctl.scala 230:18] + node _T_173 = bits(io.end_addr_m, 31, 3) @[lsu_lsc_ctl.scala 231:41] + node _T_174 = and(io.lsu_pkt_m.valid, io.ldst_dual_m) @[lsu_lsc_ctl.scala 231:69] + node _T_175 = or(_T_174, io.clk_override) @[lsu_lsc_ctl.scala 231:87] + node _T_176 = bits(_T_175, 0, 0) @[lib.scala 8:44] + node _T_177 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 404:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[lib.scala 406:18] - rvclkhdr_2.io.en <= _T_180 @[lib.scala 407:17] + rvclkhdr_2.io.en <= _T_176 @[lib.scala 407:17] rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 408:24] - reg _T_182 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_180 : @[Reg.scala 28:19] - _T_182 <= _T_178 @[Reg.scala 28:23] + reg _T_178 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_176 : @[Reg.scala 28:19] + _T_178 <= _T_173 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - end_addr_pre_r <= _T_182 @[lsu_lsc_ctl.scala 232:18] - reg _T_183 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 233:62] - _T_183 <= io.addr_in_dccm_d @[lsu_lsc_ctl.scala 233:62] - io.addr_in_dccm_m <= _T_183 @[lsu_lsc_ctl.scala 233:24] - reg _T_184 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 234:62] - _T_184 <= io.addr_in_dccm_m @[lsu_lsc_ctl.scala 234:62] - io.addr_in_dccm_r <= _T_184 @[lsu_lsc_ctl.scala 234:24] - reg _T_185 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 235:62] - _T_185 <= io.addr_in_pic_d @[lsu_lsc_ctl.scala 235:62] - io.addr_in_pic_m <= _T_185 @[lsu_lsc_ctl.scala 235:24] - reg _T_186 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 236:62] - _T_186 <= io.addr_in_pic_m @[lsu_lsc_ctl.scala 236:62] - io.addr_in_pic_r <= _T_186 @[lsu_lsc_ctl.scala 236:24] - reg _T_187 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 237:62] - _T_187 <= addrcheck.io.addr_external_d @[lsu_lsc_ctl.scala 237:62] - io.addr_external_m <= _T_187 @[lsu_lsc_ctl.scala 237:24] - reg addr_external_r : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 238:66] - addr_external_r <= io.addr_external_m @[lsu_lsc_ctl.scala 238:66] - node _T_188 = or(io.addr_external_m, io.clk_override) @[lsu_lsc_ctl.scala 239:77] - node _T_189 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + end_addr_pre_r <= _T_178 @[lsu_lsc_ctl.scala 231:18] + reg _T_179 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 232:62] + _T_179 <= io.addr_in_dccm_d @[lsu_lsc_ctl.scala 232:62] + io.addr_in_dccm_m <= _T_179 @[lsu_lsc_ctl.scala 232:24] + reg _T_180 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 233:62] + _T_180 <= io.addr_in_dccm_m @[lsu_lsc_ctl.scala 233:62] + io.addr_in_dccm_r <= _T_180 @[lsu_lsc_ctl.scala 233:24] + reg _T_181 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 234:62] + _T_181 <= io.addr_in_pic_d @[lsu_lsc_ctl.scala 234:62] + io.addr_in_pic_m <= _T_181 @[lsu_lsc_ctl.scala 234:24] + reg _T_182 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 235:62] + _T_182 <= io.addr_in_pic_m @[lsu_lsc_ctl.scala 235:62] + io.addr_in_pic_r <= _T_182 @[lsu_lsc_ctl.scala 235:24] + reg _T_183 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 236:62] + _T_183 <= addrcheck.io.addr_external_d @[lsu_lsc_ctl.scala 236:62] + io.addr_external_m <= _T_183 @[lsu_lsc_ctl.scala 236:24] + reg addr_external_r : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 237:66] + addr_external_r <= io.addr_external_m @[lsu_lsc_ctl.scala 237:66] + node _T_184 = or(io.addr_external_m, io.clk_override) @[lsu_lsc_ctl.scala 238:77] + node _T_185 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 404:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[lib.scala 406:18] - rvclkhdr_3.io.en <= _T_188 @[lib.scala 407:17] + rvclkhdr_3.io.en <= _T_184 @[lib.scala 407:17] rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 408:24] reg bus_read_data_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_188 : @[Reg.scala 28:19] + when _T_184 : @[Reg.scala 28:19] bus_read_data_r <= io.bus_read_data_m @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_190 = bits(io.lsu_ld_data_corr_r, 31, 1) @[lsu_lsc_ctl.scala 242:52] - io.lsu_fir_addr <= _T_190 @[lsu_lsc_ctl.scala 242:28] - io.lsu_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 244:28] - node _T_191 = or(io.lsu_pkt_r.bits.store, io.lsu_pkt_r.bits.load) @[lsu_lsc_ctl.scala 246:68] - node _T_192 = and(io.lsu_pkt_r.valid, _T_191) @[lsu_lsc_ctl.scala 246:41] - node _T_193 = eq(io.flush_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 246:96] - node _T_194 = and(_T_192, _T_193) @[lsu_lsc_ctl.scala 246:94] - node _T_195 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 246:110] - node _T_196 = and(_T_194, _T_195) @[lsu_lsc_ctl.scala 246:108] - io.lsu_commit_r <= _T_196 @[lsu_lsc_ctl.scala 246:19] - node _T_197 = bits(io.picm_mask_data_m, 31, 0) @[lsu_lsc_ctl.scala 247:52] - node _T_198 = eq(io.addr_in_pic_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 247:69] - node _T_199 = bits(_T_198, 0, 0) @[Bitwise.scala 72:15] - node _T_200 = mux(_T_199, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_201 = or(_T_197, _T_200) @[lsu_lsc_ctl.scala 247:59] - node _T_202 = bits(io.lsu_pkt_m.bits.store_data_bypass_m, 0, 0) @[lsu_lsc_ctl.scala 247:133] - node _T_203 = mux(_T_202, io.lsu_exu.lsu_result_m, store_data_pre_m) @[lsu_lsc_ctl.scala 247:94] - node _T_204 = and(_T_201, _T_203) @[lsu_lsc_ctl.scala 247:89] - io.store_data_m <= _T_204 @[lsu_lsc_ctl.scala 247:29] - node _T_205 = mux(io.addr_external_m, io.bus_read_data_m, io.lsu_ld_data_m) @[lsu_lsc_ctl.scala 268:33] - lsu_ld_datafn_m <= _T_205 @[lsu_lsc_ctl.scala 268:27] - node _T_206 = eq(addr_external_r, UInt<1>("h01")) @[lsu_lsc_ctl.scala 269:49] - node _T_207 = mux(_T_206, bus_read_data_r, io.lsu_ld_data_corr_r) @[lsu_lsc_ctl.scala 269:33] - lsu_ld_datafn_corr_r <= _T_207 @[lsu_lsc_ctl.scala 269:27] - node _T_208 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 270:74] - node _T_209 = bits(_T_208, 0, 0) @[Bitwise.scala 72:15] - node _T_210 = mux(_T_209, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_211 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 270:133] - node _T_212 = cat(UInt<24>("h00"), _T_211) @[Cat.scala 29:58] - node _T_213 = and(_T_210, _T_212) @[lsu_lsc_ctl.scala 270:102] - node _T_214 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 271:43] - node _T_215 = bits(_T_214, 0, 0) @[Bitwise.scala 72:15] - node _T_216 = mux(_T_215, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_217 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 271:102] - node _T_218 = cat(UInt<16>("h00"), _T_217) @[Cat.scala 29:58] - node _T_219 = and(_T_216, _T_218) @[lsu_lsc_ctl.scala 271:71] - node _T_220 = or(_T_213, _T_219) @[lsu_lsc_ctl.scala 270:141] - node _T_221 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 272:17] - node _T_222 = and(_T_221, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 272:43] - node _T_223 = bits(_T_222, 0, 0) @[Bitwise.scala 72:15] - node _T_224 = mux(_T_223, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_225 = bits(lsu_ld_datafn_m, 7, 7) @[lsu_lsc_ctl.scala 272:102] - node _T_226 = bits(_T_225, 0, 0) @[Bitwise.scala 72:15] - node _T_227 = mux(_T_226, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_228 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 272:125] - node _T_229 = cat(_T_227, _T_228) @[Cat.scala 29:58] - node _T_230 = and(_T_224, _T_229) @[lsu_lsc_ctl.scala 272:71] - node _T_231 = or(_T_220, _T_230) @[lsu_lsc_ctl.scala 271:114] - node _T_232 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 273:17] - node _T_233 = and(_T_232, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 273:43] - node _T_234 = bits(_T_233, 0, 0) @[Bitwise.scala 72:15] - node _T_235 = mux(_T_234, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_236 = bits(lsu_ld_datafn_m, 15, 15) @[lsu_lsc_ctl.scala 273:101] - node _T_237 = bits(_T_236, 0, 0) @[Bitwise.scala 72:15] - node _T_238 = mux(_T_237, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_239 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 273:125] - node _T_240 = cat(_T_238, _T_239) @[Cat.scala 29:58] - node _T_241 = and(_T_235, _T_240) @[lsu_lsc_ctl.scala 273:71] - node _T_242 = or(_T_231, _T_241) @[lsu_lsc_ctl.scala 272:134] - node _T_243 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] - node _T_244 = mux(_T_243, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_245 = bits(lsu_ld_datafn_m, 31, 0) @[lsu_lsc_ctl.scala 274:60] - node _T_246 = and(_T_244, _T_245) @[lsu_lsc_ctl.scala 274:43] - node _T_247 = or(_T_242, _T_246) @[lsu_lsc_ctl.scala 273:134] - io.lsu_exu.lsu_result_m <= _T_247 @[lsu_lsc_ctl.scala 270:35] - node _T_248 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 275:66] - node _T_249 = bits(_T_248, 0, 0) @[Bitwise.scala 72:15] - node _T_250 = mux(_T_249, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_251 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 275:130] - node _T_252 = cat(UInt<24>("h00"), _T_251) @[Cat.scala 29:58] - node _T_253 = and(_T_250, _T_252) @[lsu_lsc_ctl.scala 275:94] - node _T_254 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 276:43] - node _T_255 = bits(_T_254, 0, 0) @[Bitwise.scala 72:15] - node _T_256 = mux(_T_255, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_257 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 276:107] - node _T_258 = cat(UInt<16>("h00"), _T_257) @[Cat.scala 29:58] - node _T_259 = and(_T_256, _T_258) @[lsu_lsc_ctl.scala 276:71] - node _T_260 = or(_T_253, _T_259) @[lsu_lsc_ctl.scala 275:138] - node _T_261 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 277:17] - node _T_262 = and(_T_261, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 277:43] - node _T_263 = bits(_T_262, 0, 0) @[Bitwise.scala 72:15] - node _T_264 = mux(_T_263, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_265 = bits(lsu_ld_datafn_corr_r, 7, 7) @[lsu_lsc_ctl.scala 277:107] - node _T_266 = bits(_T_265, 0, 0) @[Bitwise.scala 72:15] - node _T_267 = mux(_T_266, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_268 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 277:135] - node _T_269 = cat(_T_267, _T_268) @[Cat.scala 29:58] - node _T_270 = and(_T_264, _T_269) @[lsu_lsc_ctl.scala 277:71] - node _T_271 = or(_T_260, _T_270) @[lsu_lsc_ctl.scala 276:119] - node _T_272 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 278:17] - node _T_273 = and(_T_272, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 278:43] - node _T_274 = bits(_T_273, 0, 0) @[Bitwise.scala 72:15] - node _T_275 = mux(_T_274, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_276 = bits(lsu_ld_datafn_corr_r, 15, 15) @[lsu_lsc_ctl.scala 278:106] - node _T_277 = bits(_T_276, 0, 0) @[Bitwise.scala 72:15] - node _T_278 = mux(_T_277, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_279 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 278:135] - node _T_280 = cat(_T_278, _T_279) @[Cat.scala 29:58] - node _T_281 = and(_T_275, _T_280) @[lsu_lsc_ctl.scala 278:71] - node _T_282 = or(_T_271, _T_281) @[lsu_lsc_ctl.scala 277:144] - node _T_283 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] - node _T_284 = mux(_T_283, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_285 = bits(lsu_ld_datafn_corr_r, 31, 0) @[lsu_lsc_ctl.scala 279:65] - node _T_286 = and(_T_284, _T_285) @[lsu_lsc_ctl.scala 279:43] - node _T_287 = or(_T_282, _T_286) @[lsu_lsc_ctl.scala 278:144] - io.lsu_result_corr_r <= _T_287 @[lsu_lsc_ctl.scala 275:27] + node _T_186 = bits(io.lsu_ld_data_corr_r, 31, 1) @[lsu_lsc_ctl.scala 241:52] + io.lsu_fir_addr <= _T_186 @[lsu_lsc_ctl.scala 241:28] + io.lsu_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 243:28] + node _T_187 = or(io.lsu_pkt_r.bits.store, io.lsu_pkt_r.bits.load) @[lsu_lsc_ctl.scala 245:68] + node _T_188 = and(io.lsu_pkt_r.valid, _T_187) @[lsu_lsc_ctl.scala 245:41] + node _T_189 = eq(io.flush_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 245:96] + node _T_190 = and(_T_188, _T_189) @[lsu_lsc_ctl.scala 245:94] + node _T_191 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 245:110] + node _T_192 = and(_T_190, _T_191) @[lsu_lsc_ctl.scala 245:108] + io.lsu_commit_r <= _T_192 @[lsu_lsc_ctl.scala 245:19] + node _T_193 = bits(io.picm_mask_data_m, 31, 0) @[lsu_lsc_ctl.scala 246:52] + node _T_194 = eq(io.addr_in_pic_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 246:69] + node _T_195 = bits(_T_194, 0, 0) @[Bitwise.scala 72:15] + node _T_196 = mux(_T_195, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_197 = or(_T_193, _T_196) @[lsu_lsc_ctl.scala 246:59] + node _T_198 = bits(io.lsu_pkt_m.bits.store_data_bypass_m, 0, 0) @[lsu_lsc_ctl.scala 246:133] + node _T_199 = mux(_T_198, io.lsu_exu.lsu_result_m, store_data_pre_m) @[lsu_lsc_ctl.scala 246:94] + node _T_200 = and(_T_197, _T_199) @[lsu_lsc_ctl.scala 246:89] + io.store_data_m <= _T_200 @[lsu_lsc_ctl.scala 246:29] + node _T_201 = mux(io.addr_external_m, io.bus_read_data_m, io.lsu_ld_data_m) @[lsu_lsc_ctl.scala 267:33] + lsu_ld_datafn_m <= _T_201 @[lsu_lsc_ctl.scala 267:27] + node _T_202 = eq(addr_external_r, UInt<1>("h01")) @[lsu_lsc_ctl.scala 268:49] + node _T_203 = mux(_T_202, bus_read_data_r, io.lsu_ld_data_corr_r) @[lsu_lsc_ctl.scala 268:33] + lsu_ld_datafn_corr_r <= _T_203 @[lsu_lsc_ctl.scala 268:27] + node _T_204 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 269:74] + node _T_205 = bits(_T_204, 0, 0) @[Bitwise.scala 72:15] + node _T_206 = mux(_T_205, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_207 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 269:133] + node _T_208 = cat(UInt<24>("h00"), _T_207) @[Cat.scala 29:58] + node _T_209 = and(_T_206, _T_208) @[lsu_lsc_ctl.scala 269:102] + node _T_210 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 270:43] + node _T_211 = bits(_T_210, 0, 0) @[Bitwise.scala 72:15] + node _T_212 = mux(_T_211, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_213 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 270:102] + node _T_214 = cat(UInt<16>("h00"), _T_213) @[Cat.scala 29:58] + node _T_215 = and(_T_212, _T_214) @[lsu_lsc_ctl.scala 270:71] + node _T_216 = or(_T_209, _T_215) @[lsu_lsc_ctl.scala 269:141] + node _T_217 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 271:17] + node _T_218 = and(_T_217, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 271:43] + node _T_219 = bits(_T_218, 0, 0) @[Bitwise.scala 72:15] + node _T_220 = mux(_T_219, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_221 = bits(lsu_ld_datafn_m, 7, 7) @[lsu_lsc_ctl.scala 271:102] + node _T_222 = bits(_T_221, 0, 0) @[Bitwise.scala 72:15] + node _T_223 = mux(_T_222, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_224 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 271:125] + node _T_225 = cat(_T_223, _T_224) @[Cat.scala 29:58] + node _T_226 = and(_T_220, _T_225) @[lsu_lsc_ctl.scala 271:71] + node _T_227 = or(_T_216, _T_226) @[lsu_lsc_ctl.scala 270:114] + node _T_228 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 272:17] + node _T_229 = and(_T_228, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 272:43] + node _T_230 = bits(_T_229, 0, 0) @[Bitwise.scala 72:15] + node _T_231 = mux(_T_230, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_232 = bits(lsu_ld_datafn_m, 15, 15) @[lsu_lsc_ctl.scala 272:101] + node _T_233 = bits(_T_232, 0, 0) @[Bitwise.scala 72:15] + node _T_234 = mux(_T_233, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_235 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 272:125] + node _T_236 = cat(_T_234, _T_235) @[Cat.scala 29:58] + node _T_237 = and(_T_231, _T_236) @[lsu_lsc_ctl.scala 272:71] + node _T_238 = or(_T_227, _T_237) @[lsu_lsc_ctl.scala 271:134] + node _T_239 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_240 = mux(_T_239, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_241 = bits(lsu_ld_datafn_m, 31, 0) @[lsu_lsc_ctl.scala 273:60] + node _T_242 = and(_T_240, _T_241) @[lsu_lsc_ctl.scala 273:43] + node _T_243 = or(_T_238, _T_242) @[lsu_lsc_ctl.scala 272:134] + io.lsu_exu.lsu_result_m <= _T_243 @[lsu_lsc_ctl.scala 269:35] + node _T_244 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 274:66] + node _T_245 = bits(_T_244, 0, 0) @[Bitwise.scala 72:15] + node _T_246 = mux(_T_245, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_247 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 274:130] + node _T_248 = cat(UInt<24>("h00"), _T_247) @[Cat.scala 29:58] + node _T_249 = and(_T_246, _T_248) @[lsu_lsc_ctl.scala 274:94] + node _T_250 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 275:43] + node _T_251 = bits(_T_250, 0, 0) @[Bitwise.scala 72:15] + node _T_252 = mux(_T_251, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_253 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 275:107] + node _T_254 = cat(UInt<16>("h00"), _T_253) @[Cat.scala 29:58] + node _T_255 = and(_T_252, _T_254) @[lsu_lsc_ctl.scala 275:71] + node _T_256 = or(_T_249, _T_255) @[lsu_lsc_ctl.scala 274:138] + node _T_257 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 276:17] + node _T_258 = and(_T_257, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 276:43] + node _T_259 = bits(_T_258, 0, 0) @[Bitwise.scala 72:15] + node _T_260 = mux(_T_259, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_261 = bits(lsu_ld_datafn_corr_r, 7, 7) @[lsu_lsc_ctl.scala 276:107] + node _T_262 = bits(_T_261, 0, 0) @[Bitwise.scala 72:15] + node _T_263 = mux(_T_262, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_264 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 276:135] + node _T_265 = cat(_T_263, _T_264) @[Cat.scala 29:58] + node _T_266 = and(_T_260, _T_265) @[lsu_lsc_ctl.scala 276:71] + node _T_267 = or(_T_256, _T_266) @[lsu_lsc_ctl.scala 275:119] + node _T_268 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 277:17] + node _T_269 = and(_T_268, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 277:43] + node _T_270 = bits(_T_269, 0, 0) @[Bitwise.scala 72:15] + node _T_271 = mux(_T_270, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_272 = bits(lsu_ld_datafn_corr_r, 15, 15) @[lsu_lsc_ctl.scala 277:106] + node _T_273 = bits(_T_272, 0, 0) @[Bitwise.scala 72:15] + node _T_274 = mux(_T_273, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_275 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 277:135] + node _T_276 = cat(_T_274, _T_275) @[Cat.scala 29:58] + node _T_277 = and(_T_271, _T_276) @[lsu_lsc_ctl.scala 277:71] + node _T_278 = or(_T_267, _T_277) @[lsu_lsc_ctl.scala 276:144] + node _T_279 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_280 = mux(_T_279, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_281 = bits(lsu_ld_datafn_corr_r, 31, 0) @[lsu_lsc_ctl.scala 278:65] + node _T_282 = and(_T_280, _T_281) @[lsu_lsc_ctl.scala 278:43] + node _T_283 = or(_T_278, _T_282) @[lsu_lsc_ctl.scala 277:144] + io.lsu_result_corr_r <= _T_283 @[lsu_lsc_ctl.scala 274:27] diff --git a/lsu_lsc_ctl.v b/lsu_lsc_ctl.v index 1c271619..a6897f83 100644 --- a/lsu_lsc_ctl.v +++ b/lsu_lsc_ctl.v @@ -375,10 +375,6 @@ module lsu_lsc_ctl( reg [31:0] _RAND_51; reg [31:0] _RAND_52; reg [31:0] _RAND_53; - reg [31:0] _RAND_54; - reg [31:0] _RAND_55; - reg [31:0] _RAND_56; - reg [31:0] _RAND_57; `endif // RANDOMIZE_REG_INIT wire addrcheck_reset; // @[lsu_lsc_ctl.scala 117:25] wire addrcheck_io_lsu_c2_m_clk; // @[lsu_lsc_ctl.scala 117:25] @@ -526,92 +522,86 @@ module lsu_lsc_ctl( reg _T_143; // @[lsu_lsc_ctl.scala 219:65] wire [5:0] _T_146 = {io_dma_lsc_ctl_dma_mem_addr[2:0],3'h0}; // @[Cat.scala 29:58] wire [63:0] dma_mem_wdata_shifted = io_dma_lsc_ctl_dma_mem_wdata >> _T_146; // @[lsu_lsc_ctl.scala 221:66] - reg _T_154; // @[lsu_lsc_ctl.scala 224:48] - reg _T_156; // @[lsu_lsc_ctl.scala 224:110] - wire int_ = _T_154 != _T_156; // @[lsu_lsc_ctl.scala 224:72] - reg _T_158; // @[lsu_lsc_ctl.scala 225:48] - reg _T_160; // @[lsu_lsc_ctl.scala 225:110] - wire int1 = _T_158 != _T_160; // @[lsu_lsc_ctl.scala 225:72] - reg [31:0] store_data_pre_m; // @[lsu_lsc_ctl.scala 226:72] - reg [31:0] _T_161; // @[lsu_lsc_ctl.scala 227:62] - reg [31:0] _T_162; // @[lsu_lsc_ctl.scala 228:62] + reg [31:0] store_data_pre_m; // @[lsu_lsc_ctl.scala 225:72] + reg [31:0] _T_153; // @[lsu_lsc_ctl.scala 226:62] + reg [31:0] _T_154; // @[lsu_lsc_ctl.scala 227:62] reg [28:0] end_addr_pre_m; // @[Reg.scala 27:20] - wire [28:0] _T_164 = int_ ? end_addr_pre_m : io_lsu_addr_m[31:3]; // @[lsu_lsc_ctl.scala 229:27] - reg [2:0] _T_166; // @[lsu_lsc_ctl.scala 229:103] + wire [28:0] _T_157 = io_ldst_dual_m ? end_addr_pre_m : io_lsu_addr_m[31:3]; // @[lsu_lsc_ctl.scala 228:27] + reg [2:0] _T_159; // @[lsu_lsc_ctl.scala 228:114] reg [28:0] end_addr_pre_r; // @[Reg.scala 27:20] - wire [28:0] _T_169 = int1 ? end_addr_pre_r : io_lsu_addr_r[31:3]; // @[lsu_lsc_ctl.scala 230:27] - reg [2:0] _T_171; // @[lsu_lsc_ctl.scala 230:104] - wire _T_174 = io_lsu_pkt_d_valid & io_ldst_dual_d; // @[lsu_lsc_ctl.scala 231:69] + wire [28:0] _T_163 = io_ldst_dual_r ? end_addr_pre_r : io_lsu_addr_r[31:3]; // @[lsu_lsc_ctl.scala 229:27] + reg [2:0] _T_165; // @[lsu_lsc_ctl.scala 229:114] + wire _T_168 = io_lsu_pkt_d_valid & io_ldst_dual_d; // @[lsu_lsc_ctl.scala 230:69] + wire _T_169 = _T_168 | io_clk_override; // @[lsu_lsc_ctl.scala 230:87] + wire _T_174 = io_lsu_pkt_m_valid & io_ldst_dual_m; // @[lsu_lsc_ctl.scala 231:69] wire _T_175 = _T_174 | io_clk_override; // @[lsu_lsc_ctl.scala 231:87] - wire _T_179 = io_lsu_pkt_m_valid & int_; // @[lsu_lsc_ctl.scala 232:69] - wire _T_180 = _T_179 | io_clk_override; // @[lsu_lsc_ctl.scala 232:76] - reg _T_183; // @[lsu_lsc_ctl.scala 233:62] - reg _T_184; // @[lsu_lsc_ctl.scala 234:62] - reg _T_185; // @[lsu_lsc_ctl.scala 235:62] - reg _T_186; // @[lsu_lsc_ctl.scala 236:62] - reg _T_187; // @[lsu_lsc_ctl.scala 237:62] - reg addr_external_r; // @[lsu_lsc_ctl.scala 238:66] - wire _T_188 = io_addr_external_m | io_clk_override; // @[lsu_lsc_ctl.scala 239:77] + reg _T_179; // @[lsu_lsc_ctl.scala 232:62] + reg _T_180; // @[lsu_lsc_ctl.scala 233:62] + reg _T_181; // @[lsu_lsc_ctl.scala 234:62] + reg _T_182; // @[lsu_lsc_ctl.scala 235:62] + reg _T_183; // @[lsu_lsc_ctl.scala 236:62] + reg addr_external_r; // @[lsu_lsc_ctl.scala 237:66] + wire _T_184 = io_addr_external_m | io_clk_override; // @[lsu_lsc_ctl.scala 238:77] reg [31:0] bus_read_data_r; // @[Reg.scala 27:20] - wire _T_191 = io_lsu_pkt_r_bits_store | io_lsu_pkt_r_bits_load; // @[lsu_lsc_ctl.scala 246:68] - wire _T_192 = io_lsu_pkt_r_valid & _T_191; // @[lsu_lsc_ctl.scala 246:41] - wire _T_193 = ~io_flush_r; // @[lsu_lsc_ctl.scala 246:96] - wire _T_194 = _T_192 & _T_193; // @[lsu_lsc_ctl.scala 246:94] - wire _T_195 = ~io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 246:110] - wire _T_198 = ~io_addr_in_pic_m; // @[lsu_lsc_ctl.scala 247:69] - wire [31:0] _T_200 = _T_198 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_201 = io_picm_mask_data_m | _T_200; // @[lsu_lsc_ctl.scala 247:59] - wire [31:0] _T_203 = io_lsu_pkt_m_bits_store_data_bypass_m ? io_lsu_exu_lsu_result_m : store_data_pre_m; // @[lsu_lsc_ctl.scala 247:94] - wire [31:0] lsu_ld_datafn_m = io_addr_external_m ? io_bus_read_data_m : io_lsu_ld_data_m; // @[lsu_lsc_ctl.scala 268:33] - wire [31:0] lsu_ld_datafn_corr_r = addr_external_r ? bus_read_data_r : io_lsu_ld_data_corr_r; // @[lsu_lsc_ctl.scala 269:33] - wire _T_208 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 270:74] - wire [31:0] _T_210 = _T_208 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_212 = {24'h0,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_213 = _T_210 & _T_212; // @[lsu_lsc_ctl.scala 270:102] - wire _T_214 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 271:43] - wire [31:0] _T_216 = _T_214 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_218 = {16'h0,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_219 = _T_216 & _T_218; // @[lsu_lsc_ctl.scala 271:71] - wire [31:0] _T_220 = _T_213 | _T_219; // @[lsu_lsc_ctl.scala 270:141] - wire _T_221 = ~io_lsu_pkt_m_bits_unsign; // @[lsu_lsc_ctl.scala 272:17] - wire _T_222 = _T_221 & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 272:43] - wire [31:0] _T_224 = _T_222 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [23:0] _T_227 = lsu_ld_datafn_m[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_229 = {_T_227,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_230 = _T_224 & _T_229; // @[lsu_lsc_ctl.scala 272:71] - wire [31:0] _T_231 = _T_220 | _T_230; // @[lsu_lsc_ctl.scala 271:114] - wire _T_233 = _T_221 & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 273:43] - wire [31:0] _T_235 = _T_233 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [15:0] _T_238 = lsu_ld_datafn_m[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_240 = {_T_238,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_241 = _T_235 & _T_240; // @[lsu_lsc_ctl.scala 273:71] - wire [31:0] _T_242 = _T_231 | _T_241; // @[lsu_lsc_ctl.scala 272:134] - wire [31:0] _T_244 = io_lsu_pkt_m_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_246 = _T_244 & lsu_ld_datafn_m; // @[lsu_lsc_ctl.scala 274:43] - wire _T_248 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 275:66] - wire [31:0] _T_250 = _T_248 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_252 = {24'h0,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_253 = _T_250 & _T_252; // @[lsu_lsc_ctl.scala 275:94] - wire _T_254 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 276:43] - wire [31:0] _T_256 = _T_254 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_258 = {16'h0,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_259 = _T_256 & _T_258; // @[lsu_lsc_ctl.scala 276:71] - wire [31:0] _T_260 = _T_253 | _T_259; // @[lsu_lsc_ctl.scala 275:138] - wire _T_261 = ~io_lsu_pkt_r_bits_unsign; // @[lsu_lsc_ctl.scala 277:17] - wire _T_262 = _T_261 & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 277:43] - wire [31:0] _T_264 = _T_262 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [23:0] _T_267 = lsu_ld_datafn_corr_r[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_269 = {_T_267,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_270 = _T_264 & _T_269; // @[lsu_lsc_ctl.scala 277:71] - wire [31:0] _T_271 = _T_260 | _T_270; // @[lsu_lsc_ctl.scala 276:119] - wire _T_273 = _T_261 & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 278:43] - wire [31:0] _T_275 = _T_273 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [15:0] _T_278 = lsu_ld_datafn_corr_r[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_280 = {_T_278,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_281 = _T_275 & _T_280; // @[lsu_lsc_ctl.scala 278:71] - wire [31:0] _T_282 = _T_271 | _T_281; // @[lsu_lsc_ctl.scala 277:144] - wire [31:0] _T_284 = io_lsu_pkt_r_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_286 = _T_284 & lsu_ld_datafn_corr_r; // @[lsu_lsc_ctl.scala 279:43] + wire _T_187 = io_lsu_pkt_r_bits_store | io_lsu_pkt_r_bits_load; // @[lsu_lsc_ctl.scala 245:68] + wire _T_188 = io_lsu_pkt_r_valid & _T_187; // @[lsu_lsc_ctl.scala 245:41] + wire _T_189 = ~io_flush_r; // @[lsu_lsc_ctl.scala 245:96] + wire _T_190 = _T_188 & _T_189; // @[lsu_lsc_ctl.scala 245:94] + wire _T_191 = ~io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 245:110] + wire _T_194 = ~io_addr_in_pic_m; // @[lsu_lsc_ctl.scala 246:69] + wire [31:0] _T_196 = _T_194 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_197 = io_picm_mask_data_m | _T_196; // @[lsu_lsc_ctl.scala 246:59] + wire [31:0] _T_199 = io_lsu_pkt_m_bits_store_data_bypass_m ? io_lsu_exu_lsu_result_m : store_data_pre_m; // @[lsu_lsc_ctl.scala 246:94] + wire [31:0] lsu_ld_datafn_m = io_addr_external_m ? io_bus_read_data_m : io_lsu_ld_data_m; // @[lsu_lsc_ctl.scala 267:33] + wire [31:0] lsu_ld_datafn_corr_r = addr_external_r ? bus_read_data_r : io_lsu_ld_data_corr_r; // @[lsu_lsc_ctl.scala 268:33] + wire _T_204 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 269:74] + wire [31:0] _T_206 = _T_204 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_208 = {24'h0,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_209 = _T_206 & _T_208; // @[lsu_lsc_ctl.scala 269:102] + wire _T_210 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 270:43] + wire [31:0] _T_212 = _T_210 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_214 = {16'h0,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_215 = _T_212 & _T_214; // @[lsu_lsc_ctl.scala 270:71] + wire [31:0] _T_216 = _T_209 | _T_215; // @[lsu_lsc_ctl.scala 269:141] + wire _T_217 = ~io_lsu_pkt_m_bits_unsign; // @[lsu_lsc_ctl.scala 271:17] + wire _T_218 = _T_217 & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 271:43] + wire [31:0] _T_220 = _T_218 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_223 = lsu_ld_datafn_m[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_225 = {_T_223,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_226 = _T_220 & _T_225; // @[lsu_lsc_ctl.scala 271:71] + wire [31:0] _T_227 = _T_216 | _T_226; // @[lsu_lsc_ctl.scala 270:114] + wire _T_229 = _T_217 & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 272:43] + wire [31:0] _T_231 = _T_229 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_234 = lsu_ld_datafn_m[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_236 = {_T_234,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_237 = _T_231 & _T_236; // @[lsu_lsc_ctl.scala 272:71] + wire [31:0] _T_238 = _T_227 | _T_237; // @[lsu_lsc_ctl.scala 271:134] + wire [31:0] _T_240 = io_lsu_pkt_m_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_242 = _T_240 & lsu_ld_datafn_m; // @[lsu_lsc_ctl.scala 273:43] + wire _T_244 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 274:66] + wire [31:0] _T_246 = _T_244 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_248 = {24'h0,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_249 = _T_246 & _T_248; // @[lsu_lsc_ctl.scala 274:94] + wire _T_250 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 275:43] + wire [31:0] _T_252 = _T_250 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_254 = {16'h0,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_255 = _T_252 & _T_254; // @[lsu_lsc_ctl.scala 275:71] + wire [31:0] _T_256 = _T_249 | _T_255; // @[lsu_lsc_ctl.scala 274:138] + wire _T_257 = ~io_lsu_pkt_r_bits_unsign; // @[lsu_lsc_ctl.scala 276:17] + wire _T_258 = _T_257 & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 276:43] + wire [31:0] _T_260 = _T_258 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_263 = lsu_ld_datafn_corr_r[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_265 = {_T_263,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_266 = _T_260 & _T_265; // @[lsu_lsc_ctl.scala 276:71] + wire [31:0] _T_267 = _T_256 | _T_266; // @[lsu_lsc_ctl.scala 275:119] + wire _T_269 = _T_257 & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 277:43] + wire [31:0] _T_271 = _T_269 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_274 = lsu_ld_datafn_corr_r[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_276 = {_T_274,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_277 = _T_271 & _T_276; // @[lsu_lsc_ctl.scala 277:71] + wire [31:0] _T_278 = _T_267 | _T_277; // @[lsu_lsc_ctl.scala 276:144] + wire [31:0] _T_280 = io_lsu_pkt_r_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_282 = _T_280 & lsu_ld_datafn_corr_r; // @[lsu_lsc_ctl.scala 278:43] lsu_addrcheck addrcheck ( // @[lsu_lsc_ctl.scala 117:25] .reset(addrcheck_reset), .io_lsu_c2_m_clk(addrcheck_io_lsu_c2_m_clk), @@ -653,18 +643,18 @@ module lsu_lsc_ctl( .io_clk(rvclkhdr_3_io_clk), .io_en(rvclkhdr_3_io_en) ); - assign io_lsu_exu_lsu_result_m = _T_242 | _T_246; // @[lsu_lsc_ctl.scala 270:35] - assign io_lsu_result_corr_r = _T_282 | _T_286; // @[lsu_lsc_ctl.scala 275:27] - assign io_lsu_addr_d = {_T_41,_T_11[11:0]}; // @[lsu_lsc_ctl.scala 244:28] - assign io_lsu_addr_m = _T_161; // @[lsu_lsc_ctl.scala 227:24] - assign io_lsu_addr_r = _T_162; // @[lsu_lsc_ctl.scala 228:24] + assign io_lsu_exu_lsu_result_m = _T_238 | _T_242; // @[lsu_lsc_ctl.scala 269:35] + assign io_lsu_result_corr_r = _T_278 | _T_282; // @[lsu_lsc_ctl.scala 274:27] + assign io_lsu_addr_d = {_T_41,_T_11[11:0]}; // @[lsu_lsc_ctl.scala 243:28] + assign io_lsu_addr_m = _T_153; // @[lsu_lsc_ctl.scala 226:24] + assign io_lsu_addr_r = _T_154; // @[lsu_lsc_ctl.scala 227:24] assign io_end_addr_d = rs1_d + _T_65; // @[lsu_lsc_ctl.scala 114:24] - assign io_end_addr_m = {_T_164,_T_166}; // @[lsu_lsc_ctl.scala 229:17] - assign io_end_addr_r = {_T_169,_T_171}; // @[lsu_lsc_ctl.scala 230:17] - assign io_store_data_m = _T_201 & _T_203; // @[lsu_lsc_ctl.scala 247:29] + assign io_end_addr_m = {_T_157,_T_159}; // @[lsu_lsc_ctl.scala 228:17] + assign io_end_addr_r = {_T_163,_T_165}; // @[lsu_lsc_ctl.scala 229:17] + assign io_store_data_m = _T_197 & _T_199; // @[lsu_lsc_ctl.scala 246:29] assign io_lsu_exc_m = access_fault_m | misaligned_fault_m; // @[lsu_lsc_ctl.scala 154:16] assign io_is_sideeffects_m = addrcheck_io_is_sideeffects_m; // @[lsu_lsc_ctl.scala 127:42] - assign io_lsu_commit_r = _T_194 & _T_195; // @[lsu_lsc_ctl.scala 246:19] + assign io_lsu_commit_r = _T_190 & _T_191; // @[lsu_lsc_ctl.scala 245:19] assign io_lsu_single_ecc_error_incr = _T_74 & io_lsu_pkt_r_valid; // @[lsu_lsc_ctl.scala 155:32] assign io_lsu_error_pkt_r_valid = _T_112; // @[lsu_lsc_ctl.scala 184:24 lsu_lsc_ctl.scala 186:30] assign io_lsu_error_pkt_r_bits_single_ecc_error = _T_111; // @[lsu_lsc_ctl.scala 184:24 lsu_lsc_ctl.scala 185:46] @@ -672,15 +662,15 @@ module lsu_lsc_ctl( assign io_lsu_error_pkt_r_bits_exc_type = _T_110_bits_exc_type; // @[lsu_lsc_ctl.scala 184:24] assign io_lsu_error_pkt_r_bits_mscause = _T_110_bits_mscause; // @[lsu_lsc_ctl.scala 184:24] assign io_lsu_error_pkt_r_bits_addr = _T_110_bits_addr; // @[lsu_lsc_ctl.scala 184:24] - assign io_lsu_fir_addr = io_lsu_ld_data_corr_r[31:1]; // @[lsu_lsc_ctl.scala 242:28] + assign io_lsu_fir_addr = io_lsu_ld_data_corr_r[31:1]; // @[lsu_lsc_ctl.scala 241:28] assign io_lsu_fir_error = _T_113; // @[lsu_lsc_ctl.scala 187:38] assign io_addr_in_dccm_d = addrcheck_io_addr_in_dccm_d; // @[lsu_lsc_ctl.scala 128:42] - assign io_addr_in_dccm_m = _T_183; // @[lsu_lsc_ctl.scala 233:24] - assign io_addr_in_dccm_r = _T_184; // @[lsu_lsc_ctl.scala 234:24] + assign io_addr_in_dccm_m = _T_179; // @[lsu_lsc_ctl.scala 232:24] + assign io_addr_in_dccm_r = _T_180; // @[lsu_lsc_ctl.scala 233:24] assign io_addr_in_pic_d = addrcheck_io_addr_in_pic_d; // @[lsu_lsc_ctl.scala 129:42] - assign io_addr_in_pic_m = _T_185; // @[lsu_lsc_ctl.scala 235:24] - assign io_addr_in_pic_r = _T_186; // @[lsu_lsc_ctl.scala 236:24] - assign io_addr_external_m = _T_187; // @[lsu_lsc_ctl.scala 237:24] + assign io_addr_in_pic_m = _T_181; // @[lsu_lsc_ctl.scala 234:24] + assign io_addr_in_pic_r = _T_182; // @[lsu_lsc_ctl.scala 235:24] + assign io_addr_external_m = _T_183; // @[lsu_lsc_ctl.scala 236:24] assign io_lsu_pkt_d_valid = _T_128 | io_dma_lsc_ctl_dma_dccm_req; // @[lsu_lsc_ctl.scala 208:20 lsu_lsc_ctl.scala 212:24] assign io_lsu_pkt_d_bits_fast_int = io_dec_lsu_valid_raw_d & io_lsu_p_bits_fast_int; // @[lsu_lsc_ctl.scala 208:20] assign io_lsu_pkt_d_bits_stack = io_dec_lsu_valid_raw_d & io_lsu_p_bits_stack; // @[lsu_lsc_ctl.scala 208:20] @@ -740,9 +730,9 @@ module lsu_lsc_ctl( assign rvclkhdr_io_clk = clock; // @[lib.scala 419:18] assign rvclkhdr_io_en = _T_105 | io_clk_override; // @[lib.scala 420:17] assign rvclkhdr_1_io_clk = clock; // @[lib.scala 406:18] - assign rvclkhdr_1_io_en = _T_174 | io_clk_override; // @[lib.scala 407:17] + assign rvclkhdr_1_io_en = _T_168 | io_clk_override; // @[lib.scala 407:17] assign rvclkhdr_2_io_clk = clock; // @[lib.scala 406:18] - assign rvclkhdr_2_io_en = _T_179 | io_clk_override; // @[lib.scala 407:17] + assign rvclkhdr_2_io_en = _T_174 | io_clk_override; // @[lib.scala 407:17] assign rvclkhdr_3_io_clk = clock; // @[lib.scala 406:18] assign rvclkhdr_3_io_en = io_addr_external_m | io_clk_override; // @[lib.scala 407:17] `ifdef RANDOMIZE_GARBAGE_ASSIGN @@ -861,41 +851,33 @@ initial begin _RAND_39 = {1{`RANDOM}}; _T_143 = _RAND_39[0:0]; _RAND_40 = {1{`RANDOM}}; - _T_154 = _RAND_40[0:0]; + store_data_pre_m = _RAND_40[31:0]; _RAND_41 = {1{`RANDOM}}; - _T_156 = _RAND_41[0:0]; + _T_153 = _RAND_41[31:0]; _RAND_42 = {1{`RANDOM}}; - _T_158 = _RAND_42[0:0]; + _T_154 = _RAND_42[31:0]; _RAND_43 = {1{`RANDOM}}; - _T_160 = _RAND_43[0:0]; + end_addr_pre_m = _RAND_43[28:0]; _RAND_44 = {1{`RANDOM}}; - store_data_pre_m = _RAND_44[31:0]; + _T_159 = _RAND_44[2:0]; _RAND_45 = {1{`RANDOM}}; - _T_161 = _RAND_45[31:0]; + end_addr_pre_r = _RAND_45[28:0]; _RAND_46 = {1{`RANDOM}}; - _T_162 = _RAND_46[31:0]; + _T_165 = _RAND_46[2:0]; _RAND_47 = {1{`RANDOM}}; - end_addr_pre_m = _RAND_47[28:0]; + _T_179 = _RAND_47[0:0]; _RAND_48 = {1{`RANDOM}}; - _T_166 = _RAND_48[2:0]; + _T_180 = _RAND_48[0:0]; _RAND_49 = {1{`RANDOM}}; - end_addr_pre_r = _RAND_49[28:0]; + _T_181 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_171 = _RAND_50[2:0]; + _T_182 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; _T_183 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_184 = _RAND_52[0:0]; + addr_external_r = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_185 = _RAND_53[0:0]; - _RAND_54 = {1{`RANDOM}}; - _T_186 = _RAND_54[0:0]; - _RAND_55 = {1{`RANDOM}}; - _T_187 = _RAND_55[0:0]; - _RAND_56 = {1{`RANDOM}}; - addr_external_r = _RAND_56[0:0]; - _RAND_57 = {1{`RANDOM}}; - bus_read_data_r = _RAND_57[31:0]; + bus_read_data_r = _RAND_53[31:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin access_fault_m = 1'h0; @@ -1017,54 +999,42 @@ initial begin if (reset) begin _T_143 = 1'h0; end - if (reset) begin - _T_154 = 1'h0; - end - if (reset) begin - _T_156 = 1'h0; - end - if (reset) begin - _T_158 = 1'h0; - end - if (reset) begin - _T_160 = 1'h0; - end if (reset) begin store_data_pre_m = 32'h0; end if (reset) begin - _T_161 = 32'h0; + _T_153 = 32'h0; end if (reset) begin - _T_162 = 32'h0; + _T_154 = 32'h0; end if (reset) begin end_addr_pre_m = 29'h0; end if (reset) begin - _T_166 = 3'h0; + _T_159 = 3'h0; end if (reset) begin end_addr_pre_r = 29'h0; end if (reset) begin - _T_171 = 3'h0; + _T_165 = 3'h0; + end + if (reset) begin + _T_179 = 1'h0; + end + if (reset) begin + _T_180 = 1'h0; + end + if (reset) begin + _T_181 = 1'h0; + end + if (reset) begin + _T_182 = 1'h0; end if (reset) begin _T_183 = 1'h0; end - if (reset) begin - _T_184 = 1'h0; - end - if (reset) begin - _T_185 = 1'h0; - end - if (reset) begin - _T_186 = 1'h0; - end - if (reset) begin - _T_187 = 1'h0; - end if (reset) begin addr_external_r = 1'h0; end @@ -1367,34 +1337,6 @@ end // initial _T_143 <= io_lsu_pkt_m_valid & _T_136; end end - always @(posedge io_lsu_c1_m_clk or posedge reset) begin - if (reset) begin - _T_154 <= 1'h0; - end else begin - _T_154 <= io_lsu_addr_d[2]; - end - end - always @(posedge io_lsu_c1_m_clk or posedge reset) begin - if (reset) begin - _T_156 <= 1'h0; - end else begin - _T_156 <= io_end_addr_d[2]; - end - end - always @(posedge io_lsu_c1_r_clk or posedge reset) begin - if (reset) begin - _T_158 <= 1'h0; - end else begin - _T_158 <= io_lsu_addr_m[2]; - end - end - always @(posedge io_lsu_c1_r_clk or posedge reset) begin - if (reset) begin - _T_160 <= 1'h0; - end else begin - _T_160 <= io_end_addr_m[2]; - end - end always @(posedge io_lsu_store_c1_m_clk or posedge reset) begin if (reset) begin store_data_pre_m <= 32'h0; @@ -1408,79 +1350,79 @@ end // initial end always @(posedge io_lsu_c1_m_clk or posedge reset) begin if (reset) begin - _T_161 <= 32'h0; + _T_153 <= 32'h0; end else begin - _T_161 <= io_lsu_addr_d; + _T_153 <= io_lsu_addr_d; end end always @(posedge io_lsu_c1_r_clk or posedge reset) begin if (reset) begin - _T_162 <= 32'h0; + _T_154 <= 32'h0; end else begin - _T_162 <= io_lsu_addr_m; + _T_154 <= io_lsu_addr_m; end end always @(posedge clock or posedge reset) begin if (reset) begin end_addr_pre_m <= 29'h0; - end else if (_T_175) begin + end else if (_T_169) begin end_addr_pre_m <= io_end_addr_d[31:3]; end end always @(posedge io_lsu_c1_m_clk or posedge reset) begin if (reset) begin - _T_166 <= 3'h0; + _T_159 <= 3'h0; end else begin - _T_166 <= io_end_addr_d[2:0]; + _T_159 <= io_end_addr_d[2:0]; end end always @(posedge clock or posedge reset) begin if (reset) begin end_addr_pre_r <= 29'h0; - end else if (_T_180) begin + end else if (_T_175) begin end_addr_pre_r <= io_end_addr_m[31:3]; end end always @(posedge io_lsu_c1_r_clk or posedge reset) begin if (reset) begin - _T_171 <= 3'h0; + _T_165 <= 3'h0; end else begin - _T_171 <= io_end_addr_m[2:0]; + _T_165 <= io_end_addr_m[2:0]; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_179 <= 1'h0; + end else begin + _T_179 <= io_addr_in_dccm_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_180 <= 1'h0; + end else begin + _T_180 <= io_addr_in_dccm_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_181 <= 1'h0; + end else begin + _T_181 <= io_addr_in_pic_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_182 <= 1'h0; + end else begin + _T_182 <= io_addr_in_pic_m; end end always @(posedge io_lsu_c1_m_clk or posedge reset) begin if (reset) begin _T_183 <= 1'h0; end else begin - _T_183 <= io_addr_in_dccm_d; - end - end - always @(posedge io_lsu_c1_r_clk or posedge reset) begin - if (reset) begin - _T_184 <= 1'h0; - end else begin - _T_184 <= io_addr_in_dccm_m; - end - end - always @(posedge io_lsu_c1_m_clk or posedge reset) begin - if (reset) begin - _T_185 <= 1'h0; - end else begin - _T_185 <= io_addr_in_pic_d; - end - end - always @(posedge io_lsu_c1_r_clk or posedge reset) begin - if (reset) begin - _T_186 <= 1'h0; - end else begin - _T_186 <= io_addr_in_pic_m; - end - end - always @(posedge io_lsu_c1_m_clk or posedge reset) begin - if (reset) begin - _T_187 <= 1'h0; - end else begin - _T_187 <= addrcheck_io_addr_external_d; + _T_183 <= addrcheck_io_addr_external_d; end end always @(posedge io_lsu_c1_r_clk or posedge reset) begin @@ -1493,7 +1435,7 @@ end // initial always @(posedge clock or posedge reset) begin if (reset) begin bus_read_data_r <= 32'h0; - end else if (_T_188) begin + end else if (_T_184) begin bus_read_data_r <= io_bus_read_data_m; end end diff --git a/src/main/scala/lsu/lsu.scala b/src/main/scala/lsu/lsu.scala index c293d2c1..5214c875 100644 --- a/src/main/scala/lsu/lsu.scala +++ b/src/main/scala/lsu/lsu.scala @@ -1,7 +1,7 @@ package lsu import lib._ -import chisel3._ +import chisel3.{withClock, _} import chisel3.util._ import include._ import mem._ @@ -65,9 +65,9 @@ class lsu extends Module with RequireAsyncReset with param with lib { // val end_addr_m = WireInit(0.U(32.W)) // val end_addr_r = WireInit(0.U(32.W)) val lsu_busreq_r = WireInit(Bool(),false.B) -// val ldst_dual_d = WireInit(Bool(),false.B) -// val ldst_dual_m = WireInit(Bool(),false.B) -// val ldst_dual_r = WireInit(Bool(),false.B) + val ldst_dual_d = WireInit(Bool(),false.B) + val ldst_dual_m = WireInit(Bool(),false.B) + val ldst_dual_r = WireInit(Bool(),false.B) val lsu_lsc_ctl = Module(new lsu_lsc_ctl()) // io.lsu_exu.lsu_result_m := lsu_lsc_ctl.io.lsu_result_m @@ -138,9 +138,9 @@ class lsu extends Module with RequireAsyncReset with param with lib { lsu_lsc_ctl.io.lsu_double_ecc_error_m := ecc.io.lsu_double_ecc_error_m lsu_lsc_ctl.io.flush_m_up := flush_m_up lsu_lsc_ctl.io.flush_r := flush_r - lsu_lsc_ctl.io.ldst_dual_d := lsu_lsc_ctl.io.lsu_addr_d(2) =/= lsu_lsc_ctl.io.end_addr_d(2) - lsu_lsc_ctl.io.ldst_dual_m := lsu_lsc_ctl.io.lsu_addr_m(2) =/= lsu_lsc_ctl.io.end_addr_m(2) - lsu_lsc_ctl.io.ldst_dual_r := lsu_lsc_ctl.io.lsu_addr_r(2) =/= lsu_lsc_ctl.io.end_addr_r(2) + lsu_lsc_ctl.io.ldst_dual_d := ldst_dual_d + lsu_lsc_ctl.io.ldst_dual_m := ldst_dual_m + lsu_lsc_ctl.io.ldst_dual_r := ldst_dual_r lsu_lsc_ctl.io.lsu_exu <> io.lsu_exu lsu_lsc_ctl.io.lsu_p <> io.lsu_p lsu_lsc_ctl.io.dec_lsu_valid_raw_d := io.dec_lsu_valid_raw_d @@ -153,9 +153,9 @@ class lsu extends Module with RequireAsyncReset with param with lib { //Outputs -// ldst_dual_d := lsu_lsc_ctl.io.lsu_addr_d(2) =/= lsu_lsc_ctl.io.end_addr_d(2) -// ldst_dual_m := lsu_lsc_ctl.io.lsu_addr_m(2) =/= lsu_lsc_ctl.io.end_addr_m(2) -// ldst_dual_r := lsu_lsc_ctl.io.lsu_addr_r(2) =/= lsu_lsc_ctl.io.end_addr_r(2) + ldst_dual_d := lsu_lsc_ctl.io.lsu_addr_d(2) =/= lsu_lsc_ctl.io.end_addr_d(2) + ldst_dual_m := lsu_lsc_ctl.io.lsu_addr_m(2) =/= withClock(clkdomain.io.lsu_c1_m_clk){RegNext(lsu_lsc_ctl.io.end_addr_d(2),0.U)}//=/= lsu_lsc_ctl.io.end_addr_m(2) + ldst_dual_r := lsu_lsc_ctl.io.lsu_addr_r(2) =/= withClock(clkdomain.io.lsu_c1_r_clk){RegNext(lsu_lsc_ctl.io.end_addr_m(2),0.U)}//=/= lsu_lsc_ctl.io.end_addr_r(2) io.lsu_single_ecc_error_incr := lsu_lsc_ctl.io.lsu_single_ecc_error_incr io.lsu_error_pkt_r <> lsu_lsc_ctl.io.lsu_error_pkt_r @@ -164,8 +164,8 @@ class lsu extends Module with RequireAsyncReset with param with lib { // DCCM Control //Inputs dccm_ctl.io.clk_override := io.clk_override - dccm_ctl.io.ldst_dual_m := lsu_lsc_ctl.io.lsu_addr_m(2) =/= lsu_lsc_ctl.io.end_addr_m(2) - dccm_ctl.io.ldst_dual_r := lsu_lsc_ctl.io.lsu_addr_r(2) =/= lsu_lsc_ctl.io.end_addr_r(2) + dccm_ctl.io.ldst_dual_m := ldst_dual_m + dccm_ctl.io.ldst_dual_r := ldst_dual_r dccm_ctl.io.lsu_c2_m_clk := clkdomain.io.lsu_c2_m_clk dccm_ctl.io.lsu_c2_r_clk := clkdomain.io.lsu_c2_r_clk dccm_ctl.io.lsu_free_c2_clk := clkdomain.io.lsu_free_c2_clk @@ -224,9 +224,9 @@ class lsu extends Module with RequireAsyncReset with param with lib { io.lsu_pic <> dccm_ctl.io.lsu_pic //Store Buffer //Inputs - stbuf.io.ldst_dual_d := lsu_lsc_ctl.io.lsu_addr_d(2) =/= lsu_lsc_ctl.io.end_addr_d(2) - stbuf.io.ldst_dual_m := lsu_lsc_ctl.io.lsu_addr_m(2) =/= lsu_lsc_ctl.io.end_addr_m(2) - stbuf.io.ldst_dual_r := lsu_lsc_ctl.io.lsu_addr_r(2) =/= lsu_lsc_ctl.io.end_addr_r(2) + stbuf.io.ldst_dual_d := ldst_dual_d + stbuf.io.ldst_dual_m := ldst_dual_m + stbuf.io.ldst_dual_r := ldst_dual_r stbuf.io.lsu_stbuf_c1_clk := clkdomain.io.lsu_stbuf_c1_clk stbuf.io.lsu_free_c2_clk := clkdomain.io.lsu_free_c2_clk stbuf.io.lsu_pkt_m <> lsu_lsc_ctl.io.lsu_pkt_m @@ -327,9 +327,9 @@ class lsu extends Module with RequireAsyncReset with param with lib { bus_intf.io.lsu_busm_clk := clkdomain.io.lsu_busm_clk bus_intf.io.dec_lsu_valid_raw_d := io.dec_lsu_valid_raw_d bus_intf.io.lsu_busreq_m := lsu_busreq_m - bus_intf.io.ldst_dual_d := lsu_lsc_ctl.io.lsu_addr_d(2) =/= lsu_lsc_ctl.io.end_addr_d(2) - bus_intf.io.ldst_dual_m := lsu_lsc_ctl.io.lsu_addr_m(2) =/= lsu_lsc_ctl.io.end_addr_m(2) - bus_intf.io.ldst_dual_r := lsu_lsc_ctl.io.lsu_addr_r(2) =/= lsu_lsc_ctl.io.end_addr_r(2) + bus_intf.io.ldst_dual_d := ldst_dual_d + bus_intf.io.ldst_dual_m := ldst_dual_m + bus_intf.io.ldst_dual_r := ldst_dual_r bus_intf.io.lsu_addr_m := lsu_lsc_ctl.io.lsu_addr_m & Fill(32,lsu_lsc_ctl.io.addr_external_m & lsu_lsc_ctl.io.lsu_pkt_m.valid) bus_intf.io.lsu_addr_r := lsu_lsc_ctl.io.lsu_addr_r & Fill(32,lsu_busreq_r) bus_intf.io.end_addr_m := lsu_lsc_ctl.io.end_addr_m & Fill(32,lsu_lsc_ctl.io.addr_external_m & lsu_lsc_ctl.io.lsu_pkt_m.valid) diff --git a/src/main/scala/lsu/lsu_bus_buffer.scala b/src/main/scala/lsu/lsu_bus_buffer.scala index 062d5eee..b7665549 100644 --- a/src/main/scala/lsu/lsu_bus_buffer.scala +++ b/src/main/scala/lsu/lsu_bus_buffer.scala @@ -166,13 +166,15 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val fwd_data = WireInit(UInt(32.W), 0.U) val ld_fwddata_buf_lo_initial = (0 until 4).map(i=>Fill(8, ld_byte_ibuf_hit_lo(i))).reverse.reduce(Cat(_,_)) val ld_fwddata_buf_hi_initial = (0 until 4).map(i=>Fill(8, ld_byte_ibuf_hit_hi(i))).reverse.reduce(Cat(_,_)) - io.ld_fwddata_buf_lo := Cat((0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(3)(i)) & buf_data(i)(31, 24)).reduce(_ | _), + io.ld_fwddata_buf_lo := Cat( + (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(3)(i)) & buf_data(i)(31, 24)).reduce(_ | _), (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(2)(i)) & buf_data(i)(23, 16)).reduce(_ | _), (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(1)(i)) & buf_data(i)(15, 8)).reduce(_ | _), (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(0)(i)) & buf_data(i)(7 , 0)).reduce(_ | _)) | (ld_fwddata_buf_lo_initial & ibuf_data) - io.ld_fwddata_buf_hi := Cat((0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(3)(i)) & buf_data(i)(31, 24)).reduce(_ | _), + io.ld_fwddata_buf_hi := Cat( + (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(3)(i)) & buf_data(i)(31, 24)).reduce(_ | _), (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(2)(i)) & buf_data(i)(23, 16)).reduce(_ | _), (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(1)(i)) & buf_data(i)(15, 8)).reduce(_ | _), (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(0)(i)) & buf_data(i)(7 , 0)).reduce(_ | _)) | diff --git a/src/main/scala/lsu/lsu_lsc_ctl.scala b/src/main/scala/lsu/lsu_lsc_ctl.scala index f7c80764..2b09a8f8 100644 --- a/src/main/scala/lsu/lsu_lsc_ctl.scala +++ b/src/main/scala/lsu/lsu_lsc_ctl.scala @@ -26,9 +26,9 @@ class lsu_lsc_ctl extends Module with RequireAsyncReset with lib val flush_m_up = Input(UInt(1.W)) val flush_r = Input(UInt(1.W)) - val ldst_dual_d = Input(Bool()) - val ldst_dual_m = Input(Bool()) - val ldst_dual_r = Input(Bool()) + val ldst_dual_d = Input(UInt(1.W)) + val ldst_dual_m = Input(UInt(1.W)) + val ldst_dual_r = Input(UInt(1.W)) val lsu_exu = new lsu_exu() @@ -221,15 +221,14 @@ class lsu_lsc_ctl extends Module with RequireAsyncReset with lib val dma_mem_wdata_shifted = io.dma_lsc_ctl.dma_mem_wdata(63,0) >> Cat(io.dma_lsc_ctl.dma_mem_addr(2,0), 0.U(3.W)) // Shift the dma data to lower bits to make it consistent to lsu stores val store_data_d = Mux(io.dma_lsc_ctl.dma_dccm_req.asBool,dma_mem_wdata_shifted(31,0),io.lsu_exu.exu_lsu_rs2_d(31,0)) // Write to PIC still happens in r stage val store_data_m_in = Mux(io.lsu_pkt_d.bits.store_data_bypass_d.asBool,io.lsu_exu.lsu_result_m(31,0),store_data_d(31,0)) - val int = withClock(io.lsu_c1_m_clk){RegNext(io.lsu_addr_d(2),0.U)} =/= withClock(io.lsu_c1_m_clk){RegNext(io.end_addr_d(2),0.U)} - val int1 = withClock(io.lsu_c1_r_clk){RegNext(io.lsu_addr_m(2),0.U)} =/= withClock(io.lsu_c1_r_clk){RegNext(io.end_addr_m(2),0.U)} + val store_data_pre_m = withClock(io.lsu_store_c1_m_clk){RegNext(store_data_m_in,0.U)} io.lsu_addr_m := withClock(io.lsu_c1_m_clk){RegNext(io.lsu_addr_d,0.U)} io.lsu_addr_r := withClock(io.lsu_c1_r_clk){RegNext(io.lsu_addr_m,0.U)} - io.end_addr_m := Cat(Mux(int,end_addr_pre_m,io.lsu_addr_m(31,3)), withClock(io.lsu_c1_m_clk){RegNext(io.end_addr_d(2,0),0.U)}) - io.end_addr_r := Cat(Mux(int1,end_addr_pre_r,io.lsu_addr_r(31,3)), withClock(io.lsu_c1_r_clk){RegNext(io.end_addr_m(2,0),0.U)}) + io.end_addr_m := Cat(Mux(io.ldst_dual_m,end_addr_pre_m,io.lsu_addr_m(31,3)), withClock(io.lsu_c1_m_clk){RegNext(io.end_addr_d(2,0),0.U)}) + io.end_addr_r := Cat(Mux(io.ldst_dual_r,end_addr_pre_r,io.lsu_addr_r(31,3)), withClock(io.lsu_c1_r_clk){RegNext(io.end_addr_m(2,0),0.U)}) end_addr_pre_m := rvdffe(io.end_addr_d(31,3),((io.lsu_pkt_d.valid & io.ldst_dual_d) | io.clk_override),clock,io.scan_mode) - end_addr_pre_r := rvdffe(io.end_addr_m(31,3),((io.lsu_pkt_m.valid & int) | io.clk_override),clock,io.scan_mode) + end_addr_pre_r := rvdffe(io.end_addr_m(31,3),((io.lsu_pkt_m.valid & io.ldst_dual_m) | io.clk_override),clock,io.scan_mode) io.addr_in_dccm_m := withClock(io.lsu_c1_m_clk){RegNext(io.addr_in_dccm_d,0.U)} io.addr_in_dccm_r := withClock(io.lsu_c1_r_clk){RegNext(io.addr_in_dccm_m,0.U)} io.addr_in_pic_m := withClock(io.lsu_c1_m_clk){RegNext(io.addr_in_pic_d,0.U)} diff --git a/target/scala-2.12/classes/lsu/buffer$.class b/target/scala-2.12/classes/lsu/buffer$.class index a9d16b8a0ed0861680bdff9e3d8fbca3b56f9678..8a6e03caf5d50c13df6c03ac0e4a8f2dc599ca48 100644 GIT binary patch delta 107 zcmbO$H&<@MBQD0u$$~thlYek&09lIM31Cuu@=R_ksLV@lbuh~oDwD(3g!Rf gv4*k&d0iQ+CQs+}WvyaRXR4h1lD7gVlf<_h0MJ4pQUCw| delta 107 zcmbO$H&<@MBQD1B$$~thlYek&09lIM31Cuu@=R_ksLV@lbuh~oDwD(3g!Rf gv4*k&d0iPRCQs+}WvyUPXDXlklD7gVlf<_h0Lx4uH~;_u diff --git a/target/scala-2.12/classes/lsu/buffer$delayedInit$body.class b/target/scala-2.12/classes/lsu/buffer$delayedInit$body.class index 9a0a820577f54177815cd4ffb90917b1ddb17c8a..770ca828c8e7f786de7316971e75cfae40fb840a 100644 GIT binary patch delta 19 Zcmcb~dXsg74HIMKWLu^HAlb*{4FE#@1}^{r delta 19 Zcmcb~dXsg74HIMeWLu^HAlb*{4FE#j1}gvn diff --git a/target/scala-2.12/classes/lsu/lsc_ctl$.class b/target/scala-2.12/classes/lsu/lsc_ctl$.class index ad18ccbdd36a8f1a0a6721f89b15d8f635aa5f9f..21ac31c6fda2cae609e582f05a424f1de4b7815d 100644 GIT binary patch delta 107 zcmbOsH$!g2T`oq+$>+I6Cx7PB0J3Vi#U~qcdxBYkU>O^zydn=wK9R=?C^m=36|72p h@=qRXD9eY}l~HQ)Bwk-uDF$_**c09gAQ{WI8vvGm9uNQk delta 107 zcmbOsH$!g2T`oqc$>+I6Cx7PB0J3Vi#U~qcdxBYkU>O^zydn=wK9R=?C^m=36|72p h@=qRXD9eY}l~H=~Bwk-uX$Ezm*c09gAQ{WI8vvO39vuJx diff --git a/target/scala-2.12/classes/lsu/lsc_ctl$delayedInit$body.class b/target/scala-2.12/classes/lsu/lsc_ctl$delayedInit$body.class index 2a406ce11d85bf60f3f000ec63d24e50d859e79f..050f20981defc1c1c3e7a380baf2fab8a9a181c5 100644 GIT binary patch delta 19 Zcmcb^dWUs`JrkqkWCx}IAUToA8vs4G1%3bk delta 19 Zcmcb^dWUs`JrkqUWCx}IAUToA8vs4W1%Lnm diff --git a/target/scala-2.12/classes/lsu/lsu.class b/target/scala-2.12/classes/lsu/lsu.class index a714dd44b26acea38a0800d8e7a2010cbaff9177..0c5e3d9bde89b2894a7f50155663494c7497cb53 100644 GIT binary patch literal 856181 zcmcG1cVHCBm3Q~_B+WcUM26iJD_HOXLNfy*>@GVBfEFP@5_xxLN76{lG72aV)@z*e zIp>_tUT2@}bI$pk&+(koIs1Ih>72e7s%yGS1sP<3>VB%}`o!Pf z`Ci9ya?SU;PNFh9--iDZj_W$BM^2Y#OO5>Fj)Yrj(z(I5{#b2`Aw?HCXTF*^y$U=sMT*F=(49ja5n` zb8Ul^JXju|EY8i(ly7B)%4C5SEt7kuC}wYCE};o#Ofuzux3X~W5jKVEOL_(uM_V-(c75c zUQ=JQ)pa-IW5qT3ma`GQ|Fd;nQLo8$(~XfGHH|d~+jgM zSlhV9^;WdxTN-0jZ{vvwrnjo}b(nrQ&gnZmOy8o?Go+v7^yN`Zze%OvLi#nFev#7m zsr1`OKP~mgFnvg+A0>T|`s0{>mr5Td{W_^X;l;d)q)#`lZY(Fw>8n1JY^iO_sV@qSXhU|{bdzij2kY1Bg z>DZsQRr~WujQcaSJU@BIuC!N^Zg+3rc`o5DA8_;654D~1*5sQu)W=qzDRsJQ`&Pz! z*LJ$=y1nJa)~VaK&p&8wP5uG-=;+~ftB%*+z9JRd@xaB!$d2K?o8kPX74gXOb&ZYt z7e^8+Z)rVNe|t8K`LQnd#-l4^7Z-QB8xO^L8jo(eW7plKC9t*a+U=ujmtZ~D)OhWa zXBu0U#v@zf%k!I8W>T@U``5K#y`^|1(dBL`EULM%wy;^7i%|jq9_U-NfjL&G(+`zcV{qTGx7P(;oLM>Mv>YBD2?~T3X7t zZ=Yyf-E!do@%;##CBN-%sw_pl^DQ+y)^F){FD{PtE-Q9!o!Xn(xNpSh|Jku|ZF{KSdO+_Mx{oDHTEiDjPU$f)z=93+Fo#^NGmaFzwsP^Vh9L4rp>ZrXPt=Qg9zrBIcV>mBF zZc**s8e862TiCiJ7K?c!`I{o$YnL}3uDRJ;9_wBb zH9PMvFKIsChvUh+e&-D|Ul-zyotsW(?kZ1}N6W8O5j_qmO|OID#h$cI~U z;}dse=XW=eAC@&H4yP}!Lq81PyeQvtbnR3b%N;%-<<1^(rh7nzG~ZEcBc96qtGH9`Kmfc|Q1xY=7IJgSs0T~GbL zTlL4S-pwuR+fL<9qkrO4D|g-1wX^SdS3aL_S=_Ru{cyf}QEknJa&siQX~`=lWYx4!}myTV!Ux?2qZh^fM$9K+mVgGGh zzWpAu<8Ez7$K07qEB8&U+Bvqnzjaydst&rpWTY!UAnk0A+{k{(4UZ4>jbl4=<@o5{ z$>Z%)vupB=XOHOdJ~2)_S*NJ zR=Vw8tOw@{uBT0n_briYsh;CF58cv?{Ma_X`!*WKTN-O)o0=OB=8G-0JC?Pdh(*10 z_xfU#>Xr6(ciz5tYS}ItH|N#3$$MmPXXV(`@s7L4y29WnA~m0lwe) z(z=^)9^ZM_sd(%5+EZI5(f*oAWq+RAS#)gbjx97#9zC!M$J32BsebEipE}V#wR_vW zdpD84vXbs~K+BpFnfbw!8_!pkcT{kGDcAKxj(EHK+FM$79EvSo-ZOc7rqZ~!X2TS> zQ*&aS3)C-TldHCmoVaCG$K8_@G_iS3eYuMY-a%}U7Ev3D66&%;u;c^_#MoxAN z?`~SvvFY|L7kcB!hhDd-cN?4s9IvM??b=7>c4zKgnvZ2CyDnXie($(zstNYCo!Bx_ zh@=`lqx{6Ot#^C9W;-}PtZa;|Y^*GuINmXK>eBVgCQfddRQBNfc<-LJ6S=!iMN%&N z`!+a_^VEgjOC#qem+g#P&%B`Vl09F465GABD7n_Hq5E9dcH*YH4&2##9!_n&s}!&4 zB>tD;H{rZ=Q)%~_KAg82BdfW5=G^$Ud$-lzzHRJ|_(h)gdycL;j`QWju_befwfQvH zx9QHEcNJ0_Pfr}rWOmn$c5Gg;J+jF!f9ZiYY`P|Q*WNw%NIen7=hVjS2k*$v?7j^S zGrzSQT~zcsO5+3Q|2x|!b~iyjvMM`=^UblgeZ~g6VEzg&??;PD-*SfE+*RAQTTXhWYALskVmb0;%=-$-YreU{piI*y}e{?=R zuG9La%EiYAnvWeknf7AiZl<`rbLFDi#vQGVkrdTmS-orI%nB$L`HJ_S&yMb;`jb?D zY!TMK#`D+Rx>ZZvPRx&_&bqkH9K2@Z4Q=s=*Oy=3exRi}m8iLSQExs@{j%ra9a~Qy zT++PE^>)n;UUSp21K6*NYDYWjPHvygkGGY3Cs$^t zPsRJjH@k5hcdh3)-`;-r9muoJ6T9YiubbP;_pvuZ_mQRhxS=t^e(KZtZVGvFVdKi} z^9OAFJlg-z)(ic2xQ!>8R_&Wwwtbq$ z>&8{Prc1=fzT95v&UyjcYt7)9&i?z4!nmf$nFg;hkrTI9mH$6vl=lgIROk`T| z>MlXv-_Ujv*AE&`%XW^9oE)Wf&*$yvsm_h#ljy(Y#M9%M$>NeZypKkam*IH5ZR1j$ zch$O}WqdPpGX7g^&xPqqd89noH8n9^u9OZ=&y}YpXIsN!SEV>Rn{aCJE%wUg5>CDA zEV2}KoyC61>Eg(l;&`dGb84#6nsAz2r{2g)IL-Jv%=xa92u6(>h4rP`ei<;>~P8FbxRj4)^s{a#fW9UCi*O^+8*X}#;DXU^#a^ma(Cgeo^;*n(9`t>}nQ zcA4@wyH1kxQ3_dtwU$ey(ax4A(Nw8$B{^S(MAvBwH8VZJIvuW);)Yl@>zSUWrgr-E zkcgcgs%O@}>ziE%xF(f?{0l3N_?ox6POWIFq>yb>+Sy%fn=glKqX^LKEZVk*ZBrt7 zT0%>$t;NZy$=3FS)9X5s@)SC`&nIQ)Jn;gGbD}5+ZB+gI5x{~Y=&Ti7#ej4V-X6Mj2^t8_81kCYDBO~YvosJ@=Cgx_!)`O+7{=W7 zze~A=d^v>lJT^6h4sdZ?)X$t#180JRt=t6?OT{@)WnnSYQ)AMmr?RLkX3qJ8)mLop ztIh#_9%?r7@R#|(S6v)X)pIB6HU%dYUwKJbSxq^py(l!X`07gybv5sxzDz`=R$miI zsy%>Klv+`zj_btf(pN$v29+=ewa`myHulw!&|$TJ9!;f}(W5L~A5Gnt(W5NXL$71I zJbn9$L9hFHsG08EH&n=XcMlZyVeeO^zJq8z=M4(7L?s; zN!w;g!&QG#(7vAjd}m(|T4+f{8!f45r6m>Zw4|b?mQ=LWl8V+^QqkVfy&lL9?l=8O zX{J9Z&GaXwnf|0S)1Q=P`jgU3e^MISSJ&Oub)e9h@84hO>>V1!rA-nT*9$%|)KfUt z+fAcOQn(LPid4|k)#agYcrhM*Br$3V~C-h=(VNrU~B6z#;8AOZVglhj8Ey^$ZLg zIW$xl=;`hq=;^`{QQO;<@7mW>$dHrJwgx2!d{!4sqDd;0K%=-ZsG&R;tZ1-sERQxV z^3{6sfhkWbQmS~&uaEtzX1-=snA60)!KQ;z#cHiJlE~u&ZwD28zlkJw z$TyF9Qckg)wF4}ds>BykSTmkg72^dn<5RtRjv&7kpM62`*%z75jlKQIXJZ~W(`eo? z(`eo?)3TN{ns-b+ns>}Jns>}Jn#Y4_)p>kjD$U~yQ)wPwn2L5WFm$kRWU!}jsPD)i z+LGuSJd(j#4j%*%Re9qJiZ{N|ZKaL=AWGD5~MKPMjP z>pOuR16brhzQ6ZS;bf;Fc7}6tq7Evf2ZJKU6N2KQu!!yr=AuiRXmg3gn_A_9eA%=< z@Q%ijyj>dtDgJ&G(Z>gK??Nk5p+=Vpr2uJh!e1y_iQ1z#qy+VbRhFeOxQ=;Czg%9%m)RZ-u- zUEuC^Z%w(l)1^M{Vvod%)6Fh4njo@!0FxH=^c9PB>Q*VBr7jm)Nky4cDAx4*{ib8(4Fl_p0E#nI83!e|n= zRXBCi#hKzn2_v86hFR{cQ-Lm(P6l_-9U`-i;IdVHZyUwk_E>gZ< zSY^8B?|h?lxF8EbA^$qnVG^&(wzxc zf?BE)L<=jyWh~evib@;NLTy~&Fc?KqLyS1mt~QK4VcZc-zb9M|Dyn)AEvyF@#Rc_H z1TE=4I9v}Zs(KJDtOpm;MdSiMK!)>Fp*V?Kp&k*g2K7`mh!$3}9TTM*zO{M3mdA!` zK{Zti6`&rLL8fNp9r#wFf^Zma#h}hiVN6%`#Bf!ptExh@XhBu&__VSNqkOYCQ&vg^ z3~?4pGc!}zzlE`}r28~njsrX)?WAHYLQ8c>X1>A7R1qtk({6gUQ4#9-6(O`#ghULr zjIv(r+zzW_o@0puOWr^kx3a~O{eTKh7iE>!jFLTITAxwqKr#iaX6qb^kS z>q2O$iiCsdS(rgG)%ulCkQ(cFU$_oZQFRbpXh;Tm6ttXVzkrou z8G~XZ`vt5N%Mg!7OC$Klh55p{Vx^41ar~1AE~uX{nyzw>%Pbgm8Dvq&)+)g$%W`u_ zl~92yi!xRgWsn`A0#)KrmZb%xL%1LDzP@5qQe{uZ%ASnD9+G{RTG^99I)u!uGKI1h znwcf01ZE_XRSN_y1&9_wYT<4D(5R!zl&qC0S%WDg`;A(el0^c9tgI4(vMg3&uP-KQ z%~j?L^5>Y!)OnoOahd&Gi6+5&d=ae^)OZUd!xE4lc=D@ zG^7jv$)}8eWRx}U&qfthZe^|9$|6}p?x^yJvMg;HJjzyiM9@+I$)cydnPenxG+3oo z)=I0KK`W9~4-;J2U=E40%vgg<6Vr1S*+Y@KaQmd~S7&8d4r!F4>WU+C<#VMZZj%mq zjPm^otc=SUj3e1EU}anmxfARYlKlc!TIG-(p#oLnP?p6-$c0dWDot`$n&b?cknEde zrAf}93CVr|D@}6P`Qb?px$*$R%qmw%ec^p=HMl~uYL%d+0CsuM5nHQlAZRIoT^uS< z?ftFR-rtH{yoBg8IyH~)O2NmtWW;b1>H6kcnX%Pi2FZQ_D>Jql%pln>U}eTugBc|I z1+2{2YA}Ojzkrn)Tg{uUGJ~=#F2}A8xxC7PtyUInGgv^fGKt{A7Pc8IAlWZqWx+P= z>Uv(eN*CmpMEF<~ITE&$l>Az()Yyi7%rj%@0zFnmFd!eiPs;YoTiLM9U<1j10V^A} zVV5@(7pEuY3lrs8d`=plER9mz!$#Xxo=_o+%M6}utMY`PrGUYcZB?ESv=l%x$h=FT z5j2rDGz1 zQUq_PqskY8mIBCvP=P8zI;;fgFbG1je?wM+bYNeHTBy>1vMeSUH0Y?(fS{#-L4%Ge z4G3BaVBdyJs&;#awc9(eZvi)o6sFGM>vDM%SL~-6RaA*U$_v}vZV-WFc!yOo^4xHn+pBCKXsN&a801PuK5yK2 zm0DEH;yZ&{JF3(oXeoef3C=A$szf4aDPRz3N0mqfEd`Jjq3*^nxIRSxYy*t{Sj z(Y^(lQWseHIHAUm^qBg-4~Y}D0TXl?#I`cX5&NSr+VD%W zK2+BAA-2_r6bjpb3AzkoTbZp%XUZ&w?9s&#+lp;VI@4w`e9{A>0?PF(AZ%&39VrrO zloE6qJAc}dA~AmSOnS{`@c@-b&({N6JQW! z+S)N0L}6szj-5diI1aZHAPZ&M+A+yOab?|(oh%ed4!0Aa24&jXF{we}W8IFO8Wb?* z5ivGX!dJiyzhZkYHX0`_zaqkx#wm~-GMEx{89O;BmK-hRsF1CFlQa};*6rI#L*Zrp$01x)-fA`*;1KEBnl(8@5oq14okJ98 z4!bwNA|NY0z9Bh zTRSEXD449=yrEdk0053?{)~?A5iYM!K?Yy9{aYN`=z_iyfy3S~w)cl$VTUw_$ za>!GZpv&0VLZRe3X`TQ4$!%{o>j^N33fS5<8APFF-L{=U6iilabD)9SHhY_tbUk)f zQSdl?-vL%prp;b#r&jKp_F;0q)gW=oYfjBRBM-ek5^ zdBfON1}U(3K~RCd29b;!L50kgDs>p!sxzpQ*;1trV@nx|A1^e4zEP2kP8;41#GNzt zxO2vgJ9C2HtCVRo0SQt&i@W#yfrCw7Fq=jlU5%Yk6k-mMgA#NZJE17tTy0wJ+K4S= z0wHO}wmUF6Mqy~(G-6vBq*O!bt|>wpeT;b%0p{65(it-(%?Y}7>x`A5_;R&%x^Zs( z$!6;T(%D1O88al!3A%Ore8mA~eeX0|6X)52XB!p-;?fy=TslKx=upQ8;?kUH@jgeI zLl$Ts7~z8qsrvV04^U?)9v!wIKt0N|Szyj(6o1z3Ahwk;c@~I8X9(NMAc3kBmu1O_ z9Ar3NE%Pd4TQ%nN7Kle@2;0gad#X*NgC~;Fx0;>`Fw7p3&QJt8?A^e$MwynTDe4UJ zYZLVskLY`iS^`1pj6F!5F@w~c;P;U|NS(=Mk`8Wz3K>kXXkE-cdu6jpXVNSdU=f9> zZTE?fRhsig4w5C@PJl`F0Ca}p&EYZu8d0XL9i&Y4i%0mir)2auW-S3$*+bG9ia+cN|GJutIcB2)E>YClR)NVSicafB5!=cj zC&CU65Qd`GmNFD~4wVT6r8D-RbjA!ybAoRhW!l<7e()!-+qa0V>$T&>4z4*9R7Dei4e{?{&i!1^B_cr5EhR%9ycfPSB3A zPbCzJ4mQp&1NiZJqwxS~sD#a1CTS>0tsA$KhT_wW)jJ=Xf<4}xq409J3?}Gy>{Ou8akxx? z3ih~ihQi3scQt3tUCo@JY-7C8Z&@>b%n7=Tl?Pcfe#{BFjCD%Ln(<>!&}FPV z$Wr`R|F#!5(92K#M)6lx__M!c>|J3GvAV9&%91PvlY?I61YO3;k}L(2dDjYmMt5cu zzs)g|jD5(gs7f6!VRN-XoopcF%-B}OpiVXrS7vN0V^Aj>h$}O;l%e==$T5N7an>F@ z&YHnvPVk*+4<2V}Gjh0{>J-A6HrtFTBpZknGq#m6rjTqPEX>$e#w14|ES$CPRL)Y2 zH+(+<9@qoGSu+643EFSgDI`nL+QJLVilabaBsv8{~Br@)@%EMZ$2n#1V5vAU2>B;yMV4v@(n9nMmeH+++rpbfT@ z$qWH!10i6>7K6>=Q+83N`6UB*5$nR}44l%UJlCm{;> zhHVRwgEDRRW0E5f=FQsoAZICfTdz(D;7>DAY#=^hHjY}_U^`>XjmgDt08FS{KV6JY* zP7aFQ@<-qNj+-dXjo_gP$v7T05n@eX6LQub@y$|DH(Vw#rPw3BSqkc=AU{26R*y&|PgR_*N%h;DSGa8(w1YO3?BL132 zHSS&g09O1x=8XpEWe*c)%}vjopbfCoi^IfZ0R23azQ<9TOvXQAwv8Or^&qzNni)FI z213V-ZDnv$3Jsw^=s0T+9cRtZF(>%8**8LGDLNc(CqNo|=s0U`gysa@j-50VDGs+2 zAdP(^be1B;;W7cz*f&CFDLNc36X1qDbeyF~akva7==-sA!wel~0~?vrrc zF{8@az;0#63){(=QDsh0?J%~L!6j%Y?Cu;znsqV6wqm#$>xfwlR~}u=x(ekew#<*N ze6SdOYglchNGvuht4=0d%4P#HAvpMQ6ou9XSZR`@u(CEFaQa&^K_?ytn9rKJGAv~? zz~E0Vu$!5&tqf9xe{@J52s&V`*?x8Q$x-;(R+qu6Tp;Ak*jC1PhRy{-&WtT(%#d>~ z5OQX0D`U()ISMoT{W!j*uRaDqd0Wfyy~RGnYLS6;5tmu z<{`F}p#XBIoj`OsXOAxD%;+*F`0d!E%Q=b_huaBEH}*hs&I}}Tf^Nr71qvjG+rb1~ z#!dw@W}FMej2YYfW=E{!BtK+mH_e0o|NEpqrzBZbNw%KR_~CD&dI*BXhGD zNJ~81tSP__`%d4S8Rq2#ZLyslW|%ie3A&8^p~KwGn+t?_8C!ad!n|S61lVEUz?-80 zE{x)iW&K#5c$HZTs%UHNe4#Kde+CUt2_CLYjhw;LGStVCk_qG261hP9m$Bte%=mAP z5_}u%@!y;o|K$W-#?B=Q_j<((U_Q}QE;hUobT?Vnu2grx8Z2_`S@HdTTTV(JgB|7XJ zcek(a-GHBSbBB?1febuLsCK=7y6Y}ffyCz%Ur0O2#22y5-0AWx8q$Y>mNxu{`&nz| z{la(#`FeePGoLcOL5u1%=ag2)W^Sl9qxEdXAn~=t*U5ly;0fl%*~--9xLA*$qDqKt z8hslizLWSamBAlGL}yCV7^c5=eedO(QAc9CMf_+SDPwi(tHxcq7$km__%XGF`wddV z_yO4QQem79R&HM3dothv|730t&(X%OR2EMU7v}K1CG3@IA#8VbpxuSVA@PgEFR8I# zVaH+TMaL@TX*@KDo5Bw`Rpv)aZ8(MsBd3d#lcmZmc2JCTu;%N-HCweI@%zLdsQy19 zmB_@ZZTJ$mexX75x2&)lHnghR(82$|Asmdt6%q~c4g=D=HX;97b&*jC~I#Sm%sxA`MW_4TRr)Sh{ zZ8XO3{fw75)lbKpdsu~P*RKq3cWc$N;flgib&RN+Uy)o%H@^yRbmm;?9BwJY6UxeS z7x6r;ku%5|-ta-q)<`-Yp^oHSsET*mB2}$HhK2HZfZ|Erf!Z+%3#=8_;O7l$X3h=I zpx5Yl_qcp!5eK+VMBN8Sr&C4c@XsVeJ~uE*-HbgEvRst7nL?M5*po(ckjy7L>3;FY5%nWelVj!a`5D?lSr|X>I%lf)(M3;EyL8QYIzoP+ zgx}t*rdfJQ>s#^+OK=q7UoG(enb|pHK7QyQ*VWmX5&YEU*#fnOi4&#r`1z5!nMz@L zs={M}SA0KF))V{yG9^@y2Mxv@q0{CpPW%6UZ;(8Y>?bed?=R{VHb$ws^q+QEln!@OJ-i=Kx#9kUX6%(+%Fu7FTC- ze2U@&Pe<^fw^YftS^U@=au&_(`>)6rL;s4Dpl-)o4%vgZjE7%V%EN8=uR8Tyg=LVO zN>0;Vp2cS#v)0klSP{>2Y{j=IZ~cZ|e4@Op23i1B3pVsZaz1&E>c#mIN9X9+*z|O% zKnA(aotN{)|8B-X=Y_h0p4MmQ3ixav^ADO28GxpaS4|C<)zUx46i7ZOd5OG$XGty% z8{zvFU-Xt;CI<)2!^DXX_nlZN`%WzUgPpk0i_yNGJwv>VWAFJ5qw`Mx|8kyb0wf=k zd@Okn_uPp0v=s}yw=f62_XP3Y6E9mF-gu>cfMzI3%B&d|uj# zC!Y__oHJkJM3OHA=e%=)5bl#n&YYtfX7NpYF&dy|gH}Jabr(1noqI_6pHV|6SMIq; z_ccFPq9d7coF9ecE0Xu6Q16voDL#LkrpL!{rIJdOKG3<#KFGO56}}lAsnuJisZ3jZnS#rEWHN z`GSfihiZPf^9ZW>-*{TC7BWo!gjD@WdV7WO{Wo(P?Vlc@`oi2+$yDK!oF`L-zh-Xx>ERlGD>eQO4~a(ax6;1? zzER)_Uxn80{7}9?QHs9a{+>c>30LjL_39{T6`$B})OAjU)Bb@$5~_Xm0<(rN1afR@ zW>hSq5aLmAo+2~TU%+{)pud6hG)6TJI8PT80p}TlqToDJP#m0R2}*+VY(Xh-o+Ajr zd9I*3aGocq0i5RxY69m4f||j3p`az;yhzY8a9%8E1voDevNyi(8uze#b(8Iv_lAuR`^JPJg0_Q&jJqDbw2zneiUlsIE z;CxNc6T$hqpeKX#4M9%@=bM6_4$ij(JrkU73wky<-x2g&aK0<(`QUs{&=VyZc1)Tp9^d@kAF6dvu z`ENmQ1?Lxn-VV+$1-%oTUkQ3QIKLM3UT}UR=>6dQR?r8*`JJE-gY$bq9|h+Rg8mJh zKMMK;IDZoKDRBNr&}YE;v!H(m=P!aj56)i&eG#0$3HmZPe;4!>41Egv8n~{YZ-5&S z^eu2bLEiy4D(HLQ#sqyI+_<10f}0TZV{ns#ehO}lp#K6lCFsAwO$+)ZxFG1);MNNI zEx2`peh+TFpg)4!An1RL@V;BFF>19!8aZQ!;E z+79j(K|8^17jzT289}#zn-z2`xH&J8jzyG5*x zD#{dk`@4INi{to#=W>;@Uc2to`%&|6`HE_ptE(+jxT@N+S65qB*JkZ{^h?!qR;nH8 zMgQmbb>c(fkRnlw&BLO1yf-JEb;Ff(3jO(^-lM8EyXIiw(7+H4ggyBqeM3^`dP|-B z44d!j>KPnlif*}jRW)#~rq;~W*P6Y0RpqLyF<|S}*ShWMRXarW0r%tAp8kAiUyt(a z)hR3AUY)Y??$s$P|6ZN4^6=FuD<5B-vhwoPDJwtUUq>Iv5AMH8{*vld@|RSvlE0*S zmHZ{utK=`KUL}7?^(y&Gs>-jnd8?cLVA+}P-(TqL9U2s#UuWYv>uD9+vMg1dz}b%@ zz*5&`6?sAmC|=gMp02K7g|>BG=;LwuviPUx4oSW8`4r7(U*zn`~33UujR?c&5HmwWM6- z#1(AiF%o#Rwmm5-3s_Z#%2hbQ9DFvysfuaTU-rS%*WvY6)HYbiq|~lz%qv~>*sI=9 zY-Cr8ef@>OlhX7Re9m(N`du;8HegkKq={XAg-Jvy9_;T^#JEDcgI)dIg+3ZfmmQ9( zy6RKy6+AdNlpi`W7$DCTnx^3$;La7;OPXQoTw#Edvd^$93`ca~p?ueVxox}N!u8JH z&Ay&L3E2JPYlUybwu1JCS5Vs&$tuBh?6OL#_qccMtU|-Yrs|NttUrt;?6THZxp!H^ z?r)XjTfFirCn|cCvrZCwj^N^T0EhL^0oA;WStlLaU@;c%TuqRdWDBiQ}qfD zpQdV72!1uTUg6=>tn~^HpQh>+9zIRgE4(}fRqyxZX`%8JUY-^zU*Y9xp|bL?b@B>y zu-L3Te09oKczIfA#uZ+k7Ajxi9O^qVsGN0;57CL>OIgiQ0VK~i+9?iUXyK)nFB`)dk*c*7Y-i668a&*Hsrc{j~4oSj)~7M zr+`$w{Fv;-uy62j%w`Yi3?1CFXOMiV?Xh`*H1{0o>pP)l0o!9InsXrE-+QQVvh(V+ zI>q|?sY|^}-H(pg(|fNYJ0aIV|YU;0y@*D>#FK{tnI%qcr{r@`xZW+yw6; z2j{4B44X*D!8tA{3C;;YDR52-!atz$1|j*OR-uA>k?@y=xH09Fb32u;SKEG6K7V#l z6z*{DB!wp0af17TlmdUb35yq;yC`=t?v)MX;x=E*D>}oJw=CSW`eqvDk2s^0zfx_( zQ8nW>vC*lCVtEoZO3oN*;Nh0MCrN4Wrzd=74& zOXDvff;1Vx9q2QoXzKpduPFOw+_H63d9pl*2RH_I&K`yI?)0rGXKy->{<^z(uGm(= zACa^Tot~LGPd`COq`Okix^zC}bklzu=)YU&zdZf7k^XC=|1$L7F8XgP{kNU!Thdy@ zpMQ?cPqxx0kyPi?wmi&FPfMf^qaC;rDLs%LL_2gjpAb}tPGR9feqthhG?hL^ z1sZe#CJ1TQ`r4e5NS{olPmyY!RzAO?uGhxdoOXo?Kel#X7*;S0k%^opW37ByNf<&XuPohl(@frMaF9Bc*8)6KULT+EC@!ct8IBqcxG9 zL3adbC&|0OJ&>NONzbO|aWtpvh-Bxf|Bz{QejPGdCDQlc?l)|yt5T%xqBJ8^&Emve zkiIwlpj7&S=}X{lcXv>24?$z9Y6pk!M&lD{{1jijjCXJbx4rFHAE-wZcAZ+76l_gt zLHZHtN2c5}=|?Hciu1ErA#LcaHmSz|TKAarV^is~Y1|qN{>_o66X|~nq;!_&W)o@L z|640Rv^q3X!kxqD!6zf-)wVg6#_oG+P5LS6r@78Lze@bEO=)JbSZSM`n#ZpXmM6!i z+6Ec+l_zm1J|pSimxY%GZ+j5AI61znm-fKnP=0nQ{mk@paGz>=D1QZHJUNWTbw)9Rbwb3xkd!VSLVO6ef8Y8LPRC9cz=8FU#f z_Q=a>(l1Tpe&IOX)(CFi-xiqhE>kevnop-!q;WQRX&UzwFY(O_D<;yf#=ak&Dio)u z$w`L;zs$XSKhRBAfAJ8mF)#{cjZD8b{kl~8N$J-IhShX&&{SU;$x@`nbXEE-DJ+58lieebetYV-DQ9K+9j;TU zZu;)V-?3Hg4om;fcgxj*+ns(_3g?4&qZv3mZ3>K}`<;65M<%he^Ti65t4`1~&EW=~ zicAL|#A-824X3V*oGFF^PcC7&1n7;oV4 z`&9bVsq_=lpE0e=;p3GU@i}}>NXN*qFPKWW&<}_bZa^!G(pOw(Wz|lTZ9L$=mU5!$ zuj7bmrPaMP^s8XYPJb-(&Gff$TYvi7=ns5dZ{uw>iS&2T9{HsOC+wo> z{yw2w@s|d5fRQyWpKUN-{JB{4GHvMbT{|&O^7|t?*iZ!jr$}=-_ zl{Qr({k!zfOk5b^7h;KhfCwA6)T$_5|%ur2i87z0p60Sz)5m zIQv^F{nzy0aV5}M{_H>#4{rQ+56}j~MS%PLx`|4QAWA>L4g3<`FF?}?6~eDE1gDhc z5J$H{0^QmPP(yVrgA_H3!yEj2r-BKn#nsJEIWRRkU%}Z8@X5YWTc=XluErW@fF?*E z;%5eEu8+gwhIF0hI8K88b8%Xf<#Vt!Q!ZA@_wZvVtia86)wNk30owYC6T_p$1Esm* z7=D*{YUW}B@E6;4_|e`#n(I6&xB#l6sBn5}bRYg+xKf&J?VFl9Ge5m6FlVWSHINc2 zIE0@~xW9)DRiLX_sSM&|y$j$PxHbi=;X1tO7I_eoTir81IYN(MThK+_aD9{G0uE*T znXH+uU8)JY;8Ec!m#ET(Y4qtyqH#&>6CFvv_g0F>jDeR*gz&*=TFKh^OIZ-+R zH-dXk@;0SlWBN0YK9X+4aSmJX&e|K&jZKJKA$=m6 z0#luWwAN3L`Zt4nQ7k-+lOrzkQ!@jubI3B{|F;g5H68|G2q%3w0`4Vg?-;lb7jy#L z#|S!w?~G#d9rQGh#yvqY?xIf#Och#(i};is_@yFO{*UWyW$Q4Eq@WH)!MQ`a3tvW# zku^^hYsw)Tc+UIB*&tIW48s|+p#tu+q|r%mpD$<{+!qO&A(x`cOGTUq_dY@A!F{bD zd}OQlKOcgxK?VHTe*zwe?{f$W6Qv3IPzJz*a7l%G0pBX#D8=xtqRF4E=I6?lwxc-3 zj3xlzD`Mve0z3j|J9s!e65O{+nMdP?0EY3|9v|ZZ>x(RK@K|^pX+Iw4yP!GKXXXlX z33vkiW_+Ru@Fc4AiGXhcb=cqYlf{{f)a#RI<5Q7A=#i%>DikNC0iGc}^-OTzAvQc4 z-1iE4F6FWhpAYVbB<+Q0J)d?6@M3A`CFDU=_^2r0Gj&Q1btn}_F9N)RwC;ub!2P%= zyb7Zg{P+Q{0rxYKh7Ye#fTy8tIKbV{N!lCbEy=%Ca<-1@cst?ERO`Ru)Mimgz*`~y zIBNK9@OE&&C>6dFUzhwYJC9%3C;_}%blwB*e~8Ze!2O1x572$64xYUS;6v2EZ-Wn` zrRmp$`)$$s7`WdP^l@;1An21A6_XqMG`K&NH2i&9we3-y*#JH#6@DJOGencFBEAa4 z7xB*Yh+z6qIpyE;WC{bq0dp-S~f=$kn6CYvEhe zUHDkH$np-H0DQoM^E1WifznuhW~PY4=ce@*MgOPd_@qqHg7Va4+r<1FEx~Qau=I3k zluP4U_Mh;5d|8AaxK6G*tI{j*F12a}su(Pt4ZIzR#kkP@D1|=5N6AGr1&+^@iugw3 zUjcrKEXOjc$6M^E=Bz7XT zjS$%^Y4|kOE(pVxk>QI_yOenT#M)&L$w>x2<3#bqfib9EC0VO6oXLx2?X?t`x)~xJ zqPYelHwkKm$ZkRSy_hI{WesZAOX&>|>6EM+A<`pg6GZk2YJWq}_(LpT~$L)b>dg2Ou&hSqJeI8omvY^CIFZ9-8;@1Ja4wA)J+H5tyF9m?C{> z4kK3_NhwS~?NO|;_DJn9h}oPDA7=g6@XMGX+&3@*F{vIP3Ux3;*yxQH#GglLwtzT=~YSpI<1t z^ALH7pz{!Ug`kTNd6l3CLge*=9t4p$3VJX^WZ9~HC`4rOsC_s@WbvqdBt$+SdXI*P ztPQn~g@`NywU39$XC(It5c!;-Cqd-Pf}R4AuL^n^L}Wg$eFj8i&aHhGM7}S%&w7{9= zd%mF6;JrxDwc!1;pce4%6LdXzuNJfxyw?f30lYT}+5p~L1l&OrzSJiQW$IJ}YP!c%K(^Gk9MTv>Uvy2+D)^bwOR=eM?Xe zc;6MY7d)9%>UzQZk)-VhPbQVR1K`P|Qg;x%-$?FZ@MKb{8wBq!l6C|P|pZo(JkqK{P3Pci^v#lXJ+xx&lOHDyb_%v`%tIAlfLX1kq+e;}Bgcs0>kgTBth% zQF&UZn}FyV$(@4eT0v(a`T#+*5Zxqb9-1eg1yRNJ=Rj1k{do{oY<~en7296~QN{L`Kvc2)We`p_|Nm{!PqE8a^ zDu_N^&}$(2EJ3e>sABdTAgY-CMu;kAzZs&6*>8cUV)ol0s+j!_h$?2k3!;kI?}4ad z_WK~JnEe5Weo$Kb5JW#B=p*>|T7J%~`xrzOvp;4R}#gg_{h{;-7_jicNT3YWy z>>AFk_aN3HC4I^>l-1KlM;&{)*)yy z#AFQBFNN4{Nm~vv8AJ6eA+}f2Rzpn2Q2n(KJ1A)_5R)-fe?7#GN!nV7ofLEf#0r8o zKx|mhjSwpd+61xFg4!TG%CIw|7c2>|c=7WK1nM>OvYdR8HjyM(k3AG2|-g3ld)ER7GnP{X|oXff}nYbeOb_Xh{>p{zX-8! zNZJD-_H98Ag4p*2Js4s?5cE)p{aDb$A@*}YkA&DS1w9&KzZ3LWi2YH}<01A}K~I3V zBj`yGj|qAT#AOw!e;UMPEYv>(;xZQMp9OIl3-!-|xQvDR=Rth6lzjoj<*l;*MG#*r zX)l5JdOF>I4spf%`yj4(|0;+p-oFOoJ4Ekw5Wh*#8z8=0&>JD%Dd^1*?-BGC zi0>2hHi+*R^bUyk3wjrBmEn+V{d;Ja!_5#sEE(^|NyLADIa(S?)PE4-@+?~a;ZTSb zGpm1d{NKhojzaA_>OY#Q|4{wMAbvtD_&CIG7xYPp7X*D8;=_VI3-OYm&q4gOpf5mN z4a6@&d|J}}1LCuSz6$XRg1!#%2MYQo#4ic@HpCw)=(`YCgYG{et_Ix?ApS(j{Sm~U zBIqX&R|D*45LW~2=MYx|>=zJ!k?8#j;R%Q<`H^# z$B!r`8m8S{v3uT*hO=`ao?N5!o;e2^ohWNbFz zCvzwq<3t+nfrO0GhI=6)qqN}?BxIB}JOmPxV&=mjaaPbHAR)_T!=oS}%Voo3AaSqc zJ`NHO7W7Y$c$lCkLgJBvo(ze{2zn|c9xv$WkdTqv@JvX^$ZdEwB%Ut0&xORZ1U(-T z&lmJUNXW=-crheiDrql;#LER?j6L+w7C1uzdGxA=R}ho$gTyOE{Z+w-wt#M;;Wep- z*CLe@uM@@BL*h+>{sj_m5%eZVyj>6m+x4?w@T2RAhPOiEJ)-k=NW5PV)+(=W4ey4; zMku9FGwHp;IG8Lg2O2 z36Tkz>=2=hRwx+}%4mm@5uuEhC>asTXp52&p^Vlj84=28kCG9gj20;w5z1(jk`bYd zRw$!zM^n6#**==&mCW|hG_Pc~k0yF0 zvwbwxE1B)1$zI89A5Hg4X8UNK7nzXPPE)>;**==|mCW|hw6A2gk0yR4vwbx6E1B)1 z$zREAA5H&CX8ULXP%_&`OMsHuKAQVQCgio#GN5F(j}`(YvwgG_D4Fe}#X!kyA1wz; zX8UMCP%_&`OM;TwK3Wu%%=Xc;pk%g>)&r3VdF`|`D4Fe}#X-qzA1x0`X8UM?P%_&` zON5fyK3XJ{%=XbTp=7p?778V^eY8|4neC%BLS#Z-J1rMVX8UNtP%_)cXD{=7P6+k2 zeS}cf_7Or^+eZjxZ66_&wS9z8*7gzdGJ5@BgtBiRBb0sn7@_R@j}gkgeT-1{?PG+p zZyzI+eft=p?Ayl(W#2wVUPiAUj8NA05kgtpM+jwYA0d>reS}cf_7Or^+eZjxZ66_& zwS9z8*7gzdGJ5@BgtE4e5X#yreT2M>UOyP2tnDL& zvbK*9%Gy3cC~Nx&p{(sAgtE4e5X#yreS}cf_7Or^+eZjxZ66_&wS9z8 z*7gxXS=&d*%jork5z5*=LMUtd2%)U)BZRWHj}Xe*K0+vK`v{?|?IVP;wvQ0X+CD;F zMz0@?P}cSlLRs5K2xV;_uOAt`elWs(Z6B{68NGfm!hCHXuOAt`elWs(Z6B{6S-pNR z^0IpUV1%-7A0w1~`xv3@`;QUIzI}{P_Wj2QW#2wVDEt0ngtBiRBQLAh4@M~a{$qr) zwvX43tX@ADVZOGH*N?1TKNw-YwvX43tX@ADVZOGH*N?1TKNxvgy?!u4S=-0!M^>*N zj4)sOkJpc^UOyONzV;ulA6dPAFv5K8KVCnwdi`L8`PzTHeq{Cf!N|+%^@9=0+JC%$ zWcB*N2=lf7c>T!g^@9=SYya{3k=5%5Bh1(SgGW$iy+KeBrL zV1)VFf4qKV_4>gG^R@qY{mAO|gAwLy|MB{f)$0c%FRRxNMks6l@%oX~>jxvu*Z$-6 zBdgaBMwqYt$LmK{uOEysU;B^OkE~ul7-7ElAFm%-y?!wAvU>esgtGP@uOC^xelWs( z?LS^WvU>esg!$TkynbZ$`oReEwf}hi$m;cjk(br$2P2fV|9Jh#>h*&W=4=1)`jOS^ z2P4ea{^Ru{tJe=kn6LfE>ql0vAB-?x`;XU;tX@ADd0D-FFhW`TkJpc^UOyONzV;u# ze`NLg!3guU|M>kQtJe=kn6LfE?;lycelYTKdi`L8vhP1eDEsy?LfQ8pBb0sn7@_R@ zj}gkgeT-1{{l^Gp-#$ht`~G9(<@EZ&2xV;_zklTP`oReEwSD~lk<;r3Bh1(K@%u+k zuOEysU)#s;A342#Fv5IoAHRR(^!mZb%jxxl5z5+s{Qi;C>jxvu*Z$-8kDOjV7-7El zAHRR(^!mXF^R@r@{UfK>4@O>2uOEz1*8b!7kDOjV7-7ElAHRR(^!mXF^R@r@{UfK> z4@Q`;{m1VgIlX=`!hG#Ne*fT;>-qhgk;kXfD;X_CS^JOQKlpfgm5-KUzV;u#fAD$l zDjzMyeCi za;1-!V!rktzkl#y;VK_3#eD5Qe*ehn^@Gu()=@l^RDQf!lAma{x=x4XN0|OkJWHdZ zhCdRi{>D>%mHCno%iDefTkt%27Orl{8dhgWUL`BA!E0!XHA+;@@(6@hI+@F8TsT z3B{CrRs#Q?kF_ozkU!%dEzg#*lKkZ4)Es{x1Qi=_$M@Xq9RBo2>cph_L2R+uJ6S=1AmS^2ZHTYoe5#ndiQyeeX+W}L|tF1t}j#9e^%F*tLrP&^*(idrMkXKU0E>&N~NZ|4CXMe+XeyIdukgbRIWp_dSP4-k4MbO^meC{hlP zj);heh=_=Y2#APusR<||ARt{pK$-}OhzN*?1+egcXKrtHCz+k!uzUY|e00Xy`F`g! z@67J)-M!gU%fo7UL@kf1p_UG{bgHFGE!}GAQA@8{W>L#XwN%tHN-d++GDa=4s-;gYW7RU7 zT4q4VA69V+yn^8jsIs5fo7!xx%EYJJTO=oZVRI#H-fC|fpWWWROuD^uy1hrby-#wP z_=I%(fRu#IAaLXu4gXba_J3{JJoY4yeOQh$&;ZH8@ZY65#=?IKfwRk2%0AFO2wD|e z5qqkAFuX>vru>0?TI!YKs_T5HNp(kG6rfSg&HM-f^L5&M5)OZRtK?F5X9%{0gNOfQ$HHAV=6+uB(v+VLcZw9I(12vsOy&!^uziN<$ znrQ|qg@JmBLd_CELB^;o)GOAZ=1{0tMNo6)q2^hKdYwYe7eT?Fn8-%zP3uq#Db!mc zC`jLxg<5O|stdEH-l0%SL{N}PEDL37`B6l$3W3X+;-p-e3w3-um_S|Ngh{AyXK z56moIS7!NEQK%0^Q1C|@vQTTSL#?Aw>qSr-)FukGSp>C39%`Ezs9p@z zb_$gyf`Y%DkzKwJK<%JVJ4I0NXFRe{yR1j*Qwp_P1ofFb)L!dQ`zX}sA}ILN1=&c2 zVEGPEsDmOX_$vxoC{t%&Z)T$(qELrLQ1HhVvQWp&EFTMXoI;%tL47F?W$I;yg*r*0 zPKlsS%R`x3zCO(IeMOJo*zEQ0z*9x4ROcZEWI zD}uWE{|wca*;Cgj)ORAN@52gpokIN}g1R9Ob<@nAVk7kvg}Nnzg1=yuy?C11Q~j9b zyG^0)h@jw)X~PKh3x&ETf`Y&6m4*7v%<>IlBK3em{VsxnzZ8~*`pXPdDg*V9LOl{e z!Jj3|Lj7X~YB&S+FNOL~1ocE7%GCW70~KKlLPgjGQ22p;vXKe_l!HP!MNlqjs0fec z<%{rAs4OC=$gn~w6e>yt6)g{y)q13S6e?B(l}#QhhZ(35`m--0Cxyx-g32uoWjD3a zS*Sb|3W=cdN<*33=qyw|3YA|314ps6x_E5vDde3ssmx6%j!d zm4`BQof^e#^kNjMxCp95SfNT%s8S**cp``7o{A`A=90ihDuF_k6+xAghYG>+m8Vb@ zL{JsO3RQ_hRTe>22`f}p3RO)6Rb3vcru99QNTF(pplVA)nR}TT&0G@dP^cskRNb&b z)uT}LMNkdG3e}K8H4;HJmWML+=`g!|O(;}T5mYmIC{v#fvrx?`R0|PQOL-_$_fun; zjoykvC5xb1hZU*~g=#B;Y8O_h_7tjv2&$trR77Vp7f*KiQYcgx5fnV$O7ZZ_sIk&ep@`J86l$CZYP>wuL^I1bkvaP& zQK-oxs43D==FUDAYAS_#P6RbAtWeKWsOci87o?%gz4oz@nn9sn6hY0DhcdONCNX=8 z=Hx|)a`N!6Zb-f$o7+QxFgR|Eymwv>c2x2M=h&7)ASi=gJq zLoG0KoqCShQ*TnJg(9f8!V0yBLcJ}5S}YH>#7v~7F_C(gLM;_REek8uatigH2x^5q z)JijvdY*~Y2NY_R2weF+!BRh=12f_KF??D4M+(AW$N>(8BC;T_F{x6dlCQIRT!c6 z&^@(Rw5RsTL+v+n_PxkNie@iHh_V;)Z-B{0Dg;n8doeB(y?t~TUE`|C<1a(gy>b{vsy~0H5HwyJY1oeAZq5hyye~O^~ zl81U|CQ@^lNIjxZk3~>_%R`yUUVN2-qS=cPqU=TdL!pvqpTlM*QgiiC4tp?CjtD`d z@Gq6hLOIPqy~aSfD3n_S<&lT-nt__fKxLs&ks>HX9xB=l)awjX428-nf`VWBkzKwJ zK*dt1Y$B-a@=!U=L~1@0sazB)w+JdvSfLPw$}56`9~YEdKD((m4sS4#%1@yRh@c9F z6)KKG6%s)emWFZ^HM6G{Fp;8(f(}ulApRv~$>j?L6ipO#h!O?yk2=djnMxFVlZjLU zUB0rSfdukyQsVWqzstBrDSfQ#@s2U=un(|P!%tY!fCQ`L2 zR2>mik~Eav)So@EP<1I(JrPuWX(&fSGm%=vM5+;mYAk|k5>}|D6snmBs<}K=OEZyr zn~78_3Y9E^Y8_UnHWaF@2&$btR0lJWdY6e*M+((R1l3s@%G~p(Sf~^V)kOr=RT|1% zt_$-}EJrsA)m;SDLmtZ1H=`_6PYTsb1l3y_%F)-%o?@QV<>*JD`ir0jNJB-ev<^j| zY33Ltf=ZQ!attv8^&WHf(eyWmDE-YLpZ*pKsNr<^Mu;LcQa)0CGm%=sM2e=rIYjAi z4*B#qM+l&3`kOLq7e@G2P6b zTFFG}1-j8^h&K9*@*6z_P%|miOCqRQ(ohi#%tY!#CQ>g`sM#W@SHcQKA4%sBJ(A8L z|42HAsn0xDGm(0YMrxiYQm;!#%3>->LVsnJ1Nx1BB)Qo3bl(ueJX<5Ee&NZbAJ;Psn00X9ud^uutL$t z96CggIdsTB=Fr?TI5snpqKSeIQKF#ZDG~)uJ(_@pqKSeIQKFzjK2gwq(ahPmg^AQr zx~Gnb_SA9tJrx3|6BO!85!6X}C{t;=TbW3mqEM$rP+x@=>I{WCD}p*F4Q1}ZAlsNo zeNCaxi=Zxq73v~|x+H?SEDdG9Y3A(P&P3`P3Ux&U^=(+8u2QILBB<}=p-eq5A&rR? zO{sK()kn*gf#WM zgk4Oe+`&EN^a%Ep(<{BF%za(ULeV@=rzp?U`4oAcroI{dl!;Unja0NKQZdqzGWT^Y z3zd~Z`9x5$(op770CqEx%0{8Gi=c9Z6)Go%$|ZuzEe~bt?E8#~6n%82Q}pOer~IQc z?ID1okIr<89-Zlwe{`lZ&dh7y9wt(S=<*d7EngAok*YzV zYKou|!wOZ4Le&;Q)scs)YbH|rnMlzGLOMkcgmlV35Yia}DEdH1r|5x@PWcByI-8n_ z)Bz?^&FJzq7cE~4`Q-}%R7(ogN(7ZG57ov@qz*EXYD=NoiJ;nt6{-V;>L`NhBn@Ss zY$j4)Fp=s^p;AOpUBU|0l|pqBL3NjhdfrT=4l$AHL7{qzpn8QBsyBt|BZBHH4HZ$- zOr#Dok)j!~PEkgz^C>c7LjXlHVx6LlSf_kOtkYCR>=7nXG$Yn2%7}H!XT*jAie|(* zMH#V9`HWbnspQk6Or&T=tW%T`>y*!k4FwdJ19DKm_$>SfLhDsJBE=i{zme zn~Bs(CQ>xd(<#dHbjs&>Izs?O^E{oRJWr>5o~P4Pp64khQZ&!gDa!M7%IA580*dB& zIz@S&&Zo%pyl-Yton|6M^E{oRJWuCS20P&CieDa!M7%IA4H_n0~R z&N7kOOJ8R8iC$(tmw%ZF0n~m9bwC7lP#)?FGm$#SM2eZI?q7SXD>QM&t7!OKYP*nl^LiD3>3{?bc(VUo$}d>&acftU1Xr> zvlpGBXD>SCpS|e3YzFEQ14R=BouWiRr+lJdD4=Mfpi`76=#)6qx{(y0;ro5>L(G@Eomr+smEP>!$gWE3OYrJg3hN%6bu0rO%!yB5(S;|iGt>y z>+&rVsbA^x-4`w2Z_>->G?ggGLeWG)rzla-DW53ld}QYAyUIk0CJH)5iGoh~M8Qx% z(L_O~C{fTUpD5_En~BsleWY9w!9C@22={ zK+)_)mneJDC7-?M>S!iXzcP{PL?hK%6sZ*XNQD5Z3x(<`g6bv@)x%7r?lY05=H9{K7+~4>8&O~Y?g&HM-@`n|QW-q!#*^4gu>_u~bbod7osb^`V#)%>| zUOG~tfSN#|CW@dY$wQg?`@TP!NKK|tQ$$cx!wU5rg_8#YAd4g?d2* zH6yH0FH)$PBB+<7q3os}%kz+l)GP}1vIuH+SfOa1r%ROQ>5|X$H1}AZM@*z>o~KKc z=joEq^9%*l>vZ|%iE&~odT{MyCQ|evqb|`yMqTm`88!EH?cWR(eaNUw^pH`P z{6j`V0Y#HfU83YumwfW6x#tc)VIoD7PhFzqQ5c-BB=FYh1x)&Hj1D=l7=$%je|Xc ziPRy|frBSGK5!8;bLeVr`mncowC7-72`qacn zL3Sq-sogYEpNS&1M>W7UOFm84b-+xdTuh`6(nx(Fiqs+bNQD6EFoilI zf;uV;G+mc`nrlDT>r7=}4LSD9G+%B6XTVeIT78zQ*YbtUM5mBci1J$9dY(AP&dK~^&^G4DT4Y*8p`#vnT@V6k-ANx z?uel7h85};3UyBe^{YJ8Z)PGD#YE}>h5B6t^+#Bt{-jWUiJ%_JLp?SVsc0rre^aP` zL{R^R73x0<^+W*Wwn;;|Bg{l9Mjt7+BM9YoilAI!g`y7`b&DP{>Xv`Vs5{b3q_Q%R zqPfFvQSPu?K6f}2P&9YgEy^8s%jXWe&EyW-eN3ci?yy^wJM5Ov9S#K)%^h}&a);gW zxx?QJa8 z5meo%YAg?B=5O2Wc^IfB6soBRs+l~LnZIqf zBL=EDg=!&!YAFq6>N{k6UIwZag-RAdwGJy(8w%A{1l3L&%5CO%9P9;|NOh!8okUQb z!wQu`p}L5mx=KTt`?@xciBvZV)m;SDBdkz8DO4{JRBvf0b05SOVj|UtLiH6v^$ROh ze+o4~1T|0^%G6_d?1h;~4Wdw~BB;S(g`x?~Zc#$BTRx%LJ>1MqUQs4eBWR>XiXt^i zI#Qv4@>8hMBB(L)P|uo)R7oaM<0#a45!8gRLQSMllSELHrJ+paPuWW`k(xrGri!4R z3oFz#3iZ4QYPvL(dxn`kRho$uP1ALY(sbSOX}Y0+qG`HrQJSt>K26s>$4sQ+nMl1# zmv63U`CgM=K2r~yv9nO~DAemBsQJ=RZZjFN_A*SQXhy7Clo9Ke&xj2L6wQcri!x%} z@)@yCGe3f3PhcWNGh*GMj99mPMrOkGrs!Wg{?N$3%)IpSnfKr*8S=Q+EiUX!5CBlzi%zPd;^THWR7x zOr*BZ<=ZM+zHRc$7Xqm56e>*wl`ahxk=0D3Dln1SL7{evpgs;O)F%{bmk8=pX(;z+ zW+GLQiPRnnwO0hSFRW0XQ>gtSr~~p)rap+R#6*fdj@2!C9IIRYajfnTKpmx#Iwp$L zap_1oa+=vwm6=GLpip0mpiYJr>J)`KErR+=9?HyPqwQ6gNYQ7+x<${3b;~~^))4}z zuW6*tiz0PFI#T97^Q_85ivGgATl5R}?x*;LdsBa5#6r;r*SbXyu64^lxYpdCs8nMj zMIT)27CpGuE&t%!P(Xc8_tbUKp87$0Pnr8}raBX;8x-nC5!B7FLj6RcZi%3N{(pw5 z#YBoGpSnfKr*8S=Q*+D5LebIu#E#!RHjQmAqwsPbWjsz9MCil8bkIt<`?em&6!Bi2iJN;53cpdKe*P^k4xBFFiM7BcBm#?r|5bnLR}_Vm+daSdV;0Y$%{; zMyyAa5$lo9h&A_Uf;LQ~Xhy6@lo9KZ&xj2L6wQeBh%#b5@)@z_&OSC$&(MqKaM8tc zg!IMJ+!sbH)JO_7N(AMXhBEgEmA1^DqL29Xh#v9lk$=Q*D4^&gem$Z`{Ceac@#~pr z=8E2qiPR*ze3M1XH${5+LIE|ELOmyfnkEfpZlkwnBK16lnl6HRA*@g{DAbE0sF~7G z=DvjNz(ndL3N=dv^>SFDW>ctFL{M|2q0A*TcVr?(bB8^m++mM=?rmoF4h3n|oFBB({uP@ctRuIQbaNWDX$mWZI<4J#DQ z9rlQFhduJS!x0b7L@I@e)O$2iD@2icUpi6||CoX5!a%L0P#=h(R!Kvd`?kFs1N9+= zS}lTFBMs$QXC_iC)OrfFK?Jo?9%_>rsP0UpHdClABB-rlh1y1;wu_+Bq@m2Ee)nJ^ zl}@2{h@f^#LwPgM#b(bCf$7i#9E_g0xvMjUHb7eDhlCx}`(ehi*)l8N^d3~d_WhTlWJU3L! z#x@81W3zeU^Cj%`{LIHJ6zD={?g`8s%RE2YT7wmiL6!&{18Bf;xb3-kO;wrP#8_jpg#O2KbOBUpU|AFQ5#_%m; zEm&sbTjsQ2nai6y(~_4-*y%06_sq0yy?OA=@uu=3-L{2hA2i@N6f_v2sO$)Fbc90s z5#nHkLS%$u{IHbd50H8B$N=g!#|yRqUCIDDf$y0)EM!BFu$0zA!`ASYCL@&fma{p? zg|e;93IEt^-uQg^%kK16;|~!Z=tD0UUT+2UboP2HLJePPz1~VNm_yyy<;j$y9njGS zU3_p5Pp!Ja)N1j^%{;XlbUm1<)u2BBX5RK>*cfkfJS=wfwtyO5rx5fCbY;2Ijoe+m~-!CD4n`H`3c(4RfRy4}ilQ z>FohEJl{xfPpCQ7z0{2i9_s{&4?6pxn-4nR{nU>?w}E^JsksgErt&w?FurN#4HTT2 zm(I)^m|1WG>E;1*c*Z+C)5%ySp}XxRbriH9$P__Pe}SbSOrPsS0!f#D^5n9iNui*mD@>3vC^-Sakhkq0VY@TAV^ z>3dox`7B`#@j1&GCK>tPNno36AFnuYYVq`zm*~^WpjOYJRdN;1cv9I((tnP z8;;?(0mJXW@Y|;}{N8(=V|XKA_!Ai3cuK=t-k&*!cLIj@!0^sf8vg3N&oO)uF#Hn? zA3UYuU*3o6i?jBgu}qqG`4%{LCEowr6K&4|&?wy7`-oi1U3O^1_b!jUoVTNC-WBrJ z+UEcPOWS_&u&TpPYCF zS6o0&{61G4CnsLX6&IEhf4~(Nl@qVxii^vMKjex_%86HV#iixMYq;Vva^kgIaalR> zIoC*H^vSCtcg#1&VU6K~>*Ys!f?bH%mf#9O%HI&$KzTyb4F z@iwlwzMObFSKLreoW>P5mJ_FQ#ZBeJJGkQJa^js_aZ5Sz$6Rr;ocI&2xQ(267gyX) zPW&lX+(Ayfn=9@lC;p5pPLUJu;flM;iT85F-Q~plxZ<92;?KF_-g4soTybAH@d2*5 zznu6WS3FQo`~_E>Dkna~6%UaUALfdO$%&6}#lz*qN4esWa^hoLv0qMnoGTt9CqBUy zKPxBxk}DoBCqBs)Pm~j%;)*BBiBEIIQ{}{8amCZ*#AmqT>2l(;T=5J!@j0$|rkwa| zu6UN5_&irUTTXm|E1n}KzQ`5Nl@nj$is#9RFLTB7<;34`#S7%bSGeMZa^i2f;ze@e zt6cG7Iq@~Fc!`|&JFa-CocMdLc)6VTI#;|xPW%H`yi!hlgDYMoC;pKuUM(lS$rZ1a z6aT~&ua^_w;)*xQiGSvbH_3@_bH!Wa#CN#jZF1tfTydJ5_!q8thn)BxSNyS@_*brY zmz?-MSG-$J{2N!iM^5~JE8Zt3{+%n{FDL$kD?TVE{*x;{Bq#ohD?TD8e#jLclM_GU ziciRiA9KYg<-~t;#i!-O|8T`;e#aHCS z5nS`k`rg;iXX{|eO&S1a^hI7_+L43Hm>-IoH#pA9BG#m z=irJRa^jp^u}e;zi!1iXiF0$sS>(idxMD?4jJV=xIdNXDIIEmEA6FbJC(h3mXO|Nf z;EHp~i3@VYx#h%hTrrXp7vhTZ$%zYd#RcTVMY!TPIdM_0xUihK7*||WPF$QTE-oi7 z!4;R36PM(QOUsE%am8ii#HG37vU1{huDHCMxC~cZQBIt|6<3xMm*tA9%8AQy#nt7+ z<+Z^K?7)A`X9q^M;SPtP^*0NwZly6zb zg5@y2WnBxF&+sklTd*9?w`^#^as=P9u?5SKe9NX5EJyJzn_IB-^DSFiupG^|OtxS- zhHu%%g5_AgWjhO&&+;uhSg;(&x9nuWay;KM#e(GozGYVnmJ|7w-7Q#7;#>B#U^$s@ z+1rBU6uxC&3zk#)mi;YQKF7BlXu)zC-!j#L<@0>YAr>sB^DT#2uzZ1UIoyKf48G+^ z3zjePE&UcOXYwt_Sg?GFZ~3eR%UOKO@fIv!=37p*U^$y_IoX2cD}2kT7A)uREvH$q ze3frG-Gb#@zU2%Hmap+GXIikF$G4ni!SZ#!){E%;%X2Ehb-*Sfq%Qbw-%_z)`4!(X+Jfa7zGYSmmS_2vu@)@P@h!7ku>6{D znbU&hdA?~%OCib6)jla;9FLsqk9!?&z&!SXKOvY`dbU-*`dEm+>; zTQ;>|`77VDxp_-l%gEs4?zLZV*&a!raj$uFe&=uD6YX3x95ug%P6kN6?fo?6enSKV&Fira9- z1C;_Caa=}mTdp`&DZ~*M$tZ5e6%XOAbFqx#4qWk2uDC=-aYwFr8279yl~Ek{A>fQx z&u6&e_>AJfZ{ubZ59f*#GKvE~7n@N$f-5eUQ5^VL*Nozk+<;ZcC?3QO*eI^JQbutq zSM29vSIHUjb#*xQn7W?XZ-&Sg7+S}=h!S59^(e~B1wNz?z z#7P-P4*VW1BerGpe&}7TiU-0^|KVQ_D-_?g)#vadHtt!vns$xfp)^SIt}3&C zwar~-|DG-IV^dCBZd-on8~AytXq)37TW*gnqLD{wq<>Y{4_Qe4p{Z=%j|_)|ADhBM zmcT-rO zw}#$*>Ajw=cYo+TAY<<~&|AB?)Ade;-h(stZVSDK(7QQZ?_tpUnT);LLGR(p2=$jT zZ`-nvU+tWNZkjcU?1Qgy=(%T;7DLE9Lv0X_CCIb`sE8QE40_twP#Fnvg_}O zoA4In6c^;H0LWPmNM8exzG4vl{WTZl92ew#0OTSEq@MvuKQTx@-F<6j5cqx3y6SZ` zviBqS`Re&L+w^tufzxJ(@=g3s$f_`8 zt^NmDN}7^ApsUH~lK+RUdzz9fpi5$Ot^bFvcbb9%x`vFd&HvE#PgC*-bj=uD+y9|U zO;h3mx@1Pz?tkcpr71dGhDrwn5)|i9eg#6H$&$% zr5N?~AP@2Ff;>83FYj}97l9OCZQ}?G1kcp;sKJR@QC8wP6lK+U z`jC%1`xIY4W6$BiGa`jKW6P6>#G+U-&PWui8z&peM%i&h$TYK~?4+w7W!H7hfpP@9 zDszMMIZ;m1bqva>>zWJY;?j5VH8Q3j8>H{Tq0fzSlX1qO+`4h{pgch&vYsTM2qDsS z0z$g3c~RaVl6K|jnqedosC+0N(N9A8bo%@#e_*I7d!weLM@>spO2Gyu_t%L9PywQt zjtb}$1yR9(V#eO68R=0k1$)P#IMVxN6sPN52*E2eoJLWB-mmVBdM!O_zHT@5@+BF^ z4sO(g_wc@6qHVV*NKqISCKQWNVI4&gR3sQByvBj)6-7l!*CnW^u4^$=OzWy2KGybv zG3Z!*PhVf-j!T217e~d(=*v-Y-RLDyiOk`8UvD03d*9f7WtxIlFDbDkDoNZ|p^`fH zQm9nGJ+RnoNY~P+H0inymDY8QM{p3YTMXQB!CA3E$I#nX-56nP@DwV8$osRXkC66y zs3ayJ?Jb)AvfA6%T;RzJE_gBC6g<~@`|^RE`t~hqb08>XQQ)O_E7HIAmP6(AK}k!G z`k2I}JStD(vI~{h#iatOkfHZyI=DW*`o^=Md$b~|NSybgiaO^?s1k9;Z(jA0>Ej!0 z?1{HiQetIPnHYYKD(eiZpeh+q4?6X%v9|Tb#$T{KUpDRudoCdm->Rr8!8nYn>M*LI zY8fyB@vV-klio*BbzScos78j~`uO&hif>I+lQ0mrxy@APFU95L|INbm8vj8*Uu!YtYfTTbbJx z6y!q-D73dC9ri|jpC0vNdekk(55E^SxP847JY)Ll&lu$-O!^l*abI0uA)Qx$UxKmM zwV+r3OkTeduQ>fQ2S`~B$Y4d{S{KzNas3U|)y1_Qf_IOBOBQ~cQ6aD?>!bRl@9(I- zu5Sa>K;O3#v2BPNlCFQEhPtkeP$Swkcn_c07&RtcAEL&(u1!#rK-UZ}jZINg()%%L zs_WehHPiRb{L(nwmuMVp{Y&F;(Y+(R>@-Ks3B^CCxsIX*YN4l~FXt^$OVay4)Kb^G z6>6pL&0!Y4Oft~1w&#tfw@A0?hWmOMcO1xc%w^p1P1u6uHZU0_*MQ!OobU(F2?MPQAYNzYk z9<|p;o32d<)PeMNqYk>>9Z^T7w{8+6eASHSKyTSQp-#lsi#q9SJEP9THskBk2w#e^ z=kQ>#Q&0-=j6^9q&n~D-Fb2$P-H2G*bYoNaoR;1Vj_^%0?nrOBM`TzPIL#-(C&!6h zQCC70g}Umfx}k1N0E4}|qwb`44C=1y-2?T|_YRy2`dhV8zBZvpD zg?e#=KFZhM*b{CBqO<8@G%D6M)3~?(T!fx8jC-yO2BJ6WO;~fF-a6Jks88lV=x_1C zeZH|foR@(`>5KXj_gtv2&b=S%m&rXE-<*<#?2q~rO+M6Lrx}0-WY!d9BXiN12u_IT zLg~?k69=M!#JwmQsB<5L1_j*ltubS2w;P-4H!lR_iE&T;r4M>;H}0vw_Ce3rjC;aO zS{3|yNP?D%QpvQ6qg36r2BX3HpwWxX5Hy7JE{TTddJjcI^}WgNkESCGL&JzB0S(h> zofZ}OPr-?`G)<{SW9CPGf=~(hbqJ%;XnoA+s*FKnNbf3WjIQ@s zG*;g`!>T-so+X-U=vkd+92%EN!>r0!Ur*!7!nqRIRO8WjVqOD{*O^a1u;YUFWV#5B zjkUdKY^py4#{Q3`j!tB4*BIOCuXq6Pp>a>Tg(jkjWLCA&MBS_=p-E&`8DA2?G~L)# ze+7V^g7caVKN(FX!zZE1y5XmwDVbI*x;`6*uZ%e|gyEEN&qZlU4Ori##Hna1;b@4a z>NuW5&(X!DcQVt^G}5&(nx^aeJbGS_OtykXC%&TLP?=G32Z5V6;dJ@wb&38GJJ z=)Lg-UrDfI?~P}p*(4$z&}>~qUO}&rh(veV8{H{Ax=S#AbI=^pyBnIL>-{QvRUdP@ zspg`&q<0TASJ(SB^qRi6dTrDNXrgd3L6muD9x?BQ=IP8|N3RFWGrW74=o?`iNgAMu zOn^4SP)UjN(R?yaA2eS#&Ku|reSrE0sTQCGr1wCyK-c?C^rn8wG(Zc{Lee`GE!6dX z3%#Z9O#;Nc5KNK^&?2;mm=8gVbmniPx48kD-$B~hiqNT)PBwDI-ScaAnhiE_f z-X(fWdi2=D}2j~N>t2!9G+vbn93aug*Q_w1%#fRua%_2D3YP6bkeGaYGbzOtjXkF=O)A^&V zMQe%0^JuNkVjWtiSp-L0kJgi}FQE0ht{cz>tt%bv1^#Fo(MDqNBHF04_y~QZSp-Mh zgf@|`FQHAkuA9+jtt%aE27k0IXbZ7;8Ew&7Y(-m%1-vk6U*>E>+ep_}&^BGy?P$B! zm5%lzf3!4|Ml4=MX*!E^l&)F8n{e{g(GIkObbSr&&~@F3c4}SeXfyeveT+UP7O$g^ zbrzqXPc#dNBzf1g3+*CZ-$1)`T|Y&ilCI=CN;=w0{Lyx!-NfQev|DHK8Tu^qXnW8e z()BI0N7r>P+RGVj7JsyTXdkh78|~9se2zZXj~0mJezc!-eFyE=bv=L%XkF>tUgnQ> z5FI2I@1lb`i!aa@nnf^@htMI?bs0LO>v|X+=FDw2f3zd$2(fq%9no1FMMpD_b_^XO zUEfE?bX||54<|AtJ8sFv|I!A0aqjNf& zuhG|x%~onNk8g7xohLTi(RrQC1$2SfM5mLB47}!DL>EcFo#>*j-z9WO>qm!rT|ZRx zuHcK_WptTX>_(S$7T=(6hz0&eH}LX$1zjQi_Mj`ee&3>RwSIJ{`TU`-qN~JWAG)fu zxQ4C~3-!_ov-%EwNBZqY-|6~&kG>BKh4UZiP;cmmilQ&&*U@!iaS&bCS^R*0$S~9m zbc6Iegl_2i{fK_l`q7~l@Q1pIZW4(A(Ctt%bv zP5x-N(QRUJ0^QbG+(CCVi{PiSchOza^(4Bh>-r1&Me9mOTgV^n9=b;?PNRD|i(k>N z#3E`(aC6*8_esAq=)SJsZ|Ju`zrZ)qX>_!=^rLB8@d0{3T+X2fI+x$k?;0@d+N8uk z&>y7VdGv>_-=FADtsfm~k$xy`fBc31A{H0XUpkA2=%HpohI)h^k$#uaBVE78=y70T z%vO9m<51D-f+yME=x<_k1^unF`3L^1|w zrNUWnjs97^jRPAy*x1PccW{jvA_7_>$PjSL9_^3+B>I8PmLB~l^Fc1o7MGgi(oA#Q zs?HHG959rFU_8V%2Ez$0PJ@{)lb@*zY+PiB$GFA};f5ABGt>WgGhHS((`6ZFswBY_ zJurp`j~|1V&clrFg%&RvUrkCQ@1sDJ1rB5(BD@$`7*Qm&LtEk&dZnPn(FgD`Me5ED_`FdQgML^vXa8Bq~vDWZw!l-3#%6a_(1 zVumA9lrbv?EyXl5?E+dH4iqOMJnQ0&s06f>&_tAAoe@Dv5R@clc*Z3evr^DfN;A_4 zO2dKDM1*Htni0iA3v6ike1`;%60A2OCLMo<OxO7M}M0ADJDXKQs3R3~P5#?=|K z8qiWhGt(|tHQ_)_BEmDS$%qo6B~cSmf=xyQwLnmdnBf`MV$5noOKr_eBd7xh>JSm0 zaUDjK1T9ILh!SizBB%?3y2K36xGrN>4_fLGv*4Q@brtHvf%-&*XI!5VHGq}|nuro? z(G%c1+u$lR1VKY$hG*Q6F>3@ZjWjbY294oBV|kE1})7rGmW4*9B58Nc*e~cQ445kp@}HLHY0+TAZSU<@QhnBX04#5m1d?9B*TGZ zBEmCHW<;%_rL`ua1lx@W+JK-9F~c)%!)dN79S+1e8h z^dur2k)DjG7qs-!M3mrTBZA%_=uOOUM0zu3eW0a}W~QxDUpUa0i14iYGNOLa(oYjn zf=`SH`h%c9F~c+N&zKE>mI0cXMlcW#3?w2vcCHbNc=Ba9@YuN@-w2*&@wtG;v#=0hy0ll@)#Il3>h7VXACp?SZEm=99@t6 zEF5^2i14hQWklnkWn56iMcyNayvGQ6Jd7}&jE=)Io*8`tw7_PB+uGpwMEGyh;Qhcv zI53fj@T?{>qDjy)iHO*5RrVSYOa{SZVuoisnK7FJEmL>|Q{li=BEpbU8PRjl@|-53 zC&xY`f@vU_M$9n$G{)?CXn9^UgWDE02GildbRxo#(;3kV(DH&Nq6D8C5zGL=3}S}i zXE0_jLd%Pq8QhKIZ?s?)X2OA)M1&z{GNPBD95f=B2ZDLT48zZ3%wC6<*EKVZU_Km}Ped4UJ|lVqTHeq^l;8^^f(0O0K+G`w z0>_a9{-yVaOGX=zVB;pF?oeh+riMRuVG|zmhTg09roa5v+m( ztB43gu3|(VLd%Dmi0*@9Mg*%tu$q`*_|=Tr8faOgnQ8lAEgV=&L>O`{BU%S7>ogH1 zIBrC+9t7)&8HQiam~DWT4Vsxoun`VyBq9vCkr90aEgxwjN^ru6U=s*75i<8b z>Clp{i73HIBZ3_u*g?!N{0_!!C$#L;%rt_J;lRg4gdsm>M4v#*Cz^;7oH8QV1%h3~ z48!kY%sz#dPc<`*U^g7tO+*-SHzWECT0SEp_KI}ch+q#0_7F1+zlSl~3oUzj1pDB? zJ|e=9`xw#Z(DJz^qA~c&h+sbm_7gJT_4V)&JRR%uniEu>J;d`We?4ryrr^$AHrvl6w&x{w5r_NkqTk8YB7%T7J?* zH2zn8iuQ#o{l@ba^u9%$e#JG$2?PBcaQclI{x%%AO+>%r8Y8*`Eq62#9sZgxh8;fm zM({2O?h>;R!C;2O5sC|j} zgOTBV5Zxz+k8zDL{0&-uV+^$~5pNh7J^;}JV)zfPF@`vzzZ1g@Un2ei2mTofrFSu;TmHG zQHgK{%y8OKe0tUxYWTC>P`C9XTp)50Lm#d&h7hp`x85)~B@Z0%5K%T{2rm(l zkFY4gZ6ks#Ajm?@a^MM zGxbG9eN~N!g9C9y6pw3+2#2x|hv2@!^(~?>2nrLk1YBdxaEOa&X4=)IC>$tCMCEXe z5#dl4(?m1|zxf()Uk4+KgP=GutAJ~a84hs?%}gUG2?t6NQ6*esL^zbCG!Z3uU_?+F z1f_{t66ZmMAQJ+7!eL-4NXJ|{xTw{34)r$tP!p;W;n!& z#7sRKgZrQs9H>P^O>m77;ZWA*5Ii)xBGmyw9b(oD*BCP#;v^nHT{uveh+5zpBf_Dq z$02xRbVaHUg8Ia)6|OO6IK&O~1X)|tpguM-YzU%;#IP-{F@`wIjr4~2%}zvPXl+as z?QxA!;21a26m6B^MJ1Wk!qM_gmfaEzO2X0WU9@XevMIZ<@RHAaC0+(J{( z;hz`{-x35ZiCGt1W6W@XTM;w%7CIss4kQy%H(X;xt)Zp0CJGYRZN4h( z>86P&fg|I~Y%m7hLC~F;4aPOb46jR%z;pt)&}19-gw~!!F%;Jr1zwb1nt~4RG}?x} zLC~9+J%ekE8D5k=nwfT|(-#i(C880y#)$Bu^y37;mGLqV3_yPn^e1McaE&p;i!wk@ z5WKbygaZSKXf&=dBD^SrI0SB^7^H$Am6(miHO34t%3#e*+lE8nzz`xDhii-ougg$P zL|4H>o}Hz;+Y82E7zl)8D5tcH8YK1CLEYaL~r04Bf{(Q zk|v@AF-8QlKroA#y@_j#8D5u{H8YK1HXN8uL~r35Bf{(QiYB52S&gnpb3ibMn7xf_ zj2T{+S2Z(@U@jb(OGNMB8Y9B%@|q^11U@5zc_5fa%-+Q{#tg5^>zbKHFdq)gC!%Gz z#)$B`yrGFGL97wM0uU@9X7Aw|V}{q|P0dUrSO^Ce64Cp(#)$B`yrqdKK{g|TMIcy2 z%s#+1#tg5^+r%uxd+^0@U@;MWh--`pugg0eg6u{FOF*!Mn61Gz#tg5^yF7xWa9}AB zt;01&gx6&mhaiU$!Ez8RCuSRPjWNUP@*a<11sqsGL?7WABf{(QK8GNu5y46jtR!Ze zag8y<>+*q~Ab5YU3J$CyqOG{bi1506$RWsOM6enJtBKimTw~1ex~$O?XkR?qa~m11 z1<_h!n2u|VAzrg}8XatH`n8@tkCEYe5UnSMJ8_LM#Ot0{FezHfY^O6bEsQQQ%eEt|{p71@*&cJq({vtHY;(AdQ$E#WltZuUL9u zF6=v_9dKX=5go@hMub;orzWBVae4y$&L~LmF$g{;W?$kOV}@7c6Jo~xRYt@vIIxR| zPT?9O!i(~$CZYs|^aS{m_#nY<5bP#qU*Q^K_8GK%rkQECV|(Dh9wIu6Ym8_wwCvSH zl%Q}%07n2d^;lOz!x`S(s2=B@ZnurpVF(SAKf{VoL7hGe^@UFb1 znZXN1;A_E%%W&W_5&ep5j0kVcZ!{4lNH8L}0)i{V>^EFv%<#tiRx{HGuEK$KkR#tiSx?=>?Zzz|yW-++NnBiUdSTlp!PY8V1 z|2G`?n}~e4#)$B){6`Z}f+~6f@?mWtc_QLp5d2Hbvf&zIhIi$EnwduM1P(kQq8zxU z7dc>8I&7MV5>z!HaM(d$CuX^DjWL5=>4?zGD1pNP2OLC{2iF)8>`I4I6H$U{Mg%Sp zxQJO^Tw}~&S32AQGws7#hX-0cM3EoY7zOM~hgVb3;j8P1C)p8!tC}MV2(l2fg1E+* z!LD>fYGx1sxF>KZ(5etcAzWh=uqz!=nt~2rOaE*IJUnrVhThS{sR*tyPOv2%F~rGN zY_G3ay00X?3OTaEv8+T_8rK*d>`I3(pev(GG_%*%6Zjx?M7^v#VnGl~%*x>!V+Om@ zkxiS9ik%%=vlB%HTw@flB^^051r0zQ{qXwu=LA7cVpa*)7&E*ja}hK4I~zxCIFOr& zs^A(U!do(rCZYsMMg#~1h?rHwHO35Y$-J5wO?z_Wg9G`9s0OYvBD^c}Ya&We*NC71 z2nrChL|kLc@UARK%+&i*Uu|kw&&V(iL~+D03D+1yylD$DhV`gneIvudASz4@8{isa zi1%(0ZMs^Fio$`SMAQh^7*R23DW-`iK?5U#;vgta%$ndDV^#uMN@!*pK}k4Jl8Bn& z8Y3zNEu}OOC1_|wfX}tk#H7-Pea2Ha9Y?3!=Kjuph25hV`JOp59PPw6(V|GOQ1x`owSmt}%xA+R=b9 zq=~lnmPUpRLDY~K4#G9Y5MNgsF@`kJ*51mtz%F`xr1Av6CCYCl+$sIQQ`|(XQIRx4c!(eLEp7ibY1SCaXeaAEq z1OtiLd|YG9@FjYXW~N=xQsF=<5iP(qMuacJgEbK)=wL)J1O!8f*+N`n%<$!TXuwSO zmT?%g4kL<1xW*`+ftF`91s%Slet7*`mf;{6PRthL8e=vBT1IGQS^!4EfssVC1lJhR zC}D8VfCBIRu@J2%ZJOv&3u# zt}$lgpk|NZ4$IhB5vwCYxsH8;G5yeaBMQst;01&Hw9Xz1auqp@2tD|;Lg5viic=_2-BF`GndMJ;0E_tp%`Ip!0g>k)8y{~!aL%GKLvG-HOpQS{W>RA$@d@;+y zEN?@(Da+n0`xSqrCo)fDJ}4JQu8V|mA`eAgh`g-$mBLCTr7D!8l^M!QP(F%^jEYwL zQ6-~lMAd?Fdej?H3!%Ii^>fr+#UC9RoiDne;*aSUGbUynl&{4sk9i-;k7JI;oPhF& zm_K43D*mk5vKGx+0?N0uuFbju$}h5>&w5Gm``+_y@oiW9u~}mC#uk9GN^IlUW>EHv z9TN+Fu^-1CjRl`<(b)=SD-7kzY}>Q#fbw*<@3Y-d{MpN8ubaIAl$WyK&i;$y&ru^s z^Bk?9d?v@#9M3~}G{@x}-zxr`D{^klnFi&_oY!(*SNypgxpL&n4dv`y@8ntv<@Q_$ za~)RvxvS@Hmb)dC19OkdJrT;qx!2|12<4x7Jb5A&f1cxcuH?C<_)$yL1NDaT4Eh1x zgz~?(@|9Hl`HSbTmcJ&H9r6#z zp9?(-f@@WZpT4< z3Kb|+t`O|YLd^eLxLWc?+h4NA1$imTzzi_d_RSVaEGPUr8!jqwV zqwvbYFkazvg?}u3OYs-66^Sj91ImU)Iu=QRazv47MPT0+*;3^5A_t-TrpVnQzbgKs zaYZW>tqf(0qTP%3f^u%rWkpv&xwGhzqQ{~9yI54Qtct%_gJKN{PlLVBeJJ zQDS%rIKN6PFR{7AHYg93xKIN2b;-z*`AWh*Em^f>lakG$oKSLh$ycFVS#mr4nv%cd zk0t*q`B?FniYXOWstA-FOARbF7|JQ7=9YRL$~~n{l{y3E%~B6b{jK;*mn&VjH0-<5 zFP2_d8qTZuLh%*jt3Wv|{>}JBiocAzOujNOUYQ|fo+~pQ%3EdrORy{cgn|i`6RJTu zIALl6tWUzygslm%FB5Ji{FCrR@t4hCwqjZEDLbg_pT=STUZ@*T=U9Lvus|6ci(Q0^^%uKWeX zUm?0ekqX73Y)~Pk0_?8}^D2B$VKtNoDqO7ajpDBuTd_pN(oi<3*u5gGQ^f@p*Hnb- zOU1(#uT;FI_$%eC6kn+{`$Rpr)|`&S+W z<&w&qD+71sQMkfRRJ~gj_EELs)e@`KfpT26In`c+@=&#Js$GThpX#yIVINj+ zUcFcKzED0_eL?lNpgdOnT6H+jYuIY!tdR%G=V~md0qr%m)i_+^nBuQ#tC_PVwAZX! zvqjBhD7V!-TobqdRP*0Nh;!ng#L0;e|HLJUn-gIk@LSd8YQg+!wXW5_7R;~Kl3JT< z;r3ItZq$PL)hYL~ z{OYW%v$GD&ug--!ck96Xl8PrKCc*rYx+RTFf_r^%_Ijuip52lc3yG??AmnQ2tQwQN4c@ zfBlN}o79JWUw=^j$@O7g^|#eOTp#9J|EK!@Hh}pysM?@K1BgR|p$(=rfb+P)jt0jY zoP_dDLwiG~;%`{9Ve5wNpd8U~M#Gn&+|}?@!!uC++Q`+&tN0t$Y1F{7vJa?9z02(@{{)ZTf!GRZw1Pdao&5C!1w$R;(FZPn-2<=5IC@ z%Ga8$YzEh-W*3^>Z3gjd?rUDWc_}EnH6Pg=;@Ny&^ADQCes6xU`7h1yEB+QSEsC}% z0cH0VqgsrCazl%KE#P&!#lJ1HwalsbTefc5za^}5%NZ@-Zn*@?Q!Q__gmrG^YL&ND z0VvzIN^J$}+-g>NW0@u-fH(>d%NOqU#)%1_N}2D*8chSGoakr{zUszP~Pnj(E+Zb9TGdV>Chg^ zksV&_Fbm30JDl!t7Rvh_-5s+i{*Flu%Do-Wb%g6n$3Hqnc7oT9 zP7OMxbb{+krv;tXbXpJP!A_StT~Yj8=*X!ay8|9#or}&mxM0mp={NqZvF9NoabHhbS>Mp0+h*J`*j@%ES)#oxV9_p04%K-sDL(C)*be82mS?w>$;zWbf-_Y{ARVm)g1s10S; z9wU1Aq5QDNt{$I3dAY~0Jsv3jo~3%$=~)lTo;^qRd=|=eJ@@qd9LlRbfA9I1;_sEv ztA4LWQ1&n221Dgzl>&n1E z11ArJ>&n2*0}l>_{W$Q(z{dk&KMtxisOccskAnsbnmA|*lv@UUG3W@C*9Scu1oKX< zklHvE=AGIvb$lxLr*29;kP7~(-=+SU3j2LOz4L&Ns@l5vxsx&j69OSal^O_y-g^rWdhb2-9zq%c z5(rHZ5fCf(f{G~E5K$2Y1+k%EK@m}Wih_!Yh>DfBcH|^Sq=qEZ*6;gRcleXc+#dYSiWz(+1b;q=irX9fbxA@TbFlS{(Bn(X$foodAbqP1% z`f9>~goC*LnHZMnawaBrNsLV#jqB}+k0-+KiQguvB&Y3`@N;rv^5SHyOY)lJ=ab>*Fu;sl`&Or`E!CLTY+y2CmPf z?n>Q_>(QCk%s^-2%qlZm%*6N295ypyChFfT+pH3^O5@sOR`*%GaNRs>_bkM3)(^7- zXX8F+SDW2>c3WIyXD822bta|-rIk)Ai)-_=UTJ-CeIf1Lw7s|*j2mvmMuya|_MII?t^&x7}R$ zd~Vv@>*ive=RQ7n$6WYu?w=W98Cd6x9vNdY#^ZWN#uFJ&;rd?2k&JJhiSx?Nt3R(1 zu6^fCoHqs6`{zA7?|EFmn{S&R6&F~70z#;25Tj8K(x=`PJX&CU1B>`Jnx|77(HRV5d1*u3f7e&5VxK7H2%R8%hCwZ3y*tE%V0 z`|Hn;T9#MupOr7YRLwkk1oJWS@-xtUvTS;ds-1^VV?I${e~wXZ>*nzznU9qppJf)p zKB|5`Je~Q3`Sp3`Q+8Gj^XVzgC(X~#G^=igYMhTxYd&#)f3A_FP4oGY&Bu1fXPfr! zqnf+n>CF{z*XNt7&`Y&+(<+!N;m&)&(Z)a1Vw7s_#-%Wq!`=50n7Jg&?IC+Jk8paa zXg4p6xj3G1FIgqDl4|DxOJgpNr`%805=E#O4_P9;OnMvC(Sz%$%PoH2cS3|vU_00%)>-}f#b|ckrFAaei25)`_^K`SniuK}X zm=W>z=P;vkzZ&J`Q86Rq3(t}nova@}7~=!cF(c$F&y)GnEr`&PM`e2FsV8 zDJU~OnE^W;vjJ*?55>%ko3B0Be`EKyn&e}#Gvnur&t^>JQ+zOVX83&d`OLYohKlpi zD4LP<^B z^BMzFil2no46{Eyvstlgs8m0Swi$7Mdv0CHPY+=I)hs^?ycv9deD*U8@RmyRLj#y5 z@Ym-z>wl`6z?8f15|vCMf$a0{wFyWED_L>7oC4Nc-rYEkUGO#x*+Y8tHYCtpeBRzxgKX zBfql#8KX$Gf>t`Gl}tNvoz871Sto2cvVN+fzgkIGo!3>Sv$$60ch;Hi8mVreyDsQ1 z?Jzwu+{87zs5R5;CL;vb?82ET>m|R8k>VCw?V_z_+KuaW@phAS`}fFkD_wUUO&ClHI2r_|J$^Ufszx%+VU^b(E2XYk29IEbAw~vi`$rGj%tum}4ty zJL;cbxR+~rS!=1+Q_`Djne+5MOPa5yH80zm+MYVyAK;qi%9`qRm9*!YUVhsDC;gkN zHMDB3SykIrmw~lh+gx2+y}nWoxVE`h4$e{*9-(z}-MZSox;(7s8t2Ix>vfhg!8OkP zGI5r2@ffX~XI9pB)@9=fu63TSwO(&2A6)CaD<5YmBO7S#Jh!&Cw=O3ex#sz@=6ccqzO0<3ylkS?^Udno?z+r8%eBwfwb$z}<%VmYf92*ZWoI+3pYPV!_SfZS3uEAx z80a`i8Db3bzYLwF9KFa?a9b+qbkJpK8)Mm$rPB}YES$l)2<8i5@ z(?^%LU5t@O#z@CW${b_ld1dY_RqXy9zw&B7I}`ue;+0pQGLBvwNAZ)yQc5c0cd(_-hYH>1X`?tMvb;1+-RwvIO{L2{6k5 zJ>Z{ZKst;+>{!E&L?ggTQark4&Y)3NLHdLKIKv;> zBd3&;{y59pm<88gUuXTR4y~*ZmJpVZbEJvcYC`D~`s5rwF zQdgTkqtEilXWDDhGU+q6%)Drs`aO--S(hb^B`pt2nl5X)h1RFv=(jxfoA#WvQu>Xp zRJXmnyc#XFAxj)fTt1XIUFLLaZA{9VI=ZgcvN{>x|oX%9;4rT^G^&uowR@q42Mw`2)q33NjV)MZe&;@0#beds11YA;Gl zrVrVY^P>fy>obhj9L6tCDa2;s4kUISyDZ?r0TM&M}WTcEB)%>e$}3pQGkBsC~&cD*_~f!j0F8zVp(Fnpv3Ajt4D)@ z^euhsCBD_(l@Woy<%rD~=_cCEN!~ zxGv**REek0>2n|Tx%Rq@Ec7`?7TxB3@tQTdBw7h9=`86!T+($}*CR|4{Z7C8xZkzs zWt5@cIm-Cr2y^B$86!;!OFT=wAC!1q=JjZkO5fA>e&T!WeHn4+dyY6~jsw2@dt=m@ z#gfmG??)wHmwi3*q|yKMzn}SE=YWhp^gl-*UmkVN^=w%qP_#9NEr2b+4_kn419}w7 zU>-0J{4@`AF33p4Jm5%luCc)%xG@^dXG>s9@Z*-C+kzgE7BU~04}P8xIwxdQVm@$G z@`sV=LeFT7Ojoi+utl&%WO~5-cXJjqFPIn1i!2Z5fBKJk!4c|0;~ow^MxbW1wEig zG3E$@e$9L;WF-Y{=Cnq9O9^oYj1@!k5!-k1Ba zHAb~t*>Wzr+|Y`-Z$)ohQ*&gyo%zH3;mCH$9?+v3^M^In8+(Ae@7WmP?qmzPq-WdmDw7ZurDaYlA_V-Tv=XTu85pOl~ ziTT74@3K9hM?K~fYiw@UYkzz{W8`~)E$Xt%lRsLB&-~v~Y>s|wm{-gzj()l30X+gT zul`$iSc|zPIrV@s3a(|#%C&Np({S+nd@GgBk?;}b7xRlFVXk{XkA}=I->RMLg`N>l zV?=BW4>^Ne}MQ8CXvphrgL8Edb*>%K34zA-vJ!IqY1<;<5Y z#Amzs5^au<8<=m*H;#~b?g2eYGT$z~PO+wQt#axCW2D^37MJJcEvI4P_xV z<{k5nqh-E%K#!QrJKw6Q?2(=wPh-@4mMt&e${o|fv(qBK;$x1So0)&iKaQOF?g2e| zGXGeU^Q-RjbF#f=jG$ZC0`tB6(Tdqt^yjrON6{CVhs;BcqHgnm9!Z&ptj+%1lidC6 z#%Q{YEzxb|(A_rR=X*vOHb>N#nUBmzj;L<;fF4zukDgH@*{l3J4#vp3gDukS<&o*= z-|491Z;q}znU~BZUNA=4*Vr;Wrd%>L+@Bhr5Ce0heS`VQ z{NzaMaURg4E%TE#+Y@>Q@8RD*G)CNAY@r@kK55r~+tpv!BYV`1vEF8$GEX_`daMV` zT;0Q5_1HN1YcJt*|9hq|^YvZkEAy4T)#E*2=Ir~-S&xqwd(OXmj=!#lnYSM>Z<)93 zwO-?a?A(pA_Az(8CT{-PYxvy%o;f6cPbnvJmpzBI%ga1q{Ab$zY`wIvm)V!CI9-|J z^8w~CbC@~ol^)PzG;{dh+Y8osu7|gHz!;}LWh?f|GVCo@<9+6BSrqwI0JhINQJ&79`A?Gql* zV>ff!JL)j|CF67<57_LXhwaWqr&KHJE4FH%DAN}TIse>0%0l)S9%UV2ZZo$zhWnHU z^f=Dk_K$kbzU@D8HcrLAVe9s(vduL1pEN#Stj+QKD07@S&hgwQJ)p;Q<~ZyA`T7C> z%zOQjHLgcn-?NqbWEp3AdT)B>X6&-Z_899JbDg=)vE8RVVCMUA=DSbFIyd_S-^+XF z1vBSQFz1=`?D2l#f$Y4GvVLLS`$f#zr@gmN=Vt6A_vK$cWZu&cx#=sumuLIQIJ!T{ zmd}>YQQxmTV2=F1GynZ6_H50b-J0_&K6>wsT+fNj}x+Oj)ip|=FA1N4JCeaO#tum7^Q1~$c!fFl9727c`UV~b$3u^#xf z7ucHJ+nPOP{ZDTdSP$46Sr6#}Wo#J&IU+D6m=g2=JdnM0h_MB;F3=OK3-rKg4`kPd zD4T<|fxcjEpa%>O=pzQ!1|B(i%CQ&k!!x{S95)o?=)ksNv<;@HXQZgRU zMzBV(M$iLi@qpfDutu59jqO!9rVB@ctCGg zSUcEHa@vFVeg5ekW7|@iqX*m0CA1xu4F4<{XU5&!zLa4NVGUsop$Br{0lkf34Pjq7 zv&ZoF^u)z@z}U`|<0!&5l!G>OF*f7Z_1@5OIS--OXz{i@POXlu$K6K@8EpE z80V!2jBQQ?M-#TC%Vu0`_gj%9A}{ zY=5e7RAHOSg*N5McIUn2UpY3nK~bzNtSzi9^gu3pKyQawTk@}_vww1}{lNpqwx~Ks z7q+cjY+L@2K77Utw+VB5RFgG^HHI~Y9>@a^=xq{f%!O+*`xe)T9=LQ57~7@V9A((X z@}P||EiXMSeR0jqZBt#=8rB-t8hRiPJ)pNwtTpUEzSvLsOrGOwV;fbUqYc|y9=0{6 zz2~I8J7ZyPry8>6u;#Gl&;$A40llqa&0!yMXaD18`;Y&O?NwurI&5?K(B@cP{HMH} zFV^NZt0`*_YY%G=J&=zc(AzE69`>X2^(p=t{gK-qFt%OIIr^~etU=z{N7(-vH*fcVu^ns8QHX8O4QI^^p?mQqI*l1G(=3<9MkvM<$L; z9GU0=5AuMyrR&Q2L~nYKH#v^v{y5@|YpS<)tWWfVH~N?N?AyLIws_q+LUDxR2t^Ng zpa-(IdQrBXtW)%;2YQsFl5dYn7aL!_B2{5pCizdc}V{d#kx;z-4jiXQM_ z513oPzN}aDst0>Dzgsy!&ojqIy%l7=^33=!Zx|PPAln1RmasoZERI+lvFHIW@PN5B z9LTyw&w7DpId)`^9sax)dW*=qML+m+9`M<{%m2n!aWF?Nj$9nM=m9VCfVpKH%KAm` zdXaZIDtXtalvnZ6TSwL}`XR48#`mSS^2!6o7IHX8Fpgjx!RP@m^g#AjGSU{yIz|tB zp@;LT#q)i=YkbsOO4c#&iW~Ec@uCN^Jz#7tM{y+MNXC(j9`IrhWN$H}Y-3o@=w&bV zGRKtcF~y(PLT@!$&*%q#&I3NXZ}{KXa*pGO#u1Gp8a?0x9x%6_6Ij>iX&>-3M=swO zxh^-3dJD?BMn7Dxm-zkkkf(US*osc#$i|V4BO5*7Lmn`m9xB!(P9b_Rp{J{V`E*Wm)fh zKi14=#@OFIU~FkqIO1`{Z}<$CKc?%gGV9^xuOGPll)Sr?fT%n5pc9?0~7-a@l3diUthtsCP<59FQ)jIHz%j*J`^I4;lw z^gxye%q{g&*2k=MVGGW^7R^aL7+dd^94R?ca@$1@ z&;#f6fVl;~f%Wp7>%{h*Kkb|A%yss359qBp>m|MF>0agi(WCD6fUzaNi6bUQOm4^M z0eawq9x%7&x3F$raJ}5!=J@#>wdeuQ@POW;vu=7uJeU`Z13lpR9x%4*w{qm<$jNOQ zJwOj!vi^@NW+oTl;%Cl5!;F zwvHa42XgEIbBn*4^)$!p$g#w~#}dY$@z);ETYc73dO~}GS9*aS$e9O>E&l@?Q8}V= zyGIYu1DEXqbL+o`b@j5>lkGic?Van!b@Me3=pz8uReIakyv^sKr`_cN<0xP)M^=uk z+y>GE^gyn8Ap1xl#`Xy7Yp$)UyV@l`m!ldz;8`9pYwUW~Sk_q1FZ2LCkn0{W>+EB! zv$?*$oGUzQu3$VE4|jPWyVge9o?xwIt>rvJ56}a7<^id;OBCV z^&}4%KXR~vqb67#MgnveV&;z;a0pq6{Hgojl=*ul9JwOlSs|U>Ehb^qZ`MUNTCvtb3;CgaB zz10Ky7=ksJ9`;rb^S;ZOU`=*wEH1U3 z^6NQ*(F4Be0ex)2n(UkLW!^Hb^niDHAnW)d+P0IUGDl@@UFiXOz#}}6eT)%ldyTc( zBVxkw#=FKF#*6XFD-Y=74Ay3PEU!Gq_ocV!flK#*ajfwMM`w=C+|tqm^ngcsz&zgA z#TxBVvEj&Z=_3c%jBDnL9?-`etkLwhFZ!F$L|=Qm2aMy6w>e64l;&2K9-s$2(gWtP z#~#*dkBpJGj|04aj@z17{?&*a)0gPwx6Rn zM{jPK=>dAct2|&HpB!Kf_o~=&Eb`2;h;dv7> z%2Ax7IJeUD06pN99x#tpK4UHS${2E_x%`oaYsfY91rO-s71nb4*%$oGXP}S$)dR*c z%jX=;Ihu2eO%KomUhM(%xaAOQx>v{2U&j(YH%D@M;NKq5$1bet|6UjRgzG^M&;#D+ z0ps}PD~{?M)w%Vi2j~Hx@PK&?bA+|sCt~W2BL?r8qcJ^@`ySB8F|6&mzqa%%*OVTh z2i)ZW<5=b!j_w@Yxh1Cu=mDSdfO$M~lr`R`V(YH4fuGBfl^*ac59nhW)_Bi~3-g5W zpajM}3a^+}hIv^nhP@z&z$T$=dH1G3S`)pJN{5&bXiH0e#%V z+D~ts=?(s#o}dSO*8|3}&+i=lIr?+UPY=)oe&vDepC6?=|$zB}46wv6qi zct9Tmu?NsMm*N|KE&W0d_=X3JZufFph-+6nlYRdVph{Z;W+} zBjb3v9yonG#9qL8h`o>=pa=Ze1KGz!0XA+8m z1bToT@OuyFVGINv>&Nx;6%S+|BSi){ z*c;dzc!WR?&;#_qX%Fb*B=!c*JiapX@HyyZdf@UsU>qwIB1II>sfF7U+3=f#c zOCjtL^oHRLj$oHRf^iMGhQ8ndeayrjK|lL~pZN^*F+GsG9>_XwY84R5tpv9c+)B^` z^Z-3@77t_}JJkp%!d^j-oW&y?&vJJ><9c#Ez10Ky_=&xO9`;rb^SD2$%OG9M_m@>dBsFpjNCbL+va2e%&d06jntT!IJ8>>19e)x@_L7&kBzU2YqIIA4DBHW5_D?$&@1N1-+Jdk~? z6%$Z_y@VdhfrmI=`qp^Kcru>=z(1DfO!lS z#oj_s<$|Z&F(UCZIhxZ0p63C59LC<_d9h)>FedZ>J>ab#FpkBlbL+yb3%4%x06jnt z+mdO#nOvB%`@y3(gyPkMkJpa;^Gaa>lLTN!R; zxRs#?=mB~l4?JKVo7H8np~v#TV;t!?*3$#@fOtS3pRw1_53C9F06jnt9* z+Hh;btqnau56}a7=z;9xw8(&l>^byW9(paW#wNZmM{;_=yF8$e)!1{qD{jm)#)}@H z2fWb(#_?KXZgsfT;Z}zppakK5RL za(`{X`Bn+NnU9D5MG?{D7c^V0M5fcrgQ9LKfhR)||6ZiVOpdVn5qg9oyYc zJz(~wj_gb9OYBSZ06jntcz_48ds9?EXZ9v~(gQrnnbrL>D`UVIc!CF{Kb=xe_9oV4 z_GWs39-s$2#sgVD9$qV;E4NM_6BbVxTX+u~pXmX5z}+6u+b8xXdePlpgue?eOzAuX|J>Xp)Fn?NpIC~g-7<(8!Ko8IZ zUgiOPpvWHPUGoR$MaGLBpazhY*PLtai5@VIAt$l7vA40e(F61VJ>VlA(8rPNZJfV6asJ{x(U~-vQ^Z-3T5BR7D^zkKo z9cMt!fb;-8Ko9t;2aIFPByR0E()s8}=d0<<=VEK32QJM6=5b~UdmeipdmcSN56}ZX z?g4$Q$)0y<^8)89t`|K(56}bN=KWWC+F=#**|kio4WM?gOt0a-pSuY7R* zxPHFkf$U?^sDSzGh3tjwh4cVDKo9t-2lVkMdm(2$Uzzdv9P~0hKo4B12eSUgU`)V5 zZVfpS`sqk`scFNnXQ`zJeA5GZd&qw1oAG7dGOqLhJwOj!oCmN)3_bmmG*@zq$Soqb zi1YwGKo9u22h5+US*VVm&_}53i}bp$d!5fmkJAJ6z{PkV z>!)p^1D0^h$SotcjPw9KKo8IZnI6bKQjH8)%Kpf_U|!Gz^Z-3T4`g{jAFZ-K^2p(0 zjvV+k^a(vc5BQ4*vW{5W2Q1?jGHYz`m+qH-Medqyt zfFAHa57_L54rl(w$3_7wxRvBqlK&Ng9-s&40eav+9?;uK_DTO6lQ8+(JS*d{U z)gMZ!0;;$wsH&+(YK&^E?odtC`>LrGqMBJTs<|~swXim-mex_#-c~`y*!rsuwk4{g zZHww`JE6J-3{>3%R;V5UJ5|qszg4fm#;SKX^>Cf}>XEuOwXW`!YJI(6^=Q2%>aqF-)#LS-sV5qQswW$)R2v!= zRZlg%No{OYTs__BR<)^dY4uFwsp{FrThwz+TC2@XwyEcvCaWz?e^xIvTdQ7dK1^+G z5vsPeSgBrWSya8;@+P&tRdKbW)vfB4)}__X)_1B`+mutUwYgWl9vz|Hh<-r5*|v(> z)po6Vt6g>VcDwazcl+9EPx~j-J2CauyD=NpdmS39_d7hR_I50wKIk}9eb{lG+Sl<< z^--rDYJaEQ>f_FR)Pc@>)Tdqgs)JqLQJ;0~t-k1bpE}g_xcahNd-YYfSJdI|oz#); zudA{C@8@AI(wsc#K+qVJ>X z=YDn6Fa4fWzxHpSPWFFV{WhS9`hCC>^~XTFIyG>yr3N`HYtT~5HaNrz7`(y?98$;% z8giW#JT%O*553WH3@c_87`DnPIJ}hQ9DautGNPN4Xwt7~dOt6SDIuxKU#w_+F64$ZnTEZD`pLwcat@I z-j~*h`QxnE`CnM07DQU37fiIqEO=NIaHS|!X??);0ZtWMWUq6pve{0mGDSX6-IWzk zPdSQw2o@MnPL(UN4=f;X2iQko!GVvdibeK=1qGD>`xwj-6byC%%pQ~g_6b?l|X`&6*+z)IT(fPD{E z%DxWl2e2~so57BOU19$O>_@P2_E*7<_T00t>(f@0;^dtNL36|U^NP24ZNyvK*})n*6Tlo`4MGZm6##4O{1vPqSfh{@U{0{6A(3DqU`;}91}g;CJY*hNC|I+Q z@4yO!wG8 ztOQt0=rdp?!PtOnTNqE4`yV1tT216B)cXwmz?YJ&|a`XyK$ zu;E2_gVhBa7Tyl59$0L6O|bf4Bf`H2YXCMXd>>dtu#v9rV2!}WxEg~s1{>{~57q>1 zoNEeLQ?RkFPr;gjO>n&i)*Nhnv8TaWfK4iP4_HgEiN(GEYXvr?*jr$&!6p|k0oDdA zuDAsj4K}s-U0`j&;)`Dm)(&i1@z=oGgC!K-02Tu_y+k*#4q!!O}||2I~WsQR*GAzF>1p7X|AFHow%LVEw`7l}3#S8vwSjG-^cHK(Ga+Q@{p+ zU0FI7Y%tiO(m#O>0b5-96R@FRS6xvRY#7**E5g8rgI!&w8Q2K0rDZCC#e!W^W+K=~ zuw`ZXfQ=Lkv zU^kUb0-FSOW7$n$lfiB&doS1&u$#+;gG~jywd`MDabT;;Wq?fsyS>~buz0ZB%B=yL z4t8g`m0$^Aca%Em!0sw9@0SdAZ#j9t6tH{B4*;71wz_;9uvD=7%8UPI zf;~`P{5K2i{_?16VY9*3lt*0)O9Okby!bgCY;Ae*^Bk~;Dxmj-%>{d;!WCc{U=LRq z4mJ;LeT9x-^TF0txCd+j*kcv00b2<6XoWYx7J)rcVI$a;V2@WU1a=kJh6=xeEe3nC zVpFiI!8TTm09yj~RK;|#Yrr;D91pe>?CFZKF4uxRTT#|!8Q3!wzXn?lwz=YaU@O3$ zi*SKm2ezf+-(V}jo{ty=c0JgO5pBV40DB<}If+BOV94 z1?;7WV_>Vmc0}w4yA^DEr3PTPf$gkR7VLJgS1QGV-2wJmrOse?g1uVlKCrvM-l%jf z*xg{SSK0-357@3so51b`d$V$3u=~K?uJjw&YOuE|4+Og(Y)|EAum`|)SC%|~5bWK` zlILr{-l=>D>>;rCE58l47VN#q8ek8DeGpj;>=CfNkx5|d!1hHB2U`#JVdU*#kAm%w zyb|m&u#Y0qYr`G~I}nLp8}`;}vz@7#BqKfp!=fJ+IBE4}l*q2qMM?DXAq^k6&EntVM zb^&_{!)9U^~Em zh>8Gv1?+fK2-r@rAEPFLy$W_BsxR1UU_V8z1bZFqm#8$bH^6?5dJpVPu#-_Qfb9bN zHR=zrx4?dn`V#DIu-~dluh8eK92dcYe)hGw{Ay{Ay8`wUufEo+HJ^~A_F&=C`SWrz1 z>|-!TjW59tfZ1y{2Kxl8V9nBCpMn*rIUnpGSV+xrV4s0GYd#J3Kd{i6w}E{QR;cDF zurI)h)cgYM5Ln?_a?f9a6|Geg>?^RaS{Y!6!CbY*fE@t~uk{qz*I>nKtpfW7tXORY z_AOY+T8F@nf|aOUAM87@(zT0&eGgWu_B^m3z{=Df3w8|birRa?egrF5dn4F!u(EY3 zg8c+mp-vFk39#~Y27>(z7Ez};*e_re>)a3aD_G?^SA(4dt5jzn*l%D}>TCh~9W1hL zB-kHdQFRM|{RvjJ?ohD5z^d164fZ!!wYm?1odT;__gYn>r~<1|_kap1YJt_RyA8|+ zR;yk$umG^S^$LLng4L-P3l;=czg|1AV6b}i)`8i<8rHiG%mLP*-e+J1z#7-v0ag&K zQT0#k zYETa>94xv)F)$Zcn+6lWih;Fj&=ssWSlb4OWziB~F%1yQq9wuFH#h=T3an#;U0|ib zIy7tqb_H1HhNZyDfOTp(1*|Ms*M>d8%7Jxh_zYNiuICyieNn(?g5Jc z>(QtgSS7IDjmm;m2J6)*9xM{9Z==3oRlxc*dLFDQSpP=%fJK4zYjg~(8rZ-_?}Jqb z8_>8FSPihjjVprH1RKh^aPvTLcX^b*qj#fy}iNGTZmu!fMv80zw`y0 z+fw|}4{Ux*@k@WOc`d~+1Hcxx6u%4vThLPcG6?L-mg1MeV2fIcUxt7!ZYh2l3U*a1 z@yjrejk#ctv=wh;fIZw+d^HbjeOvL>e6V%x#8(Tz9&0PUS_t-NJMrWq zuqWDyC$9v1yxl^utH3t2n*g>L?8$b!!LA0|*zPH?C16jr4+OggY*V`_)I{G0nhk0^1t16zpcOmt*3=ZUK8K=0mVmU^`--2fGz)dxsFP+rW0l90R)@?3E5f z!0rHhtwSrYJHcMuUATMhPB z$GKqlgYD@!8tehE-5uWsdl2m1jvK(%fW6bHJlI2E?{^9STMPDHrv$Kv!9M8JAM6pZ zy`3HeTL-qU(=}l0!9MJC9PClB{hdAldkpNO&Q-x42RqQ&3HAio$DLEao&@`}^I)(I zV4rke1NIcyXPuXVZ3H{mS@P&9UG{>#2=;Z?Dqvf|j&>~wwhiptuE}68fqma~ z5ZKFL-*w#qwjJzP*R^0fz<%gf4D1!K<6VCP+X?n#H>qK-f}Q9lHS9I8pSs-v_Bz-v z-R6P40rqn@sbOz|o$MwxY!}$C-OGZ#1@?P)3+!#M-?~c;+YR<-cd21}!2ald57;|k ze|KL5_Ac08-KB=T=iF*j-KB=T4|b{tW}2dV!E8M+(-i$cb+=hPq=tP67T7~-*gmj; z9#V5Y0t@aTHD^CqP!Fj&AA>o1NX8x_5Q3@4-s-P6zt|tW58bV8_6& z==~blk6`6`uLnC0R<`$FU_XIX=>0#i6JX{0bOrkvETT_suwTF`_L&FvD_G?|W5G^> zRqC?~>^HC~eVzdO9W1i11@;G6RG%-w{sgPqw~>%dBawIA>aSShfM16~3v4c1{`b+9YIIu8s5D+AVP;Bc_AU|k1BgOvm8GVo!r z@?hNuE(fas)@|UYU=_i74tyCb0<6cN8eo;cdJifLRvE0tOGw4yU zs$l>@Mxo)^E_~VAa3|4%!J;9c;khI$$-x1`iGgs|hw}@HntqU_%FY2CEG=Wbl(< zb-;!Xz8S18*s#Hv;lk^I#SX>{7hWH1#E`mR4ZubXae*}i8#!b=SR=48L%M)91{*zO z16UKVaYJqaYYH}Y$YHQ%U=xPC3Dz8J{LqGAEx;xXEeX~VY~s+#V6DKW4DA8d8f@~= zO<--n;)dQ177aFa=(k{P!QzMR25Sd4ZCF#V_FxIa%7DdyO&>N5tOHomus&cN!4ijU z2I~ZtGVE@!&S1&IegNwNmOAV`u&!V;hPMRk1~zMW1+ea5GlwUF^#Ds7J^-vI*zDmi zg7pHMGki5zZ?N>?KY{fD%NYJ4SYNQYBcj3jfz2OL8LU6pyb&|N27oObF$8QN*n$x+ zgAD?^a>N?2!C;F<{0cS%Z1ISX!G?le6&nLK3~Whk6xeXEt7B(_jR0F3I|3{g?3&n} zU?ahn#XbTy3hdh0Kfp$Vt%yAcHU@0@$j)G6!B&o}2{sPwx{>I~;p4$>7>S-7J^}3d zk#B%a1iNYEV_=iOZX9_EY%<(-dqD*h6D5Glb6tdt?k| zhVTrqhsXQ^HVeWsc{{^t^wOLt{T`v;5;@SDM29*;f~ehb)3v?O%ks?4EDh!@!BI` zdnbw4)`9JtBwkw&_TeP)+M{6mCyCb{1N&&Qc9Q#OOW2=?_qW+XnXS)WKjcfqg%0r9b5AAtqO zw*%V`78Jh{>|-!Td6H zc|Qj$H2r$8FTjdSp8<9VtnhT{(_exWoi2U)E3mMHB4CHXT+>g09RUkZ7y- z>^NB2MCq$PfmKMn1?&V^`6Th~&tMUW;@w}sDke!E{1vQnlJvooV3m@@yT5@|NfPh= z4i=fT8SD?RsHD5W{sgOsNPN<}aSSc8fVG$b@4CXkTF-!Y zT}8oK&4AZj;b74-;5C;EtW9byuwr2CQp3QCgSAb~04o6&lR5^hBv|{@-C(7_I;K7a zRvN6s%s{X!z&fWM0V@O6X{OvuS+K4%pKhe#Z?8Y&uqlVRTZrNEX2qa1=eqNTd-;%WjmE*&+zqnd}O`3!G#nlpQ;vD3ls}!$U#>-uxWGALtX8`66T_Zx?;el&qWTpI)Ek3O$F-+mN*wZ#nlNcWiEP( zt20<~26E8V1uS(ga?sTkY(~a-ux?f2Z0R&yK>&w zV1vOH&2J7i1Z?sAa$rNju9|-}*f6jq^QVFh2fKRyb6_LDmd?KmEEepV1qHxHf-Rf> z9oQ(aYZr6_8x6K%K^?F$V9OUsEXRVaTp+O=2X>uO3m&n5VE<65A_ZOJT@#e$O2p6U zg)0mHr1pmzp zT0EpgNXd{=Ay?p6iPNlpNP{fa;52Iz(lm=TJ$oB2S8J5P3>uqsY@Dn?#-wc~;~(k?OP&m zi|iKJBl3>OyCNToyeIO$$X<~TMD~e%B(h)RW03Mhbs zWSYoSkv<~vBBPxpl>LPLXQie)gOo~u*mv9aDAldtj}T`|r3T9%3=tVB5{GYd{OZ8Z z#{KU2Llx{Q(oLkhNDq;oBE3Yu7WqcxTalw8--&!L@`K1Rk=`PGMEZ*K6X`GVmB;{* zBO(Ju28j$786q-NWSGcsk;5V*L}Eooii{E&Eiy)AtjIW#@gfsMCW=fFnJh9zWU5G< z$TX37k?A4{B8ehNBFQ2tA~Qr%MP`c35}7TMCXy~PM`W%@hR8gT`63HM7K$tqxl-gR zkuOCKi7XbmT4agHH6lwzt`%7+vP@*T$O@6`M6MUPLF7h}n?!CFxkY4^$gLu`iQF!7 zhsd2GcZu9Ba*xQpBKL``7P(*K0g(qq)`&bLvR33_kw-+nHc~WG9 z$WtO4MV=PfB=U^Nvm(!lY!-Q5WQ)iPA}@+;71<{8lE}*<+eLPWydttw12Sq*; z`Jc$=5H&y&vA;;NB-lWaB>C+yXQ)zBbMQ!#5mN{g4_VH9TGV# za#Z98krN`niu@sR3K9??Vux6((<;MB0gT5a|lBWQna8<+nXV`icw? z87wkPBvxd!h(soEqDbbq$&v(0cmgFnffAlT2~VIzCQu?1c(uqfk?TZmf>^SMfvZF$ zD1j1>K#517#3NAR5h(Eplz0S6JOU*imMnXq1SRlgkyk`A*<14J9TAB_;71}7fIwM$ z>uq`UmB`m35`aJnK;Ur^2|%C(AW#Aj6ewbcSn_^B1w{&pNG=2w6Omj9l3WNXCsI+Q zvPe~k^}f8400c=^1l1F1DAGhkq7c+ZM4}MXNhC80AIhsmZhVkoCFz_A!wsMK+2&BO);h+A8w0$g3i6h)8&>FXh!9k@rMC5RpIyWj;dY z4Q0M_=GPsOKllz}eIu`qiTo^bQsgg4uo4Lpkt7M07zIm=f+a?ld~dJ>D!8mj1(8UR zD3KZ>5}sfQPq2h1xEaKf4+?H6(nh46NC%P5BHcuKiu4f~C^7_M$*lzs7a1usMr6Fm zBoT>LaDqs($Sjd`i1oX?$`Dx~A~6b<7zM8oxn4vf6D*MlmdFI(1F=rYD@m8&heRYY z!4i+)r$jc1JSVb6CuE<`IeIio1f+cZ+C2@ieL2Q{zd{}-f zp$N`g*vw_heCW(aka*Z79(HLW_F#yW3b7TG-xd%F5s~oNT_UAK%7{qz*d=@H)kJDS zY!XX*9g+GXjYOJ?v=WIHX)n@Iq^n2|h^?r+>MhbwM8ad2@Yp3Xc8QE#B4d}x*d;P{ ziHxm;yowh|6p=i#OCH(hh|CjNC~}p^5|L{mw$k!S0%ey#*>4hAC32^T1j@czoQN!mwMbscy4Yl0Y_cvkSr?nE zi%r(WChKC$To+jqn=FZy$;806+eGdbxld$`$ipI!i99JHwzWM6v6joL7e!tYk=3xt zYS`Wuc}L^}k&i?Uh#Z92583foSE6L|^N3@e<#^2TkmY#R@toz@BCpwBWv0d0s$E%j(4S6-#F+@2gIPljj`pF(_j7T}TtIG1KiM(p*Xr&xaIyP92 zr{z0pIch6MoMW1DB+HwwcHD0{*2o{+B>T6KPM1=NGUknSmR9zC_K%b+9Y DBRn!z literal 914397 zcmcG%d0-q#bwA!cJ(o03qmk_OvG#gbUfFB!+Lkmuw(Pa{7#+4{%aSe0_c0zxBYA9H z*5P9@&W6B#3E{r)E8O9}!wmrf3*o*Ygb+f20AB)xFAyN#cXZcum&#iG^4sqp_IzH| zt5>h8K2_EI)ZO*)pWgQ&%d&DyKWVe7Oxv^5ha%^j*uhLh#I9Hx7}O0x+oM&`kiSZQdssh^Vj%cB#;*}3V`PTPtNUY#w?*fk%H+f|Ppwj*nH*;m^R z<(J#B$awq3{0h50vLtp9oanG^$Ghy6v1+F^+OV>r-F9wmv)49kxAV@ry1Epm-xo~Z zQAytuOuxI5-WyEcSxG+{Oy5;WF9g%?sicnv)9g+bVuA#2Wwh!6WRW_=Ju0Hv?-(r+dEF;0Ihr8j!%>&Skb(>FU2r|nKo&u^`& ztvc-38}qTlYx4~kQ#??0>Gr5|!nRX&k!@8=s>YpsOFkBf-9zP?XaE};Zo>4(2Gd(A z={3=SzFSGZC78a|Pp_-mEa~eyF6J9nQwKMsotQIa*VWXmwa?exQdgBEzc+T&wH0r% zW6^b04aKEZbybI>X)bq?i13yRCSuq_2u4V~>g0H#ImHVl~CqrZw@%)!54X z#Oa+;`@lxKc<_$CrnWk}Ye~{^*32~I58a-2YWf@OzFQ+T7wfM~tloLwl32@4#kOp@ zzh~2)i32gGZhh*aeY$1%Xnfbv1MT*nB~`~e_u0{&Lj9(_TW*eHzOyzzup#ZN+uvXx zUgzB2b=UHyGh1i&$5*X5cq-e!AMMn}BK>!5t7_TbZXb4PipzVB$DGYQOAevknf7hb zwfUPiSI0`L))(#8^PTaQ{DxKA&zB!qTW_zZa&|__b;VxW>A!bVy}h#9X|K=a^T#80 zzPgy-d)giCzkAcsEjMqUdu(iF-Nfp;y4a4pmbclteJCF8UG0wVU%SKJvU1R_cDk0^ z9og}t*B>+5bo1Ra{q>uU-fnM0{gbHQx-V8&H#f1mwW@Xp+(8qz5Z^)lcD8F9px%zU zSZCw0wf5a9=Kx%6Shaol_>sn=$goSLYNeMLY}++R<7OA^sRM~_^KH=WE@)y_#hkM-)=Ke*}G?fLub5}i=h&>o3IyOv(GPu+d#zGWT9 zYTILxWNaz%BvKvo>RYz@XnQ^%Z>XtSai}d8b#ATOP>i(BUbuey(Q#UM?x^B+ICoX$ z?GxGIlSk_6E;>~)$E~`$HX4bn-dI<6sKbt)TsF2m(w47tTI()0oN_1b%dY17)_e7B z@aijPHyy+JZld~Hc4K`fRegK6tik$*y!u9~S{hc~bN;TXs*Tak$h0%r)zZ*VI}>ZE zN;IEr9zGPG9o=$s>ug;^Rk_g{mxj1Ivgi8kd$*iv9zA|!b#h`w>(u13rB}LdiB&mH zHMgTbo^RWHB6}A7xUy;0%Ckq;5AT^cwri%pZ_^#)EAFnUi`}&30L|ZZ(BPbKhwtkf zK03J~H&se+x(p{<#>;E-b#?n%Xo0TVV%L=Rr?2g^|8qnJC?;_b546(zS-Gd-E{}{`{2fvbu9cYzIe0`{lxK~_Qqp2b~WF7dh5Af>>oJ2ZSKDK?DFP# zByuUgv3O^3P2}3Tm34Io*ExyfceS#;$DqC9Z8Nd04I7$H=FaWkcP&1-YUf$%kCsDT zf8?vVKZx(+@!{p^6U{U2XRht8A>PG>cL%Xv)&HyS9^7-?9i@Cw`BiOHzO)Savzq&L z!z7Q(JvXUwAwFlXEw4GzJX?;___a*VkRScZ51Jp_YR_z+*?)c2-lmnUO{lJs;lc8ZjNkgTUpms+)|y0mDcy>lVn%wyY6gHpJ|?>d9%r1&*J2-a}4Lr zM1K$L*>W;BxxfC}ku;7=n;MsuJTB#Z-IvEtxHI={gFTZgx1K$T{vSH-&j+q&{pK@w zpWTx^b$Qdx+i^avTkefp-Qel$;OXlZE~igWe{GfY*gebh?JdLQ^*NkBxUS;*Qn#uq zdQ1Gk^1*!m!jkHZEmfzs4bbbjUQXlEeQNt;XZBj__{rw+LcH-ZoM@SA zk6+AhKGkxzE4}(C&g)WpA1p6M-HG<=x3`WTZ#_F%4||$c)(pfZwcQh^nrEC&9#5JtBjama ztoPdb+Ah0}+j+X>%6&KQ+B?2t>-qckL7hYV-v^g*UAoeBy?yz_in|+}9bA6sLeJRc zv6Zc3`|pN}5z?#Pw7uz6^YHO4n?}=J_A<72eCO;j9V*_kbLhg&o7U!wiMqtxlJeU2h;!R`eI48HY22`RO)gS%fPWjD znvFRAXup^3--Py$57kC^f8B)kojS}%Ueq31x?xQ!VFD#}BpC zoZ40@Y=h-JtLq|lRhza?9@{!u=5{RMcC5p892~Ege!}sSe5h-qaa%vTr}yT%NCWQ+ zcMncpcQ4nTK>lC9u)JYDuO{*_Th`O~t}ERqc9rg1+kJV{DZ73iuaK7wJWnnh-F&Kf zu6@n5-J9(s&4(jfPPCpa$9G)@toPQI#@5<1&69Ky zLqoUhoGLBD{a{V@(g9p2H{Y^ryzBZBpSRmKuii0&>(X-J`KqJo)9xhC(-61YbV`PfpA=9Ks=-^th07(ZTH9J;C~R9d z`6Z`{Ll=sprN*|&$+5Yrm=f+^1|Fy!nzIZFRYrd*4kEF^lhuoyJ>HnzBDv; z;oR^vcHKI>eODs(dqrhZ(`sAQToKETcf-i8$yX zJ2QT(@3AdlOI`}{FRVD^Yu;~LHKOSyg?yV-o!!p9`EtlN3a`w}pl`d`H&3LVmbgu0 zV{u|~qOm1mb=g*=Jc*s$?US-?j(CBwmGtm5Z4h3$w-1!Z?lS%v@o*c)2igc^C^7rnRJVWlBnu*5KUCcmbPSnxGDo zgu>+D+(==l#ZLz>eY$j!%PBW$QlgtF${(8&zF)a}DG`olA`g^5WXjoC@`4k`mk9h@R@sytMJyE3!=Jk+e?NiDP7SG_5qs#ZkQ ztq(30zVfoLvbT_+_L9(Y;j1s#)VW(rgnKL0<`p7*xU> z)WTl!)>vN+2_04o=+Ods13k)8_Gm%AfgWX{9`-uc%ZswF80>XF4>eP5dj|^nj*h;< zUL5_3)ZL5Tb6#Ix{&=CI^WeZd3RO_C@u)i%%;H0(=a zn53ZL8q#hX$nPoa?(OctAyBedTS_uzWeZ(B9i7M60Z)w+TG)Rs=E+o1Mb2vZ*+Mwq z)$(1=Pg1qwLAvd5S9eDtzqbu1=>WF1+Dkarg*#$E5zk!M*|NyxsZ3 z-2+H*p6B`Y_Rju(?we*q8uscuy{sY4HKd^@^U7{Dq-`^#;aWR6IkVx#AAfK%GGmhaB@58%Wd=a3=nq-Fml+;3FH!7L8^KHI?kV)2sB{aDB07paRqJU`5;l*< z)l2E^>BiRj?dosu=_qt#|5p@bLGwN7AIJ~jN+nJAOVLW~wcPJEN~$#6A2>>-j_?Ns zT{)O<-_HqQ?qieu1Zp8x?~4_yRg%c_1Dk^ie!qz%E#$^yo|N-g&e{Q%iz(>~DXbXJ zsvhG7Gvlkfb{|H5dwliHSG*dVf+H`Pbc>?3ur_HGnv zNNCHWw{&TT*JUiTHO|7NxNx+$uLD;NF6U1T)|2Id^#*ze^4+v>u;Ugq=YbU3fv}uL&6v26}hz?k6W?4)b;5;qLC^I52=k4&-~f4i-+dX<}PA z7Z>WFGWK9l#3(^fJQxyrSs?uFU*}Q zjaX{whM4fPVgwNz{Yb6D!g;%`-m+{QyXZ)HVi@dBdmCB9;aa5?r@QICxrqVnsm6qjms*Jf zy&Z?UI~(!w9KEWbF4nTo-d}|`#@)CI&`tYvX=ZM0wlFj~Jzbbi+Q{7WRB^gEUc#^@ z^~Ma3*~!4j%2C z+lTFAII~Iux1C#$hDP9Z&u!^5_%wI9U=>2GwuAas9N8Ydw!eS5%V1{wC~E z(^SSSC+H!HUge19Pkh{rFd#(nIE>}d!&n&q!HC{?(xxa-prxqj*+Del4sKxc>`)Xd zX-|jkprU67(R@3!F%ymbAQi3^h2q53qP51T?=&lIBhrf@-Afq}LeT&%`Q1&lHlO4HMm zI7JI1BT4(Auq{;fY$2L&YlqjkvB@G@oK;=)S79rt=2=0sWM1Q1@EWEjFu)`yJ(?mk z6hQWd3ZU#4Kxim{jN_Q^NO5KsqoF}xpR3tIRo@mugDqs;O~wvP+Aq>Gumie)v5k?a*9 zIKPH0@o2a-grWVp!lmL^8AH?fXNKXV{lRe6r082RaxaT43i?X2U%*JPEK(&@pu!@` zGWd$@2oWkM{L(;G$R%Ekh-B(jXl{4dk7i|ARR((R+y4CG9^n)39Jhw z`#oo*MV4q0q!u>oo0^RZQ%HNhm#)SXlD$d^8Vca32fcJFgdk`rfTMmBQEPT=t{{I5 z8JoO}D>_~z{Xnx-;fibIii?C;;oB-V7cP`>f4Mx3*R+FV?#G(B3X`ay!8N1{|G}k< zf4Gy~?&q3`3b$M%w_GGks5>e=qAWw58jsuxj|dtHAX(J1hmHK5R$+x!u8~%*Mk|v2 z5jN7wMWU?GcHh$Y)a+I6p@^;3Utt&(obP`QX;e+-ibJ#IOQmEa9yUPvo<9T)1~kUy zDvTp&D1h7vj!CXUD}sgs$c|8f3UP8q;^dGEp#l|}P?n(vjV8GYO$ZtaXf(-HXhP6X z00%$3$W13B_*g^8%?ej?My}*Eu8{1Hjgc!k9P&^N6*f@Td^fk^5C;p8?A1WfPymN` z8PR8Wat?1%f>&_K2tMu*GFD;6RwFaEYRn+nuieOutr{~(_6r!9u~lOR$$kMNGq!5X zAlWZqWX4vF86^7!jLg`ILmld+3JWO9(B&EnwpLg`&`>~Q!PW{32+l9C4TrjxcdpVE zdG!S^iz0aU9rTlwyoM1pSiv#om9cb%E~_F3wDJ`;Y%{W9o5lu`{R)k2*oH&Cl(;xG zK35no&){{^=tOCl>OQR1UEv88GWf3XWLt$N1Pui=o@}e|grK1Sl0nv8x`IU)@eVrF zy%pYUGxBDe#v79T?lJOao5mZG{Q^ebY(sMJ{QzDXBqJ9z|0*ORz4;AnMN$MCM6y>M zK|=v#L8w55AgxA%v}yz)*{{J!kX9V)Pz@CtP?o_ZjRvh18W1!T&}h(Fp#ecd0UX~NcP5x;QTtbIH!#+RZcR`G$;y+MyAOWWRusP&<$#e04NGzLku8BHV7$^^F;+wL_y8 z$$kMNwRRv|f@=%OegPwqc4$N**)L!u(hg)rXgF~n`?6MPg+rum7#)p6J1QI^XefZJ zFn21}{%x)H3Ym5wTxy8+A!X8m+xnJfOsMkXeTYqEkR9fWUi9E!bbF|*>>)PUL-K@u zzywtWv8jxkwD76Va53bKDu&opESI##^k68}S6~{2}6#12I1T~Zq z#3m!ip~@u>+W4(*0~M4F#3mcaq3Y7aaDgvR(Z(Nj8>pacAU4@RS_K+2o^&F*5!6sd z5Sxr3ox+`u395{lYZOYZIFI#KYc@#1w?WuYZwpc=;QVybsnv}H2uIo`Bgm=BNT7|S zx{UwcbPdwF=l?s zd`uq4PdfN_=WxXVW|6k3Vx3tOh*lMwnMG0PT0i7EK3&9zp+}O=fL?ikZdAflxlT6< zNUO@tbfXY-75>E@AB~^H8xaTpyc}vX=X+ZzVMDbPln$2(@QgA|Wso#0X#&r?swz(m z;X;Ie-wvA$5RL-ZCX+hhC?f5*p0KG55suuDzgW_ry|8k9Po}uEGGrzkMWVwF2M9-* zrpl2*eA_Tmp60zU>CEa@0*s^BwaJRkIEqm#Z_SM3fHgInYUZXV9sKigxB&sak-n*F zoo^JLR#lt%MgizhJ(S>=A#A9JV$k6-0fJGcsSJ`NTqeLEicy=&=nSIJwDQf&APPo@ z>j{vBGEMd9WT61Hs>e(g3Q33S2~dMFP4(#1ppdkx$4m{1M~CYP@PIN+_2@jHz_hBz z%mWHVhw7mOzYJkRJrr>cmkIEIGEHT0sCkBuOqcLBIm=-P=XJWF068dPZ8D^jg96pc zVKX@>G#z$0Kn}_@)uWSx!quuCGdU@>rW~K{;rNi|E=t7yMdUU!_s9M!yrV9n5!}SDcL7Ap{bXriXTGeBw1x2UB z^#pi8nWlPl9#CXj)nn!X#iB#?P=a5Eu%R9bM~BM`OGc{VbC9OWassKAEplzzEHEH$h#R3GO zAhxL(azFy7ljGxMy7r1%x|INBD6(y`qEm*#*Q%Xn%22>MG%S?hmmzGZhl17NG6Bj^ zrm2ih846&ldd!resC2lV0A(oCR1Z=hTqeK|idvh>==`A2wDQf&4+=(y>j{v9GEMd1 z;D^fum_b2lQyCoUaG3xPC@yU(qw|1b&#FCU9#FJ7R1YQiWe6MUp%`buvGlrCu9W!Gn`W$X~fH9P5 z@|PGRb@L59*R97BC`zsBHnWH#&*8cQETT+P-AI%gshb{4<*M~)0tKj5)n;N**g0Hv zfLP|3be2NU^-?uHeatQAXNIF%P=OV_`Weq}Mz&ca94DwUM#5#Akv&@d{OogdAlw#K zRJM$i%QkD2;{;X4NV#mYMlViKWsLO7Hf!|a1XadJuWU2XD-iqV1XadJrEIfCB~DOf zj8w`tBQ?VHRCvRgrg77FlWnf>hOwaxg^X{S7ZjndP9&ofnhSwYbJiSc&QkC=vO z!Ao^(0nV9&&smBuhdl^z&K!Ksaws|Ef$D?T=+*+%Ge@Aa{6utcT?#~?In&f{NTsmX z0iKxy&RL2thsy+bMwzC1kU$lR%eM4R-AsUF=5TYCqRZi`10Qu2hX3Jf4hx zKr<5vIcLow=d2!b<^-Q%=8$ui!p0!)-gtjmh<;qJIlwG)lsQX*JbLcork>Z=^lRlM?IPr6*=gP_G_jGdsT2VPeSLspZY#^%4*i;4=C6fzd zlga3hG#4ny?9XGSeATrI^R0jDGs)wS{{@|M<(^-l$hsy*AV-7-RDdrq5 zg9)l0Ghy@~be0lS8S~OZG3RhS0m4wGsSl71;W7bwn1jt(iYYWpF>z?~^Fhtg1q6Dy9=85O&TIHk8rB&e=fNnX##izEDu8+4s#HcFt0iIn>>O zurp_x>Om^h1}=8^1~S&K8$vBrIbxF`q(Hdx!0KTRJZCA+9ITvgqGBg?LjlrI2~*`d zX(;@x95$1NLd|uRmXA#EjYKjwq*sr6%9NQ)6mJfTDoJ&Dc~1>BR3(c#D?A)hX~5o6#CW5o^B#%+c#C1*=0H5QtuLrpW{3QMgQi zWaj8~mIBn_G69mAqt{sqR)@<3_(Yi|-*i4v#9H-%nNJj;4%ZVPjyZarr2uufOn^A% z=yjHY)!{OjpnNm0Kzj5#8;D*rHuQlWz0OjCUynI@ouyE8$Tvz*We}U{(RmPvQ)daA z%IG`@#H6!?O=WZ*P#jwMX66Bfo5Sr1@PIN+_2@jHn6s(}v8jyCgFvu3OW0IqN79kq=Ku*k2yh=F;c)wUm>$M2yi6x!_yR$=3s6fLGE+y76uW^)F=JC#YpaM$PaCUx z%+%4t!Y(DKGG^-NPaeCJpvsu3qX&RpN>F7G8`?vW-B7;;LcK0wQyE+(!euJEiE9q^ zx)iewmkE%=9ME<3fG#Ka?J);*U5dk06{9JY1!uq`L3ddy1*MP_R~0@C|Xe61bF zm-xe#2gqTL<+^$-mlIUwW^%~G!}KNeX^v|0)n>d(uROpQb2Qi0qq&@*DmOERqO)4d z$CL3ot@1!T*EPp;T|J)534Z0~c&372 z%(>V7zgHyV4SM|n&Y9!9uKw&WC#d?(oTDJFR=Isr36*ROd1N_hU*EC#vIvo^~f$K`1P2dId=7DjyXY< zLADzPO^@ull%UEWHkHxI5qP}VC2T6AlOqt>b+0cNPEfv?R}_lfhUy6fc3pE| z*VO~NoZ#1E4(z&mV3!kA88bQf0~hqZhCguQ#n~Y|{vsKFyzVqIMHw@*MvwTql%UEW zHVhmEb*ts;Li_-I{K;@vhj7|0Ggb74|U2_E3)t@@%1m&A~HK7P^ zz5fc<$mH};sc^11Hk*vUP;X~ol`)5fT|F$!34Z z-OyWlpx6xriW!^AAW4?+Tfq`B^iNGm#^0bj5TKU%!DW}?!J#=s399L4YEkGnTqZyz zb6nV^FmSj`VC^wKqU=&!ctxm<{G~MfwhXU;@6|1$y7C;csq6HWi9*7vjG1Vy7~MId zR~6tCg@p}O>7igZ5DI2&DubNTKkv8zAi%n8Z?^VY^;Vse09 zU!`xmlqQn#f7I&^kjfl7cJkTv~E^ zRGAZ086!(_dQ_PcR2kz6lGCHgoS@1WS(4ME%ABCe7*~s2Gj2fxdUsd0Aj~(8Sxa-$ zI;<57#FlgB*m91-$Nbf6J|d33SnWMXk=U#oLrvdxbI>_Qk>lW`-~?61OcM$#D+dCn z^Cc5FbYWwtsf?NVL-FQt8B9=R%>1E9GQXTjJrL}Gdvsf;AVgq#_h>d{u8Tp;Ak*i;626ZS1YD)TePIX&de34VLbA?F-LmHDMH>`(cUS>ljZ zbKrSnRyTO72cdJ6plUW#Ne@EjC_$AmGe!?W=O{syF*7EM4}MPRO$v~Mg4Cua>Exg= zv#QEW4n5?YqXbpPyy#E>IW*9epvssR9X-07qXbpPyy8%-I8;v{keo9Il5=_>nG^ha z%z@+_g@wcQ1gKz+8RztvF(;^c%v7N8Z@8WS70j{WoE{tI1XYij2Nd!R*Aw7@`RU)B z9s}kCRgakm6xt2f6X1dQx!;@~>*WMhkC_J)whh%2i0S6cG2NUV)8z!e9`h5tIsFM< zPEcjcOM)KL%>`n*j1BXY!nWb|1bASM>E`s9E+;78%sik7ZMdEQ56t1)9EER#56Mp_ z6IvjGRaDJpX6OOkTp*y!*wiaH_+f7YD}*_qo6`fjoZ$Or4(R6efG#JfGPt)GeA5HE zxj;adv8fC$0-^Q<0=hYKKsTp9s>=z=H)M&a9vu8|J(!@%n3F9Y`-dLU%~66XV_rA(h;A+r(PeCC zr5@4EQG#EOIij1Rh%OA{6Y`bYnJ;U-5_ou*)lD@cU-*NBc>49=*yPX!Jgdh02v#!j z4c#6pE3Xin?CGmaAk3R1Y$~I3Dey6gocY1t97S=R;+1kTp?x)xbCi>)WvUPv5+ZLP zzMC`0cXN7tmlISyX8KU5He64DKIS-XPLJbqf?tn0j+>((ZK$3=)Rr?1eV|8ebAhNW z;}o7Rlf|>d#!5wevN^h;Ya^aYX%8al0vV0?UeyNweB+&{0*OB){+P0o34HG&I(x1> zgN*6M096zI+lhG2FKkjjHjEdbf-CF+fsAa~mqoEnEze zb;)|FX$k&iV-P>WJX$J@($V5eH*}o{bbx>2yo2Y%6IC#;Ku`*uZ-^^9v2hYalhD%L2hYCaIiW3v1u^Ako80nzp+ryTP z+K{|0*+BN!BK^q4if?%HyJ5aV_&30?8dkKrQqlYZkX)a;Uf&otcWb3*!-m3AWsZ1_??`r1<9B02r!SQ* z;iGtXIA3}8Djp>_gipUG_(2(}wo20R$R{M{d{u1eelbN13+3|!#k1-I)-eeS^h(zp zMB~$!2B)#tO4zcvd_EH=xJpFb0Wp3UyK(x`ba7&|;2^ zxFccny%cQzkTf6pn4Z2wANed!;Cq<(=VaTudqEzB8>0q(;Jv^HD` zwyM3-DrJ%>bOVWdQmYP<7m{PtUi=Pn?a<`JNO^Q_nw~r@j9#{_3zc@X)0NatWjQ}{ zbz-Qmgx^%Hq!~s^=^OGiPjD9DUwiQX>6uw%J|4D#`|8Z}5Prh+Vu5PI#PL#j^zzW` z^jKkPa*XE$UnTg7vY+5bx+!4{d63asgq=2P=(PXmZG+_H}zPB=T3vt4br|kl^^|(jLRAo*Z zYE^w;$fNllp*awzwo^AGX7JC=!zG-1UWZ7taeNOM#@AqSq3W~_faDXCe@TP)B%Xwo z?k~{AKdq~DP$Q2Lrr?-7MaJZ*N?n{|^+m1>?D4z_;?_kE0X*aShLm~p@;F>Z~78hn87>oo6rGoHE8rx8+U?r$+}F+ zpF<6mJodm+q|BZ(j3(t5~SLjwHgUnvH{*282yU!ypCv5j;V)y5K zH9~gr3+(Lf%6Wz*hb%v6{W)3wB`?dBLYm9J7SsQU7XjQ4ctx~CH(DZdkF)-Q%>9mU ziO^h0GxT3#=nqI^HdIDSbU=M&fUr=RO+DUv0-CC_DB?zakEx;dRz=uWmBZ{|1vD0B zkED`g4_W_@-oB6BzS<|sLAZn>CS!Q4jwr-sO0nA=`58GN$!6f(G)x$UQiEv^xZx8nKe*!zw2 zuYh+Hc*Np@0qEDe7K)V&f6pIvGxT6oVvW{g!!d4PXf5 z=;ZXUctk-mE22I~cac7gRmLHC07B0=|q^U9K3EB(R%LVNN z>lK2!!Fr{j9otO~<*yZV46N4)Isw+}1)T!x4T8>q^*4gf zg7rp0gJ8W$&@fnU7Bm9ZTLhg0>#c&$gY`B+V_>~q&;(fT5HtnWI|WUH^)5lPV7*(= zC9vKj=n7cx74!gDe=F!3Snm^b9jx~YdJwD+2zne?9~AU>us$T{A+SCy=!sx`M9`DK z`lz5MgY_{%PX+7m1U((Bj|+MxSf3E|Y_L8l=(%A1y`blV^(jFw1nbj+UJTa5f?f*N zX9T?*tj`L1C0PF;=v82SPS9(>`n;gmf%OGJZvg9yg5C($mjt~TtS<|CD_CC<^meem zD(IbHeNE82!TP$O_k#5eLGJ_Wn}R+7*0%(G2&``l`UqIx5%e*z{!!4!!TPSCPlEMN zf<6V-_XIr*);|mSELh(c^f|EpMbH<(`hlP?f%UI~z5><{1$_;ye-rc#uzn=yTVVZI z(09Q4iJQB8AA$7?K|cZOKLq_0tX~THIat3E z^b4?lE$EkE{imQ`gY_FhzX9w22>LBpzZLX*uzn}#4`BUX(4WBiFGkfCSbq={0qc)~ zqG0_=P#moP7DQ2fLDgW}f&lD@pfuQypbXeiLG@tA1T6(SE@&Cp2|+8sP71mi>?%R4 z!LAmx2JDoe+rS1vYr(D&)ChK3(0Z_I1#JL3Bj`@B>jZ5AyI#;1u$KsG279TXEZ8>* z%7ML1&^EA_3)&9$3PE>+y;9IFux}Q0FW9RD-4FI^L2Y2)BB%rGHG+17eXF3oVBaQa zAJ`3oy1`y6s0ZxZ1sw#tQBWV)>jVveyfm{&=CI^WeZ`)*EP5G>qO4v@N2EzS4qCBqhCxd{v_N1uC`;(u%`GKw@o;9;(f8k)?08ND5`NQ1+bY=c5%vH z-!4ws>)pjEd;PmOWv_=9r|k9d;*`B!UYxSm&yO_F2lD;<7s+2zT_k@=b&>of)kX4` zR2Ru#Qe7l}Np+F@B~`CqP3u+%{q3?X-?P8a)-}*CJipDvbJkNTrfpeF9mmy=Bfw(o zhKjr(1r%@STxWZG(4cAG<(g&oNv)=}l9hJr)!xuQ*#Ik@azp=m4oe4mop(e3`a1V? z_4YhM57S?fA4x@Bq}DG$xrtP-lK)%p+cz5s;%CujJT7RL-ySOl2KKVMl6YGUuV0wubG+WvVQq6ZFYvBAjQKX8jE>JbfMBZ$)jRflQv-qUOA(>s|JGcPJ*Z zdy3sXh5i##^#Xm)YXkPX$4t|N_3V)*4*3F$h^N@w)9n#sfqMJfdpZi;G?#8T9X)fE ztJ(#6uzw&waJWA}o&~C==^fzC0_-KtFm)D~;H2y`Y=P;BU3f6xzF(Sc)|Fczz~Zyl z!;4d1;O1$*8w=b#%~xLF<|(L5_X7*uJn5>7(a7s+2zT_k@= zb%B2E?#*`;26_vJ`#TEbykeV{G*o!RCC%4acuDiM)RJae z_eqJC{hl^%1iNLr&eQY)SFolnfVBHDAi3auA}bAO_a3IDtf#lYdjbFQW&RC&?_gJZ zA=`euz1s_xnXV*Ar!9{|#ZhQBWs3E&nrgs}4B+P! zMwJcp4&=M(wn*q>T4|`Viduk-ror#)94O#59bP9@>NaiqG?al|)->XtN`;Z8p74|w zB;-KXfzG}{cjq2#X{UFaY`V*zXC=;=HveRd-Sr0R|5 zWE+NkgO_6_e@JJbclYjo>Qm*9sTW9d_u=mDXwh((+;*k5HpG$LjIP82FuKH8DOM?2`f6+fGw z!367o)kB%Lg4HXi0jz_9ZU^g-pmkvN3AzKUenA_-8W40BSce7Sr!9h2@KfYq9kGs5 z75FwYKLRALVim^lX(N1h5Fb4`W*w(|e3+9T?D6vXBcq~l!a7L`_(WnIJ^AA)@Hefn z_$li&<>HHNwSioG$`|v_SOv=46|UO*njGezwThH~pZB1RXBi&^8=f35mM2hS&>A9* zwy<;jQICn)5mXqqN~F*U)`+xo4_Kpuy1+Uo9kU;-vY-QCofp&#)&)U_z#5Yh{a}p? zItY)EN(tr2Te|h?E6aCjj|7GdFo%G*U`foegTh>^_7d%JiCK~BuQQqLwgMIY%O?o%a zPfMic&<}jnD0L}??=&n?<$OX=Av&Li3;BtO)C1M2$54TcD!>FG?HXU3Qxd7`)u}%t z)wEJYwvb}VS426HdR%qtFG#Iksd>Z+x$UJTQV&(9{uik)RqBdIRG`kctx^-ICsn8Z zii%Vk=@W}es7CsUiPTf8Q%?(fK2f?%x-^c`B|GU!s8}Pt`H1s3wT4C$)%j7(3C&>>+pq##e7Ps=PRjIe6-iC9D zsv5$_$F~LM+zkqbYil1X&dltD)H_n|tVUb!vaPYo!?zvyK2ha~`LJ~3{^-K4sgw#= zx3e-BY!2*v>OIvs()b)Q`29lLLL&7(d}^?9XcFID=fbZ%zbxq)txIJnk-|rlS5+FO_Z8FTv(;8K^$$44jkI3k)6s!fh7C_gW0}vVzJQNH zr@n|Ch_^UR{3t>q^<`{;thAhfbCfi{no4~o^)*7n_%wOy8${G+R$Kd0-@+GYy6{lK zKyBRb`T(ZBlln*MpYNi1e}H7$Po(e>#eCDl0H6-Y#+tB5-_Z2IZ~dUo*irQN~C_A`bo95CWQ|pH&y!E ztXyfKT%X`-@H1Ks@EPT$ehY*CCsMx%y|?k`&@;?-nkT=kPW?yfSGdNgEPp+tiyVA_ z7FW&xtg@D*enZ+w+9j#q(u<6NH;VlNv;a~ee5*aU2(C>1p1SqFuv^<8^+&R?BK0S# zHaI=0V1gbZtMz;1z~u1U7_P^Fk2TjR@4Qs<3u09eg*c>UQ&}VnBx~bPl}TkC%d!&m zA6l!I_dWYd)8*n=`2pVS0iRV~TbWR0oJbc+P2I)u!QtY8(rj@Azl%FLeKi3YWFLMs zHjrjp4{Zpn#a>ZVI5#=G7vH-aE6p@^PflK#o7x#r^Oo#DN~qufzJ9**WFWV9@I20N zT-D||*&NY7CqO+csfIdOijBsHrRf4xn!0;#Vu)6SX6&LoEU&k0z(=KPB=g{O z318&EKD`+`+AH9tagVO9f>m$}KI2~=mtABG-xORQY_h>OFA3mQxUCw?H!Ny{qXj(y zx8ntrKj7hoDgow;H&Bs6O}Tm7=pwg`(v>M>$araD7Wd+|;!H_s zhTVJzY^X+-ZA4#ik>!m|db=_<-fjnX!KUieKf`9Qx7yo?kodlOrlKa@lmNV_iOcxg z)(%70J^~X|Z@ZAIw$H&<&$Hs(3|@`W`xuof)dem#q!qSTr#=ICHvxW!)0&b1T=|a4 z1iFVVO^aZc#r^xMVOQ!ykh+@MO08)}rgdaeTk8?8fYf7C+bMM~rgmjg+v_cdQvW=) zlTr_0YELG$v)+nQ>Jw7;QfeQj_GeP})>|=3{mWFIQjcQlu}msoZ^bF~DX9)hJ%y>K zGpUYxD}kxjDXFbU7r5x*n0PphU5N~tobI!&gN7COe@X|rzk?AN#ho1RDaeabA3g8YuG4SHQfUmPB;Hh{QgP<^88mA9H06ZP9li+Fa46t7%#h!)By-)4A z+45M^5nS?z6Yw0oZMZ}b;CZtv)} z5B3|yhra>)ZGzrJx!i|u0sGyOh8N;7KK&5j9a0fqQ@Z^<->0(b+&gqyAzyl_#|2V zdtCes3JLf$#JL|HhR=Zg_hJyQFKhfEyNq9sC;@z)oO>9)0QSS8^Chr9FX$`O4sU>G z9su|njqk(ob$XY&>(S$?H(&06Z&DY03+yjR_1^*etAf4@_BRCKbNUCQ=e`g2KS~;2 zPF5N55-fwaI6dQuf2$Oqwr(wGUYz=lG1s*{r4*P3H%i7?}=%=7UXZn6UFHR z5&*vt%l~0peZdb^{QutXya{^;{E9l^*XW^P*Ga%{(4ouI#i_p1NPc>{h`aEv4F*O3 z(gW|S=+*=;KAOhoX6ah1=_r<-Dh+dK+=PA$zoRRJ-`iHMvRt>}{M+em?g111rHg?Z zJMkE=X#P-*efCH6nAX-&a-#KHcN zpd{Eo6I2cMF9iYE|0yU9_HPAc!2YA4dWcwpmO>;ZXcvlNX>ePG)US8h%^ei6Yrb`uR_fxUIS`2L*x$0z>AD1 z9&IoJH7$~b_nBvS7p}=wW1Oc5kvm1R6(UW7c0eR6XeXAY&yqpSJyQB!h{)AT&HWJB zE=p|>*(s<4BKHc~4UxQ{y%6aTv=1VC1a)KJPukK0k#0#l2$4gAaJNp56!DF5k5vK#MiwHIopT6cZOA zGA(EZB6EV~AaX?zM!;6k21d5z1lypot77Z{h{&Zx%{7Qzmx9+J^5=pcgvjFsJq{v& zDd_PKd9t8~a53_i9Dad5QG@S<4f2Im4F}m%87YCche zduXKQ@9~aSocJ_2aY3H}r%KR2fRh&Vd2qaiAEy-j2?wwXx>g7?^@xHQxbeo#=cQ9B*m(9ypsN?fc*~3;F>#uAm=+@hk zege+@lKWF|+6Da_oV|j60nUCwzXa!?pkIU2FX%Vm924|ga83&PJve6t{Q;aIL4N}0 z9HX=a&ILgcaHa%B!I=>h2S+AcIth-2~ioYzY3dT?a+q&I-` z7D>AkoVN?w1kSqzZ2{+ff||kkpr9-`9}|=V=M#dqf%7Rr+rjyapu55OoSGezL_3`sO+2RVSM2=`BK~u z(<2a-iI6@AQQ1M$=OHRPXnG8ytHsI$L~j)|1yPv?>1l`x+tafU-5|M_AbOXeD-ad7 zryqc*uswYZqQds{b%^d1y$2yGY)?N9qQds{;~^?+Pd@}vVSDch^ zhv);6_DYC8R?w>;`Zz(af#?$iy$+&J67&X$K1I+QA?mUH%@Fn2{#J;-P;%c6(U%B% zCq!Q<=-m)~wV?Mx^bLaE2hleP`T#`VD(FKHeTSfrK=i$WJ_gbE3;H-jKO*Rp5dAwr zpMt2z?1v%hF&kgVJCZt__KGyVkb6PWz68-POWChL)Z_TqAo^`d`vyd1*GPX0 zqCb$d??6;`jr4aR>hbw|5cT-{eTe>2^nL)*{}l8?2{Q-pd;CAo?S$y>(m%pGqx6p< z`dd-QaPU%-ZX*4&>h#ZX{f+)n6fwe^!fX8|y57gjghcw65Q|9GuOSu_gxBDqo8hVQ zP$KFlL&CVts<{gxFC*n;>>V&=!al1T{l!P*4_PGKXq& z5R*AnyA5KKlDi#ZGKXsKhS+6E+XXS1L$&upOy*GS{ScE~q_z!WvWwJqKumU#+T9S7 zU8Hs|#GWixFe?2tLER9Oc~#p3F_~Aj2O;)+$?b#Kiv$foOm>mlBM_5ar1luZWEZJD z0kPML-YJO5T&q2Ue>j)^I}5QlOYR`Vb&=|yI z{?$%EOy*zh6vRF$xziB)w4hmteOAyVh<#qr6^MOF&;t;YSyy`vV&9Uq>kyM!SNkBu zzAtHygV?_cdOXB_B*5CLR=h-4K_(qxQWJ_jvz4 zhvy$ww6gl{Bxq0f%q2%)kFL%f|f%3 zn}U`>{5yhHK>VKt-3)Qr%rdJXE}L0q4a9#Uxwk?5XM)y3{1<{6A^s~t>mmLdK^q|c zJ3)6s{11XQLHxf3ZGl8YP%|WCT4l13kZG04X}c1B3owz{77ic=&V$+siF9Urb*445 z0}?VHGZ=bMp~Qe{B6Cl5=3d+-6ZKO4{g9B^nrVZC%+^c?Bvwi8Zb+;Vv=Z7U4g^}K@UJeW^LvgBxGV`u0uj5R^~xS$i&J#4iYl4GLMIZOsvd9 zkdTR$d7>UZ-(4OXYfNOGgqJhn_$6mh05kLCs?1+yo&pJ(k(sAK;vsPfqw@J=Z8hdT z3le`RY0rU#Y?7Jh;j?qn#1}w9w#&?mAR*gj<|UALj+A&AB%Uwm6_AkaGV|AvkeQo# zH6&!_W?l;knYo$QLqcY5=5HYJMk)IyNW4YRTOjdvL2rY^y9B)h67LoCE=asz(0d^9 zAwho&iH{0;KP0?4{6R>3TGBoYiO&l9C?sTS%wS-Fo=H#_fPrHKa29+y^9h;-pM-?$ zpBa3(qjIqr&`o3>uFiahroq>xhJS#Bw+?(B60*H!z6c4~UNg9oD>K3Gjwdo-g@m_4 zd>s_!~%lLNb4gnZK*Is;O!l|75#4@MqOWd;|xVw%EnF;uw~*vmVA@+x0O1+PH$U z4*ufbiv{Dajf*I!MHHk#N&{<0&kuP+_l1StWosT3E`9jAciA288 znMN!Th|p<8B9Sk2NRde73!OG368S=B2Z=$sL@`a8s5s7@E zBS%CcU+AO}k;oT1CPXCig$@8=i9m#o`4EYGp#wZbB46mNWRb`hIuThU@`cVf7KwbJ zlZ!mSdqvVI_^~@@`Vm{6^VSI zBU(iwU+833k;oT1R#hbOg-%lyiF~1>P(>nN=mb=e5I8M#xM@NbJ47g>7oLm=W%R?7 z5uuEpcrqfC(HBofgfe>L$%s%!e>@ox%IJ|NBSIN{@?=CPqgS5H{?TGAG9jmhmSaz5 z|7bz>WcH7iWKU-QXi@fL_K%ijPiFsUVfJM9kCtXnX8&k$_GI>tmS<09|7cwnnUK>$ zOSC7mf3!$@GW$o%v?sHFv`~98`$tQ)C$oRFSbH-2N6WP*vwyT;doue+OSUJof3#MM zOvq`WW!sb4KU%mwnf;@s+mqQpTD(1({iEgEli5F7z&)A$qb1yv**{vuJ(>NZW!#h5 zKU%*L1G?#b*QE$E)i{?U@|$?P93>YmL0(X#Hz>>n-cp3MHy z((cLZAFb&k6LMN;dG}=Yj}~}OX8&l3_hj~u7I{x*|7e-_WcH61dQWEmXsP#P_Ky~O zPiFsUx%XuDkJfpS2{|paxgq#-I20WSlqm96m*+1F}JemEY&A^k{KiUpFnf;>;!IRlP z+7djO{i996li5Go7Cf2#qy0c+LQV^94W7*Y(dOXE>>q6pp3MHy2I0xL0P z;mPbDZ4;i%{?SI^$?P9(6`sug(HNS+##KLRtAo2xa9TA(WMWgiu!g5kgt{M+jx*A0d>Le}qt0{t-f1`A5jf zs{Mlz%E~`NC@cR6p{)EPgtGFF5X#CwLMSW$2%)U}BZRW@j}XerKSEAc?H`O#R{jw} zS@}l@W#u0sl$C#kP*(mCLRtAo2xa9TA(WMWgiu!g5puF>|6qi&@{bV8%0EIVEB^?g zto$Q{vht4*%E~`NC@cR6p{)EPgtGFFkdsyW2P2e~e}qt0{t-f1`9}z4Le}tT@+CLbfto$Q{vht4*%E~`NC@cR6p{)EPgtGFF5X!3m z2%)U}BZRW@kC2m9`v)VGm4Ad#R{jw}S@}l@W#u0sl$C#kP*(mCLRtAo2xa9TA(WMW zgq*C}KNz8`{3C?2@{bV8%0EIVEB^?gto$Q{vht4*%E~`NC@cR6p{)EPObB;T(y5N!hF?#ynncA|6qjq zs{eTZaMk|72=i6{@&4he{euzatN!Et!&UnSBga+y2P2eK|MC9es{Mlz=BxhW{liuJ z2P4c^{m1)Po=gtGFF?>};C|6qjq%0IsU$f^B<5#}rZ`2HiO z_76swul(ctkDS^+7&$q$e=tH>`N#JkIkkTOn z{euzatN!ErkDS^+7&$q$e=tH>^&j7Vg&#Iex{5$$K*PB<3ssc>l<${euzaEB|=^;3L?*^4OD@ zul(cvgHKHN^06l|U-`%TM^5b@j2u4ET=Y49#V3?|GW)0Y4?c%{N#ih{oGNcdm*oBD zM%!xL5cpH4_oA}@{&ZdHR(d-;*_Oc{;jCnhFm`3n%Jn89}p zW@l#cbt|!nNwxi0W3g*u41J;Zpz*C6PC@sr1}kDk@n76Z;=w%i^eH9$qkTPnHHm-m zn@RkOUrgd({9Y3O;@6V+7r&Lnzxbsj{>ASk@h^T7iGT6aNBoOlIO1RYmJ$ErcZ>KJ zzfZ)!_!T1l#g7f~FMeu>fAK>@{EMF%;$Qs85dY#QhWHmhFvP$3c_IGAj|=fHep-ls z@xwy=i=P$ZUp&6gvX{OWam1RzcFtOJh}-CVKK{ic_4pT0!sA~&y^eqJxHLU*-M2+WUQt z_xoD!_jUi5xATB=qGy&oA(r!@NjY_*oX*VnF7Ny;)vo(mqt$M@suxX`d+VQ>A^Tw9l3Hh0?xM z+JBYymD2vFw66m#eq0qk9^uX)f!3z9cBOSFty5`TO6yiykJ3gcZ8D|xDs80FMk#G_ zrHxivpVG!CZ3?ALskEt-Hnq~GQQEXhn@(ww(xzA13`(0(X)`HpW~I%dv{{ulo6=@i z+8j!oQ)zQ4ZEmH_qqKRIHlNbQDs7z7=2zMRN?TBA3n^`3r7fbgMU}Rg(iX?9{ZqK> zRS9cJi!Id-*jpdKI~6V|E3ngA&0?t+7n^9USwH`G7H9sAo2~U@Q(7CwC0d&%T3aVt z+t-ha&7WxP+%o@05ZF`gg#UUi)>oDkZfjY$^_^6^AOPj!@ZY+rcEf+Og0s_-*V@I} z6{22Cc563lcX*G0*`WHWhsBa=5A>Z@YblElpn8QB>Rk%eTLkrpsvm{w zFM@(HRN37($Ou$325K;c8X|%kDi1Z>2vkc3Y6OLPUj#K$9%__%sL>Q^j0g&9f@OE# zhvuQiQ>Y0dsEP7WlgvYXOra)=pgxg@`qT(iD`rhirBKsEP*79-Kiz#S)MpfGh6oDE zyJeva?LHQ27KNHEf`UqOS*UqNc3*2|_syqJ3q(*0<)Idvhx(jCeIbHcA`i9H2vmC} zQ(sZ2Wg;l}D>>QSx55Zi2L@^-h5AMW1%H7MMyS;kYK;gA{un|QYQ6bPZJE`quy4|T)H?(4y1>JJKaQv`KO9_mjc zP(2x_I~3}!2nznHQ}&c_-w0G62I_AL^*{vmk35v2>nRrMA%%J*f_fZQs3#QasR-(s zJk$&GyYD51`d0+?Dy&fdQK;7fD0~k_$%SsSna-5W9)z+vL{M;-1X(Dz5vab}qtE7{ zP!S@iWYSPpLq{JA<)u)OA}F{8gk+|yh88*tm7GFFi=ce+P=-D@uuw4+DuoCtr8JbW zh0a2yqEM+tP-�jP1Vu%tB8~q0)(Y9gsEpE3w#-IO32dgaP^hdTsBF?u zq1b)dDO3&-6x^}pe|kz_GnI=%#YIqW@x!*EH)J+bB`H)X5magUOd0xin1w1sq2fhQW#yp^ zeLKuTm7`GQMNk#wp$zT5!OTLhNTDi;pelzIstSdwDuROhW67S;Ly)QJ6sm>@3U1XU z31zEoC{$AsR5NKPTMHwZ8qOSj zEh$th5makwC}Wvoq1sTWwj!u@VTEc>p*o15I!Z&?I-B2pT_{vn5mYy6s8H;_?i8wr z2&$(vR46j_4u$F^f_hgT>OCX7Zv=Dn^`TIGMNs{up^WW57OFpm8X$ri7*?o36l$;t zYKSzHvG+bUQ$s1#FcH*nc_>3`>V0NS(V9G)s3s3TkVEn+!PuH&p+2CQ8YRlq=&(YK zp-^K*P~)VbjIAj)Qy)^O@gk@R@=zZcIZusd*3={l^|1(Qa#*20p-@vqP@l>}O*4|I zF-)eWQ>f2GP&2{`HIqWk5<$(Dhnj07Q)8J-&7)BBMNkXE3bl|zEfPU3mWTSnNT$Xy znOZ`jz7#<%4J*`F6l$3W>T7u@L!Xd8WHLpo7j2^IMf}Jo$@e~62%u>7qD@r2h@UP4HVdJ#XVOcu&e_2NV(Q~T)d+b`OE2f_+Nd`p(Fj`iRNY5ejuw1a&N| zP~TH1zX7bx{O$NgnEFBbl1aWa<|R^{WU9oB(y{*;HhVYp=pqOe$uMs?z7vC zKuy!`KD&cLIYm$|X((e~?z2#C3gr<&MMy*0z2-9&Nui=dP|4+?d`6(AGrN!0|v zdHAWkvTG`}d8jlrQ)xw+N+%DM-aJ$W3YAd=l}R2dixH^LnBA9^LS+*{We+P<4hof1 z1eHr3Dvyy&&0sP`E6wbpN;CX)XxW7x0;o8esr;f$6_AFq8v58ilgU&;3ROr1RXD6r zMJQBJ5mYg0D0>MbYibsgDOzb}7gd_!CyC3hsSrTXN;A8t(hNV;To$Ulkxb2IGF5@@ zzKWvVS1GJel_^ve5mZ%qsOm;CHHXPm4GL9L1XU}nP_-#k9T8Mrd8qnEGBuaUR09gt zPy_|fqLf_dRzrVu$U-%yP)$ToO{Jmi&5dMg9+RmS6sn~Ns#RE_T2rVtBB-|VQ0*MQ#~kDPZ1P6xmEJ$vxfkx7lnFP1O*R~ zm4)hKBvVV7O!cKu{X|gx!wNNkLJbr_4U&f%VkA>vF`1(EQ+84PlwH1l${qqJT0dnM z)lb>w>!<97?rFA+$<%1N`^JcN-&pzG7Xqkp6zW3}6g)Xu^60Y}Dpgv+WNHG1nka&T zhd753iq=orMfFp5`T8ki-{h@iGDYjB?4tT9`&-mc87gRIp{CN^H%+wrrc3WWW8dVl zP@hq#86v2e@=%7p$@_-ceX}UkY!TEPd8pJz&gd-ETnaT$1T|kC%Fs7?EYt!DwNM1L zNE*s!sHkfdv-=iPsLw@E@E~~E^Hd0+Xr-B5RB2{^i%K&?^;4^vOwmd+yQtF4{=cp? zW1*JQ-M2!t`<tKKm*oN8cJIQ?$~|E~+%M%U7C(0%{%2)Ot~-Hb`g6W~lgdEt9E@ z6l#+QYI9hjwos_8BB%suDEl@eYib>nsqGYMhX`tCSfO@NsNEu{J@QcdjAUv(ld1g_ z>VOF9U|69JQK-WrsBfjAjQusp1}0PAQK%y#sH0(pI!2+s7eV>up$y&EWh0ZR;}q%# z5!8=ig*ri@PKuyT$wM7Ca`bItGIg3loe@Eu4J#C_$+L@U^6c_8c~(QE-v7V>-os=nJw5s|h>pID@<(3?pfXXY%p#~P z(on{Jo7u}`idMurL=~})x2T9URQk_H||v?A6as)%*SSHwC(07WZe9ioa@hkQk> z!%#)+AtqC_BGw_Qh;_(U#D)TjR>V3)6|oNaidcuCirB+Urf5a1LsSv#kgtdh1r)7_ zb%-ir9r6{ij^;+rQ{OU~q7|_YQAMmnz9KdhP_5~Cs*UJ8)mHjEW$kMuQ{OR}YDb~k zi=aA$6{;hJ>Lh~dEDvSqiL6JMOm(49T}4pc!U{$2z333#d(rV0_g*w~zl5Vqrs%yF z9in?LI^N>miy?sOO?TgWqTSa=diOc{89DlnF`4R5p$3Sc28I=i-h0s@y7!_({@#m@ zVMa3bJ(H>7G*cr)nR;J7Qz3vFNufRvL5-4!8e=3=ekN06DbzR-)Q4e(8c(4nh@d9Q zLm7Ht$#EuAA5*BwBB)Qo3N?j7eJX;QDi1Z?$eQ|r$rP=Kb%-ir9r6{iju1f6idcuJ zBGw^a5$iDYJmeplOwFgeZ-Hp{EtKDVA%I#$p%#mvK9`4DVq{I7U^4Y3g<2|t`YNnY z%P7>>BBLin?Zz$9%5!C9iLam`tYei7&q@iqvZeVnZ$<%rZwLt{6F|1IV zDAZ;V)D~$dM}mYxbfkUZ44MlyAl$rP>gbcpIa9rAUaju1f6I!}kF&eI`Z=jkw1z4#N8DSDejhv+tk z4*A<0I!+qdedidcQ*=$87Okl>@@vY_Z4S>fP_(4dAu6eK$d^<)E*Qzw1qSLO&D14P zrY_58%FrDJFEUW{Hir(;Z4Mpsw>flNHIk`I4Ak#5Q`bb9x-Oro5J1t}96Cg|IdsV1 z=FoB5NTx0`nWBY)4pE_?L%vWj6i~EK&><=mbi75OV0$B(`kBep-*inq5Ur_yq}P-^ z1W*qt)FTnpV`(U3cPsgo$=eo&f^tejIo(Dw^&68ZS}5og6$(1#3k5>~MGFO;qC!FETNDZ!y7TEZ zCR4Of&?zbubiPHQprJZX7Al6WsT86$l~Q_587qHdp=hC?Q&cGEe2YRsLw7#C&g?!~ zDCiUw3Oe7SP|(o*5?Cl&DCiUw3OeNr1&!6@u~4*7&?zbubiPHQprQLE++cPeEfjQ$ z3I&~SQ7CAr_>_gBg@R5|p`i1BT`0&x(LzC|s8G-;UnuB|HS#v|2ebR)=y@u?=sZ+GGT>^r%+`@Q03&I3|*t&W-?WtLRAn!RSYXsB??tp1XV>E%30OOdFoFlQ`IO` zbrDnzX((fV_H>7Vs!5@0iJ)qS6{-$}sw;x3Ck6)ma49 zMINe~kxboZGDWKwoucYRr+oFIGXzkydeJGWUUbS=FFM~dlBvI$O!c9=uditL^^@Oy zA%LRQi%wDXqEo(l(K*;irXDbv8bUKQRFtV<@|g+&6s=x#imDf#^3{vR{^a)`CR4O} z(J884bjnvRh60M-`P3=8^Qlw*&Zoxyy7nQHDSGEqr|8b7&bPSpsiCieSSWhuQ>W<8 zr%w4hpBnq?+DA;L=$%iUqC1~D-{Q`vhQ4)Sp+2Ffgejs^!l%-w1Y_TMK4vmCl|oGu zK}`=U6s_}git0R_@^zlZzFvI7WQx{#Iz@G!PWd{|P(aP4yKkOo_sy5yeRe~CUHg>D z)B*~%Pz1FotWfmMr%utGPo462J~ej#sb@^4=$%iUqC1~DW<8r_Q&y z^J!)y*Hh1#Of9EtYK3S`t(0C<#%_wmLeYv?r>G*SC|aiL6qV^Z<;!#p{o-J?GMS=fx=vA< zu2a5DHxy8Z>F)bhwEMo3-hEahzc^TJOs0-dsG}mNV_}8*oMy2a_pUJM0wI4m;&*heH8%foAHWC{vf@Gi9W9 z*y?05MQewhqS|4neC@C^1W>=xOkEXa>UZf(8M^Ycx|mE|qfpmHP&dK~^#_HzDT2Bs z4Q1%7Agi0n)NKm&rwHm!SfS{1+nu84wmapY+ivJ%yVb*F>ORfX-=a)Ckk6En?-G(g z(c7^)MYm&h%HNLF&=;}R2qsgHX{MftGWAqCQ=x!*MxmaIpk7Er8Tx+8nvBU5t+IBC zs;r&zRo0<^`j2MnwIEY2i*%-3HX~OFUTvmaw948gs3M?O=@ zjASa3$rP=!c8RL2UGi1dt`I=cDr=Xh%GxDgW$j8~BvVmLrc%<~mrAtzQp@kY5J07& zP-#U_>Exjdz0D+NGL?ZsWfVbW3M*7*3YA3!l~o=pyOA{&&18z!4!cCP!!G&SVOI#C zXzj2|R6FdFuN`(7sU5cZm`u^yVV9_O*d<>(9118}JM0qG4!h)Qhh0UDtf?3#Q^n{) zFD_c>CFB=+2%t(*s8S-R((+L8MlzLx$y8YiRZav|KCDm`C{#reR3&LB>tZ9BO37r3 z-elAzy2+?Z{wAZLfTCr(E>W4TOTJ9kYUKV?)>KTUXqm1{RHo~aFVhVL6fM(riOO_c z@@2ZN21eFYY9><+=|XQLTIh|X7kVh5noy{wBB*B4P=|#B`XUxFP@O4M7ZFrf zX(&U#AzRZkP~9k0cM(*NutN2uQ16JKdPzgMdK)=;W@a+=9);>7g6bPqsD2cxzX)o8 zG?cMlMzb)P8c3lAiJ%6D6>12D8Y+SsCJklmJI}04riN3f5hAGf!wNN$LVX~D8YK;7 z=>AjIY)qy`Q>ZZ_sIg&%q6N(^Q9-jyzM$DP(a3o!2a~CfXr?BKGWD@^ra}QVnL>Rc zf|?=^HPuL_@-Ug2MxmyQpgs#L)C>wWQv@|j8p=@plr=Atso4~2jtFXQSfS=osQDtO z1=3KiMMl4pM|mg%}gWx6i;GF{g)Bbkb2GW9jxeal6=Z-w;k zGjyvbD+{%fLVY8GS|ttTGExz1jbk!JD`H)uiddI?MQkXbXhp0`R1xcvuZT7Dv?ptR zCR4N`)+MTlb;(!6h5{;quBk-Pn%XA6rgj)P`U)_a+DV~yiJ*3e6>1NK+AD(ECl9sX zNTv!hnWDv~E>ZEROTPHj6#^()eCiSvpSt9WPhCfiWU3I8DSD4sm*^g`F8O=Jh63t1 zT~j}Z*3^&EYszM*SfVhKsS_0HqzLL%SfNf+s52s{v(iwmb4J!w5hhdTDbxiK)Wxtu zU7}EzMNmJ>L;Y$bQ$?9fU7=9FiJ-2A6^h=t)+M@etxNvKwe~zlGF6Pp6uoh+OLXH} zm;8-uLjiS*?!Mci-S?;T?z8VRlBwcMrtVOvyCSH2VTJmOLfscZ{Vfe;>^sjAOr{=C zsDDII55o%eh(bLUK|PU&GV~`ZC7Db;rBKgAP|w2(^@2jZ6hZwf4Q1@NnNm!qUQww3 zL{P883FWo~q1;vhl-u?{hAOMgl$#cxx<$pOZu#OJ}BBy5);c-O)zgW>_d6T~jflHI+hoO&R;nvpkb2T72pj6`#80i%&xVMT<|} zqT*AxeDSGmu94kWfyor2yDzg@GzW zp$dzjii8!aD1|B}f+{W#RnkbNsxq0PH?DPyZd~h@zj3WQ1W@$GwQkXkYu)lUu60*1 zlBsG;rYh3iS4p({D$DP_5I|L-P*p`x)uf>e-J#i9oyk;n3ROb{RWqzmwJ20=5mX&% zD3_sM+iNhHs!O5jiJ)@T~@)fbh?kvGV(TZ5Ns3O)aUlD6;q1R`2AFYUWiz;H>@)fb6 zfT9(#Zc#<7TfQRJR@=zQlg-owdh(noI(dF1eeyK+gAofgi9&rWf|@K1W$fr{z^o~H zi(j|s7Qb%!Tl|Isir(VaExN_8TmBZm#?I&snM~1J{JKTA_;vq}Z}Dqop=QxFHCwc% z=18w8V@DqgHJ3uo6G6?FhB9{au~76Dzi!bje%=4$Tl`uZF>8v}4!cFQ!*2Q7VPo%o zEEKIBc8h9<-SV}=ZbP-hjhRf*+F`e-cGxXnI~)oqT086()egJmYln?3^d?NER@0+z zjp*oG`#(PVSg3UrYP|?*gFKX>x`d`orZ!TjO(LkxVTIa4p|*;k5~QJw{W(E1CR2$N zYMTgZdsv}%P^g_Es9n-fhMpj1ZO&wBH-*|Gg4!EasC^V_zXD`ci%bD?mI8N``j0e?7p^4rf5O4TU5~O zmM>@y1r)uTu3L0BUAO$*bdCMtMLQ-_^lrLt(cN_2@^{m9UpKP*+A~nJpxG@dXm-mN zG=~C;7Bss>1@y1r#l4c8dy{-SP#^wrNJzR3|1= zw4m87Drk1g7c|=p)lYS1plCs}TU5~OmM>^F2Gxauq6N)vK|!3!vEi5h5rU_)te?T!ejB^7`*0wX&GX%rrHC2rxpH>&tmo1 zJoYy%d%m%3Jyr0QlSNfkvuq*k^He2ysHHPQec2gm(;4b$XQ&M`)FCr8;HRZAe}Xr6 z9+^Nn=Xk&t)H`78E1W8L%Ei^0*Pg62OOHV6{ogB@LEDrde#o~$0kg>pa zPbdBqv4Ju4gyHeDQ4VL1r!DmGsn+9Z2b0;Ab={h5Qj{GA`e29;Uc{T$S!dI_^XGkY z)4I_8!E9O=x@kQ;J(V@3ZdzP^*tEXx%=OHB<6w--pJ}^ivFwESz{96Od{_ooEIurQ2jl$U#BdM>4@j8!bI%vBWAWvZ z_RZ@n{?!um<^|$qp0D+meQH?ttNHA2E_<@N03mPy;AN29vm6e9z_OnhT=w>MblIB6%2oUOT*ti*EohZ0*1H1@Wxvj-uC>-F}xcvybp$V-_r1J z&jXI(!+_xvFnsuyhEF}uIEF6*hOfZz#akNw=Xw36VT6?!MmS=(Mp)m@Fv1xDA9u<5 zU9%1&JOM*57{W>JZPsB#WJDClFgjqE0t}aOT%mt*_AhE^)q8!g6G&K$ehIIe`_hrKma12^&)bRQ@PU$LAZ8_$Qi-;IGW(` z%Ui480|YEB(|D(?h&)oKEz5MSIG>#OGp;yJPCSDvE+8kK$rTrp6VKv`i^z#*bH&Bv z#B;df5_00XTyZHm@jR}$jGTBrS6o(3ynrh%FDG8e6<3rKFXDCu z5!L0yUvOuxDJNdS71x#%f5{csl@l-JitEdXzv7A;%88e8#f{~}UvtGx<;2Um;^uPV z6ob5}X>Ic%=>Nv+?mJ8iFb3wMNWKxE1oJRKFAeMmlGf2if71)4|Bz{Z-p z#HYC8b#mgpOhpXZ8q$cZm-#k=Ih7rEj+ za^g!|@jf~6Wv=*uocL$1_>i3V7q0kQIq|Pt@ew)k6|VT0ocK4c*e@r($`$`0C;pu) zJ|QQ*#ucBE6JO_w&&Y{yaK%5#iT~h=&&!E#a>WU90CtUGUIq_4j__>_;8CU#LPW+rJekCVTiLG35l$_Yc6-Ud7?Obt;oY=t?r<4;rx#HAv zVi#AOR!;2Zijkby!xd+c6Gw2xndHRDxZ*5wVlP*mO->xi73Yu>M{&iuZ5U#F@C_>T=@DTyae~aTcz)wwyRCS6o+4 zoQ*54FDK5<6*rU<=irJP%ZYPx#ZBeJxwzuya^l=vaZ5RI9DQ%+onEAAyHF3c78mJ=7@iu=fki*m*N zSmMi#{Q%qQ{})M!w||6PBC!mP<`oZsuDqGhw-f zZ@Jurd~CM>__Tb?mt>E~PiWWw?| z-}1Z(%OCib7fo3H$hW*~!tw;)@)r}9C;66FOjw@cTV6F`d75u|&4lF{zU2)QmS_2v zH%(an#J9X{!txy7@{S41^L)#DCM+-TE$^GKyvVnFV8Zee-}0de%gcPr$0jU)=372B zVfhQ+^0^7iU-_0VO;}#xTfQ=3`5WKzwF%3sT+3uu6PCa8E$t>OukkINCM>V>E!`$8 zZ}2T6Oj!QGxAdB@yvetWGGTd(Zy9aE@;2Wx#)Rdce9M$3Ebs6wQ=727%ePEx!tx&9 z5}C04i*K31gyns{WhN7rfAcM~n6P}nx6Ee3@*los4ilCS`Ifm%SU%!g<}qRUm~WZS zgyj>yWt<7ir+mu-CM=)vEen~je9pHlV#4wT-?Eqq%a?r15+*GFdzr9I!?)~h!ZI!2 zvX2SNbbQNxCM*%(a)1fT^nA-f#w{&%y}{evt50y*>LvHM*WR2y8F`pq(g$VK_hwe^ zm9G_N#W%>;ic4_C4Y}g%NyR0(;znF?&ZOc}TybNrICoNUX|A{lSDZJgxC~d^lq-%+ zDvsxhn{mbYlZwl7#m%|mf=R{YxZ)OG*uP00^Rv}kB&oP6SKP{5lp`*lR9uTIZsRS% z5x?-m)BV`K00oTyZCF1&+8ikou9J-OmKNyUMu)F(ZYyu)3d^^%GMPt;8+?!^^1NGc9I zWHzbzU9PxMQgPt9t4YPZx#A{C#ewIeCKbQOO<1#};vU>=_u-1)c)njxuDCB3yH(Pe z`*X$pxZ*ZR#RIwG{#@*KNyUTT6#FWB4tm?av9=Sv9g~U!&t_$^?W+uLbe%ZjE)rr( z3eQQ;DMj2Jo}q^y!Id?(b-jb|h#q(*Q*`VuOU>4?+q^vzJSXA~t+cq}4(;0nPi=Bo z(poao=i@|K?EhNQx-GV9ZtpwVw?{2+R^^FJDLfZ+r-Y|A;VECU|}g*_40iP6LOYqzc*_4lT zr-Y|R;VCQQDf=XN9)@hnm%3BJ^QG{V`SFx}6Fe_MHD!cNZ%X_GDm-N&JY~Ox2y4iu zjL@ADo>7ITEQ+V>pAg{**_1xrDd8zrc*?SP$^i)x(IJ~Mt?rcYoGUzK2|VS%gordD zn=-TRl<*`hJY{J-<)DO!Ore?5hrEO7bz)X>hJ}Yw?(z<8z0ErupQEjsN8Htd(Ie=E zp=R_*82v%g(e+^TD0(HR89fF@k4-wdK8zkmFa0#5$HV9eNk=z;(G%&lo@VqU82xe5 z(G6krWO{L@89fC?f0}f3BN#oEUd?GnPlwT;B^})uM$hohR316m-x5Kd8dxJX(K~0e zcOf*3H+z@b6TM$2dROl9u4cDwg9{5uc-F2=&KRR=QUmZQrrp)1GH6{NbSiQead9rHncu5S7Tg|LIL^K&vS?yt$(5Ff`uP(h~iqZ^Cj*_cG zH5q8>E2p1<;o$jxV&us9XcSF6N1xMc;F5@Q7&X%fuWJI3qDAhRpLJ ziqXuI0;Qnr_`oBZnG&TWLnok=nxUyss^C!Xt{{DCl$s3v2&L8xO@q>K>09}#>C;aN z(zoK!r$uSWJd;sc%{=K)x*!rcZIFZ_gvih-2x*3!FjwN5edL5gfB8=+W>vS}!?qwK*fk$p@y zF9*s&hOS3BG(&Tuoa#{R^f8tX^+6|TNBTPJ58N08&4qH2**BwHn%Q$BcuRpdjL1N8 z1L;c8n#Wka(sxfx@HQYjI}gf3+_$4V8uz>?Z@@jUvthNv0hABrBSZI~e43%L2tYB2 z4c@$opDq-VP5S_5IKrpC8e8MCP>G(N|mG$xJSIGd>tR0y_FK zfL-vN`VcH>*wO+h@Yee+(!Tc=Lbzn~HtjFPBi5{y88OQBL^^c7S}GrBY?on*8&zn!J> zTLzUO&R0SSmXs;(JY1Jwu&P4d=Q6V)W6qft%G=vt_jc68ueuYGIm!Etu(Hv&s+av=WV;QTz zd8;*xu8*&S{=nhPz%=>;SHcn`mx1+BeX?b#QGLypH9!plTZUJU)-%SkQr~oSaLM=a zrG!zzH=HVDJvBrP$wX;UL(N2uP$N1KT~CcsV=@$>#+so`P!nyo>Aq=-nv&5OP*ct5 zW~do6TC)*-eI@m`fnKsVN6m?CCe&PG+XA&9w(uF2d0*=5YpL%!Hkj;|s3q~tf?8@k zTcK9L9I&1-mT~%~2bnDzqd$;da`#QLSAdFK`n5)_2~{@KT0_+awP6w%9NiYRC8Kko zwwlrHP&@7Dz@ebMRO|06ua8KN%J!%|G0%nCYs@>K4&0>o_jT3xgo}ZwJenN!kFiYD zAFVwWVdQxIk%_@XbVMBqYd+Lb!`caTdNUE)^BB0#(09kjCD|#RQD@>FhdOKAyCC>9 zo8*c(s$fvl6?G+=!lZ#eRchEc9 zq|uX2FVu^SE{A$)M!$>R)s7~sKdNGIhTf<*(NspgHJbO(dv9nWwMWcAUpxIx&~EHN z(fN!XT76I-LQxg<(NOe7eF+6Qp9O*Yp?+j&b<|HYv_I;v4%O`Gfiae^^+6}GBLxT6 z#sqI0x>p7ucz1$}nwn^U24Nr?sLdJOD}&G=GP*Vzq!~RJ4c3lMvR8(nAw*Lb4bfhSn7uOC*Is{P@tX%ZdWWH5#JoNlrZFFmh6l`(ysHk5u}si6)gA$Z|DRnQ)lide zu>D5gR(r;Skt_5^(j_zkjUZdq7>&?u)%yrO;c`BzfoYW601p zXpCm)STt6POqcOEG>(jJhsJ3}e~3QRjt(s2V!@R*9*rlO4rshaGXYH?8aS+@I%z*= z43Du)(cQ$T&YH9h_x0Bu7)kfZL^P31-xW>NO#c!3=uPAvLF7qj64AVaCTTPuqmNZ& zM-t{!x+qb-w9GM<^SUD=lZ+HBPVFHF8T(A%Q+pmBF8bDnUKm;`kAB&8o?y!5IN*#pc!PI;b?|t zo|$N-HbEnURI|`5GI|u6r5QaN&DL%*P0$=Phm0PB=4eLGMeucQk}ECdjo^K$1kFS9 zi1|1)Ph&nG&F3cQeP2I`1iha$L4o(21!w`8YCKw?nQ9?gNT-6+5_~_l2rVMxCZa`} zaf{JnbzJas+-T-ULHHaOnCWx$IdPbTKG!&WfxaLPQIpBTkwqdtvaf|ih>)6f#l z&@a)K>d@e1WB8LTMN5gpXK1O$;Vbl&>Ht@$WU^&w85uegEz=DB8hxz}RVIT^+x*#< zqvgb6Hd?N+Sb(zn`LnG<@Vyrttc%e)jm3JjUbP6$wgGJ*L%%>9G($I{jp|T3 z+j#zLo6sg=@g>@%vDl0@6N}()z;Nj>GJXr%LWX{YwrGZKMO)RObhZin*%D9!vG^J# zXe<&@qG|!Fm&~>eZ6iZhplzC=+tGG)D4lI0f3_WH2eJ4D?a)~4L_1Xr$RzpHvQK6EAM zABe?n^n=FYNAx2zTSJuqf;82)9AFi zLBaF;8FYpWJ&4X|hMq-dgEK~Ta;ldKF_x*mI=XLLQJsUXKcSz9>tXbh#`PRJr|a5V z;L6V5K!4;>nwY8l#GFUx3D@`NyoT!ny6|RVE~1NM=y7yWGxQR=q_YXrq!M!(T_&zS zqRSfBpV7~{uCj@l=95ayG=5@!LB9~Lljs)>*RSZ;HxqLOT_HnHqbr)BzoFlBHetF{ zVy>dA#Puw?s&V}t{jTdOo0#cxiJ8t%%r$h4aGgWfG+fuwb;1>Ofn5KDx=(c9upXg6@ z9Gz+gf2up^4zaj~?r1FTqPxUGdBcXSx`*zOaW~LC&A7kNUxBIMnm<^25o4LDoeHY9 zlncoF=svNyiSBDG{ziW%nd$+0K*rrh4>aTcLI0@Z=vK|*PxTNzBo=qjLyg5F^hmV; zDso})7(FIK@1e(4CGX6R4F$x<*Y^s7zJWo3@T`gc{2Z5cK zy~I7n%mERHYNkx@gs77!Uf~|2a6!Zs*mP#G&QCf$*$_93b`z)9xW_noAmUM-l;sV; z5%59;7A41dh+ss?Ad*Z4rd$7|uL!)0F<%UTlNUyNi4#tOmvM@ONMvC8z=?o-JOfb_ zybwi1I1f>bC^aLr*4S4^)c{53=!cx#4w^1 z5J{nm==5K4r%wr^QxYefhm?#{Du|>~oz&@5!wad22JF=7{crj$sQ!6g~+g3IpBpHM1-@RgAwI~NY0=rN!q@VOj|DS z$VL3|4$8&&=Y~jbU4PlMeIu8)Z}ifZ2PpCoLcELfFoby_l9v!_zu9^IL0hLMDnX<%6An&Pyk*iKtwpj1sG95h!j*s zlwh?UK_L(nB4#)ng&4EK5GkyhsRTvfg(5_Rvr&W*6@^GqRYVEa=n)hHK`~;6vr&vO zD-Mz3s+meq0$wOVL^vBI7*R=xlvG8OV67fODG-z*W;h$A7_-t4DXp5R1ZCibGDL*4 zQHBx4LnK}mQG#`P1Z6=`mYCselx57yL8KfpQ?7r5Z+qq8h4Ms%vr(QARe(qZRYVEa zYYE834!#zIMO6_56^R+nMn%S~5=1JgX6l)@GQ3ckh;TM4GomUGsiKM~!3I5osvxLJ z%y2fUGG^5vQcX2e397>j)rkmaqdFt10g)Q2h!SknBd7_2n#2reqb6fk3nI0MS@63& zNN+_*Ty1!vHWA@$)Mi9=AW}yaQG!ic0&?Z2?1j1@s7uUnHtI5F^&nDDHB)m?A6}?W zL^vDu8Bqg>G*Cs9V6z@ULl871W;h!S8M8(ZX{4H|1dZW^#zcg((U=i6fk+coLJ~%M1-@^nh~{uNE=l|2@>=O+Jc}hF~iwt%b2x;NITU`C1?*Xv?n5*jrNSF z14KHgB1({`N6--j9f=vvMn}f16GS?xW^nC%gc@$sGwcka&cqOBsxxEQ1tMJ-!(cIY zjAgr?VOJ1!C5AX#T^Yk}5b35h44yN)!wcPs2xqH1BkBQ>9;%3L(hfa>o*?K+%y71P zGG^~U&a-AldTg>^+FQr<$n*ec**Y zM1-@^hY|IKNZ+6+s#9!Y@}7=vnqQ}5EW1RPf4wO3EIN=^vb3 zd#ySEUVyI=-~%S!;sK0kAVdbLB1*7Zk6;i81`#uyi9w9nV2BJ>&D5j~fft4l5#E3y zjA$rCh6Y94r0tPQ+8#aRVKBomGCR)1FlP4Q5E&kvU7NHK@WKcp!dpCo5xox)c+Uyc zv2c<1$|3L7Lmmk;j3l$;)Qx0j{{SK%1ZUSGkAfFQ5fR?vQH*FbL`DZiT;zRn$ouq= z$G{9@$m}?EW0={;LS$@kc7hBitgi6>KMr0PM?`pw$1$Q0A@U&+vA=xn*CQAYg7L%* zXJR~KHUT0NcmxySg^5IjH((+o`UoN)sUmuC9MB_}1cFJ#3~$&Z#_VH=e5{(m!J*_} zGQ2REi0}qXW<;MrIi#bD!ee2i0}qX zWkk~;GEEgxfqbsRS{hWem#N> zAlN|6@P=(*%r-(~qiUwEgH7;F*SIty{9q_^qBElQ6gAwh7 z$WB#634YWg*ad=J#0+oPF2-y(M0TrYD#0FjVGj}E4cNno_CjQ@Dxw4@^a%EWU>`BV z8@7)z+Ygcbs+me~0A4siM0f)ZFrtGHIjD*#!AU)WLm)Ur%CY*M~M8WiYUPuJ%SS;I6=(thMi!{ zPD13QY6kC26$0NAoq`um5fR>iQ;g^|L{6(BN^n+>;0y@P5Hq}CXBe}y5IL)w!AH0g z)bJ-g!=FI(6EVb`KQV^qAaaf|JVOo7=^35}(RpHstpCR%yV`!&_7xfH(0nsnS5L^Gk82$>8 zUl~K?!u1NgaD|Al{0bxb4I;m(B1&*ckKif@t`akBdzCT!9U{N0X6m7F4PLlLL|A@} z5nYGKbyY+OF6$B80KpAnhHY;!W`98B57kWh$@L~gZxRL8-eeTFAaaW+*t65mNv9{j zMBawcw}}(>yv;cM36VboPUQFh#)W%C_8t(f5ec1KeYr{({I~0jGzgQXx3~eR$zM5k1B|M)WsC{#Hda|5too^~ZDi z>ouPA0dabYdyLaR5cwzI^qiUgA-wRAh+g6zBYFgpN2-WU|C=v4JALqjAl`z<#OxLB zF=kI7@`RX?ovEG~G3-+!dX0OG=ov(w5fS;@4yF$8n&{`hU>zRp-{;`*9Q#LGagXtT z0g)HF{`%$V+P@u%v0U>tk*UbL<}0eEjePB|e1686e@O`KxW^Fw3z2_$X~P+QMMO^A zV?_T!d)F{cV=R$Y#;?mrdJsxwKu^Nt+FJr_D+TBXEx)v_Zti zNt+EaY_k)Q7xx&E10oKc&AK6%HXG~=o0IrQ;U44ff{07kUp8$wUb9$J=l^qlhRq?lGd|5J|3zD8WrV0=(tX#4IiDF=jr9_=p+%gR2d1c?=OD z++##3Ad*5AQG#3Ay+D3&4IJ|}yyYp0Sq9u=%u+!lm1;&$l{UQPsfj2P?lGb?5J{to zD8X$#0=(sEiCGrhW6aV)BwfG^7mdXxMrWgje`*air!gDO3L=I%aE~!e50Ug*L*=^I zhPOTg5#_=?MwAgE8HtGe=t>Fh=n>$oWFlsHaE~#|43W%Q0({Y6!<(LkDDvSRqsR)8 ztg3=ee^+n%Y#_)+%;Ip5G0P5-?CQ4A%MTmQRSqI5fP0K6Cq#0pB1&+N+&GJKWZ@j< zB4&kfk1@*)k=&}8N`P~fhlq;c9wW*Nk-Vyi68xn{fYX?dm=(i4#w->hFm2#h2l6F) z;1bM+(-lWVC2)@s<%dXqRYVEy>+J=c#sb8w6z(x*1tC&UHB)nd(^ZIw%HSR&Dh!dr zs)!Q&O>Vuy*$X(0MTl8h++)m&LZm1$3tk2(Il$>EMnvUtj}aAzNO2Cq1HJPbPGbpT zRuT6Yvyu=gshU9!0v}OrI9;WPs50&`qS6p4t%_(4{_$1eUenlc8p{x~s<_9P#X}@s zHB$+2y2=t!b=+e_gCy12)fRe?wq)lAI+PFGbTs*ih&s2W77sUk}7SdRdwu{tqph!)9sy2cZDQ6G_ZYJ}5UHb@sRTG(b&04s?lGcz z5UHn%D8W-b0-VPB#H=OmF=h=Q(twx+k49x3;B+-4qSm;_h#Enp5r^QJ-Wds}u`w}g zi+hY&6Noh75#V$+C8GAY$B3Fiq#1|cx!xHGr?ELP>xg@dSqq4?&=N#Us|4JWZZQS`z+M$rKx9aIIK{Adj}%#sAlScjdS0Nh=$-EBYGDi@2Vn7VACVOx$jNPhT$G# z_8vsuBWA&RJ>|L^=e`dSjlexd)E6RsRS_kyCw-d@<^bouA2Az=dyH9si1ZI^PT&%n z90fS>1BhZ2?lFpi5E-Z{==2V~Wr!0$h?tGRJ;rP>LWm;;>qF~n>N?lER#Au?7q zQwebH#}Uy~++#!^LgYhLLgdK{7o8ock%nY##10W}iaj zQ`HOzlw$$seku_yz&%DZ4IMU)_g9s$n%8e+B$_ZYLa5Lrvif>-^@`2gpB9TDxoJw~)1BI`K>DfI|& z?l%y#UAV`XZG^~19s$n%CL-E{dyHr^L^g8>Qt1)k+;1Uf`*4pj+X|7bJOZ5i1R^?s zdyFU%B8eP=)OrLs_uGisA>3okwnJn)L!ewA;N0&ZqHl4J5$%M?P7XmDJp!EjUBv7N z?lES&A+lRbp#D^DO{-^!_sbq)cntR#!@UsMtJ1;6GW{XinoiFU@0)$Z(2skJ;eLqh zXAJ2N(N?5qi1*t8V)z5@F@^^ra!|X;Y;3KHO~|3J*H;vQr6 zBSd~AX2H)T%A&`KKS4y-aE}q4gvd!%LCCCHYPfGnq>lnhW|tsxiI^!L&Xw<@9p`Sl3!;(I{MoVdrB{R5GI zR5O(TufK;xL7un7x3=3)M^|z^m{j5k=!3Bl;I2|EeNNP)LseufJEs zEC%-&v;QFSpK7KO;Pv;Kh*IL7R%EvvMs|xTq6CF?2<))_>{eoy8uu788$@iX8C?f< zSbugq5v9dFM&y8qLlseiB6 z>5J-34~x$pLCiAY9%GgaBFO?~!TSpxj<>_gvwMjm3+^$BNQgwL3Oao;z3E})*`tVA zHr!*(l0zi9Y6kfaydB$N<=LZ&C?w&T5AHFdR1is}iYP$|Jp#P)QWLX$xW|~Kfk+zFOeMf8FD((p;T|JO z2a$BDh!T|4Bfu*U5wilg$C#yuNcw=8`em&hue=OIQ3&@KMMj8ZR26jkQrhWBbwuE- zX2&Zp6EQ1-dyH9Th-6mHltTcoyevdf4EGpCR)}O(6?FQt+B>`8>G9Qt9WT3V#Hj@C zF;3YblASpDO6~WRO7z7;{uO4t@^TPeIoxA(IU$lWpsS!MjkK2268P|K;T1Ey@^TTg z%DBgv<%URZb#oMKyzKH2MOEBm6nP<%S5?pil-EwL%|Bjt`G{F{++)mQAp)SmpI>Ov zksU9)I3lWvdyFVQMDnX5N>D+M057`&#H=>%F=hoJQcyKh3Gm7*L_~FQj}aAyNMTh( z2`cIl;FVW|nAOKU#;hnriV`#By3|MS)o87xXNVVOF=E&l_ZY+C5Gl?WHl>7>^$hX) zEI|yL;~ryJ5+Wtl%~f+$3SKBhL@jZT5tW8WX;nlCs^}4v0YMpJ)*AO1vv`Qat7a-e zS$Lr=5w*oVMuZQYa;k_DRMjIW4}$WELY03V>0iCJgdW6Y{Rq>5^$<^Ug~Rf(u8?lGcj5UHk$C_!~S0(^{CCuZGok1?wO zks7L*alb9JrQvpbx@bxKN8ldg-wGnFbp7>j?aq{j14(0j5_+>%7?$L2c)>SK zd=6?&2uI=`L)Zo)ZFp(J=b*MkGz#|^Q9FpV)7h-Ll4--IrS`;s4DK=h9U#&{*Izbm zb>-4lS1)b&9N3W%j>A2MuoFZ&@zRFRb)AW5Jnk`~E)ao_=z-s***l_H>q({!pBB3k z|B1NA_;-UyH(h_(wAGVKTRpwB;d5 z&vJ9&3ALIVCtK_LhUp%Qk*gDZv)RE7^am%>^Id(tRN}LCAA&g-_ZZB+5a}DtiZ+$_ z#NCgG=HnhC>JO3rK@m-*Z($Pd27 z-1t;Kjv%bXJqF=Jh)C5e27p4=@PTXTe_>JK+ zB4Yjo#M)esU2T!5m_?ANLqD z{9XWWOK=&XT)9W7AF^BO8O{UIJYskd_ZUO`J~5v$q>o0hwn}P9z6)9aiUq{&FzzvK z3n8+QxGCQS9f7^1tnEec+9IMmhI@<-zf~*_==|F6g4+19z?-O2Gv_1Eeuh)U=P>$n z;`Af#F;4jX;|t;x{C!lJehIv=gosY!9wWkU9bc*jhhT+k3WtUcYU;ZKiFG*Y8N>$mPfj?R$<< zjjK~9R?}!f~#z6ZjS@L8sPqMgV<&sr|c4D%*$reC+F4@gwe|r7i z_TIkU0nkqIF7_^g_J;R~_qo>}Sw6BsWMgRiMvjU6(Cd%-F6w;LC20RiZcpy=`jcl$ zUNCtPXlo^JoxB~iLz7QR{t2}IMn^^ay#DCe=(5ojyndgu~&Vag`Z zE>5{FJ?XkVpGo;JqoPunJK@3eir{&a=YRZdq8+70RUr#s~J zqf)3AstauwGzbla79O^RU_T*vRtvh|^{20zzFGQ~&<;!gar!A5!7H0Sy+HDz* zX7EFMBg2ynkk5=&GB(ZF0@~FXcV*lQ?S+iDGv4+3Gr2OQ&6FP6u9*gB8V2o;nXY8| z9oi?E-IDw`<;s;d7vv#Vkz7@BRfl#`uKBqZdHuPw>2?L3f|Je~3k$TJw)WqG#3(*yl^{CR%P1KX1~dEP8}vqM`lZ_T`QpdFF- zle|-*U7B}u-UMi`=Y5>_nb)5$I$zd&IiPKluWP;@(2mMCE#C}i_vbs6502w}xAHyD z2gg-x!PttiRiJGbJ0KS3i(M7FH}(Lumt+5qedzVa<%)}sD-Ug(xPEa1q5V2;N8D~` zFUI{9_rUAVpCfb3LD7sM2La^Tp^(!=?&?K+Fa7^Lc zg<-!Gu2HycVaQYAS%tqTyaw8%g?}mho7Z0?b&=R21)!}{q+^jT(9SEewg?;tMUEG_ zS_IZnQB<^G(IU_`DB86s_w%F}rcfJ1N*@~AeUIyA0#d{YA{^HAuZ!Zq~#V-`UR~-0DzaY@)ur3#d) zQVP~ZsnMlom6{9fiBi`~-SqlPJ4&O{8KG@lx<~0=(0){UQRy$BJyZHt={sJ38Bdu^ zW#Bwn=A$x;%0Rr#t}-XfobmeOJ@J|1As$~ozDay@Xm`b*jK}f6%R0+?y#BI%%Z@Mm z5wy$7ZZ8YlQ7&7#lI39g%C#uhyBus^xnbNL75Vf!lNt5Bf=Y+r>A6$Vv+?W?e+!u|@deHDJI@VEkOU&R6y zt5k&TtJtODu!`?PyP@LYibtTmUh#QFSbvp@RH{)4&WDwHR2o@nG_+eP9jyfCmrA!P zy{c^S`YV^HT&FS|x0TeZ{asooyi_p48@J`>s_)qk!I$6@tQrk;EjSO=np10at##1;Uh8SC7hZquw6*isE(C42+9PUz0PWn`Yih5D_P5%PYePQk zq^nb)PGM-f)EQO>@>yqIowapfz1O)~=SiLCUVq&*b>r$5gtlwl;dMtsJHPI_x*MT= zRxhd^Y)`#~^)}So4DE?}*XzMLt6!*owfZ%oJyrjY`nSFQ2CfDf8f1pHM}v_KV1G39 zG|bcx)>Fgs4VyHCJU0BK;gW`5LA$Tv*@lqEMv;xOHOdKXy~*wR_xB9nrOlw#lt?Re$(z-jeV_MH{ zJrCOBt*^F*^HS^o+N5lg#_MlWw@s%uU7;P@W=@;=(EiZo_ck}Y{2^2U{pt0$cel^j zJ`1$X+V^Vz9<-C&f6;y^wCCF2Y5$kk-@)4CtiQ2l%4W+ii5UOry-qS9e0}3X>})9 z$DMxf^t2PK?v9VZN%FcQ~|6YiUU z^)ca_34g^}j`Y}4v2|i`U$GNo7sTSeVmHO!AB*)8`&H~8v1c6V6H8C5I}z)8V%)@q z6PMt+W#U5J#`JP_f6eD^?6)RPb)aBup@n1?P)R7kPoKKpLX4}b-3=F z_UyD5aQ!_YJi+NmPpFyDHlaPPa}%yjfbR+SCp?z`-xL0rUTC_@kv_fd^bXUJ&!;b( ze*N?fxIQ%frRm7$Gt`VCGm7I{Z$`%%U2t7AW8Dm_hZzsdcwxrNxc)vfd?wz1X8oC+ zW_HDO@yzuzu^whVIP=Asui$zn(Un-tk)GHfv2$WKT$dznNW2l(M-tN$4>;0i1uvnuF(_>zo@k7wcs1!*gGm`x>rC=bo8| zd7js0-pF}la6LBPnh!7LSD4>uKJwK3CG$7T$8#;HxS+`b%=3al3#Kf<`dqMf!Cecs z(E6Bi)P}we$n5J1Auf%oR;=POaIntL@UD9ev8(c>$nYknh*TYLrF8R%oo>DZWdP*%^$EGBw z%)@nK%6%zVA1Pm?oKE@Ek)B#CwMHt|b?Ug(IjLCJsW+zXOvSoR{WA6URIKZzrIyxN zS|8VmOBXD~`dRw;(gRE1bfhn{FDt#Q9ImaG^;WYRxqtn z8uCrr;Iye}({a5f?T)lHyfW)Ev2UuvyV!N!-G zU;b}?_Q%M(_f#SO`WExsF7#&^-`Z8>yTG^VZ|`{a<|9Z^a$o-> zGoP}PYLH7$X+CLg{!BCLE>w+j@oCK`&h4LTEYc>q{K)2G=f%%9_3o{j<-yaNBal}= z-yDUWszn}+f;kd-c@G(7I7+q3!=W&TBd_lxP#S3IMvtC7!s)4^^LSv)!SRH9$w;9U zRa*}j8gqC&<$f}TC_=?}$Pnpa(%Ya89&}&&-emjaY4??J#1yGIdDxJd!{&+iW*)pQ zP+dH5^vn_T)cZ3>F;aE&&`~r;(v$BoQ2$lKxwFSNRSypyP;*c{{XR`iN2y*OKB{_T zbv5kc1^27(S*oPB+^5+H;*hcL&r&ADcUK{(SM-jIKQ12dB=QK3{!4vu~`fCj00tnltIk&v>?j zk@n?WHC&*k`tXFBlj`fwX)ecMQWiR+n|lmAB$V;=VPJALq2lHu{bRMX8-Je*hEFD6sn<2JvbhR z&yI)rfw<~wDJ?lWDyAtMlXIrXnEdPG_(>{_!ej_zO2cuA=; zQyv+cAmbkbo&5_rD^#tbL+3f9Jvz4~h*YaNMi(3-Jx;P!;2531-ei2_SH|CeN2+V6 z(nVD=b>cW(TqhYPY&kNl>ZjJws!LjBn#HlYv|0bQYouCByZ+NIZJ2Hu)^W@(Ys~bx zNejU-`|p)0<0Zc`l7FPyK-Df=HB&c^+vV#fXtFp<0{LZW19VCf8O$MrnXU4x2dXi)oI{v zj;&kAR*$cw1CFix(!mAN!gi|bc6GJBIz8;*80W|s>v5Je!7+A!nz%r^*h!UhOl7UJ zP8+*8);T)Xdb}llaIAAKeOw@oJV>>3Ty3qlPA9uL=D9NFdfX+gaLjW)tz00zJVMoT zO?9oiPBV{j>~nSO_4rG=;n?S1y178w*+cbnU45;;PCrj@4)SCU^gKu!;vD3D8oEF_ z+Q+4kXG=jZ2c4Fl=3M0IToB58|r zlJ{xr0_p1oE)|biDtfu-H1-nb#-rv&&yS=t&W-1!vkRoPSGaUMZt3Xdqtn}~oFk8% zBRx-&<~T>5m*y^z?hbG%dF)cs%jsO&Yo*@cTzT|d{d>ON;(U4heEoCIBGfyaGq0F4 zJ#W%#aLzop)sT5Ie`Wmh>+b3xm)bvu#vCS3d~Mks>9X87Z~s)<6X(jjC-c7(eyw_+ ztIn%doy?@HJbm`@0q4)_=1IzaA|t|(lnPR=hOR_r_AR+o1xFS zN_}FL>Xmw~F)F9NMxrFsH)~Wh?&a=zJOxYJm-9K_k`}C65%UY-K zx2w{{Vr5KK%V*Lp?73dd>K-WwaH@ z6u}hn_p&fcM=-sh7e>0&C4^r1XIpu(QkhXoT3R7Y8B7^Q$`EBTOG=cLkABdPfBB&u zIino(;{s!Iv9#+?+$b?&Od(7m7g;7|sVP8D=*dMqF-s0T;cn(qrPL@r;Y=w^DVIno zI<1`hyO$`dFnysf|KW>vMs`2+g{A0HrAYsoQIcFtF-$R+kz#b3(WR*5X#wcN> znSz*tvXFvw8q&M3vh;`^Wzi$;l9V)h#FF;!a&;xY&nRtut@2DsOi9^DNoHE2OH4~w zl7=qywdVgc!+I-%*@@XHo7qWcDBXltqEmFr4NhsVq#>tMtb7-$W?5lIb6%OLimA#? zsme@O^or>!E2-&X-}BF=J&IY2S<8)COJ^?Kz*nPR^vlhDX~(3Qr(dj&7prvH;Tes6 zv{i#Cj43P!QkYI-x>VMpXY?$GJkzd8$)sm2nb|3g|Mk5_>8!()#*~(WDNUy}T|(>8 zH~N;tzG>&Al+rhr(*G)l?)Y<~q&8rRV~Wd#6sOaiF0GB|9lgsX@3ebTV(A@AtUF5R z<-UheYMU_SG3DiA%F}62m)vIbkN)Mdf7(GQz4VWz_j1doJHO5-!7Z2qnF8}51?n`Y zOK~fDNDuSKL+zrJWO~Sw?9NhqrSD~w=4hrwro=oFz);>7|Ewsoj(kPA^%)bD|_?^*xPJ-iaxbDbs^e zrcRr>q<5j8^wY!q)Q(DNr=KkCSuMjk`F%!-@5U6$6zYK~RHsp0>U+>rdg`H`YFDM? z(^HoGoGjhheQ%@m_hL$AO7-BBs?(}&0s7Ea`s(4nYG+)HSe<5dYcPP`(pxX_R=X=L0=;F6kQ*i5T|dKU6$UZoGUa+v%GGICw+ut*Fa7m0 zf3?HXI?!LX4(=-Jx%oau3o(o-m?_u`Q?O3Mx|J9~kLj_OdaPZRmVzF$rO3@zz}-K~ zXe~xDB{L;^aZ1){`CN+;V~wHD^x4aO{#^gM(Z-&N?=N7O84QEuG6|cZJ9ye z>AR2nuAP@whQ70v@#R)VKU+pi6Ky3j#WThGL5kODUbi+$^q$`PiTB!lX>sU1TO8d6 z_`@}$)tPQ3Gvzbo`%%i*Xy=*t_!wM7i%m0 zksGbl3YLtFbm5OG@R`p~jb=-=itB^xgDus04(Qg3>*M_V!JNu5FxC`Y ze>$LBF|HTpP=BfwS$$TcC0oZ5^PlM@tHaFi^R0Abwq_f+Zn$pPnq9U7x<%u<@vWT5 z8ke*4X|!q^S#mBr-B8P%)zVwW*KFA~as6=puw}bq2XyPk^~0R%EqULQ?$c=DHnRj> zar*J3spP%nT?#Z?xh-5rTt{r>vh09v>9~&aE}yZMc>mZNt=+9GNm))uTngU56x=a~ zW{Y<_*Av$hTfA&Lpj$nzC+1jpxwNP>y7&yFj~R8S+d-guBfQ{6!qq@G+V;$Twh#YYzf`&fNl-BzL;~pxmI}U zy&Em!4wf*tr!P;PcHUR+rc<+3+{tyub;edP#~jctBiC8(<~Y_A{~B+jb=<|0mSgFR z%gVo&)y3x9Y#|@ydgFRy3z_2%=vI>JjXC&Yd70dOZWt})ZkD(lPj6J$ed>DiSemWn zBV2b}cWfmtFa@{c(dvgu(wtF{P&Bs{saxL9?ndFFs_Nx2UFC;zh6 zdfr?ct?AP&iFuX|xima)Y2?M6m@Vplu1Bs%wy1gTfNoW}9+{)_q9*WX{?j|7Wqpn% zGSAZ^_57!v{yH9J>-qxMCD$ceSC4T(x3FB7%+>x{pFHuojaK$0mQ0UHm!7B!?0p0R)o%%;^W)1evc{W<#H&{|VHl1>LdFS$S_q>@c@LOE3T(4|_J>CJ`3Uj?O zhr7ET@crEHl+hBu!xHQ9>6J^s{Y$_b$27AwjW@8 z-n0Ar1#|s=!1c@Z%i8O84w!5BBd%eun?Key_t!OV98+^0f5LUlbT*b8WK?_bCT-JI=N3 zdAXPMkaLn92Qu37mex_0Zl6lq+0laE>pN*BvpuhF9pf738fSa%lMd)Moon28ayRQR z=hAe*W-su)J*?gt)zbQ&rQ9dexH;W??Z3-Evu%&Ee&kx`T4&qt(+=qNoon5Hb3W_6 zf6Tpc>VBN1-KWz!m$ZK@>HnH%vz&}}`}JnO)J)d>E%Z#a_C-bY&} zS?c{F&2#Dc#?sC1JeqC(DXx94eYW|2<$!MYx%OEPvRg~|{odtJM%&-lI?dAWS81P1 z)w`BzPR*At|6Kp{A*USU`+BZh0rs#K_AoR8_=xU$>koDT>;iZI;Fk`V2Z4Vv5BQ}6 ztkj-csdIB~^saz;fIj4=cl=qNws;_VfBxL zLz|7=0apaGqTf4U93t8RnG^ip2UbeY+R*3KeCVA6a{_(HD+l>=JW=y9QPab`5mEaKJo(%*XsdHw-uOvU2ffdrs%1 zcNfeLo--$0ADjzMaUkPhGTIi#7J@AVTL?OE0SC;jMgistI&uL=Jf)=ZKJvD`(pwJZ ziM*W$t^iu%%#2K?g43fVl-J%zQytF5!wNmp$HF?zLrlE5dw{dt*+& zIo96lfUzZUvBhAE!4`uKT!sVY)}$!&2A#PKXS}sU^1j{M-svq0^M-rJm7a1uec1tH zt5TdT2U`xd9CY9c9LU_V#MnwQf6$#PaL1R+DxdB0+s4cs5@jpR9KsyJ96|@O;D9~? zVGgs8RamCnP^P%7^L|--!W@{}peW`R<`(7_I^aeJ^md53h4sc0>K*UF zcRVzkKDh~lDTcF!(78$!(2lLa?k<2ePXWhuR6oNg7cl*4j9|0dTec2 z)^f0{<+j@Vd7hb0&FxeJ<{ai6<{UbZ3l8XQ6?2Yf)&}_Hwc8ami+F z$@<#3ncJ@x%t6dS%t3S@4;;|jFy-#`+Srb@Vk^Wlmk+{SfcZeng? zZlVJona~+tEUO+|R^6pM-^aVtr@0;M$DGBS#hgV4yubmyEo9E} z?)t<2f%E4t4j9|R0c^EcX1$=y`peSfb6&a(Wo{E=Y=fA)n7f#}=zteFkeRj1G9A1Ljt81oIdj_Ckl* zR{2g_m7TfNTT13J`j8!u_`UQeFC8$pmZR8`u_a?mMhCpufy^ytlx+<28C~{bm-DhM z;LrA)wp4F5na@0DPPjfe7jz(N4j5a`act4pqOnDz13ut@x%HgDyhf*ez-hKPS!;28 zZQS%0lzELl_?p{%9{+XP*osbM%f^*lopOIW<&9&ix4z7O^uZhb^NX- zf#yvXy2d>NkV{5#a zEg@S%wuE%Rj~y_#$SKT+Tnm1@7T8K%Su5qMI;Sx&a!qhe&;dGd)&aeRW?tkr<8rqd{5rbg>kb%O=@o1l*)FhMpaXOu z!vS+ky^8rUV_bZ_Eb{r-`q6>Qb3kvcnIA82yyz9ji4ORW1I8A6HCsrwklbF;0XlG= z1Ljuy8s^FK#)mC~|FjI8YtHqh9MD^C=1F>TDNp!k^uwPWFt*-n*iy2k3?(2_0Bn`16gyx*s5=2%gL6L+cY{r2QJ$I zbIZPo`SY^JjpaIP<(lKhaq~3?^wypElWzN(+k756ozo5&TlmdvLD_i^>+2+dVo!2eR#e zx%J=1yqfLt^re!^XJHFT2eR&fJ_2A~&H5P9KaL$8paZ4@#!n#o#M+dvu(z2!Hwvi6dfgE$d zJVJPo`8LPK*;~pl?~|<}9mrV+^icxyZO)D}J?8k*0XkqDDePv8%NCd0Njg9Wa@+y) zXyFm&-5eipwmNKe=l~rM2lNpG^Dcd0PM`yHAa@)vjv5|g%gdIR+e|t@2Xf5;^T=Tj z^KY(=JKKxgX)id=9A{5=Kp#CY|I$@Yca`@?M}5r!;|Ssjw!mzGx&5RAbRgFq$UKUO zvF&3X&h_#4wX)9VVXI09vgUx9i=SpLW-ewwLIZD(1AR6K=Se#Qeyc%Y|ZF^?>V54GnkuwZ*I9>Ij3~MdmXUZ3m&wG)jOl2 zZLhF(X6wu?Eghf(9_2vh@kW&GRpw}qnj5x0-rM?c?l^bubU+_-Fh|oXcY4M5qEB?d zJq{S3;yA!onyoaqx^#dJc%%d7vBw+C)gC!VY)jnJmT(+7j^6HoKK@{?rpw;$GVh-b z`?3SZG00nNt=U?03rq*-fJZxE9*4ZcobAzb<;$%DpN*|C9k_f4^sxwY_T`Tm{o+{B z0XmRZ4rCmUw6q;$tIbxMTVpyv2fV@o^O)p)=5DW;v%D(v{JCr~>40ZBppQ$KyFF`O zxK219bbtI(Y5Ryd+^gp9Ql*)H#@3Av_>Tkn z7==09f99O)n{!PEe8&OfIOP+z;%vpam8Ju9z$+avk5xWpF89hgWNYO+trh2zbD13n z^zjOFIX%jbNBmy;LkIHK0ppnE2wQWu=G^<1(&%G>scTK1y^`--Kz$Y9qk72%MZuf~fWvi3*R)=H9 zvGXMd^l=PxJH7TLulX$W+20+=IF{*PJIdCbtvk2mbbt={lmnT^Gf}o<%<(=oxBlL~ z@cG%o(}8mi=wlk@c&-Vq2|7Rre9wW5rNw)fI^|`gD19ZSI959c0PBHiU z#hkO{%KMg!bHF+91PAnS4|6|#^aLMy5A=`@?OW1IBUCU)&0CE5M@wIzR{f(gEXG zC_u3m_@x7EvE1KcaZEX;-sr&J$3v_I?1xwj=>Q$@V+S&ii2`ig8gME2@lx={R*v_~ zEr`1vFprA@Srb?jSQF>~9q@Yx^sy0Z0(%a3_Z)mbIz--gSTpF#C0t?K=$maL=aut%r4Hz0Db@_SbEWR^ z>*)*~@IMEPe3y zRiOiPfDX99fy`sD$bcx;7CPkyr@XDb;{9?ewTI5-f^&J%WASIQx2FT1=Yal50c(%v z%?;NJ=Y$T>0bg~%_=rI>Zhg4*;ns%^&;dG-iw>B_Z!K7Z=w2?m=c{cUpNm@-I&ftU z=wmq6peq|UddBgh19X56WZwbfIIb19Lfi^*D?|tA03FB!2h3x+Xx1V+muExsR}q62i`N*yqc?>ca+#H|vyN_2n@&;bu{AoCb6 zGN2P{6P@$`C$F@<;@7h!rvtv}fIiM+ZSu|e<+|m((g8Z)jSd*cdR@46;?{{S%`1NPz8id!pgt>^$9paUN4K<05^Oh7-@EV}B!uCitJy_T7C$~n!71DSOzDqsNX z7V8%479F4ibifN7(0`@Hy2ainE4>fDhu+WuI*{8AWc>A3-+)2fatZHmk56z z(=r|KOb7I~i?z!$=ZEWt^Fjyc03A5rfdG403wszgVD-+Z^#Mb;`{M44yDvIG2k3wo zIbeQhV;E}~-Sr}O*_EE(m2yltCjRJvK1pN^qyPTsKcAW2(*f^x!1yr72yVr=72{Tn z4$uKQ;Drw8?HKErcef;LDLH?1fDZVp1ONPx$0+W~*o}E%H|DRam(R`0MF;-rfcb%s zF|22-XRK#*fDX_BFLpqmHnN`mv(~fs;dsyiIzR`!%K_tqAmg}2V{7Kctr=5~ccmWA z7w0Rd9MIb|)-*bnQ;zX{=@uQJ1G(kEKR+Ncfx9*C*0@`v19X56_<#fEheal`w$W)H za5}d-L;f7@Lg;{JJD^V_S=&5&p16)UKXiZ&(1FW$Amc+MH3Qr5JTQ@pD2k3wg zIiR<1tZ$d!7O;ilSkVDGKnFbEfgt&xm~rg2hhJr#{hyhM3Yg5D96LB4>fo4#JUa3%wy5Ttc6?yez*oawN2%HaSKHUa@PTUJjz;_yW>vJIo@=D4$uM5alkkxP2tv% zTSIOQ=>Q#|1Agp)d0e`bHIZwH?fDX_BI&jtjeVoeL$fFETILhEX z&_g;v2Ry+6<5+bSw~lNX*)q}rIzR{LK!yXE$E%S6t63v6#>Erb7v2NgXgWX#=s?~( zppRKuBlCVPxE?qMbbt=f0nc*4IBva$TS;yudCWow=l~s{1LrxAdF&b$u!gnryzyac z=UJ^C=Y#V>2k1avIiQbUSu5#aUOC90OZVsi9q@DqjAPif+*)#L$>SF~KnLgm9k{3i z=5g#g*365Jlc%>nyg#<*bbt=ff!uLGAIq|4=FWK2YmPG=paXQkdmS*2XE$)G$*m@j zW#|AMpaXQ^KOM+Cri}^M$lCdzv)J1HGjU zVAj>#?`zmga?I%f9iRig=Rn4%m7)Xg=9V?vZ3>r&?=2C|Dd&_9(1ENt zka;8=8L*x8mGzZJL3Dr)&;dH&HV5?4FzYLOw5;@K{2qEk2j~DDxUd5mN5t&{c5n;p zwzh@3U064c3&({H&;fsQKyPDNW9h!XxzFdN^K^g?)NYSz(MtkQYusxRryp^)liL5jnqx5v3f@} zvBFeSD@HZ5=Bwt`Zq>p%rrO!ct4_8-s;g~}>SjBxx(8HKJp#t4o&lRwuYk8y@4$Sj zZ{Qf!FK~|<81$+d6ue3e4nCoV+bgOOAxG56(35JEV}KeRR$qL# zWR+6xOO;x_pITaezgkwIj!LVrMJTsH-a8tX5b2TwPu1PjyY@ zqUzczwbhy`o78ntL27MOg1SEHL$$7IXSKfSqiRF7NOeQCEox)+GV0dqo7HVKN~zmx zY*KgBETOj5+^FuV^}4#dPO!SC&I+}?Za#Hy-PLMGy#ngKdTZ3q`i0f~_1CFg4T`D< z8f;V#HY}+gYPebLZd6u1+~`*INaG0gXycjcvBuA-$D4Fedz!qY_BNfTo@n}~da~Ir zwXgYD^;Cgg66)iW(is{JiDt7lu4RnN7$RXyK2LcP#>t9miIvU(}{ZuN4TYU-6X zJ5+kxTI$udyVPs#>Zt?mcB|K88mTv89#e0&Z>HXA|AczGLo4-8ho{xM9Sf<09mlBm zIzFV{?-Z#1*QvkypwoNm!_I@%N1fkSpL7|b4t4pT`n2mH^;x%T)#u$`P+#=;Q+?TU zk@~8arM~W!s=nzRq>lC;puX+>zB<-tp!%-Q|J3(=hpHd?eyo1%H&Xr7@31=Ff2{hs z{}<}SfLQg*fN#{vfs@p)1HV(J22E4H4f;u)9^6*_KKL1RW=OQ9hU~Yjp)r#Oqe*$lg}Ik#Ad$QT?s3QSVv#M-R3NjQ-FnIA*vN zKIV{BXzXaK@Yv5R=eY5fYur~>k@0a>(ed9}#U`|{ich%ODly>`t7PnOt8DB?R=J5I ztnw2-wJOAou`0$LwyMOBvZCS-Syd;Eu&Pb^%&Ib;2 zdRAwv&8$sU+oaM~yQD2v%N@YR)onp> ztNX&SR*yxcte%S}SiP2%wR$f(X!S`MWc5w?!0MMe*y^A9p*3LXaBJYwoz|eGXRIO1 zmRLj6lr=1^w>3QN4Qs^mp4O=4*IA>Nzio|KQACBho=~dNv496`(^PQyAK`y0n{AjX z9sZZ!0fA8}q>u#`99URYC}aZ*3S0~p02UG$4;Bb!4}1qK z2rOUVKCoc0(7-cbcCfI(Z@@yp96{B4?! zgWdou09Git5LiJlSJ3ZZ;b6|-7GQU@ov?!LNfA0V^5& zI9O4z683^%#lT7j{{~hZtdzYCSP8JQ_Ud3I!OGaDft3O)ZyyX+8myfC7qBv55%xo1 zWx*ysFgS7|iQs6XL2e8fs zTY+^1>sBxdtP@z*@Zw;d!Fq(-z`B5S4_^Y-6|7hIB(QE^J;Qf^bqDJcz5%QUSnqIo zj-Fus!sR)7f%PpU_uLz7Kq0y3K4AR|Ed%QdHmJ}vuzp|z3zq`x4>qK55ZC~)!G$}6 z4FnrjxIWk*u%U&wfei*5QFsm55U}CSK43$^Mmbx64FenL+yyoqY>aag*a)!E&d81*3}klG}r`J4X`m_ z$wkJ4O$3`%)B=kGn_A>suz0X3MQeaf0!t`b6l^lsw4(QeO#z!x^hU6$VAG3!3^olc zvFM9n31Bme6#|dgU`xT4m%15j8Q7{)%fZsXR+dT!TMo9m z)Wcvaz^*DK>u4p|HKk-7tpdBc^Z>A{z}A$G23rkwZRverSA(rBeK*)OVAqvC26ipj zy3z;1)_`4KrX<*PU>nK=f~^HxUuHJg^l@yPWvE73{8Zs6B=51lwM25!g1cd&)fx zb{E)=a@)b~2D`U>0N6cXJIj3swjJ!g^0mP31>04=IM@!b`^!%UyASNa@*Jp%T4 zg=DZt!Jeov2JA7gy%qL?Jr1_7!ku7yz@DriwQMig(-owaJpuMqL{+dS!S+Wu!S;bY z6VVUsDX`}vT7f+c_H4urV9$WP5U~VoKiKmT`@xcb#VDCk?2YVOngUH%o z2f_Xqc@x-sU>`*;1A8Cr!^-u+{s;C+K=pUQ@`2^A(HzVH7G9$q zSQuEr8VO+e!3x*t2UY;AP>nrc1;Jc3wt$6$Icxj?RtT(Ujkm!HgB7XS0?Y|kyk>bY z7g({HQ^AUWm8{tZtSDHCntQ>Dft9X#3s`ZmQZ;`BD*;xv<~v{|!OGO?1y%~Ie60px zrNPS8Iu2F_ETYyyu(DtkYF7a(2Ue-J1FSq)#oEikDu7k4JqauVEV52ju!>+&b@GE% z0;^JI1Xv_kwK{FVDuY$6b1zsGuo`u)1&ac!UguM=s$jM1JO@?{tY+PsVAa9u)GY*7 z1FUx4F<>>p>ecN4Rtv0d-TT36gEgpoJy;#E`gK1Cs|(hs?n_|xz#7)83sxVjNxdRq z4Zs@Ln*i1jtXaJ-V2!|<)_Vx7F<6UwH-I$(YhLebu%=+G>b(lq46J4ShG5OXqU)Cc zYXR1}emq!9u(tJkfVBc^Q~y!0)?hL9Zvu-3YghjmSR1eo_1^$%3)a3tQ?Pbmof?z@ zivjD{K<2kSSeFJeza79jH<0=52-dBE%x@>Kt_@^nIMS}GM z8`vlbtUuV0Mnk{`fDLZ+EZ9J>VU6wr8w57A(J8ROU?UoR1U3Y0c;oh9L%~Kht_n5` zY-HmNV8g-2G@c7K0&H~SufRrvjcc3^HVSNPlhRH;Drq3pTMycd&6_ zu}!vsjR%Wwk^(jXEUwA7V6kA6o4gJ-5o}V^@?ddbQ=0~Y#e+?0D&IQ^ETO4E9Thu~) zSpb&OLVQ^Wwxor8?;^0JE#!L_gQd0*UzUKSwGdxYz?QWXUsAzVv=m>Kf-P?;zAOV< z)lz&(16$crd|3{*x~2HC0_>`m;>${~Yg&pgtH7>qCB9q*wx*T%vKs8#R^rRmU~5~6 zFV}!w*Ghc37HnNB@nsFz^{vF0>%ca&5?|JWt#2*9To1OfwfM3Q?1t8J!PbLqYCQsM z1K5qNUj(}WY;)^-!8U^3)cOyw8^N};{uFEz*v-+M!EOS(HM%C)X0ThL7lPdkc6;;~ zuq|M>MW=(^0=6~!ez04??r5XHZUfsE{W;j}V0X6Z4t59F-EHcEZ3VllO$ykZVB6bF z0NVz3Pn*}l?gHD<<{_}V!R~Dv2zC$H&Ng3zZ3nxrZEvu9!FIK62(|<4{|;PlVJN} zW`OMjdnV>Xu&2PDi+Kv{X|QM8hl4!>_Cm}Fu>D}qw;vAnEZ9r!+kia>_G0_BV9$fS z(mo071+bUf9|C(3?A7+qg1rQm-oXX-GT4Fkr@&qTd#%H0uyn9DIW_f0mJ6#9%5!j(l zr@=l3JJRVBuus4acdiX~2<-FDPOwkGKI=Rm>@e7uokxKk0sEr!PO#6wzV5sh>~pZM zI?Fox0_byfhY+z0v8 z837jA7yXs9B3M*k^jFSGU{(6cI7fn2>nr128LVnw^jFR*U^V)pzj8)_Rquy<>Z}S@ zt1t4Yvl>{ea@GcG&=38UvkqAO z{>Z1!x?qj^A)h+yfi>*k6s$g2lm2DE8h|zKk9_KE2-d7W@~N{CSkwM{!5V|L=zj}X z6R_q3kWZaW!CLi4K6N$&YdHY*(%Bp=dI0Jr&ak@KS`R=zb+!a+I{^9A*$S-90Mtuo zYp|FBsF%)YuyzBHPn~VRIt)NQb+!d-KM?iO*$%AJK-5cT3|Pm3$fwTsU|j|xpE^5$ zbsmU%>FfyBZ6NBUvlCd?L0Cu5&R{(TVjVfVfOQ{)dg<&6)@u;zrL!AY&q2ti&hB7+ z1|gq1dw}&GgnH@h3D$2A>ZP+6Sl_|Or_SDB0|p_V;-s#dt^eThV12;`4ekuq4{YGz z8^HR54H-NSYyjBc!RWo61Hpz3M(^z$1U7U?QLw>aBL<%a8v-_b$XKwUV55d~1RDl6 za>z!o;b3Ei%m*6*HhRbxU?aiC4S5-C6xi6ICBa66O&IbQ*chJ<> z?9k0%_*!Wj!Tc_?y(b0XNJVaO5AIIyY1BuB)9O&K-`Y!X<)u%2L( z!KMwn8EgvJjA2W_rh-i$b`)$HSmLk)Utl^WvW`ZRT?**0! zHhcJOV6(vH4qpbA1U6^*_h7TZ<_~`hEE#Oxh>Boyz!r|MgUtn7Fd_kL9@yd${lMmf zEgEqr*aEPW5i7wKf-M_$)xlPRT{Eg6*ebBAN1^69uL4^$3N_EU z8tmFpsCmw-!PbsK&2wG@cHJn{JmqepGIoE()KN>a9c^%k>(WrUOwP5QU_-DW#2HP|KPOwM79-mME>`|~M#vccJ3~cX&kzkL5?VHdJ zY!BFz6Hw2cd%>QbfO_tH0_>?+3+zd-{S&?b+Xwbctc?9rV9&+M*gp;SY^;p^Ghi>o z%GmD*dp;I*-}x-qOR=c?&gZ~hj6DPPJlHF-pMkvq_VPsZX3iJEUY&^E%=r>n`otw* zFM}PJI3Da3u-7I&0+tT;#>7ovuY$cE7XbDe*jp370y_ZqW?U1n*TLS2D-HGr*xPYZ zXWj%m7$Av<@FaQOLtvjzlIQ&t?6XPo zyobTQoFvbC1ni4RQdd6%`+Abp)z87cnk=q;0d{nfxb`L3HdBCeeT`)z7FuwTLcm|6ww6xi=m*MR*7 z_Se)zu+w0FPJJHi_wYXh)YN;x{s22OtuWZ1V793z!TwU+0<3B16P;(k0;i!*bSbca zY3o#&%K{6YmJDVC3!3%_c4z!Ccd^4|Rou zIj3&`D+E?_`dqNWU`3{5AL?>~6`ziMsLKUbY({agB48z_{{dDMti+5iV8y^n&!`1f z9IVs~)M-}0#+lj z4p`>|ep!fpwbwAy^Dp$K)8W_F!F-qrf_V zbxual@9GHFEg3z(s}oq)WO27MSdV0Jw+mSJ!FnZs1lA3#=NxgjJ6NAN;%*PH z-gCsYo?!jvh-v^Bd+xU>pvGY-qjau&|K7bS3j_Ub6*4N4>n}(17HKd z2G2tda}5L=HWxX}H3)3zyfI*d!A8vM05$|{_4T!@x$)N006r4mM^! zdUV$au+j6yjgesE=8GGnz{V~>4s(qLo3H>m%rypV`~vhtuCZVf7oZ<T!cQyH61Kz5&9h046s>?j)2VsOJ4K>SR&Z$#Vf&P zfz4e!1uO|{&fBWY*`BGxhoZHMGESzH!Ld$wjONLvH-9RU^gxs2zCS5=4H*nHiF%>>^86)!L}@02DS<8=4A)LZUVb? z*&eXXV7H{9FLvDwc6%E7V%HY1+tQ|k-2%2Xtv}eUV0WZ#1G^1uTiPnH+rjQk`x)#G zu)EXV1KSFA*YZfPJHfUu4+Yx>cF*!zV0VG-SUwo+Zm@foKMHma*v{oQfo%u7Z@J9n zyQYYALS;aVEkvbdJRwSuasD&bEms}NNMZ-~FlRn>6CUy!Pr zxYowC4zBfZt&eL%TpQuq1lOjxHpjIEuK0k8YK<#CbfntiiVr!c_PFB17^)MlUC!QL zA=gv5+VJ76r*U-snA8P+R<_4=Fj3+tc3`v1)ahYiVKL;hwX!bWDWkzm2$pND^iUtgcS zmvg^A@vq;X*1vb{R{3z}?Zdi+^$hD5HaKhqzV}4Po05p}vF$2x^^#^%6EnxIw~31go07x=~)$kXM@|+$3SMgqtO7 zk#LKITM?}4^6EATw@bJ~!d3})O4ughE(v!_xJSZv3HM6aA>lp=J0;vNVV8slBs?hL zAql%BJS^c636Dy6Ov2+5_DI+(;Ry-TL#iwFq`cZE;VB7EOL#`YehJS?cuvCe5?+w- zqJ)!gzqK%AmK*| zKS?+);b#daB>W=bq=a83oRaXHgwqm!m+*&#KPCJn;S2&kM}c5Tut^Az5GWx?La+q8 zgb)d#67orKNC=aVUqS&11to+_C?uh<1g8X-gd!4(N+>3wI6_D@Os!Qyep^yPDG8+| zl#x(YLOBWLB~*|QA)$(diV`YGh?GznK_w!DR0@eyAt525Dx^pVQcjByq`Bx2xW=lwxFbBcml;Dz3L_$#s#UvD$ zP(ngUgnV-)%#$!*!U72k5gg(2YLUDuEUy+zSRx@sLaKzN5|&9wLvR$5SIZ@=kg!t1 zDhXFfSS{gd3D-!tR>B$y*GX6_;d%+{B&?UPLBb6ZHcGfr!X^nfN!TpmW(iv)+#=yt z3AahOUBVp_wo15D!ZrzaNw{0WJrcG{xL3js3HM3ZDdBzuM?nb%q;R6XDO87{^#}Yn~a7eJZzLR*@U4Vn626o0y@Vem{3zik3CAV;Ea8NNUnHEA z@T-JV5`L3#TEg!V{*dseguf)5L2xJumIRxG011H-f+Pe>uuBM$kY7TmgnSYl62cHv ze_46`BqYe98z5nt{C1E7D>+tv+gE-&L0;fRE< zBpj9Sy@cZuewFYCLVzV97{OXAuRV1TtXQM5fUOLRFhCsLLCHay}YU~p^1d% z5~3xvlh8>*R|&l&^p!9O!P+FRhDsPEVXTCS5++GVkbsZxtAJz)^CT=rux^o8sS?s8 ztdy`?f;@D<*+)2gLmTC{H%ZunU~QFGw@Enr>MnV;L&E(M9+dE~ggp|Tl&~Mcx<_6; zFX1H#=@Je|cvHeV65f;WfrO7Ge2QS5edo{Qw_i&5M#3=(KS($sK_(#JcL`?@0s|4O z2jrDqLOu!kC4@_msR%48p@f9e63R)CNwFT0S28n!Q4*?4keLaTNePrm32ZJwCM8fN zB~T{Cij(lT{8pwXP^KqPrYBIQCvd2Q5fVmA7$+fCLOg=?guI#}VTOcR66Q)+AR$G< zG6}0BTrELn#(G9x$@B!u><8W~;dTjkO4u&pJ_)-dJS5>U1nW6@wO7K^5}uVH^ARZX z5%{`%oNLnI8BFiOH$2@@p5Nth~Ox`adtvk|Ocan+x5F??Zgf0lSvv1#Be%nhze+h#m43!``%r2|PK3>8^36l_P;qpqR$1eA5m+7&~ z^w?!)>@qWUnHjsxj6F@lN(7sv0{dzSvX1Psj_m6tY?L7D$S!kampQV_9NA@#Y?3_e zJ0#pM;Xw%xOL$DeUJ3goJR?CS%KkEft%SUKRf4P@`&$y;lOVHYm(^pJ)nk{5vdcu- zWuk1Q<(15qU1rNJvt^TUw#hhKN%E?SglZCMOQZoPEEA@@k2Mr4r5#v5c;@On!Tv zgmn^bl(1RCZ4$OhI6JU1rnUzp>_)Iw$*adDJR#v33C~G5JDf6-HW^8qjHFFQ()IyD zNP!Ui+p|8hXL={(T`T1MkT__kQ4GMB_vgatO>zq%NNMjZMB3>a#ua%)o6J&AtY9Xd>HbP6>>~TdDB-zUb8~pkU!Wb+rD8Ae9CmHG>fAgtyG9DBtW^I#Sv9NkW&8#EWN;o diff --git a/target/scala-2.12/classes/lsu/lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/lsu_bus_buffer.class index cb72b27d4fd30d3954c25374f82e693eca06c48c..68cc770f00eadf97cbea7f18c59bf7aa48fe7a1f 100644 GIT binary patch delta 22097 zcmZvEXFyfQ^Y+=Z3*x0+L{OxQ(v)JsiVcYcQLq>6Vpr_FV2Qn9V-yP*H54nN5Mv^0 zj5YR>*n8AiqES;!qVKbNxJZ8g_k+&t>})x+v$Hd^dr3d(x9gn<#AwTV!BiOW7ipLkE_EBZuOb0c@v70CZ*rbD()CY#!T9 zj>|#cODhctJplcn+hy!HL41zU^SY2MX{+0 z+>>BGjVFMEWV;5SkTMJqu~wB%8K74hN=NCf1jlK?It(arIyb&A6HZcY0ei4RM-sg zfObjnkfOH$Jf&+AJg20s=%H#RdN6;9&K0&57<)}2nc#R!M*!$q7B~{KK>vfDXMvED z1H#g5G->q_ z`kk|2e@bl7CD4|f#|T%*RWScdQ-4JD&*bzIz+?K-0QIk_(s>D-Nhgk?qF`GCALdquvrcieHYlrIWSB=-8HP210~%94c5zn z_Uo1R#K^-p|H;%f?21rk1#B!(m$h3_b1@o z_A}Zjtnp(Db_E#Jx5pFkI@50w_|d$l3V8ei5J0&S1XHtT3fusowJ*@!wC8AAm8@QX z9ztmngj3i{1&&G(O5i}VD>9C-ToR)E5An5SlaO#)om#1H_(T^0sY}`ptq-C zZ$Kz~2ZF;}5PDMTTQK&dD(^sl`a9@n-r)nL{{gJ;@4y=T1?&%r4SWx5@gEk<_fNF% zN7;X(eLrgW7ux?LIqv=i4uy?-4=ny)&`f_@uylzzegv)NKNjpHu+?>S2kQB65%NYN zXF-|&p$PH(2<|01NP8^U>gM`9HgxqleH9x@iA-Nb1sq1gO4sKbSenFQ1!!ShpU;x$ zD-PZf)LH&EYXvqLpjIhWIxT@a^|k@9zsJ*r}3@y#gByP~8D-UOA%8We2pWNZlP#U6Eb`Pz5K@SDHbuOzuvg`_pa! zN^%ChT^Z1W>3SK^tI!B%fG`qe6<8}lIEA_ZM9_Bt^sxfk^mo;v_Y!+wj4dl?p;anx z!S+im$OC+B+$`7wi5--*i4`oEy*sdJUZ8zZjMetQyc$rU2gYtd9Xv61rZ+f#_XMxP z7I^`S^a0Js8@!Dv%NtAsd_jou0U?Sm`k--B8t4nqjQ#_>UkFo#5HQ5|3)-7>)F z8md&PCcq$CZ-8nMs&ov1o;5(1gKD93h5cKMt*C9G1=O)%-xOnQ>sn|(1H(p0s)s&D z5U;Pm8UutxVuTqDF~Ye>@GC5_fd%^u7#mG98=}c*a&4r*HUl(ntV&l5FrGVdG1c; z$J|~=Va9#ED!}gT!k`JZz#LA8>wu-#Bi#{=>&nIf;Bnd@yeRg8?h0aKqsJK!RF6I( zMXhCz7nY*!y8F5l*kUbhm)`}k$0OZIj+I(stTt)CgSbsbTA+Kk#%N7Qz4LhX+(s9ovA6Dh`(SEOt+{;OYx z*C%Ty-j44uN7C!JdIhRej(efhMwTjbCv68gx$+RUgLakUp;Vy^_XWP(24Atwl{*0+ zQik`W92d*%es;n5i<~k3Qdf-s#2Mqem$S^SQ#oUNKl-OESU!{kOIH`(mgkii->l{e zQt>46j7j8CBUc{B@=A=KN8h^go_ueK=3Xcjx7=&A+$*Oa2fzN)%=c1AdENyM@T&({ zQ5!Gr%3qk>$k&V4VlSj>)j>IyUc2!C{e_uk))y7XJegb3SU27TVb7uVSfW=U!iuiC z@$w)nZw-P^sBq9}b236p(_RqBl?FF;eUb54uJY0cKLl2GI zF`+kd#vasosj$R*p5@_ms{)U^~96v+V>Y)IgO&!R_P0gZLYBZ#o9=O*=c-jBA?FA3nHr104Mh`cT z+fh_4?n1x$@P>#-s`)|%^D3fhw=YkjA#<3CVk+X>-peW`MV6IdY$|HKH7 z)Ec}DJcIkeJOQ9#72XC8Lfam~T~+wgfhwr*r*c(Q#l7KJl=H!umOrhks%l;tHH|{> zb^eqTqKKy?2qPxH^|Mf3nRTR*3Ha7|q1+WX_L9Zab~MM+^2R&>R4A+m?T+R4z}ZK= zstyDxBAmpeg7+ouD+GXj3IaIZDy*;an1| zs-dq=G@=?rQ@1)aq1$`N^p|QdObPU=s^!ZPs9trvn(2v(t%zhEj)m|lZH7f%0>s3NmWuZt{U4TPX zeRROuwRlg~+fb?eTF}=%2JTWD$}@(RkK!IQpf>kLPUP-teE04Ef%9xE-E+mKjBEvi zbD=h`i&RPOK-Au?hiwvEhd1WqaGq7W1XBDGVXLEForb&XKuF`LunrGGAJ|!*6yB2C z@v%5MaTW%E2ul{o$6ytFA48{B*X1cLW3)zWtagHpV|&?Hy&4;%_e3Z4>hXnaQi&N( zB32*$PLig_J+->9rr7$J+GO&{!XgW7c81+jk;O!y{o=r%>Ag_^M8k zyeXSXn|cF}-vmvWN+~V5F;nm;Q<>2fA2P-0Y_dGuQC$}wG6}Z5Nk1L{r05I2Z@{ls zo`f%$q-|xBSumT#Ijd@wLI6M>IIioRVJCl|*hInSu z?oLG;Zzer%3~ilBZJY4!Y?f3)cRJYwyt54D54GapYY;THd%w6PIV=Z$;Url+CnB}CA zQgB)p4QZTfiep(}b)$JF_PMd;cSS=ybByCi&1{ab8zCM~&>P3%W9N`^nUvbip;0%W z%xaU(p+leH%jZyVGi;xghPm3>3@(IkbJ(o6&7gWCBo@^i*tZf(0mjxDL*Hu7!>D-- z_oCnyyd2wLNI-pS-xj<)-)Jr?m&KFPTEOCNq$cZtUy!UD4Z+F<0asnaDs7|`AE}{I z8@spUHIQ6{!gU0=y9jipYw2W;;k9`>wqk4M=_Z zMjB;h8DLog9IdLYprRROCu-7K_|W)PJPaj2qpR}+@dX+1Jd4NCk>9-F2{WF635Lhu zzm46Yuo;GoGYC#+(e6k$P8(%kH^>F|rdzRaT{6tW4HYuCh90AZw9V?fGGq&R4v2z* zt+8;P8?+?0kqu_)Tw@z?YG;U4mM#vl4dNFx+|-622N)d37g}x5ssg>sxGVYe=HoT1+}4=Rp7y*J--0fc z9IZR>iYO(P5W^ON8}30PI&crvOrU$IFo0X+8p7}1LU%i0{#)cEJSn&%toas-?8qko z?Cl842ZrJ=AKr;q;ai~^YMXu&j}^|j%I$QvRqDKD(`}{jHP`^^o3~;-d4kZi^)`0Y zt1}-4-XA)n->oJ3ozn$7Vt+S_o@dfMxzfE_z(JVS0cIkLShhv1P<1QUySnmrEL$pdD1~M;`SIPg7-4xya?j~3IQe(2g5H3y?AHz9kKMLjbK2SpINkxpO1 z_#WJcd1gXGvsg`*t)FID`a6~*`mk)Vh-Hd>V9e{ukJHCQD8>9*k-7q+!d(lqT~f+7&RHdtC4RXcz(kMK(6V1c(Q?g(y!KivFO{4SfT={ zMOWhAPQ80#v#X;Ri(+dm)pluRgV=VX`GY$0G8DE0YxE`w;fKO{jNmbdTcfB6VN0JF!JSa* zK+9brt3O6y@8lsIs}?GPbe5NT06H{cByUYa#$m)`BQfFv*dWSmIAq*^E#r?NlT%bK4>;584*|^B`4$j1a*_428Hr0gUykyb72Wj)n^7TFWJt1 z>ez~*eA3cv*$f;Rg;XHHA-V&=51Y#qOW|%*aVE^@5oy>h$J`NPg_N~9B7GBg+BOqM z{t>CH;Q-R%Fygl(Mod#ICmpp*H^WSRM59+!8-5%Mtc1UL4upUF{|Nth3E|t4)m)@{P8f?kaxS+8{yGO^o|I#{ z)8V-|_D>bOoXgG3{x}9c!5XoXY%p$KZRhjT{ETI=xAVC>F42?^(YvdFXSM(v`iyz% zCo$@%hdbd(3l?Bvz_ZZzng5xeWWoeo$#x+GdsdnN>AJRCh&i1t=~13FY@ZAYbkwMX z(zAsyv1jSSLLMs4I%%t@nlrxP&JJNCSvoGlik+iri(uZ*o2wa?@!cXG#?Bj#x%&R| z6uTH>U7$X>*d(hK^RoOR)LCUiFG@LC^zfo#KEv0-7ENN};ZiMCRu!IMK z1cyr6mkhDX;GMFUaSsQFA(oUCyJYsDdov)1{!4iS++C}E zUOW~ENVtXoD3d;7t1wZ!<=mNCq;nUFT?XrU1)@{VxW^KB_gBo(M(N}-==+tTGj^*e zt)uX98BE+&Be&Ns1#a(Ec`Q}~fLp3kSAtG{0TXvs*}te`V+SL3V*ZVHd3$vrATm?6UP5cBRxPcGcz> z`0uX78O5(#ET;WxICIyHgIqZZ*FSms;HqnQcVJN2;MIu6ZpbMG@f(mN1cB%%6@s{7 z$!y;+M5?;FVOSs)GVMskm)|gslJ{rCmQ5b95u5z}r%g^jZGu1YY6iCHmW{C3 z50ow-NmEMh-7u7qeDDXTosMoET6U6m24=Q5jaS0E5S5`cX7}!Q&}*(RA>_qy)(hy9KJtJ&`9wMY_Hjxz4BgTaYVGzRv8~4SgEBY30mr zU{hbWn!|2cYwWhQz`vI4j`a<8yOb5XYtx(Ev02D&+w5hxY<_3=N_(;UrC+cIrZw!L z>0kEHb|iab7tS8qC9t3E&I13FJ+!aK9y|QXo;bR(ryk8TOd6B~?Qy*&oJU0%h=l|!B zdQO9~5DC1HOOK#A8|MFov9O->I14KEQU;@K=?cc(ac*owd z-*qpL;&<~ER4W%-^6hRc+23TJ%Y$fsE<%Xzi+O2^&xQB#uRM?ga04Mfy5>i7#RA(Y zdu-?A+b+m=?3@dA&^~$o>?8f0%ZGCvF%iDI z8l~hRl)ZTZA?e0FybUfdBIV_UH|{PR^LQ^j&l-^j2mbH9;98%@`!Xx~n1^f_Qp_iC zETc_%>a!O!vX+Jkw=VhIk6XhjM&~}%`v@k#Bp->n;C(!R9^~^c=nXJ#19`!hK!Cds z(YuY2h8(d6mPj@MVQD^0PH6D2ctgmj#~mOxOM{Qy=p zL;`C%0zfCfM&c##Yu*x_j{X|-(gml!=5zHX-)LU!TO%^XEiR_Iw zn*F8CWZ!6WbY1&N=eTPZY`%{Ds$1)I^iq0?ZlgcZOBXEv7H2UYq@^F^HRA-)sTRfiGR;vtl@;8KFZK^}6S zL>PJkyg5g)Nb`^IA+k2rh(XQY@n*F9IHH=w?-1XB4{ztbLnMOBBe~;LXO1#k_NlY; zMV*ZUlh60OA1yqIR?ELfdsStB48f#XT|uW7@QOeY8De)AuMP-Dc{zG;43jofVI&MR zKDIfnKZOAA>*IVZs9`6dWKM`nd`}}7esTf}Z}$U+S#y#n@iJI_%*UN7okBoYhBlny zGx4NJrJR*)_MbD9(vF6lM$7u=(6Yi0{5mU3?|$GT@Mcdrd^67QD#FD{Llzk~m%8p^ zY)KgD|3;FsLMF-9`|vW7E8;9v`rIBYSl_dJoa|x!Cvl?l$aBaXs`~HG@#ezSNjoSL zf&NxH(37ikc`ya$+1S(Q^E_JCUX$ayQQ<}G-Jm>l`}#a@&dXsdsoY7I3)~H*xZ(rP z4kbJykU3&x+so>DIcY$HSUD9qtj@qKf?m!%P7QSZ3Ni{kFCw>DPOcVi9porCu0R)V zUPK13ybRjBsm&!EY~>BVuy+cs!O9o=g|@nmxJ)`#jb+nYYJ!*0VR_}ps{Ryl#ATRj zH`!ku02~E~y<6ZUj=zM&vYT;e%D%Z9Ql@Y^AaOTi*CTwix6<95w3%|wl6>bB}o^&nJ3;pLDr zI(iKaDoa(BiLQ6oxTC22NvA5y+Yc4&*wYi4@f>#@u|=EP=xOzJ-i1teKvQ`=`y04; z@t2WopsxE%58P-58WO%W0q(BG{@!{E=c2z%;las-G=;yDHdi`x5U0O9c^lC6J5c}0 zH+g3sVB95nlIlD_E@Keh`Ke38kv@p|^nen<=D1t%tO8)!5p20r<}KW9;Ps2V8C5C~ zU`#+RmTU)WgBya|+zU)`w;{s7{|pvr3>H{&u)q?7b-cs9(PUzgCIpt~FOY8B!9qtE zi8=_!in`pz(H|k33D2-~2xaVe@|ajyresmea!2)Ck6 zc*enNJnyE)KQr5aL6m+BcXG|K|$bCH_;Ifxr_lgo(Zh3?f+6-| zG2`FBh2P{YssX7j7v6_jJBki8%SrfBPiNsw`A#B~x;7BD0+b8-_k06y_QbOL{2 z34RCq#Z5R-vofMPEiH@T;$1~~B)!!9yy%ZIq8YikSmxK#MFi4XXXG@dyMPz(S*&PR zSz%9A7vR4q?&#@_v#5rw)~D%yCx@?0)5{7o=*!BAxyWXzi5)d+Mz{z^kdj@*K7I^q zDka2@88OnKS2`|#9r2}K4}erQx{4?=yIH0a?IyzL3<($NQcl!DK5SVzF&J5{PlKN* z{`O9w;}CGCoN}0@64K4`5YkCwE+>l_ZE1{~Xa%}@2Xw5Fz}$M3!0V{#YiGQ^y8Qe zi*lo)u;W*eD8qXLe_gvOh2Tyhevsae)Ynf$;B~v2FO0W?#N~S%8E`-J6Jh)(2(PU@ zw556_k%R~ExR?k6KyJW?&V&nliNj>62JV$bBa~Hyq3qz~%IN)?G&jNg8qBhEScG;B zN3}Xz;H0S3TdY51cb$^`MQh}A&iISQNRK!K0NkRu0Pz{p9qP#e;u;6wg#pm8+w?jB zGr284IZ$Y~rSmSGmunTlbH{k+CS!I7y@aLI?odXc2tiiFk1oXEQPaynVUJSnBH7&e zl%;Vj8~Wdd>KUbvKU|I5uo{T({p6@+=+0yjNy)*Y4802y4Upid5sc5cPcwr>9V94l z*r{m+izX<2CX+qEK+4mohQg;{NEK0!Ar$~m21PnvRRpppQn+CNc#+um z2c?FHhWG^m?)g;)uq<%AwKI71r~kdWvK87Bq<~cK(?i8P1g!|Bq-S`h0WwS4uhMI+ zjeu2+64nqFVSX4^^;aVYCvyw9k;2nIaIAsa9I6V%coZgvAy}14qt<D*?RjDSbqk6L36Yeyn8VurV!-tfw>%?ogYsDuY=KdN!lSNPE|E`nuGu=iLcU3*OrtBYp*H~H8CPKe2p$<=j<$H;cR@YC`;+J#C-Yth@je{t$b5AwKlfCPM2zn zb_h)I(0Vy6efR`y;gmYU6Y*ZZIwA(~-p)GE0&DuGj;O~=nc*Bx7cThqP+VQCoejmm zh3j|wBi!g+b%hsR^qs8>ZMP-IdH{A5Ur*HM_U0;56rQxLo+vNh7RO_ajl7mU&De$1 zm3@8TjtX@H^LHu|9ri_X|F1|8#mUD~;8Zsn@sXkuJ*_W%L09idR9e(Q&ap8@J6Ru! zI5ra1j>ayM)nG+T6^hq@6V$UEs?`|grDg*Wg}6%H;I3#Oyn!nA`N?8BtMgSIET_1taBbI3wz6%Grn+W<|k}mZgFytegw|8HM$Bl^G3~U02QXl+d0Af;J#o% zJm{BZP@78X4O^KP!TBzJB@7+t>OLc`Il8Wl$4s!Xm5tXMAX(A%=E6;eB1?=ES!L;A zTdrNeC*R7by!qf3VmKlS*efr3JWQ065k;z6Nr|met#yB8tFWP4!npu*OVJ(RWlIqX zCa9}?6(R@QVhrY2l--g!z!fo2qyVIUm2w0a>2Q^!{WS(AJ-|381Auta%vP90^H#8G z0fqrJZYu)}#YJ*hQ2H*JW{0hoS#5Rk5MX&7Sc?8?1=|xK!ywBo5!|;}XhRUqj>Ros zupu&akr-T*5SDYaZVlrXT;d%x3etyBHnUG_bQ&z@(-r`)+}!CyYtbJ+d{K5*<_lS;A;xLpO;h4PR7Bqgpp0W$iWX~)NKHawKZLi$7xxO ztlNtAl+_lV=hU{MDvz*qa;hz=YtcV#vEH?*K|2w_>sTIH;%;pXR(F3p;R0f`S`Su7 z+8$4GZ4Uv|rIzi{t{yFHkEzs`zD*FXkMlF9&ZqZ zqs!8gla7|YjwkI%<2E$7t1zR@e{RyFrM)kDMi*^(KP(z{Uuk*yZo)}K%lBt;Ur217 zWxN^PL_0~?ArC>^9z@fJZej_;tA};?(5wW}m~M23Z~a#Sj*8}TLs|B9^Agvo!@z=C zHF>38>D@&nYe6@=|j*v!+!m@r>HApWI|CHgBT+>IK7u} z<*i`p6|t3k7=$0L^@0}-T;`F~1x_nNJ>@!sS^0qhV8A(GJb(j^_q{=~``nUu)-m*^ zw`hk)Vc1ZE`iOq?vY)6z4F|vttdfX|Zhb`(JxdhvRKA~x=WSq*R1|P-AhzV9exe_1 zQ*?zVe~aOmC_Gr4w8%jK5WPFK=_~ALTB1nO*`c!{MXc9fw52@*ah&h!FA}NV zAj=dc48jz?7=SRK?qE!z??4fcAA{ilMapWR7z*6-zNl#I4#wCWipMTZ#|DWpdWZiR z8!atvpf$}OEDG=oGIeHkp)NyUf4UM45&o>3p&-|WV3E5)<&|#Q4TS~nX5=W;S@OIY zT&H|_ei$ciH^U&Sx{X6|&U8~b-kC0x*jqTR4?DG z>6;`(MLiHe$bBqZyr+9RaoN|68jcW0Suf%v;Y{|XP7?H??2%$J>r0hJ0raDE2@M$0?A=2%1E_go{uLRj}>ONk4#Yf=9qQ$|i#70ub38FjRyxWx^ zPNd&JWRoavqNvNK!>lXMV>)e`2r(to-HGBPpKbOv$g}ClB#`kkda@Y8=E|eRgZ^10 z9~;c2m6LG~HLoOX9^IOZkZuWiP7yunhbh>-i>F|tr_h-x(CX!86SbWPx3zL& zE6iTTFe|9vR15iM>U^`WsDcU1fG;t_ap9gwb>OT)$F0_B1_z5ni2fgkmDpLM@ zaTtCk92e-P8*E8@C|q3K6_?Q}%saF|l#%xVMM-ZI1-)4yLeK_3Y8r(M{>p{uwmdzS zz>VrJ0;oXC7QtlTap)rKW_K#JSmeP8RnFv(i-iwx`P&I{UIM2dhEnE`Rch12*kOjV zvQd~&Id2JqDo>SFaCR^U=i4_cVdCMcs~iaIxjmTFBYgErFv%c{vVwlfO74m4@;)m> zC2*ZtD)PCvysb!q0J4@rA-#Ci4Wq;6Y3Q&&5=*w&1&6y?B8O~}NVTHQy7`YBg6;8|7 ziB2NIN!w;Dt^ImYPZqzGwiY*CKsQKZ>!<4xv~InKhU0p7JzR~tGWU=nK^8vo!Ui!7 zxOD4`d#k!|Xyva5DQzR#)HR&rPdZwcN~a?Vt!Jd>5F*+FFO($Z(Wk$B97Bw#*0}+# z{Q~8Nn4>B;q`1wZD;&#g2^tgMB8KoLc)=i(XS&{`#4MXop{$I;R#2HWJ<8H)6iwMG zy7H#5l$-LPEx&9<7Ok1Qg9rhz1cmmz8Dc^lbHPGyhTIf8h@?2Gm*VsA9IiBQ*Z~*v z$b{H%*O3Ve)TMx|ogf;QEnKNrmY4+xP!f1csR43W-)zwV&RtPyQMTv> ze+teaLcY!NLa`OBm|_ghLC!W-r2*{h{VWL@J(kk*F#et#5ktqeVU#l4(4q8yRI91m zL=2BJS1?L9Whowmsa+Yl9beqeFh?@Pb99+n((bgAmoq`U9kQFo_qVfrf4fiLk7`$= zx1=4|SMBBeJm~fg?EdzaQ9tYu(Y%AD)MY2;&=Dmyhp%>u&v<7ntP*TzDSmIlqd(|M zXT!x*cCWLHs6xPqXG2&4AW?=YbjCG3bO1lV%!Cw@c8e}>us(^ut0C>K7WsD0#S(Ng zh}|qiw>^+pf~7Qj4>IgmA)3~t&ydEmeOzgU=LVyAR}aGUNoB#<{*P_%r{~Sxfa5b zcpkv^7)g!36@~a40qPl|arZg;(_~@}iiv!*e0L)E)##$j$d=qz=%eu)9A!mn6~ZAL zEp1h>811A{(fmdmF^pj`MpM`!xOih}F#zt(?2K>!a0n)5JdHh!x(V|x92WRzg@4us z7Y>)YTYx+7Qw4h`*;M1nv$PzqJzBQc0d1StOKrQiueQTGLEGuQ1h7EM_CBZW_WlF^ z-fOu&!P;)08rmM80a~8VT5Ye-C2gOtmA2P6Oxx|-Tr>H`Y6ZSK0S{|mRSeMfSDd1K zUGchRs`x_t#xFti1>Dd+nz>V>DBpx!SdQOEpuyP1^N(=K$|( z$v5hIYNq<(+Rges0Y_=K>Zb$l&~De?r`?X+qTOkbr`>MwPBS%luib4Jp_v-C(C#&y z4VbFkZ+HRlq4uy*j%I4~t@fzVVZh7U&y6ve#=W%1O-gB|CKa?NO|EHAqn2w=q7G`N zs0-TjsK2zAO}S=j>YA+m8eLg4Mc2??H?OJv*8B_2)I3vr)BGCXbM0*lFU{1Vs`h(} zWbKa@7lHq%{n^r1Gqv>6{%Y9{u)p@c0G#iD{ylVw!3H#!LpBuYHKQ z0eDyY*s82%YE_YGttK(n>IbIB`Y|4xJYF-!PGKT85AYbXiv6IOT01f8*7$^Nn0c2N%sIK!G0oKJ8Y|lwqUv0MxpZy;n7~{+ zuLI0xjf4c3>5GZ?y~e8cgSh*3U?Kg!0X)Y- z6ERR?01Hd(0@#Cv_s7Kg2eNAYF|q!GS@r(I0cWrp{lVY=Tr!Iofb|^U#cB1tH-+|j%y@8O#z!$9kpsku|&{r&SXryKu8pj$Aod%e~8Vx-N zc!4z@`cX3tE5n)$YYo_&MGb=_hwWrdhusAHl|_$$1V@ZwpN)71nEZh?8;La;8OxfF zgaVCx!&;20s+mSLVl78urlY2?m@!9Kt8p5O8P`-ZjcdVT$A_}k6RNP-37Fu7p{&is zwk&Q^8`fsh6wNegDQi1)9BVrT$~`Z>o9FSYd`IzW}0@Bb)4>~nWk4{ zou+rxOv%#+u`biIK*(oZr$1)hraxodW<;@s85;mku!Na`toy7DEMeAh)?;=n)_wMP z)@?TS@a&bW=j`7!)8`uN^?7^N`|~eZpU?kdedmm2{pS4266X$K1Lpp#nda$i;JitI zi`k(0*mCoo*x>nF*pLOaHPeDfmOOL;G=IS{Hf&)7&9tx;8@_NmU@l8q_`7CWB-n^W z{Q-xtk&7VqMR{z@VqG&WF2yD;h8`@AXOk9Vhb-R0rZ0XC_>mwws^!s|X?Z)gdiiX?`7Cw$6~G6KR$z~>aARv$ z3;-O<)~>*$SLCp?6@O@^l~!!s%36T+*@l$~fJtoA%H#t;PO>jnzGs_P)n!{&O=MeF z6|l@zzq4(tBiQ!UW7&??C)m!^Qfzl>GnSj0#`dJXWO)>Y$TpSjqbn?bO%N+sGmU+< z<{P$ut(hHI8?4){ovz!j{Z@BKbJ88t`s?Pj{kl`ypL&^fwRPupz1ogCdi9;1^cuUy>NR(r)Wdh( z(<632(`)61>vi+G>h<h<@2uSe$B&>Q4G)f*P1>x~Nj(i?x(UT?DBQIFbxT5tMw zWxd&f&U*6$xq6Fl()5Sdgs89V(~CADW=IJDjbz zKT=okaAc+4@yHv!^U*lH%h7DT>-Q`4ZpS+43C9lV-H#8{dmR5&?|C9l?{#9o-utAR z-sj{bz3<6OdcRX4dg3Wq#Zy^&|5Grxr|#$jPyeD1I#WtdIyXTdaek*h^1?EG^yOrI z?B$#KxGQz_@mKg!eL}&B&uyGsDRL3+8YidWo#3l9n|4%oy-j)Qw$7$4QX-?$Y+UKc zI#BUb-||#-J*b%mb=7*CcF2z?j(;V-UEu`-HrQO@WOBsIu)jCi_|cF}HdW}?O*Ub4 zRASGZjEXkMro7r@6G{`m0JWj3h5e!|?ktDmx0`J$QkBg%RiSi>bM9uFDp0;dThQt* zaBAodw$ocMNWCqndSg_rlK5MRcSSNqaktnC?lW7_Zqrtqs&qIP*x$fdN7-`-b^H=| zCrZf#efO7WKE}XJnZPF*c%sB-O1vwnKPRv{()LaN3rQulCOd zb&Elr0i3LIK)o)hnFh6H4yfvvy58IHElXt)KZ^~cC%_;kHLa*^pdOdh^%9%19aDX` z4IhJFa@x_lOf-z&jtcx%GmLU1h96wwFQx4OhF?h9(XTte(o+^2>_o*^5+jL~*$E6k zIJBdGcYV2-N zoiB?6bJ6U+#31@Gip&M(z6V&U#MC2N#rz6IC!x#O7uY% z@eVYUI_F{8aM3QOx+f1!D^SKh;C6e#@oX=e4v^Sq`+yyiSh~b2>;q?j!8umqffDbE i$9$@BU_MB-4853{j{y=5{D#E)OT219%>tXDA^#7P)(~(2 delta 22105 zcmZvEbwHQN^Z&EYE{JdHjaWzvNGN4ti`b0~c6&Bh*yz~}24gE0VF03XcAR?Vsi$WL z_MLI|^_{=h?!z0o&-eF-%sjI-J3Bi&GrMoI&iZUP>yv%1B{-B|=KscWdg57L3 zmwG=0mMXE&vzP-#%wcobC^|ZaEoY;t<6MC8^s@vLXzDz){An&~dCf!saF+k0w zs5K!8weBosbLiqyU~$V(e>eTU3>9-}(Q?%IZ5imHD^O$Ma$qNcv3=Ak1vU23Ljc;h z0`wUK`XRDi3Ho8$Xn<;~)Ymx!^jeLt6ZBDnQ#5Z4z-jVWt3WOQxvxb>oz|hF^K@?= z=-<<*^$HZ*fMV+nD9+dbPS!}Q-bPfsN~brX#*fr372qbll^~yHZBn4zW`Kv31wbyF z(Wc!Nw0Taqwt)VEMr;LmO?;aID*=eMp;oOl)Ot@R(m?+}UD84CoDTXQ>7Z*gJp*(` zr87aFmI3-VnV@ru+zvu!CJ2wVgUR~afhFufku`nZfug}XKuFyQf{6lmp$}U+0zd(~ zK<}M}CWj^V2^heXY!p4u0_K^6qRH97_5fo}RA)Dew&j3ue>aM1?*=wH7e!U*uUr(l zhwJvVb`R8X4EgQFKx62D0ov}9<2BUn^WN@br>s~O?K_0>z0~qBz&^?cpcaSG-`7Xb z^8<;MISQ=!QD8fOv4d3g7%HuogzLvZI7~f{gV5tRb4WRX?_)Ig1UfrT?%#qu=LG5< z{TB3-)bu3ir|7N$;!mNt$w?GDpT-CZOP5&ODbVVEXTg4uSm<}44LW1N{szX*(af{x z@Ep0ELr=e)L5+jwP(xwS=Yf?y2ins@Y~ls9x>9?oK>

e)3?Zjg?n>-#fN9yu~&DOQg^xz5lX#NCc)1RXL zeTkKRhVC6{{WCD&NL8LIa0Yk_ZgdgLo$X~0+rh5mvXA#_rLD2jap(2#yHz>K%}YDQ(>p>>&eXmj8l zTJMlp+xKYQmTtU9(e?KrO!xpoH)0>rq&uY;pyDUC9H;|b{Dg)`=9DOpt{Nn1%(}aF|*HuegvGeBhre0k7!0Cd^` zO$R%ITZR1#3<@*bj2b@V>IC3R*%J6u;}QS?bX$Tz8tDuWOroR$t0V}akWv6u=vxUQ zD5kUmuMO~Z8TD1BtO7eEs6h=}6u1sRuS$cJ@#VmZ!t7mvMY@2tsSt~B1MPD;&@L2W zecV9{bOY_zLTrHtXh$T?%M;iX4`6$NvHDck3qz%Og7Cl#MRmM@jqyfNBl_DL%rvIt z@~H8bH|SM-P$Q{4urt7*?$N#|I^YAsdtVf_^#wM^4@E7>u>y)F_<@j90YwfKfHm<) zQ5*W+A4M1aL5K?gp&k7mfPvc6qCn7p3jjSd2=q>r7X*4|YE=>ReLohzdc`28rDO#(Ge%P!ydF0fB{~sAnj!6kym&9^ojO8wR~R z8V>bR$6LqBP_F@WuQCQ7K%=TCP^>DzKw4{n@M`Mov;@PbTXhBA8emq0`YKmLfh+?w zs;RziNidv7)KY-gR$!$8g6n{lH`T%0r8?kJVg2h`usYtsZ(w1qL@Uupw9&Lq`nIp%GZX8SaqxsgYiF9iLZ$j zJHP_GE6ZKU{G8kC_?Y%cuLO|2Ll`u{=9t6icnwtP^;CC6?V3lr6RNecv`x+jvBy*0Nsg6LWURKvSTmofqCW4z=G4c! zS@x5`-N@^)ZV&vOD{vd|1DxIC0dky&vTi|_BcJMlAUPdC&wCWNd3QnbXBiZ4CETb+ z8E&ReCAcF9sN_bGW^NDs$`!CxsWdMEynbmOOyAk_^0HAwjJLfscLHA4f%haUdlU{V z$sMVIJ)c809l1CCY|raZiX(4F;~Y?WqZCSaJD@bvjMA=-C@toM(&vsSZB~XmkdK-7 zrvqiU50xu{D(B6-HjOL6+r!QJA2zd!u$fha%`C}g2rY2t-m+04n^|z)(Z}B<(ML8V+gPTWO|42{s%J`ACL^3Nnc=0u=?-U1 z=3Hq^re;aYWX6^>Cew)AOQEz@S>6&Z^V`ResEr3N&0m^b$lHTgXD_A3)xdWvdgsFZ z^_OOvUR#tS^F(e%V_bLxL`lawVCCKh3oE+r!pnk?+!_S05aFP|DpEbA6Svj17j$eR zMnU^x^i?_D%Kn8`O?%0#wO8ys3XhlLQ^<9TjUBac<*nH}Icu!=VqvFi@96k7G=1wW ztQ@Pl?k=8j&~{v`vu%PCN}yyRsO{y(1Nl31Z#wP|eIMe+9mG2)?Q5yY6@>NC?Xo)7JnazWv{Vcg3pThlb%tug*uYYcziQ%6s5 z@t%%&@&W84O{53?n20r8l_*{*b)U}V4?S-Yjw8h4n>Qo2$y%(TqJW0BQCTdZh52hvFXmG7OXp!Zi-lKeYp7aV$RK=H{q#?6_F+X5| zz9_xw2Y&uB`iZT;>rzAoUY@?Gz=Jt6M^Jn&_s|hF-FePE$=@I1w5GOy>Yg;#pI2pe za#`Hy@=b0{7yKbIJ9_5N`*3@64E1rtI(-#@$=Or#UNHX4TfL-?kL>}xk8pI-E*n)s z0(m+4`9$sqR~i(^ohdVb+tKVm9?eP_qx~9)?R7m6^J*LfP4^7~wk(KyaUU$<@hZF` z9Ee9jycV zr4hl3cus;~Vsf&LL%1L7KylqL**PJ+G;nNCi)rp)j;7>D?hh)|*p0GV!HRVt_F1o_ z1GWgo!gruOp*)s%wAkK`vNJ>DDJBfM)sf=Ecnt{}g6`EBs??EEeGM%sM!$w}Nw5k> zUma;=IC$$~h~`B&3`Q)ytyC~AIu{P%HLeWd#ZpRT%(<(f^J>mr$+-&JcBPS3RLPMl zm~&TpZ4jGRht7KGfDzT9 zr9BPp+E*Ql+snX9ML>JvDLEeE8W_Pnkz2`Mfq7^93!HzW=wWHhCaxtc(Uk~Z6K848 zAe83U!hQ*=!6W%-oPXh0L5f}^Y;}~Y(=)pUgfyCt*5HBY16$0U!kTkCJ_<)I&Q5<2 zVd4UKJXXQ`IrL~nO`cRLUaQYWX=m7Iwug<L?q!F%}b_( zU>(^6%w(cok4?~*vhn&MmcX4c%CUN!*c3yd6Y68jBuWvv(b4)~BGHhbS7V&WudZ=B z!4ff65fLXECc1Y3jA3*nFNqe%r(=@SBEf1REs2C|5-GcL!LCcB=aEp=L~7T7cW2Y2 z2D;MO2B*q<%_W?B1MLL1Gf>)(Ieg0q9E8 z){(gdk085d7*uX3!Pc3ZQv4^V9q$JDt}|RXL%!?O1|T_mij!WP>Uv2xxLK82LN(W$ zov1-;;YH(G@=$#F7#&?61m4%fLoK3H>*3E*c$Ny4O83j?*In3<@2r9XqABGGhUj!dh@bWAsWuvMngMBNApTZ;%-qOq`<*SVd} zHcMT%Y_rW2wi1f3X1f{V$wQd_S_t2wM;AVVes2%;xYY$NA22&w(gEJ}tQed%Ta7?N znes}_AhxaaP%d<@X55~pbcBJ}Ml8c3Sm?MNwT{K=Zj*{0$hVoj3{$?1vSQ()r%7!N zp|Gx)ZCVkPPBTOaf)BdBB}3}gL^Uetx(WytSU>>&$Dg% zCzdYyur#rNZ54Y_F}EiaG@T~(f`V>^f~FU)S3{m*?r1F4wB9fX8HN_h9f6V)LLOBY>oBFltwj>Wfo2+ zlj`^5ft1soyQy<=em@>8GM%*f6#gTxptDSZAMUPH1-L_h_!5~kraxam6MI2R><2(g zfZ5SYhB4Hp+dqJZv+d-RUZ`)|jq^t>(sqhVDlob@to(6MSSaAk=7N z9KyaFIvj^VbEtMa??hck;Lyv7hcCF>*n@@A06_&`#scj&4^?}J7LLGX-!O^~L%H2( z=*@y1SpF5Gc?;ZoVFI4?c{F4T+y=NjbAT&#%~dCY3NcH(4cce_vFJMIN@G@J!nuV4 zC`PVhd0RRZ2QO~SSl*lOF*i15{Anx?V|xlxGzjDz-WTn0k36Q}7fj-<$aXXupBl%< zQ2m|Yws8V)K@Ub_=Gh6nCjCB!d#fegD>oE`WUZEmhKxn4dE?P)m26df0u+0n3|OVO z$4ubUaPI@pP;tE;c-BNdh37%@RfX1*;G5ZCCLhr2w;Q=K~3Ch=yJI3Bw&XfltZ ze@4y~!! zR4nIVBU7MUgKkq{)IpFL4HfR=BVNZw%9#qSKWrZRMeB7G!8E#)Ig!^8hn=(}n(l>W zUnL^uI!uX)I6Og3H4N%ud7QZ!2mawA?y1)_URGB7{!7PJ4Buxh&6Z5VX>pkHBsfA3 z0QgaJSz<}th00Hd5j`fYy5*!hW+;QQJjbM0;!4}6Ww4KPxhrmPl^fAJR=_8lhdq7XJo$?lb(F)SaHo0mz!>m!^nLz+ z_>+~uKxwj_55ZoLRzSL|?dOB13q?K23x)-hk${d8m0Nl_A2#L!{X3tBhzm~Iate3G z93JcxHnK{m1z53*G-Uxy&-dnV!#;jmz(aB6tbB4c|L>{QLX35Z`s84%EMLe=^2<q zL~P&{sMQkK&#RD}^1`4cUGtNf>uULaxX-lqD5)w^wIF07Q9&aZ$*-!`;JMNqe~oP~p;L#)i5Et|aBdTjFiFPj`CF2>f{vK|Kep;85SpT*?X4aGMS4ix~f zojUPHmYw9e5sd9w&3$osuX2(GV~-3&r1ZmUBZU4~o~#9PeN0IWEOVN^5w_$pe4au- zO-Ef=lt9^`2X-hH&WdbPc>sH2$V;Voo)jdB5Hq8Bfm8kn3dWWwUa);#>0>JLnNQ7? z3(U<^s=o>O`KK1|eqj;>{L~1+kdiLg&rgjEhuY9jWt8Vdew(?ocq(ltTvxc?Aj{NR zkwfXJaVR~L2SjrT(pp;E787}`$WdC087hzzLuDaZp#^4kP=9a8SF@;BuRj>c z&Wv3U3u?;@rrMDo3@$%XSQcEEPc%M@pSOPxN8$tf$Uf>GAVp{MrFe<*I2&^KgY0t< z4L-`|C8)Xus^l&>@RH-`&&DQTZ(5nd^pz-7-8Pwc+z#{49?c|yLlVjRn(Dp6`r`N zaLnbsm`)>ekr&q~IhPN|Bd^c7yb3wwBFMaZ20Ciq1q1|4-iDu;?Xw#_gHwf++9gsk$68ix-4Us_52??yI+yMY<8U;Wu2e@P2 z%>#VA-r#`d!44u7a8j$q&S}lq4Xrc#S?kZ%#)D#+!K6=EKM=OQ1iFE34L=EIiG&t z@_179^1nqGhsz?l-&AMzGEerUvs=foAn0r?DtVpa{Qzd3LJX+r_NUR}Sc*ijt?A1EM`*KHwAs8u~)}J(VWEb8%EjY_3 zaWj?`C9dRqj*n+%T6d05$74Q~SeC+|%V+Rs$a$>k{IhV>%3a{MY3(_9P5)lt1DUho z-c7s6D+*^PZI-&Q)OBZL3&JS>H*k~%G99+oikqM+S2_B84;ZzM}^$-!Od=nvSM6?bFm?=JJE zycD*M%9g}j;V$@UTX?$J;VaVcL6J@`sq3YrwG70ioD33HY{WHzUdlXH4fM?=$gRf@ zyfI3#IJj1jqwJT|dq2RAmX-mUC$+hXQ>?V%1NKhBC0FS}AJA6UOB)VUB$}e&*;NEK zrIo`fI|HTvHC!f?kv-J_fW=3I-3$kA^i?E|%NS>)?3I^6ZWOLX1zj&=>~Ca+?XC1O zPTF+E?d2c9*RvmaV*%3YLa}(!SJyFSS;JqvdL1*UnF~2t5>sW!`37{WtdaVychgcn z@&@j7Tn*>z(ha!TuJrH*oHO`T@`kJaO+{*V6N*+I>ZGnluYriKAq5IiUdF_3ROuFM zd3kDZi}%G{rphpfl|hE+@))VgX8183W6}gk@+)plIAf5oK3&A)qM0dJhk+5>?JvdQ*FyaWSTq*4y zZZ7;J|HvO;Ad0<>A?0$(R`3gP2XG%@-2B@ZE%rV}t59S#C(`lmgkH-w#z+;4jMV7? z_eAya1*%Y?NOvRdN(FcT#jIi^<{%s^ipj?*Uq$wSlk&O35%0I9ldB=6><_v~d5DFs zLX956`c$EuqaaHy0Dj{k7Pl($g=%rTKEkZ38Aq~m){-B=Y*v%mB8c0J1fpKeIFOSF zo1mI;;i;l-kH@?WCFNs6IIFFwGhS}+YB-oIE~g!8D^&@#D(EIuxH;q&afZ+};+;U$ zQ};pDp72suRkbLP+dhFd^ev)RKRm&b_oZw$Ft9#-sn1g+T>3g`i{y2w zJMDPNo1^xxPx(03uSgz$;WOw|KO=5az4SAT7y<_;-VbR_m>uX%Kk|HzFt{J}c#dnO zQE-dYi9Jd_E@+J_-GcAY)bb}Jr^ggA5@V#1@Fwq{k#8AeERQlCV~k4(ukW#G#?a26 z`5Az5FZgygmdd~69RU(v;?{X;-oBSS!ISUA?SV=tgh6)5%&H5US9ZdbH`P}7GoJgO z^4(v`ktO}jPISSGYni3U8)7dOGJXKQda9=g2NEHd-HTc~ijM4{T+Au}z1)NkMVA#O zimfYb$-yjK_(AEX$X8#D&G6(7$*Nw|(oHx6|5E>hS@+Nth@6EPq$MRqFjbl-?CB?0wEy5N!jZH3!rXDA_SBLXVN(f=g|{wNlvPp;q`J-) zcH*5y0IhZwfl`&MXhSKnf}g~yN*Uo9xe6bTz14BI>j;?~7zmlHFD;^w;8Gl&DinY% zT_jP8V#C|puT3`S1t3p1w+D|QAQhk+|)mI2pFM0d+VMERvHJe4dXLTR*%Xo+-~ zdiit5MNH;rEnJ;7xKa;Tc9jzY@G4#La!w8rKyj|3GDt`UhSN1y(HWVoFKnEbb-IG2 zieI{k&gATB8Mmn`#IW97RK|l+{LwwcNF=220KkWSB0mvJORz1-=&rx=ZtlghQ`dkAx0vX^jSNEG1>jI_+!RrTye zCb>%>DTG^@07+AsqUPmA9MVCG1LSE-@M}nk;Vl7LU6Vp^rC=XX6~D;n>m#bs{_+^k zc$;nA=UlwXJJ+Sx+|D*K8Nco~m-h(G`&0les97!Dxduvsb=cl8tX@vUMB zWd(Z&D~ zjPwT%+ZK4R^hC0NvB&*hb;%Jr25j@NZY9sT)#uc~Z?_6*4$IT%e1 z5pxiqDwE_w7wh8jjrLkPtPzM!)hMC$u+Qg)Vp(4s*|vgoA>R9;#!9Hou}aX4r=emP z;!>$yYT?I(i5mD;dL^}yzp*UGpJ7;zH^%wU`-5&p6~jek6i<}f!IdV3!xX+TJV^PT zPP~E3R(SS-_c!pEREoA(Wys=BNTfp4OLT=Z2xDe-cWL75hQ!ssg_CC-q4fE zq6vR1pHjdHFP6RX(Y6x~Xcqz%=@TwVK@ z0wY8_`P+%f5!m?w5m?~I5h4naDBeXR3l|DYzy?mLA>0wz^{XLTAh6q211cft?-~N$ zhS{Bxr;1XD@Y>eI@>x^#M_!Wde@0A{Ra1B{8~VN`G`=`F)&ek5bS+Vv+nOs%X}Ht& zT0+KQFcp^cnk`MsLZZsPws1v(x^DR^1!)c20@43hDu~+TTPW02*B8-sgfIPETX=)6 z9*n3QsGa075~H214Q&}y2gUZrPLjo_dbExR!E3(+<5iNqyvwNo%Mno*``*Dgz?aq) zp1_qhLBkxl1I(G)0e{uS6i^~vKj>Krltic`CsmPQno_LfdQdE!ep0g)OOBTPa{;zS zFh?k_d=Z5@NIgaXD4$uTHgtR_ONw`7)+8cfhRf7Nza1h)BNQo*SqccaO54iJjqI?b z+`-86%at=zg$9_4Q-K*!y&^NvKy>9!2#s=LAsbT^jFpq&m8i!jPDc3fbren_zXmul zPe;K%In(b^SoV@KZ6ueyB(-cP>LJ2HH1PpGY*<66%cfu88#^J=xr8!AG~vaeaO)f5 zS#EBQ(nDOEAcvLUn!p=7EeYc@>aNQQ*`ZvM@ula zKE_iGkgVu-Q{f_Gl0`;V%tt!g#sIpkC!fZsO!?quVmKlT*ewrwK1`I6kwuDHLy0X{ zt#w~zv#_z7!#zRe=At{mFU>^=DnSS3Yd$&H7Gp5)f`pb#`z>t&P4Yv=*Si^x;Nu%{ z=dF^mZ(6{+$qj+Z9zf$mx-rUh z_Gyhy10+A~03OOvDW(qmAgsf{cx-2>*h>D;kG}CrxULQ??T2v=wCl z&bEar1(Qv*$i%N^RQexV%bKo5Ln}kcx}E62!wZ|XgCiK*4vy>jb|Q>brN7%@xvNp# z_M#fEZh1#pUGm~a``e3BAU0CV!KzF9<4&bJKmZZcyaU?Rp!prZN=@nA1oE0VcGQk3 z-Vy#uO(PMic1%q}8~b(yN41QfDr9wpaj0e3Hx;-(?l zqMy6rKyO_1^0aZ0%hO@qagp8F;9Tj}hVG&c{nQO-;;ZgZqo(BE1GcA`bS1+8)a|;u zvuGw&!;Q}L5Kg?A#S!_`16#NmSeLv4X+vxQcXuq6R8$V2m(!4oLy&^W3Z-PAOelJmu0hehdb#2q!P*J(o zsH}WKe^kK3N#o%ga6ID;l-=hPy{K+RA9{=SbgKvIeLqNGx%!B{tVO|Hocw)-V}C?I z^#)=aw3OC15CFGGuGFTln5eh>uft}?TUZpVOh3_%8u!8OT+mPSqw{@4MRMscN{~%o zj5npf7(r+HifF1mKt!{)@Krvd6{vR&T z+~V(9Q=dU#WWfOV4A%#V{^UH+!btQ$Fmm=Q5l1BkfsuKG#Xi=VB8R{db*7jhutZ&m zhKLF*#?Y2qL$K5_P=2MQc0*x{V~n(gI#gaYLChReB>REK-Z6%GR^{u5;?RjvS>-RU z!DEy~P8o*5VwIC4Yor<{+#H-ZpsM@Z*dq70KM%u^+?75LgJJEe{8)L=S<$57qBeCH z3OOp{-&LM!-Q*Mb9K*uI!f{os&m92;>?XrQWTK?QacBgBrEZ8T1J<(Je^KV02$f$iDC$wDNhk_n<5 zUutoSm(oXBwv2lJ%KfNbqA1Ci!;?{5FQ-{kP_}|L{tK5G{*kTGIK}K~R7j!HQ{gBP zm|sc_W-o+YwXl6Gej`nq|KTE z`X0I^!CnfUiKhE#*i3xo(XpBEkW3UZ3q)HQJ_|%UIxc}dm6{EJAJNW6nIol3V5a91 zI8lW;q8>e)4XKZvBO1ct+&@R$gv04Z@A`@Iv~R9B4zClQ3^ddQMkqQ24zFGk_tENF z;MhD-Lf#S-q{Hzk=)*j4Taxherg-G_m(9oErRli@WvKQ7fU>k?0c?$nG>R1fiY*km za7vYPd1ImQ0xo|qLC%X11(Y*#%PQqr&e&vz_p)A?P&j81f-P5-Zg6%m-0e|Gz4lSB1xFZ!c=;4v7IIhInD<#Kd{OJ-VzH0A%R7uD2q1k4jxu*+({Ee? zvuvM)hyf?2yy{f>MEB*8jVfA(BH^xL&mVCHxtkMJpFn~sc>!{7m6Jtn{H}RKGQ1s6 zN=+8an3r+YpnCEu2t5!}a4&hb%QkD4B7*ZahZ0+k7YN|^-$C^7n_i23m4km3mB!8jn*JnDOMlW(j&DR32Bv9Q8M zW(YQwAkU;#2uZ?>fGdABMtVv}RH|@Jb%cCe85VBz(R8&aOHEdaN|wgER-3HSO1mTJjSw3vtn7r2}0jEv%2OSD`g) z5#u2bxEAh4giJ$hlpr0Gxw1}70WMuT;{q!JPObduAgx}HHW7w{{6#GzsQ3o47@jQr z6m`3A3;d`gDX+GGRFlST6cykJBJ6>`Ne4ILXs=c1;o>d>ZmkTslybSHim@n#-r)|W zmJvDPs@)_%9;b@Myf%VFwY0Tq)h2w`0gvjt4z=AZV&Pn7NKlXX7BPg^$GZiYNYnND zMYya_M`d9ov|nY}^hismku+(mh~*7n98+_#Y%jJVqt-}XM+5^{;zE1g2w@@4wjiN5 zLYfMX75!!LVoVQj;EDss)-OeFX%Jgu>XQbe)kMnJjb5f9lh{NCJb}CkoPIS*uXOP> z96?2FY9V@Oh>p}eUEm#QhUf)<3JxNozRmJxu{jKvY8jM?^lb~31F*CAvE*v>7PKK3 z)m-=t3v@oCPK5 zEJa_6Mr4Z^_*P%!-^CDh7mKL7qHH$DJ%Q;9n(Pu#=*7NCBB)oI6bU zkcg+PY2|(~9^bg=3>YW zR306l58|X4E`#y{Zibr!je4UGi7k8tel25|o)J|4usF)%j1-V@B^mdHnHERHcpfL8 znaJG~S8yTO99NsA4`cPK9u<>8g>ecJaZdQ5nlx>?9%lqChKY!y&|`4qM$tk5+?v@L z)Bg7uY|0oKa~x%3=Uh21@XreWtn;oMFP5Lj%ju`{_Drw|=ZOckOpjl*?VjG+4$p4d zPR~Vvd0K|&B`wSIH!aJnik9s)P|NXJrS0~*s^xlHX}i5cwJh(Zn#sGBw%2BR8}(u zRn$%<2K}s^s>HO@!7kc&A+yIW_AcCT)(cDL>)%~bbK?S8## znyFqh?Loa6fGJvjy(@rEv`6(bHB%L`0v<-=I3ahj>sB&N5@1w74otHggbQ)?$C zT4M&SdoiolkVfmB%)0e`z{kv{4H#+@&Wg9`1vrYC+H3^OWwvd1GrP7Am~C`%W*5Dj z*++k5cI`ZweY*tB)NVd=Xb(xXf503&)znO#;FWg5z@6qXr_QG}Q|DW(L>EY^OF8D8 z*rgeeZmeXNHGmncR2L{vmj|qLjHhOb31($tdII)iWn(r2<}jC-M}W^+x!6*gDK>z) z#&!lA%-muLFq65*-UNKfJiEGRrmp_XyK6<}-K`=k-)#W%={6W}8T09$&U|~eVSYW^ zvqayXQwNJ2CV|z!DI|I% zn8fN1Jpy=z)f@U*GYu=j>JMuT*qcQTgCvLTVhx7f1$@JzMnZxk<5|OzF9H8$jpAx* zrnpwDaUAp~F7X3v5?@I(#n)#|Ufc9qTru zCF?q49E+KOJv?I>>ptVXW}2z79y2?zo-?Oqa7yvkgjaUG&FUV!_3w6!3uoxS+5PGmMnk6j64q3Q`Oags>Tu* z4FR0OrY(X2T6Bs{UtB^nE%stxFK!3enax>VUP`nq}PpN3adc4g#KK8<+jbQkU0co0cbz zXPcMjv8~I0Wf?1~vCI`?*!C4?*p8H9Y*$JXmX)%aWvBdtm^BK&u}WdN^dsA|GLY?E zIfd<8d5Gn$GPC`wf^^fWsk-f|!@AvSC*6Ma0Nr8re%*2P@49(SgzmIvoL*whUfp?Z zam}>WK`*&}x@KCxL@%`-|4mKeh6ugPh6Q@r4G(meja~F|sW)`j&F;GU*5SIxR%rOv zZ*|YD5cSs2y4SYrx_6qtW=gB1mrsK-rS;Q&(r)R#>1{PrdPm(a9XlgENw1K;AMk?i zpHWRSWi-C7_wuK9=fxJ9=5Bq9-cKuubg#O z56OC{SIK^n)GA)>|FT(_0@aqqjMhphq9i z(A%A;nW(ovu}tr9;)CAlWLv%S$qc>Asik_%>5h8r=_7jA?*{4JzI&s0Khsw4ab~~X z^Q?>B>+A%*_t~p@pL4-_-*d2v=hF3l=U{HnJ9 zTB1Jc+FgD0k2Uo%Kc3XbUia2a^1-!pU-p*eJ)LQT&}$7%Uu{!5WsOaH8XE_yv(}~^`L4AoOIv{<0iqh%uS2B+i;=3BzuLw=Z`?YYA34iN*<=$! z6H{#}Qp;4EP`V~DlOtYR*>19FL!TvW?k1axP&8Tbkwmi7B{c0$+-wy0^e+WSp?2w;Rwxhs$ z2O4G>z4r!AH@0KU{X1+bQTPsE&O3qO*N~wIM^IWT9$mEDiLuYfPyFyOl*;b{h947# z(qxI%OhA>-vdVS&*?JcW!m==mi6VER$N3VsHSj0E<7k#AGZfg`WX0S;M8;v>IUrvmMXEC68lSH_krP^o@!NN dAMmP5A(Ho@v%U&_DbapFE9EuHvl$xv{{WVt2crN0 diff --git a/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class b/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class index ed1638bd216cd4bf8d4015d38699cda687d1fe5b..2dc9635fe4b4a6988b95a55b2ad3a0183edc315a 100644 GIT binary patch delta 310 zcmXAkOG`pg6otQg?m4F{2~un1gPV$pQbGk4y`@Z(An760Byi9qf}$r>&K>0?8ub?< z5TOQ5n+FYQ&?Nc=%_4%XI$7Udd&6d}pX^QU{#^H1E(FJJ5;Uh%H%Y8oRX?nO;kJ*6Cd=O1_?9DfSF~`+$CjRF=W;mHs2XB{}`1P<8qz}xx=Ka zFeUGpmM_f6Pv(>+t>P@GJQ=meqNP5mbQlSz cVQ(ut8x$Sqw6H7T=gyLo2S<{W?5)V{KO12^LjV8( delta 361 zcmZvY-z!6L7{)*6d%oW@vn32QY0@U=gz`+1-DskfddpZu9S-!)qOZUi|mCe>}*O9a1tWL&zM z{6KTXsIqciZFrW}X?u&6@P(_~uhG8oZPdBfP|h!mtUc24uEk45-U>Vxc`8!A~8}@GCK<;VZ1Vkg9B21Tv5fo`cB1gA4rbpb;E6SLPkWuP-s6Z!oCm ziRsr2>8}h+ol)7tm`pM**O`!qOiG(6c~4w^l29I|Rg@W(VpeUERC(sr6$`4wqWZ#2 u85(J$nPnr$iV-7gWT@9nrg?glR`ou0YZR~MiLSTX@n3$NJ2t@6he9@l<&QHv$H#^^?ErlKd|P#nKv{4dGn_1 z+?0EtyW=a2u|>rnHQ1P*p^-}Xm+2X5&$JKsB$;8b>Fo!*hjKkNmEC>Axxv0{Pi1qi zE8Bj&rN3jOCzoWF!OC2b*4*Kd?!jFB(DAmNZz2FZ~5W z?b)8J!OmzFMCD+vvnSU+T-k=+ZQWgc+2N7F+;W2@4jdoO4H@GeFECQ`4;tozy~gpz zE!i`SgqdA+ID4kiXpT$Nk8QL~ZWx6n4J%XQ5{r%cs``YPSYeor_26C5R9>D)jZ5{K z3;vkp8tHmf34@g!tcM- z^KbF{FZcZSk$);@LwW1bzdm~=l&dJ=!_(-=Pxt$;_WbAj{nvW_EByXVo_~wqe}m`0 z&+p&j`S<$$H+lY-`2Dwd{zU~o{B559bie;L&wsw(e~0J4!tcM!^KbF{@A3Ti`Th5K z{=I(xjOTxe-#_d57bSi8+dcp3e*c{3Ki}`)<@vAh`*(Z(Eq?z)p8r0-f3N4?>-X>X z{4eqQANKr<93TE6&wsk#e?b% zZEQZ+S9We^*Tf2^(O7n5YNBlR3}d;OtZF_7TK>_lbXom^snurD;q_e$rY>JOC(#6Q z=NnTKyC#+w)E(F}YxrpImb(7#iL2I)Szmi#?fMgIXSNiY7dMn;7iP_3>yoYY<>iKH zC#tdwcGX_E?~JWg<>lsuR(Vrb@dY(Q`wBWH9jLEQT$ZjnaIOfSHnMTr^3KDp%{dHj zDVVlnzQOw&mo#_v?Vd5PuXSE>>>1b@FqO#PS z8Kz;Drn2?x_SJN?Et|Jv!IZj@OA=)zebY)xl1urn8I7iS#?0~A`Yp@*o2us}8yf3N ztaD0=N@f^lMIu{Ow0Ft=%iWI)Q^pmg=2T2I3zF3*YI~<93az13 zSp)U|rG{NQeCX1tle#7^+rPGYFXA}U#j!AvMSIx4cKt=$`*v3M?wGNqq@<}nov>%7 z%Cp=oL;KlTU9)oii6wwHH6bx!T$OdeNL#7nXB+9>uI(q5jJcq0XalCdv(`<2rkj3q zqFbcDtMtU0`DM!wtXw~DMtS*o)X(vmpr6*67Tp|aE`b*cg-|7 z;#||acX|7+i6^%A?yBCu0n*#JZ{ZN=H+7EGZ`FFE81>7i)$DI7sF>Wlvlh!cUY6HQ zXG!JMx`Tmq`XHSqUpkXSI=kzRtgfDSbl&djj+GO~&+ebLZ2ulC=SHub6UsVv^iN+5 z^*PrWV-=W#iI(!R@^!_3>t{wuDlvV+me!sa>!>#qJ6G|S^$_=ol~ZfesYGI8)p)aDKD5i?DXD}trf|+& z%Q9yd%$!+nVZB;)#TP6b0ln^;>($Trris&z^1aKBtlZ7lE|^-gKUZ+xF+K&#nJH9u zVI*og*IwF=QGT`s|LEO5&)%UV&gqaW;J zLqWw+zPoCq@zO)sZZ7cbI@N&fCO2`~&N0)V{hSZ=E9~x)iJrX_pxsR@no={gvJ}&= z^3tCp^mL%9bo?<ThS{Z5!Lyta2|Rjz6Mi8V!F7n=)| zy_Clf{5`eaW(Nzs4w?yc=>Yb87H@#2@*SkD`wKb}(CxpLyP+TNWtL%H)oUsLNk zP%noskT}a%m}iQ9io*H6B_pDr$*w3VO5`RS-dcZgc}Z&Nob35vce%+WrRG>{pYx_H z8_KLdQP4ki`F_#=?Dxto`n}1EF3epj>LE2Dl_*iT>jm!4`BRrsKLY(yqR{PcpSyA{y$f?|i+hfueI~}8JRIvkG4vlf%3NF%VS0@1DZ8LTw1>nYx4hdX`1%9ruS=RLJiAVJ74t4=#{*3h zdDdtDhjY-+FQ|7q_m#@_*D!u3^ylT)(m7qlyBCUf$EPprT)Cbf4#b-^rpZV*E#aUa zu%l95F3QuecWwXVnsTd(`nMgeCt$o>+;1(``Fc5?VmX@kExd4F0gZo(H`9krv}-vY zi1O|=N`m&d$4r+9x%JZ~cTKJ_CkVYQ8*abk?Bq;C*x!+jQx*+x!2X=3s%poWX|?+sODkY}1wAY#JIPF0R9iV^IgFQw$*#^{)YZGM2J~i+ zD_>pH-$d&Qo$$wrUd0S44j@Z&!84hKMFr*pq*%Vb6~fPyBEVaHm!F4 z-h~I&7SF4N@zI@cnN?-YXcrx8mz=))koq5jehTzdGu(A)%_Oi>=>!)7d}J70?`_s-ggavy?r8d)yrpQ6KyN0+;ARJoU& zq})rw%MJa?mKjr4bgW!5t#1CV<-;2;Jv6C)Rn2i2Cu;k5RUPSqeiQN)Y3W?R%=ums=~!*1U=vz5!c#^St*+^#nJa?XV6y_J@ zR=p-C$CK1>_xUi6OcrA(0JsmK$>*+kPn9m8<9+?C)*r8{XRA+zqRqSy&1! zh4s;ZRFaK1*w~<`567s~c64_PA55}I1}j$4grC7?UYI?St?bG6b-_yOk^V!u%9h;l z!TyeQ*}jgR+)zbxfB&J8fh3y(=|_tu*))R{kh}p4uC)4EF?giC=g`59!HOh1(_ksZ zf=)b=?uB0t~D4J zDM=rLP0$6~UCCtzD+#2iD|)l-gZ&ktfQ8Mjd8(CqlC0e5j{sJk8|=)sT#1%I(>n z?hc4EC&c@_=I*}so{^56_}u~H4=+C-WI6s!fNXE3yU)iC3KoB;$m9kG`vD-z7_9P? zX?x<7LkiOv9I8UVBDem|PWZ(F*_80k3}%ml{d(RBDP7n(($hog0pTK{Cr_$G>CW>T zBVwok%mQPzAI!BMg67xkR=4s54U7@#LJf-_T@Y4rw!J+!1a_Gn=@B?fd%K4~w_Sa? z4$reFcdR|r3qPog4COG^q|WZaOh`aMZ95OVTlAW+8) z9-}dXr>IXvL)f4`Pm&#koIE?UQ%yzSF*-Z&)XE0D$iAl|(~%v{cJ^fe(0DWs&!@eA za1gx%f)I5AntgAsH**vtXNC@TcMj)3w|r>0ADWKv1a^#%C5s;G^O21#P~({2fsvui zU@lA05JAzZ`mObumennp=BACSv0*|@_hc)#jtunV7J=F9)I82>_J)d02QGvk*Ouq! zgKg5;n(fN$=pTgg7)=0f@QCcNdc{wH!jRPnA%oD6)kqU2C%Z|I8#{Q!?Ic~n@y%Y} z>xO}3iRgBzA9h72_(av11+u07&fFEAs0+)k9;C-<9l9$%DIhNUdyuCAAXni^mgthX z3XmxlX~Hn&3YF?Y5CT%5B{>SZV$dxpZOR}eheOy&9Mm3<{)Dg zli66mwP`zqgI8N-bL&=A-kSPt&0E35MLVx=Y+T*eCUmnf#1FJOO0GJ@uO`F~kc^7E zIK*#Bh#$<6#`*EB-dNwzyc!UO_yWcdUqBh+3phi30cnUYU=8sFv?0ELS1W5vecOhh zKG83zPxK4w6a9kvM8BXu(J!b^^b6_}{QzI_s>a5aOhf&~4Vi|ft!*$tAP+&0A$r%= z)tMbltFU#EkEjFbLkX;IY;;8mMI@>kFfqZc@yDPvsEtsbl*Yzw1Oz}(8m+6>Hf`GI zLTamD)v9RGlqn&BLxL|_)l#2n*tW*)4AR86WtyPDa+g7i$2^2=TLzjmjQeZq+qOc( z-MYH9b=&5xnby^-np#&kLW?MBYOHTuw>ndeN&;+SCGXQ&vEK_jDa`|0afx3-YR;cf zTV_W+U>fI&t*-ZVc}fs{Nn>t$La(yt8!saZKT@whYkw7_r6^RJS3tMQN@bv4Y0{I@ z#WHf~)4Va$w%b#Ss6_w@ni?Y|&{jlE&`qhN^VZRfU!+s~|hy6~_5BqVypVyC%_QigDv@iDKqkREqh%X=w z@x^|8bPCvykM_lW-0zEhC-&n(zo0%*E~roR3+fa7g8D?ipgz$rs894me9fEcS7o+t z%4};}o!Q*Htqrgwo7=WkLoWvt0iZm)aT#ehE-UP&7&)}jjSZM0ep726s+ULaHkc66 zKq0uTzMi*av zuOZ^OO+(00_aNl9ZrWPkj2$=B944W7R}00ht6{>o6;81Uj;c}FY{;nzR|?$=JGi{A9t4|$-~6lI)=+X<7fMY1O5HOL&JmF0fSxPM-Z_Z>HnC7WMNgx@1sTB zdVC-k9q5NIqq+c>bEDB%l{OlT)nf__P;w$WFwk?{U=>j4Q)=MSu>wqEF zZm?JQt4Qj8bdr9Z2JD6#`-}_H#$F=>O)A$n1Uqf(#rjhBfu5YfmiW^TM2$=}YaB>} z7TUosK?4R`>raRZ>MQvRNjYb9qISBV6@e}f_IEU9VFe9Le@3ukMp-ztk|f0e@hKsL`=&Ahx$u=om-z*bppZ12sA} zj9*@C$opbFHU!JqK#h(KBVDnu+wMMEGX(p6rJe$a$P|DYodS%DdJ2HywR#F5B2xfr zbP6zv(wZt@80c=#0E9Q`34nx50I1Ok)CCd%1aH$50124@P@@xA3hhr{eT5|6r6&P0 zG6|r@p;=JNbh~kwmyGMkwZm5Wk<1ZX0LSHIEX#WVo!@*x(2=HzaYm;E7MsLWa>qs- z@3pylZqNOh=zR6~8ka(8xcSPgmK4J6?i2MUMe88D7&&p40_i2zluf%L4QI@B7f z1J;1NtI1GnsD>&ZgJwV6Gm_~Y%)**)r(--E$ksCvln=ofFp+A{M34-L0CvFfcc#Hy z7yQ4U(g?tpQUQ+dY&S>h8$p;J4lO;h_xld4C8b z%7X*so*+osLUDVV$4CY;0(LK|s2{nzHr4HL4h~wEpO{gs_0$a%HaTa;DfaK`% zEUI$Yfj}Iu;unQh{Gw`y!Hmyeo<&~WBN>tpRB#Gr-+dYQ;UVXklLGNQQ&<#g3X1}! zfV^zdNQPtx^-Y~)mFyf%*a)Fv_KagusBtU`7zgrho}tFE2&!Mp!Ltku3IPdquSM|8 zVo|7BEP{&HB6v1|L8Eh647Dx>R`ElzV*=}skHNA?NQT4*3n;@0$q;<+_2e?S_ICNZ zE&P-}=$N25Ej`b67Khr-Vz7>hq3Js;5uiFXNM21Z39acRftp6%RcmNXFA3B%@@|CCnywAhH1aY6lB3H|8>ngI z-3XyIT?^IeeQ<-h!zZg>2^j7s5L)xKSo7EgsXZu;2_LOm8lEwrbO`EzG1Pj-fMiI7 zfHBm1#(-o<1gLR${2COKd-I9_NY6ZKL(QW$U>?Z3nh7DdVihk%62*Fbu9u{6{! zmO=$<5xly`ppYD(g5AXh+5h}3kc4LqOGB+;X}}tgcNG(A4NC*ofV>+4$nm3>%N9bN z7yDXd6?&w|L(pMypxX5~;GyCG9To>_UXKGFDh|+LaiH?`IN+h;038+wYFm#39x4vd zVR4|s^*G?6;s6~M2kKmp10E_4&|z_)lJz*?q2d4?76!Er+K0Cm`uzZ4cfNP{GpKv?CHBdbtpB-ReNItj* zs>MMMHy@9bjNsK0!Ocbx@U0xE(qVCe_JIqfDo(h4;JT=ukIz0ZFf5;-ec2)2&UmPAY4WbO9O1dS71ow@?au9%fY49kVJ6BR0A&-Tjk^v>99CK%fV$* zS4l{R#R*ytE{v);;g*AIoH{n2zn#M4`?tq2lMvX21W?WJ7^oY z4yy7Fw+&qR)XV6z4Gav+CukeE8mjUMw+*p0>h6ZYohVSmJA+yK%mwAcvJRRHu9&K< z!_5U3MztcMhZ_g!kbH2NRFC5`7Yq!G6EqiGDpmP}n+q;~1`ejd=~Ap@$m>>5zPI$yATyGb0QP zixV^>v8*bGJ*30pfKllA_$&t3PQ&7WQ6y_Hit`x{uBnE_ z2^tSBrK)m=8xJm?YWbjt8wcr-d~p3#{E*@r{Gelx3nt^UCzKCMCTLH%%&M{uw$FZ9eq&|z@`=25-SGY>(B z#R-^4^+L})1RWM9U>?;AJ@XKBSe#LM^UR}qVYqn&mtL!VORs_s$p@ELHS_STw^kz^ z7AI&PzV+5>q{HGswd?u#>;TtZ!{P+(z_;F7jdWNXsCKOu z$Ja$*U|2puJHTaERi1D=z!g_LA72-NfnoUs?EsfuRX*W%5L|+-_AS8*IwT)lan-Q- zmSC%q4vQ1C16+1>v4tAfxO#&8X$KNPAg%^?i??>$Y|WY!Tt;a z`7B&vl^yEo?}Ot$SPD41l_Wk0em%E8XWxQxJ`bXUxq%*oojJSdWZB3Ij11Ton?PYm zZng(5IvTg{u)l~oeF=(p0DhA428foi&a1&F~eZ z&g`j6Yjy{`UfOGGWX^!L%tPy*8yZTwaZp6c;FAwkh%#*MGq+JwZ_@=|3o`e}aW+;i7@Ul|jBJ-~|!>L#p{N zBtCfLz#wQX2PG?@GfvRJl_$tPONl=RY8*T=2$%HbB*L?1H;w8u=t!xstZHbK=bu94 zJf$PibLyP9cnPmJpj!wWL5c&+HdZs#gdY#o#2l|CPJxUk3KB`se!>wN=oyk4u;BcO zD8BTm;0Z2@pD2Q!V(z1iV*XdcTV?MN?7p!%Ut!?eJ`Y6jIKhutY$hUCu# zO4aCxbWFR}97@wGc<(&w{Q1RqwHKx74ud};rWH5`{#=DLh?2uQm#-9H6 zL(5eZ?|mE3FQn>}d`MUT8Z;}|{RMvqhlZgkz^!jE&<+j4l5_5G2Cvcr&)!^j*U|Rj z!Jf=Oe~)M+V*22E(f|W{qtK%V3}bAdM4-jt5G|hevhhTDVg{=HY^YeJ6Ur7wPUYj% zs%T~6TtQzuoDBiJbYLFUT>>{e~nNm0dsqJW-vf!ODXvEVN2b z{Tcj02Rm9k{UK@ze4tKhsZQWaL5dSNAs(}<5Qs-L5Sf=sE{{$jEMcBFFR=m(c)mdF zb?q>%5?3*}muiOSu}M=FcJ&(~ttB8IX%blNP-G1c6d8`?%Uj{Rt|taEo@h+0!cwk= zNl-A=j-0qrvI4$rtl4wmgvykYN&o`xA(+#|6HSTrnC=E)jCfnzKrVxT40gfEbdg_= z1Ip8?0;lh=I{_y93HJ&ty2?%h0H|FaR6VZZUK%Jou_e)pT4;j{taK2rZsE&p@<~FV z(QGFr?r@a|ck@XlW?o_?j@F{xyn4-6F|I@Hxfz4<4xTRM1tIXn-o!rC9vq@1?I{$a zv^P2gw3j9A!8h(nqQg=LDSuS-T8N&b=$&H9Gkmmvs2y&bhEKJ@BQ66%-PEmJ2)%Fb zA@Q^wY^sN^7S|-T!OM{%pv5Y${zg5H2e-O}MCXaVM1LVGNDOdxj2%xgGcm~7#q0!9 z(5&D>Wx87vE*}K|-W`$djhmcZ!Y)POV<6!QSM*$T9LqH_oWsjvpq+2$iHi~!r$G)j z%Rr)Z5oa(_@~v3T*=6jNm?#{rfkdUZKT)1|6(#p-F`Javb&{4mkm?ps&Ms%K!USIr zBHqoPdWvtP6yf>@y4_h^`3cpml^e{Kt^x&+uV$~oG~eo)0tM)aUO|bzT~skdrrSTk zM1rZh*Mf5PTJ}0j_T8=>$q+r=D=FQp#B2o9rE5a*tJ7aiUI=RS_3RCp^7}=%>a+u1uXxv#p(p|_sg*C@HK z3)=?#cwuVLwxu^F_)hjNOz>O6wq1Wc#d|2lZ^QL9p#2K`mx24I;f|n8g}5Woz2rAj zkvj})1GD8NH*&#Z&USI_HOE#?&It|MBUHXl|((j z*;Pb6$k}^|dWf^DiF%l`_Yw7T&fZVdBb{CSjhqLR5dYZFO6ZH&d z*Aw*|XEz9{fN}O2qD;;{OO(yojYJi2b`wz!XEzg-=InDsan5cbs+hCS6E&8zTZt;= z>^7ptb9OsX6FK_=QIk2lgQzK-eUYeXoPCL?GdTM)QD<@X6{2Qv_En-PIJ=XmS)6^1 zsM(x-ov3p;`vy_-IJ=9e1)P18sD+$;i>PYO?j~vxXZH}bgtKoGRma)AL@nd&J4Btw z*>{OLpR?}~^$O0uPgDbEKOkxqXZI1chO-|MwT`p;a-Sa`t1QHgonM zQLUW)gs82YJw()Y&VEYNPR<@CYBy&;BWf>aKPTz}&VE7Ee$E~t>HufIB&vh6UlG;G z*`q`qFgfL|w|+ABlP;XMZBP?*eov8og>>otEm9u{m zbp>btBI+HS{hO$FarPgg-ox2{iMoojr-{0nv;PtGe$JjD>Vup;OVo!sdyc4UID4L` zk8y($^$B>8m#9y2!zAiDZdgQJ&kdWX&u}9_)Q#LIAnImrB#F9(8xB#oawA35?c7Kc zbq6;JiTV;ZI8k5WMiEhWa-*22uXAGzQFn1;EK%R$MhQ{(aHEu{d$}==sPA%PJW=20 z#ss475i#&n{7#f>wF`ZYJs zBbBOu}H)a#{FK)~s z>Ob5#m#C+?F_)-kxG|5Y=eRLnP)Wv(1w_G{%augg+*n9d0XM3Ma=1}VRGJ$#L~(8` zBC41hi-{V`jU_~ta-){0@!Y5*Y9cq55;d6{%ZQr7jpam5lVhm3WzQQ$#hv7IUpb9f zVxT>lQ1d1dnuWt&m{6;_-JAqxoOn7_=0Z(PyJVg+EDzH#$-&+8By&!bjJ%RQN>ab6o+M|7q$%dG z{)>;}i&n)Kt&T5R6JJ!SDo(K$$4_-he9>AGJ@*uPl@~U@5Mim?cqvQm#uJwMjVCNM z98Xy4IG(W7ay((F=TlWQUhcdwUhSc1O#4OAnD&dJG3^&cW7;o@#?F)1wOi}n}klPVf@(ya} zojIIJ&Ye1hbzJ`Rs9wYZ(kVcSmoErpSl8($>U$95)MayvAla~XBW0;5ZoP*YyA%}fdr)!_ zRVn%geD>p%8KWe=210^i?MG&e4`ZA%U-fp#DU{?hvbCj=`5Xx{l9LMzWF1(suIoBA%_2WwE1qtil#k&vQ2pV3+keuJDPbwsh zL9~!?bB2@%lb7X5^${4tPKw^My^{hv)hMT)aG@$XX;z+HhISI_!52y5wmn0)%*Nx@Zvh{qm#Q8cFgqG(L}MbQYoj(S~)`h_z><|6PE5I#CY0%2Vmz7<68?~qJb zm*&bucWJH^4w+(r2!DMJJ>lVv5G(9}Z8JnK#s(47K-qlc>+IZYkuE zCqfoh`TE;WBo{Ukt0$pIB&^1LFGZbP6W#+-C}D@Af)Gwa}UQzRMI0# zB7rcg^4_dEIiBECR?(@g5ieYkOjrvCIO^f6SW*qKq~y2MDRPv*eVjsSO{^R@$C8q3 z9jC}qeiT*1&KP%x7VO6s4{=vUzp2jIYPJTpek5V*N2xEmd|I8ewQL;*!?q7R2jiwL zws3OR#MWcrcRAZY)c0wRi7Tc(r#{yg-wOzTP@S`8)`Cgj&)FuT9^h;lBp3IO`(HxSTQ4VK^h)Q$TLloz%m#AXS`iL6K zSwB&woDC2)p0mS5P2_BlsL7lS5jBOgVWOsSHbT@HoE;(REY6M+H3Rnsd9^3@CNAfR zo@8X4ncF#EXF^T_@^4v8()!ySLZuN z`YKeF;+{l2v@gyZD&M4Y9^im|QqBqo0G4_2#6xBB&HG-ATn@Wc1IHCToru;2`-Cg# zWaC)3Iko1xHo{ShiloyBYEq5S^~NXNoYg63l>^&RGRg0t!q(xr3OFB`>#3<6$hIHK zcEK}o&U$}z*IPtyPB|MKcxG-UY?e|PxDuU%{k^bNdTW0(Ji-U>@j<;zbT*|S#%4J3 zw~afknCUnNwiVBEGgbZ2Q2)qad#<~$v%j)Ukj>qFP%YaWmckv9zGuwj>u^oDT??vp zXWH5B?1D3rZj!5y4fJ%kcMmth{?P88+@^tHczI?BinRyysz9GaN|bV6%Gv9{_T2)^ zsvS0|F7a99Nh0dG$rtdP{Z2LwX~ACJ9&hLDDmWeFZFkqXlk1}iRO7|cv-54e70JO9 zflfynY8p26aaS*BHc1B#a86f-*V{dm?dk67g98|1&wK`q5BeLjjSFFWsFDu@I~5VO?*_u4cfrG)C+%Il(n9;)MB0G;ys36Lyqv@L9=Y>D@P&L~ z|85bT4ct7KgT3w0#6AMq$&H=TPc*2Hrkrb>kHNO*?p|t}_}Jwvf1{QUeEOealwREV zgmY~g;(sz$w}H(h>A*JMiEj1jO(p4E4?Cqh`ZL)fEX<}(?PSMkX^hTioX@76gU*ek z>H=ECX43HIMupXk@>vAWd#ZXK68D03Kv$9(7?f>tnCcw15eMpgvBBngqn`WdV^iOe z{`M^Pj$5;X@D}IlW9>Q7StcFWXI$*+kLG$w=gYA77^FnkMjN)hB;Ee*tInNi=PS;E^j?-~ zh+oc~?>OI0J703X=j#-)!jsMqV0?mhG2b`JoKi_}peb<}?h=J~0c|hg#sHQ6$B=Hd zlmj;)SIdnNl7n~HR5#rKy-U*hITQ(vl-`AH^&h!?(L)5y&Yee`U#6X3IKKjnU~8f* zqiwE^8y6AACqND?P{@HBD9ciATt;$Ff*jbJkONnjt6s>B*N_~Xkv5h{IdB7VRor+Z z$^8T5;KYka58QxUH8J8|653^j%OH#=+vr`TjdIe5M;p~j>hKZ1~GuwG8 zohpQbGAY8j~sk%9#sijZ)*_?O?aPD*IS< z96#>bH^QI3uC`&A-c=-16QCDWlb|S3?6^~tQmKil$TFD~!eMI-wqR6| zBEMFXsdHd-1`+54>Oy)IU+b20$gtriH79j$VQO}2F0{ZM-GjL%s69GOS^#t0R3%Jl7Nr)a z+2jUkZEPpR`#D;(D3Fg*I`mjs)shvuYg z21^fTLclm0Q>lj3Di{h}ac}AXk=DTHu8QOf5mDErQfpI9@R8)7C*jMe!^zZ!bgCJ@ zk1D*f25iMk4Z){2rXjISX#fKyXm;2phi%5$)cFqE0&S_Hy&tA7V&M-C6uVeOl8RHx z9Q7FzJ?)0JxFZE$Aa6+R^7R)jBga4i@VVg~%SSI!@_nh)-qZ!$xQe=&{Qyu*MsP}J zu+1L8d?Bea(Ihc-Ak_}te5!*RAD}E@#xusRc6f+4nK}ry4up8$7vJmb;3=G1r@B*z zxN!|dgt`7WH=^$i-ejsD%8nkrxn6Nu2TvWwxC1FTwttaVBK_U~y#jRZMVDP9$DKz~ z!)Z|Wh{M{raV?eNSa4>)rn{#Hs_PiUaXlq*8Cactdm8*M zhm&VeeHB?eVtLXN!Y{fw)Rt4PPQ3=^k*U`@Y%8o%iQz2u28V5fg(_m+?6B?J_$;A> zQ-h^F{n?I;xc21h2g_hYeSjy@_LJCUf5>4wxp5n%b`5mI2eUn$JoPck?c?0IgMzMwprf#A z!&9H4AegHQ9UjOI^3?TI#2dKrWfK1^jJO>ne-p{y>@a9-C2m6&Rn?e?;=8TLr(4y=|h;v64lQ{zHrugTO`K)*)^vjeTU&icW@ z>~VvgH#~hu?KP;A~p;=cc#7uUqz{}8*GuUiNWM? zx%>e0CDE2U>{}urYl4pRt~4m+o3Lm}b$K_buOOzHr2$v4%-cjnI!Q&hwb6U zom4aT!OA9i-=B8w!wztaTPH339V0!kl9c)}RFu-U^cD5-lT_-#)I;1^p8O*k&%A;{G-JfOX44xewEU~;P*fs6_Q2m3qL!Tm2ixuJ^Y{{BNF1ItHG6ns8fMErzY9$Jl~ zKsIK3dfH%N465$&)D!8{W2xUjp??eZ0y6+A>Y9;0QRNFkMe9<(FJ(pwX47!XNibNc z^hKL+?$`k6w>Q@}ob9P>$PVSGlHg;~QiFfA>ul z#MJ}I)IYg#4+Z|48{Z-7zpy|~hVnmd+(&-Ta^putJWi7Mg7e~22#jsFoffg8^eHHn)hQDxjLAZjW% z(?m_@W-(D`aTGUKC2FR_IvkdBSf|6f9CpxQ-446ZVTXL9%+c=QgF-zO z$u!Ioy-~&sz&4StN~IU3tGRgwCB29{uW;(2b)=V!DM;6jO@2qriAJy71g!&%C%vo` z;=w1XuWyi-Lj z9>O=2G8@DDxH*TyH*u$*!nZ(pYbi^lmt()Vi<|RE0=^dpNn$5R>?&mi>E+z4q`*uxi88*ASSGa`QNaejIHBj;MB!zCX#$ z6BKYAPb5hAdT!F9L;5q^q-BNljqsZn#kd)M2P5hhZqi~x`c`iKAEg4*H#-G7e;cu1 zG~Vha2=1m0W9QW$tO1%8h^ zmr~#lAn?9Ymc~Guh^K$Voy#fk#}N2nDJ#Ul4^zyaa`WRv{fwK}5%mjh-aynZxp^Z| zk8<<#L_Nk$nqsA&;3mzU(!b>(K4cyZV15 zq@InZpG!XvQiY704~UqBromc#lOwvvQVpK^_lWEs-6@O+^uDIuAH0oqg*N;kSeW4E zPbiNhHypn z@qyOS4j1;r9c;XC4vGvEp3BWYkqG>dS>%4h9fd0kbG&c?$-t0h<97rvtfF*bi25r< zTEx@uhfX$S7sA?vdEhuNtRs=7-24ZLz!;Qv(;d2q7p{ON2^pWy&3}`?E4cYIQ4QRD zmMC0Q@GWqwZFMz@LpcnMB(cl*6*+ZhaKh?qa-(R%OYwEw`jO8Y~xlT`EBDC z4flmRxK%=aySO!;s6E`8MASZRO(80yH6Y!TUi7St8c^!hg;~_?0d7sFOyQ&Hdh+Y! z)>-6tkXtlB7hcFM8j1^hxJ83*VIQ|>a4j6*7LBBZgWRg7xWn99Ow}gXF79*;o{#VYu+M+^Q$B*K_CdWWH|%u{V{n z5)@lSfp6i~TB6>@om)xl?I8A!QdWv$>nZTvew*xom3m%yC7I7v+@kTi5Js$<$~{o?zj@Bljh@ap$L$$o-JWk4o7@Oypt;e2`nOBPc?Bm#C)<*5viYVos1(PwalZ7XAtQ z;XiZh{gnP+x%DBU{?090u`2v0w`dS8{5Q8gNumGc)~AX3AGbb3)U(|AJr(fzF|Zk? zlugD0-XsFK#jRV3N^s{-LX0Ot%qeAMDE0*kEacXgh$`aF-$`r?h>a~}Q&8-y6gZAs zcM&y#JO3fENgy`4lubpkyD4xgx9%lsI(MEWu`@yJtWq`&#lA;@XY&-Jz?l#@tCUSg zqdUT_`zZWeZvB|3dE9!4s0G~m8Bq%zc9dI>5L3gg$B0_YEgFM(Ew^X{;Y+zi0|kf7 zsrTnAxJ8{jU&$@%&3Qeyo}pA4x%E6ztGR6xwU*l{qMEqPiQ2&JF+{b%#voyYd=qRh zB5Dh45hAJ$wg?fmjob7^#&^KxBl6qDZR(==9&S??&G&Je`c0nUHuaV~%Wdi{c{{hM zx8yl)Q*X(;xJ|t!@8&l3mi!R6skh|4+@{`=_j8;2MShsuD+v7%w_icj2)7%FI?C-e zL>=dL6Hym)yP2ph1;D(y^Y)5M7^EcJw&~e+x&v z<)7m=wOU??|``k{=5TQ3p%@D9e09%LC5_`XFKO# z;$Mbea5yZvjUDKR6}RD$!9MxpmBF_ABgr3^RY#;o?#LgSU@gsC-jPXgekcDLLj5|- zgz$lo0`cQ85GFqNK^zb!KIB0h5GFp+K^zb!KE6R55GKB%K^zb!zK%g0&b9E}3*vw< z@kI;bfH3i`3gUn;@kI*3Az|YC62u`Ed_{sd#Decb5QkXswFlx53%=t(9Ad#28i+$I z_znYchy`C&AP%wM+X=)W7JL;!a7dW=#sP7N1z#^94zb|71jHd0e0_j8#DZ@L5QkXs z#Q@?E3qHq69Ad%8Rf$6^_&h3chy@=$6&w;KK5t4KV!_8si9;;-Bq?!-1s@nC4zb`% zp~N8;d=Hd3#DcGT5{FpuolfEq3qH6>9Ad$zGzEu*iH~Fwhgk3_OyUp=K5R)GV!;Aoi9;;-Rv~eS1)mut4zb{4g2W*fd>T-2NSOHOA908UpY|gT zvEZA0#32@Zd5<{6g74@Nhgk5nJmL@wzH~<%V!^lSh(j#+A{}vv1>ctw916_^>mVyugRux#R^t?9e4I@L`uOd4Uf*b;%2S z*sV)m;KPnx@&X@r?UEPxuumr*DXR**cgYKU*uhI);KMFn@&X@r@{$+$u$z~>z=s{Z zLoAmVP`LSfe*WT$qRhg&l8W7RfS!?VL|c&AC3paBV|?L&>(q%4+jUy3w$^{NM7K>0YdTu z9}W?c7x-|Hki5W$!-V7oJ{%|{FYw_|A$frh#|Yw)vZ`>nki5W$1BT=UJ{&S6FYw`@ zA$frhhYiUKd^m7OUf{!_L-GP24jz&h_;C1;JmM1%EDBywz`OVa1-y$-P{6zR1O>c{ zPf)4=LakKBRzG_>cl#;X}$2&&*N&V*IF94=GDLe@6Jk_#vJ)lf2NM8b7L$0(pfGDc}`8q<~lWkOE%e zLu$+(^)V+_iju`|f)&Dwj@*#kN06i40a=+<&mj%OY6}n{VD3lKe}(-9X!nK)V<;#4_C5dtfvcUy&|;TQRJ*7QX|2Md=Y+ zCw$O(@weh{=9#Zx3jZMcuLB|ESc_hW#%@tDrWk=_Hj zLFrT444cY(w!@1qC?P$^Qo%Rlmew3B(+4>R*Pz%qo&z>br+#416{K3$N}Ao? zJ=6_})c5uE4~vcJAUGBl$A^c8VKWS+30`B`AjfP|Uk_lx{d({#r|<#ztelz5hJOXj zVUNPf9-L={?Iopna8bB$Mp3wMLQ%MIu#g$WR|0LajUcxvz{4R!EgX&wD!vuu;qahH z2aXO37Y+^z7mf`I7Y+>y7mf@H7Y+;x7mf=G7Y+*w7mfr97Y+mp7mfo8cfWMuD4^is zAfRyJ7@%0T?{PfGVw z(!EZ)pO)_R(!D{tpONlorF)}vZ<6lK(*2xtZ;|fjrF*M%ZBbblb- z`=t9r>E18hA4&HC>Hb){4@&nZ(tSv}Kb7vo(*2oqe=gl$NcR!x{!+TXlJ29@{k3!- zlkVfveL}jwk?wD$`#b6WUb;_8_Ycy2O1giP?w_RlXX*Y$x__1K-=zC@>Hb5y|CH{( zr2B8_{ztn1mG0Bh{hxH7k?yn7eNMX1lZ!WCW4nU=dgPkYwWMoHHzC~u=_aM?NH-brbkCM+|1cb0U|k?w5i&XMl9(w!^adD5LP-38LElDEbisdSe~cR9M|H{kT`dBzH67GDLmd;&&N+?+Z84r2pj&2!Ja)!5iF z?^`S}@5Y;rt>=z2w$HuQ*nO+9|5l@;W$wB2ZZ!_>n0F&Yu!`Rce+!xMEGtbK4N0TF z_-gPdU|>G%*TwIHzh^!VWc0uo47nNS8@-GbzaQv?VVuv#0x0ff`bvhND2iZz*v>9nA;S;~J=A3e@o^P#47qbs`^7PiUYnQJ^l30(Dt@ zP?zTe>USEbS1C}hjsgWgY{jj<*X0B1_Zq0zD^PEU0`dGikSH%Z)bv~flHBj$Upxz$^ z>I3mXedxu5`mh4^ktk5GWg~9&eeA`9`nUr1i6~Il#s~GOd_Z+*)pwl&_30>3*T)By zmrd!QZcv~;69wwC@j=~`kEXgbP2H?OeJ%>rE%8C+W#~Gn&nr;3MuEC5KB&Aj)uUuhBp`3REfzR60JW!hArzRs+QqsG=xP#qmLn$p_Ty zG*Dv|sFEm9rSULot%0ggpk_vansu5%y+;Fejsi713KSeWI<16d%-*d_cWV168X) z)kT3?8Xwg17Z2(@1!_eUsPp54dc}(eRj)ubM1g`sdT|YX^@|6!MuA!z1!`SngPuJ8T1*#_s6kH>5S~c|% z&8GSksQxHW@i%pud1*=qby$HKi~==unl*Kerm0~CY9tEOk<$$7qZ+8A3e>SEP{-qg z%4_V?L0zOkT^t4KM0`+rb)Fy7G^Mt`nNi!{@Yb@ptuL=7YaNu@{$@sPf5QvZ;)2R+ z``gDgO{wi~X4LjKytgebsJyxa9n_nYO}#nFrv5KJsJska2lW;O>a9_r-WDI!+w++Y zU#nH$I~1sQMuB?QX$JL44b;08sP{yHy7DxG`jiIhDh2AjQJ}6q&7iK+K&g#_X4FPO zyr?j4>&wfgKCOZJpwiTbqBQm4_@J)I$Ix%mKz&q!`dAbwxMnJ@rmlVQpgyTUeJTpn zbuTNZPb*N@M}fNGWd-#a1?sa=pl*y0>gE?;eVLh&N^KN0qc#fSZKtOd)aNu!sf~hW)J8$Pcr`Aly!xrnYoOFdK{INjAl}3p z6O=KSk4@dGfx1f>`ZuEt{adj?nR#`dw`rj6R-o>Q0`=|qpz^9d9n`%F)OVsleK$U+ zyuM~`*EID#1?u}zpneb^)DQEqDIL`P3e=CHKs^v2R9?R!>!5zDKs^`*>L>9*gV}XpAPC53e+P}pne%2R9>38L(|l+6sSj|K*0shF*{E)FHPy7 z9#fzmj{*f3N5=(~*Jt||HBJ3Sf%dy+)U!p+41+S+S)HgIxe^a3T9tG+jry0~;8mNCNQ2&Yo z_3zUR>YEy<|0q!ZjRN)bX$JKz4b=Y>sAr-;J$sr#-K~LoPJwzp0u){jAJ@=t%4h7m z&kxEnTu_!71Iw-Zz(~8>XiC5;w)Rg7Ccul30rqWTGDvS-v$gBE(s8yfZ z=V?Xl^TdY)VrnYCubKNbP-B#)#ztwXBsQr0H1&W6s#Jj*7X@m3Y*6{xln!cw0yQxT z)TG#;%$xHubRE=W1*$9x)RfquUJ6Z3RiLItftns0RDSa*T~lW$P-jMgIx9Y?yt;%R zYlg0N#9C21V)22FxLrbCHl>47J7TS<9kKXUNK8=qbqP8swIkMw+7XM-mc#^=U+4Lt zR()zmtQEB*7GF?_3o5Vb(?O{nu~yWMSbWSSE~vZ~&2&%;RqLyYYJJtG8Pr2s_0=d) zi=sd+jt}are0p>p)Di`%HVRZ-d{BA)u>Dg_Q%e=7Wl^A(#|QQHd^DwlQhSH3sJ+AZ zw9sia^oKP~tyG$NMUg<71PjP3Q%g7wH39?8eh&jt)L#!G_^%l zUu#tLwZ#XO*S#(}sI3arwkS~Bol&56#Rrv_rgTud6{tN?p!UWF zWnGZZF!QLUDYeVmirQt3&$Pug^uc^UY1bTDYL~SYwaXe`jEf1%%xmhRgHpS!t*Bkr z_)=Y5P8yFLmm6xIGpoUbJFdWq-jKl_&-@XJL)DZ>hXcVYpu|eh6`gBmo6{w4%KwTUg zlyylyHuZ#NQ$c z)lcc5-l#ymDGJn^V}mmCx_|07noX%oMy;qzM)6hAnAMlx`jigpZAw#DL}}{nu|Zky z%*W7mQ14Qp-W>(%J+VQVKYa0^u2i6|iURfC_@MIIfTL^bY6a?jQJ~RVBu z?v4%0$m`Pfr?dh29tG;#QK0UP4JtpI(m{Pkf%y@Zv!w6(}bPR4O*8{Jv&%O{Ep6!YEKYHmLlTf^<+t z3RH0vs4=lY8F~GNtbSKV^dFShOYJw+fjRm?f83#t-NLl|I{Jq2WvOLBJkE=&R3vTMuB?8%L=Mqfog~X z)p(jg*;@6jQlM5xfm-vjf?BIUt&0NHbecgWG)=8npf*H-YJOQkwJ1;I`7wnTwyeOW=ZDNtLZKy5qCppu%VwkuFOqCoA84JxlCYokyDrEX}pqi$%nT8c(eR+NN8CQ$inqCoYZW>Cdi^$jRchoe9ZzO10s z-eEgx@30+z?{I!LHAd5v+BXIm%y7V;Lln&}L1?rVipe{enph`4Ny-I<4brh)A#0Hh$cVDRn>a_~g>!LutJ~pWQ zzWa1gZ&0A#7zOH0FDs}wD^ULz1?nxQ8Pqtf`rfKQy)6pV6)!8Ow<}QZhywM_(+q07 zrYW_{+K$>~ZNGqB)_E-j>7djuYddO}wfzEiS?2|6f~G08%i50GWo^gbWu0G_po3Do ztnH{>*7gh7Wu2F%CTf~eyR7YqUDk$ujr~!%p?MiI;XlR-&YgO@{mJWrGK;T9o*D3$ zJ}M`Yg#9V|I`D%q`(x|`Gm762bix2;EHms++t-gua_;T+jW>c&yd>8Llf22kc~p{s z^41$-Cpik`ZT9Ul$<@q)|DcHHPMvqV{pE0&XOW01d6G(w(d;j<^^g?mAZ4NszytO0 z75l5BbC`C!{mr;J+=;psIeg9jmY2hwki*wR4tLx4gy!(wmn?_x+25BrXy$Q$cm|`* z1A!~^AkaUuACO5_Lg}!4iF0qae;S@5CjnA>@s%KK+CK)}K5YMN6fUr_UxlYK8rRRE z$`r1j3uQhUp5)^%BFQJhlljglcTD9E|~3K!qXgWwtv8q3!{3sS`!z>B^F;;voWLRdGCCUnJ zPgI0wyN)E4MvD_u6ElS^7bm7AW{GTz6VowUOfhi=P*_jJi8Fyp2LgVi`MF<;uxUciEA(p2W#6N|%> zT|`og%9ggYKxAHGLzJ-y0K~?E00LPOUbdy-AYzqm zSz>v(0j&&AbhH7vC395C94eV>K)!N78D5d7AJrJ=&YOOFVolr(vEgAih|SWqSZtPV zV{C9UhDPW%NXU3?VjWa0_5!}aE72T2ThazEh}@Fccrvq}0A{~69Q$aq7uE$3r~#lE z6el)810b`nbj{wJq|AOZluVgDngg2tCin+xH79|)S(wJQ(9-RUSGrw^-LiDAWDfkt z*jTqwPbip>ygiW#FJCLkDl^L09KX{Aj|Rra9KWt59Jebdw@!cx|^O9 zh96SW#2!)F{h_68k5}4`(8yi!A|DKIf``Ht6srmLBzi*(^Kf{I0mEd+LZ)Q5B!*%{ z_NHX);t-A8k{F4nkt2zt5`H5zOKg~9&%Gsa{BD?(-#T$;EnB*Dum!Ph{7m;XO|*8vzs@xA9rLhf=&xFocp7byw7212i)_uhL)Km?@P z5k5S-x_oC(1hS19;o*i!<*S#H7SAUNv^1)mRlK_EECEjSN?bFNTu ze%Jzm;6k_HA_y+LLczshuL=a0x&@a*aOo8at_WKx5M1pRTm!+?S19;q*joa@b#B2F z2(G(A!PKw~0>Mpg!88bNxLD+}s zCui?9W2uy|v1_q*EnNTGYO{&xkan#XwqGmdVK#uYcljvn*f{Ib-unP< zNj6(pwhme@@Lk_^MvH1*2wdPDbs_n(HS!xGa#ou) z@){vI#2WccAvw$%`7I$i+!}eUknFHVUMD0wt&!IY$x+tGZwtxUtdUcMu$lHbF zV%Eqzgya&|$nOZr@z%&Yh2&D!$h(B(GSk&xWL8u?=(xsf&U0UvQwnjcKB=@yO{z^#hZ;kx5kUY>D`F}$4U~A+PLh?{+ zPq0QlBP36>M*cxa zo@|Z$qmVq+8u=$7`F?BUvqJKN*2q5#$q!p2{~{zmYK{D>ko=f6@;M>-ackt?gyfml z$mfORr>v2G7m{aLBVQ1bpS4E*Lr8w!8u?EldA2q3UqbR+YvjL$d`U=NVvT%RNM2@%Y-2+5a%*Ipki60wIg60I+8Q}TNPfc_ zIaEk~(;7KUNM37=oK;9(Z;c!-B&S#-+lAx}*2oSad6PAAgpj<&8rdl%Z?#5_6q2`F zBS#6z?^q*83(32zk+TWOd#sUNLh?Rq%Ll7)kiOcqNP4MMVsSh83Ul1;^uC4!J_CYFp3 zLbAD7vQ!X~EyR*#f{<(}mMj;9WGk^`g&-tbizO=sA=ySOStSU`wqnV|ASBy~C94M^ z*>`$I6oh0~v1D=(lHJ6TO@ol^ zE|zQ_gk%q~WXm8Vdx|An2O-%@EZH^)$=+hg_CZMY5leOqLb9(|vU3oU{lt=8gOKbm zmh2vc1|c~?EctK{lJ|)v9}PlsqFC~=AS5S=B_9t$aJ};Ks z5`^RnV#%#RNX`~ZZVy6oj#%=YASCCCC3giO`Jz~IPY{yx#FG1hken};d@l&e1!Bn$ zf{=VkEV(}j$%SIckAskWSuA-l2+3E(lAi`4xkxN|I0(tbV#&{fkbG4vc{B*gC1S}h zf{j$XM&JiEtdQ- z2+7yQl4paEd_yexOAwN4#FFQNkbF}tc|Hiqx5Sbcf{d@GLUMyxG9(Dejbh2LAS5@5CBuV|+$@%K1R=RaEa?nF zGEFQQ6@=tgv1GO&B)5qrV}g*}E|!c7LUM;#GDi@S?}#OH1tGapEXjkA+$EOG8-(O; zv1I8?^{>14{({Tf;o5iH`+qsT?+;}phrgTtUHL}x`}76*8Izlae;^?5w?=L* zB!6s;+#>uy`q$U{D00lY$mbYNdtpGo zv>*a<2O;?@YvhhXvgD`hd^50!bStIudKPy5y*2u$zWXVq<`UY&c zknFVPd4!N0WsN*iNX}-Be7BGsV~spYNRG2c9xWv2utpvuBujqU(RZru5t6wz&trw; zyw=F~3d#Ack;e(i1+9_C3(1A8ktYbrMJ(E0oZ+#ZLVC6Mlm&Tf!DruWP~vdzqB5iKTWeXIV?_!uwY?9GQ#d z!?Et)Ws71V|FK-*EK9?1dpX&5xKR2pw8e%!Bz1{jX(N|~$YuGIum>~E<>OM9_#HQL zS({u|NC}&fX)d3Uy2LNNk;|gwvSLcutW0w`SLzbK1xGHck;_UcVRJIg<;zl+__a84 zS%X|wP6=C>X)c#ZUE=rT$YpVIStTWGX{Nb+UFs6QI7cqy$z|1)u+^F7a=p|gev^(| zmL`{pDPij}&E;mPOZ;jbxvWAitEGf($~2enNL}K0?Z{<0a#=kkY)7WKd{^oczkEk7 zE0W8kl(2o7o8c!d$KmL@_Il~p?49ugsq~AO zW!Bk~6H@I>*V$Wzq}to2+BGWPnRtMH?-^xMo~HhX=S3;u@6{0$fQo1LM**%|p8A@g^)z~7t<{mse9-zb^C zF#>;cGxRq%BY*eE{M{??_hN?rUd+hfIGMi*0)NY0$rebVboRd;=v zo@#$`hyCeP`?CVan_TTPNSsXu$5lmz<~fh!Zt0H8dmPUZxZLb&kb%q12AAbUF6Vk& z`qa{S0)JaFT#H)_{)Dx3zI{P@?ViaZwA$U*J;a4xf2iaOQ|*h^*_U~$_~)+f7-_0~ zf_r!eLQNpk4Ie@ z_3>y%eN6Gpn@_4Ba-vi@=Ihe$GQGFh0 zR7X9d`T>=M=4zsOuD%eD>Uda)v9g?uVGqbl%L&26o^;)l`4fB66oPL&A^0{u1QSIQ zdorUCd}k>Hr>-~zr>;c^PMJb*+7p8B1BKv>Cj^oe`=dAnq80o7waD%7O{4nBGpe(J za{K3uM)j-pQJuLKqdH?6)j7|oehW0J^BIlmg7s1Ta4kmlgK1QMct-VSpi%vm(Ww5h zKB^zD#i)KXjp|>|sQwEys*9dc`J8z#3o7DIf^M7NXZcC%gZvuX!94!N>)4USKZ=e} z@tOCmtA6Ge=(ENsI>PiQIhT{k!!2^$?z_%9a! zWlvb=;Hi#$DdGDP5>g!naFeBBSK9_h;Z#SlI7jhKj?y7)hkaX0`1{(QF$Jj(TpDOM zJJ7iDr#dR7IucVIN$I;GS9ez-Zwwr@;~aI;MTVq=@3$(_!&juPSL9>2h@;UuN3$)C z7F{zhFb!Z9CX6TTMyZndxnl=}$kcw@wnzDKdmZ7I3TuA}u2NUmh8pC5Wrz?1j z!D%dy^>@13?G#BZbvn{jGLTcxJqXtbS7Z5sYdpP+<8fN=-r{kF-eu?6{d>3G-8&c7 zhqQZlTkYP+K(%2_oa1fpBz~VhiJNGKT~5OcjCPffk1-7ghHkYF=^EnZ8Q1LSK;E~u z-{IJv>e!X)*t^cLi59TKTTtCg)T8^Z@#@SqI>0Rzhf&r$O`}~kv^fr?=giT~jvpB_j2dsq$b0_t z4tuRQ$1mwUsg)A`3p|`lb^Ml;i|5ih^E=OF=uB>&TkDMD53MsePjSw*8V?o%PSZTt;j2S4^8)k zJ2nDWm3SqMpPg4S@GJAmUcTDiYt%fvx`CR+lXPm95HIz-iCTl#(5U%%4Fk0%uj!$N zd#M*p)LOijMlHZ=8K|{+Z4cGyrT%H6*5P$DY9U_7K&{K`dZ^jF)W1#Cdc2-SEyC*= zsP%b$4>it9{Z|ie1KvPm-NhRiSPgl@be3K&8u3Qjam9Hf!*PvyWAAaE$($jWOq`8P z*OTF%-I<3r(^bw;Tx-Wgl<@ZVqBc}tkx+k;Cw)aqdPOc<6?w{6B;F%pw^>PUXwg#4jMw`st_pJJYc%n`yssuck@q!-_v8J%@i!|5y42Pb`*S?a zh3-z~{SAr(_yALP&7%F~-E>EOARnlSPUQm)qJ#J#qo{W0#ytJ6xF$)ZBc_=aWDG=cU>{y@+AYN)L5R_vFhft^znL{Sq+Z5iRgT z`B1HU)A>+C_lEId=I&`v$!LV(e7HuK!G{|NBlrk60hgWbwKtNF)Q)?Ck2D;2H^18` zY)bn@u9nhKmpr7FqV(i2+#Ae2Ex7kUNZsrAS32@rhBn=M?s%% zx94$uoF?=lA7>C6&&QjE$nyf;e9O$JqfbsUp@JBeKlZQ0g#(CLsMbvv-s47D+LSj0r4)w`J&Nu#X68 zz=Qljt5CNI-=%idd_w5roD4<6-@YQaq7 zj~aqGoln<-sbBK!@Y~BTH%ijwLBvX3@-hCHCb^S8W{{l0@$L{drMl!^Kgkt-k}106 zJM#glxVp^NkRd~X-i)7Dtg)&<&$m@XmKWv~-L7Z&gZS{J_K3k+R&iNEAOS*FX_ zb*_QZ5SZs`oh#7Al;`BT&Q;0~EZR}(!Ajq!F60Zfo_^048hZLNf7!pM-hJwNxhnRc z<{Kby2PgxEJ?PtVNzeZB75<9W*B|&RhQ2Q1i%b)1%Kj8r8+kxYH!)INt)xe4OVD)5 zo#GlKJ=&bsDXsz1BWZuB?HciUDPu0CxW-F45kHG}n)XTNon}f5n=KV_n0A^ef$kre z&d(|GS#s|p7xTs16#dE<8>Z-0{;Fq+e0Pzlt{T#A8g`MXexB#KFFWv&7w;x!gZ2cv z_zV$XhlrbyCJ}it)TZ$-P5d#+PY?-}y2F;Whr6CtRiz z;D#9XnlxAurX3;<-6cQts&l+rm5YlIfycx7HKyi=w8|?U`m3*bIuh2pJxO} z$JZJC&(Zgy>-l=^=vcnqaP-^!ZIkDmex5fAZjGhz6iq8PPcdkv@>I8H z>?aTCZa44^+M#*)2E(Bn`9_o50)B3{81s*A)H@5MKTGB;iozU%i$~*!U$^>hR=kH7 z7aYFLl?N-#u($CX9)Z)EpZa!@I&H?|lQ!{9+W1QHO@{Gp=9}H)(;hE$mh$A$4p&Z@ zV{B0LbCl=scSMfeJ7Bl_EqsgSz6{@DaG%E0jPA>O^ma=1obETgw(_l-UKPI8ptp^0 zGwB%@@-8hu#HRvhqQ~SiD2k80UjC!7a))x1CueF}e2<~$d->h~ zJ>Qp6&$G%OPmE#vto3}KwCBzyg39u)>82envOI-|9+~wkMDIxtcQzLs{=U!Q^gIg= zGd%*~D&+rG{fDOFTRYC#!uwuwock?l3o844Ra$yga#&XR$XCVizIHAx7xwXeS}wHV z`wY48E`L|c1v~CVxNmZzTEEBN(++LN-!mNgK7ZeRC{A3*jkWDSpl7$V$Iz2L;2&s; z9ryq@*h$|*-!n_~xJz#p4p5CoqsEv3(->*6C#P=JVe#AfWI`x#V zgC>n`;J>YDe9S-AG`jGQ4H^gd0fELRej4#f2l+vb-;EzM@IT?7c=*`;xKC1_@=vux zd+<*UhaTdG{0}|M4{L|^;)e}~9^psahhl=<@1cFhKhuuu!#^_|_c{OEDCs^?nyyn1 z1$wjHbob$qtCoD0Oz&45a>?I7Fkhn{(k`yVGwrml7d@#hXcL!V49!9+J~;iu{6J*bU46ew|RaJYYzsA zHJ$xEp*-vtN^GLt@j1ayXyYEmPZ-Ai4gbbCZugH7NB*OxHI4sh(E5r0aXw!#f zqg6%PcYE(UeI4Mwll#MG5VAqYrnz{7UYVR^0g}ZK1ILR#G5FdQ;OQ4Ug64eFk6<-u zUuPV&x4c2i?+%*#&J#uzf}Z2P3GREXqgSR;g#y7Pr}ynxXR5Z6a z)V@1Py!!CTQ^R^Wsa?;CkJRr)r&mT#qQ${?oYt>{^vcw)>_D=c;(ItfzC*la)U(q% z>5B0^Ph-+^KqH5y{~5h9>E{HJ(=%>d_!%Re3xCX|5s%U<6EQcC+#X_jq`ydyG{+yg zMm$chOvF4u@_2~8kv?TP(x(s|)Kuhy@x>6NKp`GDjzMf%$Skv`=cX(zHwyO&QZ zK0mbbYkp4AE0doBKnh65|D7vddTO$t(3e0#XcW}+Ptz-tejy;Z_e&MUUDdViGdfim ze<-Yx&d@6psR)oFo=%zGC-~0QNZO-_&FK?f6#Sx^_K)<+q7s4I#1L!w5aGk&6pR1HWqQ&%GVx^hO?mFi$t*Hq~|T-~Ia1SCn+l^Xa%4UI&n z*%~HNO&~Q*U5WMU$`8V>)B>}Xrb?%*S|-)nKx&J+QU`yiqmk%5RL4ZB3#6{8D|!67 z@}saT^}wvBsZvd^XHu;Xq`q5K`;r*XNw@+2&_E+mfo@k{@au+qnSWXJB|NR2?>ELloAGp^) zc^<>g3)MP;(NR;QrQXq`)(J=_qncJkI|J>kF=(B4HZi&Y>EdRXw+&tKhprllmT^}T zsT+`PMw0u!n!e-cjz4$TsI-{7o2Wg2^zfrPD;a}*A;2v;=V0@Z@@Q%=fb{YN%ydq@5a3Bn^Zn2Zu3pmZjHeg9;io=v%%3T` z;JRDt#QZGY1=k4ak%rr|7hG}P-H|6(`=Tp-wQf><>TBv|KOp@)!O|C1f1v#}2GyVb zCdL3D13U~YD$`x6KLRc)`d*Mi=}UbebOvfZsA3H?`4|LbkjIB<3jYYSEgIzh1`f|| z@sB|F%ji2kp8$vQzpHhNGLqwINWE8EzWF2p}UolZG!}^v35OS7CW~OppKlV|o)A`}@dl z;+~9zw~<;;sQipH_2h0KcZ+-SujQWn>)R7;4o5+Hl;)Po%qWxF(LhF<=Fph$WAN88 z8k0)H7!&gzAosXCY`lG^pB2aA&to+zE!VLo>b*ej^`|Bo!++7$Od5V?e_AuRSKxVM z#Fg9gwm3xeZ|#X}=L$Ei^os#=Uif`BG3;W1hg$L0m7zS0!??z2W2S;L&NSxnK*sxz zSr69){CR>#r8+XfM7r$YROQLltz#SCx8-19J zr=(Ntpl`17)ZK}Qz(g%NRM{q)qB9A|B){m;V`tvAd|A6)A}%cv)6(n2WC%^xoKRt# zY;rOM$P|Akri{I8WePB;+*GPu;pYCypPP30d_|LAQeSpWm4{I>OP4Lr(xm_&?=w%* zRK#ei7C)-0Q%&)k24tFl{EU-iV^${VehA&KIiZ4izsbo1KpyaOVp=C~LQnpSGr?0< zFi9?LlCl{l2~_!~5CoHCT1p^a@g#vmKlvc;t9dD1j$zZ~hnkksg9y@tS^%l^KWGZz zLqHz#45-GdBi=oA=)EG-snqdnG^sb}Tvs^dxS^mu9GI_!UPh)auft z$#_C8Z(5p9Ap%cn(V^4LQ>N%V4diLR=$OuOwlFINbQXkWX-=pn&oViA2FNr1PD~ja zW@QS}&vHmiV;Kkw6lmYGoNrQx#jwZZag-!=nB{2-4e+5v^J(r`1nF5VfONij))c_! zfIR0PK+|b1tCd-L9zxG+PN*h7Z*uYikQe-%m={V`i?c+hIjjfcEM;{KlgHVAmdtA@ z>($IsR?D-5Bna?50j9+?8&R6AMUX20Y*Pg10GZ<-!SwT7H}mZQTX>-7txV^+@BmRa zpXU&#Km*awbEwLOPjxyDEzdR@6NYOJ{U*R%jAX7hN;=!jHI4E`ATMg8#Cs{8o2v7G z&eIrFtLK>*^MTBF$A!+3>1WjiKo@8XD$xr}jF*7C_noj;(FT_73iwdbiKU{<65STnO5{N)0kfa@|ypc^_|Fa{CT-XrDd|* zL|p-7g&);iV50*pb;~=E={`G==s+WvoZ_M_7f(!v)$8q*h`>rMI#d!?nxeA`$SS|+ zn0F%CteoRkLuj?;gsRADlatqhyzcMBl(E^YOhNii1c_;^AlU*1+V>pio7CB^;!-Y~ z0K1z;!^@`3Eq4A2Qu% z9};8vdOIdS(9Qc0SKxto_90Qi>+SXE|9Wj~bcR`P8r$1I-gb}8_j)@8e@fA4RHRc( zv{WFeej)K(Z*Rb#H)vEU(i=?FjX*Z~Q%yA^_F7zT$6n3#cC6*=?M)chCT+}AdN-NI zycx)5|1s-lxh?qf7L7^;b&H9b1|-doYF@o@0TRjbS=pyVtUXL)I2GOS*2 zZ$$*QYSE!`xz!Y%Z9um9MaO)W%WkEBZimoz%?Xvu?ItHXfb8&hV#?U;R;ECFy`4Q! zpncDBzSrB?ujWcFyX9$$vwXe%4ubTK7CJ$W5V(SS&qH6&JZ>Q{?t6Y&i?T zAG9Z;QX_YDUdz7C5|^b&mSXm_EWNYbon;K#8Cm9Kc?s=rAt51I?P(#+LwbbtM*D5Z z?;(HM(?V;7whC>F_M_0RL%*@7g*6E45Y`#(iLl?oF4)uTlkHF1XW7#ncKn!7KD6Z> z^&Ab+-s5=KF&*tj$9s+s(O!zk77=StizptE7?Ff_NW{d5DQKUGSRAnw?I#i6Mto;a zb2f5za&|>K!#U51emU1WcRTl?{Vg&i68($JA6YiC0@|LDcSoZCkuxIaMdG-~1Cb{p zPukO>oKg9r3ZiWs)j6sg+6SYai<*r#Eoy(%0knTc+oPTKwCFO?wWI5y?HD~MdZ<0k zwa0bDb<~~~6B&~~rV!fpF#}=-qn#JCD&`HeKgC>(W%jh#YOzgYTcBMPyCrrT+D~G? zjYYp>|A}+PMcdQj#>YJt_XOIP6#UzHs?+5gB9o+HAZmZM3I zt~q+3U6^A{ja z+0*hR$z#e;A!O(&^ z3g$-pWWj|67oq*C;4cM#v!@lRRH$L0WV9O#y;lhQ!gUI_Dcm0IH-*m^{==SDWMGl; zMJC$Qie@WXsAy5NTZ(>I^kaKkv0lYS6@z~99>qr%AC2~@;x89pY)>okX^E31PTSK; z)-TzVg)8+pv51k4-#je;FoUt-C` z>WMYcc1j$aI1KHpiR%+n(H=-Vk%+vnR=8TlYS61TrP@=~p0TG@PpaO$I`pdVsD7~e zA$wX<_oNX?$cv=3q=QNLca7(2EU&Q&?H4tEt#RI-R?}6pSk01X2i2Th6LF}ypypdO z*P}gGD{C!>J*`$ktva>pqwQbozFL#f{#)BsJI}hod)|pgiD%$;ZzNv$M*DX`Ge%(fB`_-LL7xPkgTis9V9+yLvTL5BuI8;n4^s=@XK zJJEjK;P(coR}HH)Y}T+P+F=dvZ}}idfH|o=DdN@iOw&uvZ1%Kf z&oo=sYz5k#%|2^(%%0Y~c=H;~YolG)d|&hT?P)D?w{MzEYJ+0-ymXlf{ zjxE1zd9Ee$u2s%frCOmLv})UGP%G4}){R2X`(Vf5NPF7gu7mF$ z4Bn9WL*B#_Z)rm=56wO_mpyG*y{=`1g0v3+nk3w~2t@^jLmggZ)xJ9^+p zr7yEaOat({)85~n4mV+8UV@{Dk}gZ3xv_zN_ql-VJvsYOw-A==f?C3R26gSCAFS1U+4o!k$@rhH1Df zle(yP(>*N(S@BHN)wL-EnXPLGMafL=vfgcXNQSY5YoKrTk;F=0BOhizMOfKu=tuWO z(|xV|c&5K9D}RlLqz}uT4n)=oKNuj)6{yZT~WR*Wf-w>|vJd8H)V_hyFh=A?_rn~O zx;Ho~#>m_ZeKAL;{!I`aV}x#&{urZFhBdrNqNGPkzvgIs6ZK2)8*K}2wtnf`hAe|w zlbbAL#<1OtebeuZWW7KBNZo&W>gLt#{&o7WIZqh&+gLyOe(I=p_SgV^jpvIuy z?EMU(w+qtOdo$MNCXcEyvbRECjnQqu+T8-t)g$cQbqr-4ZjnBl`(2QAx`q0!_nmfA zw_3mT-4-jzy4+$RH-`OI>^q7J-fnWg**lhXy9J|foPk@l|HfG;$a>tOvtXQwTX_t4 z`oX;>+{
vaoHh;dSG^>G-N$w=1c7M~S;X571^4y@m8FdltOvSrIrrWnW7fw_r=UA&%$`$HT{iv>nreNz-D!R|yYCJgyLtSj*rYpf{CW&% z$=|8t*G_Qm5Bk|2W>fCaEYLGSKj}@ogX5sbf>y44{W{`@He+Kzy(UU5R zgjrw8>X;1cW^csGvZE~tT1MV ziUr*=^nU1KKAW$yL%YDjH{qty`xGi3?h7f;+rpFBODaV?*Wh}ZsF--Kw~Y^wE@m&Q zZ1H4^@zkT@;>{R}i>JUWVT)ACm{P`=HYzsew4vA-ALyIUURBxSmp#S|Qt|Q6AX5Rl zz?P~M5~h$bjZ}=pX+$x?17Y|z4fz9Muc`c!=9e+YRGj2FMsdP}W0E{ee{if8TcJ`d zV5;fq=6=R^5L>0mo1%bBNVpZg?HioK;`cSW(&<3}e96}u}~z3C?p z<5S%Y*gBPcSDbx%2D(>pG4{5K;Z?;@k0Y&Q6~ilE$rL~OPkYlf{c{=(SgOj#tIkF} zBi$>z2-~P)8B{Fwc+v`2vAp^f?p^7)@JnCm@wda1#&59Aci0lM{$R3k@u(=t;zm)z zuWh@3HhVlvQ|Tm$lwL#hr%Y6&B!Tjr@jPjj%(khtl0-{SD`T}%(UJtqlUk;#HHPg_ z=_QGnF};i_rXnT@m?_0fX||T_RB0xOns1sJQ%yxp5;VV5^GUbeD%~WJ6Q!Fm6ayhy{pns62U;}r>CHP=k9!a|A zs-h?fV!*|$=PBMP)xL_4zId-G`%q=1B$#@VwzD>8vm{*sEVc}n3fZiqKXe;&Cq^Jz6Qh7iuhOX?|wCPO#VW#*00))D6m5LQ zMEhU*uLB-n$5b*)V(pupSMzT@p;eS6K@K{hX;zHoc{ux0Wwa#NSChdaj-J&j(vm=D zN>)?k4g2P=R9Z`-ElSa=#q`ux(Ut@|(^8ve$XK`wvj3?JmjwN4vsuQ|vs^`767WpT za+(F7efKZy82}X`)THUc01p*@6{rZ%tNq=o)MoGg8q*24{8=} z&@9j_=_N_cf@Bh|X-U%8iS_`h=ZiglQY%6-8G5{~b!)6oKdafe!LwnkQ))IOlW|?@ zl)moF_3Br(LL`%-$Lo5v-nvzc{ibH+CYcpu{Zg|cnUw2Vzx4H}-4yZcyM9+IMlv~i zysmd^tY_|Tp;aF(@SmdVJu60k`t_rl9shZ8BgSZ5@7Koq)`b0~>YHSeuJ^q7`qNjD znjy&q-H_dZah5`8?KnzmA9HKR{!uGQGEv5u-Kf|4YErW#nWP)OnkX~E^c964qe<1) zRSNr0tt-it-Ke$X>sMb}YNjL;byKV@nzhjM^@VQ_pzpPq$}XwJC7CndB)^gW))$zX zEy-lvGz*NfB&&Ck(I@fTKhX4?4IkH4UxOf=zZ7~C{S<|L%Jk{b8%;U{Eh14%vfX%hb@sc*X+O`O0kD!}*2K8CI%$#Zl zCG&Ul&!F-7wWhWRwGt&Wd9$xXeKqPeHB!ywEj*L@Y*KAiGbx$KoBr&m*9>!wjaDmD zGNF3BZiUvne=TiusTsWuX4F`7)r?9e^p>f)dJPHFe$XH_9JlM}jVQeRTiO<@)~jTC z^{CxSt$r&{&8lQlZ@D!~*_YM3ZoO|O&$MM%OII?>x6+a|_ElfLYGx%9drK}~nqf!! z5~gQCUJZ|)iT~O3Uvh76%c)kfWWtTB<5qiZye!JCX81OoVaiCoW~&*NOzs>PazU$?7__x01)vQY<{kB{4l(o2QH>~^g zU%G5rQTs=bV}OZ*)U8DpuM>gObtF_MI_6vd3z=I!)% z^SPSNLqcZ1;s;hpkQkf%3oGXfEX07R4LC1K`YHMq(Dvu(BQBwa->_)aGst8H)NESkcyVFZAL@I+M2_#*F zDudh^q!**~?~yBJYo>~kB$rgm$j@ndZ1jSpvPhCdsvrf}8R=ORmcB!xqU8R@n_{*W zsxC=VN{^aq1J@_LHmOXKB$BF47HSi%vaF{1l>WVZ9c-;siIQZNDoue)lQBMenNry# zNhVdMES4$CD!Xr~@_uhvbz2)%up~KVT#)K@#>I<@miyCu#cb_VR;jGYv?8sa4pml3 zk}A_8eJ9m9e2e}8?W=T$**d6lCCRKiDIqd*tlpDhJzFQ0T`IdWy+nI6teCBf$}p8- znVw-(5B05=$}mZSU6ZJ1=9%bb*Ya$`O4_=qGA7Bgn|%^*h5qTKOl6rQ$y6!3f}5(c zjMttmPJcV7tgVNtXp&@;#ZTcG-)S|*NUv%t(i7dVw|l4Mf_E|UrzWu9^SHqq8cRX9n~`L0s+@64%kD&r&x zr>a~gRyp5FH{aGzRXR!Hsmc~yWfR4Z_H_EALIYIhsm!~s)m^`$P?;x5yz4p}qWW;V zVxIT9I@<=RvM0&D+ja79hH>eoPi3DZ`Bdr4tl;Wey;w4$~5)2JHu(28MK+b~rOCCR7?VCEM<- z%1Tv1ux+hmo2Ck^ByUwAy}pGsP`vdbtFl#+tg6V~Bt@38*nb=QfU2^R#0|9S)!(jv z1=cIA%2-Lls!DqkRa&YLf^BVO+e510O7d3~)$3nWGm5!hbXC?$l2#Sno2=+kM*D7a zKej!hs;(q~GpYdU?>D9@Q{{Dkk|n`5U1hJz-dpZWqwgJ5_DYiXmYdN#>0F?FiIn$~ zF*8&NmSpjrloYq(SoJcjGFXzpstn(RmvF|FhRZqpJ{G>()bmN3CsZ|-B(pJY>h+De zbjv92dPP=QEJWy?lF%7d1oiiuqf+aYS!J>$kyT~B1u8RD z6TJ8A`9%Qtwi%xUdRi4|NnWc0d~+0FEAyZiX_d{AWL8D`7Aew{?Y`USF1BY>m6jy7 zmFl35xp^wNUa3_^OA=aD>RYH%Q)Llst1H`{Qw3X+->MkjJjK}RT~_>o2xKi z$$aQVTxGW;xm6LrMThUn!B2|wi>Fm4y)xR@;cOePA5EjLsYO?$L;>y@wRhcY|o7y9b zGAFY!N$7>J%(uS7P?;vVly6wH5_Z<@R-1+mg@=;f!*d{;pSSmDR!!s;s^x zvs&<5Xfd``s&Gqk+)ANP#{{=Ak%V3dTaC3|yj5lk!wAr7B-L?OpWU+9i*T!P_T6@O zvAwRUw`t*?miAw_qDy$+kuna!IaVN#Rh(UfqU8 z5_%zgr7_lvxyo>1I00U1MAh+Ep5?OGi|{Lrum86EEmh4$fmOMFUDmoJ^g{SbbDfUY zE4s>ZVMtY$-xgUe*rr#uty6_vlJi$nNEGMFHZqdX3*oDctzO(!rVGOg@M`wtsAUTUB>afK|?4mo+a5y%4_IoF^Vtc=xB&5^Sj|TRPc^`a=N#J?^J zUlMvDoKa$1`MX~3Rn`kbtg`;L%z9kXx=X(M=cd_d+h$euB@4hx6;a0oxAl>PUI=Ga zto6#TGG7>GfSDC(r6XS*ve=98%!;E{{Y{_BOH5^snkp%=oL9Yg(OpjLn|+yFB>;!4kd706;Q z!ZSO5zLy1EY&+E1Kooej7OqPzkc3_cXZBhkJ^hTJR)H|&Y8BiOt3Ys>P}#Oqofsr* z;#w3UrD@(MNkT7#uT|{ylY?3b!mtCpR*_e_-qj$By$HWnar3`y*sabEq6tuI;=0rX zN$7>}wOSLTtDhm%Y7mBAt%f^lH3%*vs@V3b6NO}LT+70wwA~vhN$7>}wT_v7vQR5R z7=D1)dIpsKHd*L}__dCg?`6fCwqfdQA)E=dHm-Fpl0M5FJ)g0VIqVcB!On8Ba8`^( zunK4!vhHj=+Q(TBwgv4+tS7s`!fkfe%T^9;eb(DH6zvq&$F>CRTGls9Ru-Pc$@*tG ziuNZqAY>j34|$yp40#LfE;cA+AKGJVaOiU^Jaicw7P=PgZZ;yUIvW|b2JL6;?yN1@ z$gJ?2^>H>jd>RW6f0m804@RLJ$L_IjM7x!Zv!6qInN4sEWfL85vPq5$Y;r_zHYMUc zHq}{^O>;iP?sxvg9*FGB9*o?{!Xx*wha!Jr;Za%G!%+!nYq3Y72BN)>JsPzL?Rqvn z>I<|#v&W(lgXp{1jObZtUtlw%_o6+@p3LTC;n@nXr?Ry{+n+t1Z8q8!Y*w~oXwR}| zTm@OUt0H^WH301d_MB@a+BEip>sJ;Y6T)W4B%*D`=EPu3F;B9&F<-M6V=J=xu}j&4 z>@(TI?9a1Ta&BRZa+ha|bFX5r^1^IMo)c_o-uv0I{HxjW{Fm5@0&UsK0!!Jd0)MjA z1zWPUg+FHNiu}jc7j4JhF1n1R6l=~>i|t_>O6+GFOMb~V#rI&FMr(9srGDVsU>Vz>HKVW>Cf1nGS9NTWt+2o<-*vz(JN9*rds%pm$JmJ)d(eK$zNy)ZeOvPk zJ6XFuJ5^^Z`>x(FcDg|>_I-m_*_noA*bfaivL72YU_Ukbh=n)$l$~uH!@?Wi#ePmM z#=?^;v2)2^vhz(6*o9^@*dHx6vcFpFVE?pi#{O-2h+S;uU>93gW0%?tVi(&y%PzNz zWS81iwXybV+2!_M+H4&r*;t2V>|%$lwvZ0L*g`rLu!VMRVGHRz!4}r#ye+hAep}XV z^K4<=cH6?c_p@c~{**1G`wE-0#}l^5o(*kLJtx|tdabZU_da5a>SMEI>pR63-S;({ ztAAlzw*D<_G5vqAxd!C0MGYupiyhG07CZ21Til>DTkN3Iw(LXt+v0{iWy>+lVaqA=1M zmd`O7*w?`FIz9yUKVStMslZME%O4R7>>FT(9RC6P7FfZEBw#0j6^XbD*ePIzBc=fR z4%l50gMgg|Ry1NMu=Lk=Q4N7z238|#HnT@DV6~&}XN99|z-mPu0G0(<-KfpLLV(qYb^;3pRzK7)VC`cumr=Qab&ADYMsZ*rk;=7u!6w4XHNuH2w1P|g@F|Y)-yZxxd^a6*{RP(f%VQ20qibd{j&cK ztQfGqIeGvq4s1Y<#=uGd>z`vSu#&(Ab{8CsskIxYXD0Eb{{VWtOl?Nd=#*n zz$Wpoz-j@TnCD+$wSi5^a|&1;V3YHf238l?w7fZi)dMy)?>u1jfjyA-VPFk_-Jh=& zu!g`M%2y3oBVZ5ayB}C%V2|V*3M?7e!}(DUqM87ko*(rfswuEX3t%loH3K%I0MOYK8raN&xq-C-_H@B4z}fC@o{vWWOz~&X12&@;dmx}ZU)*IM@qD6uA0rqmyC}4eoEiC#m zuztW672O1^Kd@Jd(Rc>{d$kyicObCE#YY1h1Z-*XZomcuTT*;6upz)+D?St0P+-eS zoCY=w*oqPdfei?cSr^zyU@J?$1?+BMua}$;Y!tB7C21U^fvqV?;}`?% zjras$_W*k5A0rG>*D(W8wYG{JZf>&cwleGqZUU^0Jc8VSv{lK=AdLP&Wz_yio z8`y)uww7)P>>*$~N|y!pFtF{VF9CZ5*v``51A7$MJ7qQkn+|MunOA{525eVZit`L$ zd&^Rs9|yLl{4`)s0DHIm5MVQb?JK_$*ptBCFaJESr+~dzp8EVWun)^qpJxI4paRX& zGr&HoKy&mgu>BR@2KF4V0~KBd_B^nUEBp@Z1z?|4_zKu;UJcs3PV4TwtG8 zq;>uxup<>|ozDYyxFYp=KCsU#QlA$9`>axPU@rkXR;dcGg}{zh+79exU|&{R3G5YM zUsU=5*dkzGRXPN0F|gy6V}ZR2?0=R11GWU%*Ht2bEd};X<==rV19qZH6=1IcJ6WY5 zu;swMtzACN#)xb_yrM2)nupg?@T6hE4nX0sY*8uye zDy`o)f&EyO^5rdHKUbxESqtoJVohM{fc=_S9N2nbza$O=_BOEJ5<37(0d_7i6<8{; z-xC)B+W_o*BF?o@8-e|ih;wb!CSVt;(Kt2(`>Ps_V+*i9t33lO4cI@`CIQcB%R{VDA9Cm^6w-M(wnJVq-~NS+S^Hz%C~p0=64imZXiW zRMZ~U#Ad6p3fNv?p*5ZcwhvfHjq||X1(vnOXTaVA7FM$)u=j!4YeoY509bgHUsHP-|C2v}szxxhXK=B(uab^us(&9lG`0*k6uAJ`|rT(yb=`xIEVT9bht z0v21VH?YINVrs_$I|3|wtv`W%1}v`jSYV$6%UQcKu%p0o)P5b3uE1?&{C!gY@T`wrM$bvFY$4XkLrBw*hID_*Yvurt7l)q4)u55P*+8xQP9 zU?uAP2J9zb3H6QuI}0qnehFYd11nwM3G5eOrRqNn>{noA>kkHY4p^D`r-A(jtbF|s zfSm_cu0bNO-+@(ZkQdkmU==Lk=4F>_c46H`O&sk(N16I3X8Y>lT16He1 z6tFD7>NY$FECg7cM*V?>0;}IB8CV#wdW~KLmK9jTMpJ-=18dOe4`6m+jT;>U<^a~H zaT8z>z?w8J4a^BFxiRJ=Iucm3#+Z-jC}2$+BM+jZfwgFiJc!N)ta&o^#RaTYW9mx` zu$IZ~fyDxAlbi@F4p{5tM}cJr)-HJ{upGeJCjSR4C$J95{{xl_So$0V@EkN0S4<3Igli6nP(A2w1PC z$ouHRzH3V5NZ#YmpsT8DK+OoCj7G*oYRN0V@Y=cuTCA z=<>ksZizJ$T>;q0mcIk52yArA&w*6}HmX%wV3mR0(<&BN6<}jp4Fy&e*uAY<0!suo zwslWn)qss}T@P4wVB=a-9wY&~uQlaC4PX=6qyno6Y*L%~z-j@T*fuY)+Q6o?xeTlh zu*vPZ1FH*cTDv;H>H(YDZU?aXz#eF~6j%db_jjO}HU#!idx~izU=MaU4y-Y-M>@O% zEE(9t9kT;#0&IGRzkoFb_Grh}z?uP@(XkS+=D;57)BsouU{7=^0jwpk$2*}WMz;d? zWGB?b=+?kyc76$18(>d&egIfoU{7_SzO)1OOlRs#dtkG=q9#Un0QOv0)WqnHz@F{8 z99Sn{FLa#=tTV9ZyPW~n1=yT!`+;=@HoN=Bz`6l@vHN;p-GR;RK|Xo_o8O&$^aM7q zMdT zQ-EzAI2YJdV4DX13~U;(w1J-hyC2w=!I8io0Jd$=ufQGzwskP|A-dm`4`w@z;+Gm3Ty_jy~An&dmPvv#)j7jT^YKHF;_(N zlhIExTl9;#*BZxc_!It2X4wbSZ=f&ZC;k2 z6~HT8K(oRSzy$!i3vF?<=Ike@`RzzC~EjBBxD%xsjtD~)fwkF!z zXzQTGMLnyJwxQOanCO?$W?_!#SI|0vN8mr_Sd4NJ@F!S7TQalR>N>t>cGxc+#~oie zzIL3zf5+WeM74$Ipz_tmny#nlz0Q<%ti?j(ahX9KaU|fI|7GNceSoHHO zzvD~fOQVPu5$z+oMQo4Q6Y)XB!HCbCQO+FB{LV>;*-Yp2&J^c1=U(R_=QokINJnH$ zB#$f{St5EdV^JU3SeBjky7qcD2ZOQK2tRAHkDymW$&4a1m&| z4v{%Z<|LUjWbPsJGnw;b{vvac%s4Xlk(o?p8kq;lJVNF|SwrScGH;PtOJ*IJ^<>^AlR_qy%my+W z$!sFCnamb4X=JvN*+ynNnH^-_A+wXrE;9Fp9%5`az1l-&FPVL0-X-%MnfJ+jK;}a- z`^kJn=3_DkU~J{dl%szhB=ZTGPsto2bC}E#GGCDSjLhd`j*>Y>=1Vfi$$UlTYcl^M zbArq_WWFVHlFTVG-;p^@=6f<{$oxR&M>0Q=IZNheGQW`dmCQLZzmYjl=65m|$oxU( zPcnaz`J2o?Wd0@dADN3}E|IwmV`F4&WU`P6Arnd_j7(NC;biP&9AqNMILSnki6RqC zCL0+SnHVy$Wa7wVCzFFrPBOX3Zc9ShwSx}Ald3jKnGo(cVdg&w0LSzb)DMF?wnXP2Dk=agW2bp)s>?E^`%x*Gwkts%|IGGY;N|M=3CZ0?hnFKPW z$do2ihD=#9<;avLvxQ6rG8M^GB2$@66*5)HB$BB{raGA*2 zWF93moy=ooW{`QD%oAj0l6jKMQ)HedGmFeKWS%AS9GU0Iyg+6)nK@+Ul6jHLJTmji zEFkj|nT2FtCi4oJMPwF}d6mo(GE2!UBl8-WWr8b((7&4>CbRg53{@R92d-`V|G9&-rp}mLJ7{_rO zf4;xx_Z>zXOB9i!Nli)!vo*O48H+ZC7Fo>NBD2M&4H?G9v}KIBtk%ZHCTuKo$uPGl zcZv{+qKPJR`@PRUuRhQ7bS}^L^E;yQy~^+K^(-xd`N^OSmKa+(lSVam5>G*um-YVJ>NOgEpzV9gkq0MWoW%?x0nn|NS;hWQD1ZWy9T1{S(W0T#MR0~WfWJd+984k;vU zfQ=A%@xW6BE);QY50pVUR5*lBfT@I|z(O}C;3PD{IbdNLmYHF_2n86HfVly;;4a*U zNAMJ0z-xHxu+8?o=PlstE5TvA+$KizG+%U!=86_+v1keDm{y5alWO(2Xg#Sx8%58M zTJ@6XRZ@~J@^q=&MrjJP>2=X|E;MPgXbUM$=XjdH)lw}JE$3W?9uz%HiqMgsPSi+G zhr4Z*j$=dB!Pcsi!(iTDsP^`>K(~n&@(54eJ~hVuK}2JD(rk5quF2s-D*LcZ?rj}p Saf5ZX-V3R6KRWp8dH(_bL@TcV literal 341453 zcmcd!2YejG)t|lH)9u}jBujFWZ7{-eFR~=tl5N1qaub%^BzK$hSvtwGPhD~WW72!R z^xjA>goK2I0O=tiy@!O503jqKA-$0hAbju5o1NWRt=F3a`v=y%H}huZKX2ZYotyHF z|K9OA#@MowhYePm9~rBGf0_J9XQp#BpJ0Z;F6uneJCe)S*Yx&}=7#&T`I^>TPqy<^ z+d$V?K9^vo!73e*9l4>g-r-!+$f^F$9l4R*XoAHJmdN)Wu7Q6EmM~bEC;cTOo!NZW zU>COvqGmYPozHcS*0iH{dv8yFc64kwx7uLw!>2}bBgVuPMMkpkh!ItCDp$S-vLhopbmC6G=%`JaY#YPko`YVsF& zy#CFue{HcxezWU8t9>ykw5184|)AZT>sh$9{Fos{~_|P zDl3EXR+y+~)57wWd*r7J|ClJR*MFAlUt8gkU+DS|dHw5M|JsQj`PHufkk`N2^{<`e zk>Bk44|)CDUH{t29{K&Q|B%iM_m8fsUG=jUH>7kziBDEt*!LP zr(ORcuYZN>UpvhsKi%~o^7_wm{cERtIKjigqcKvHF^2l#? z{f8X?BCwx!@?V1O_hKoJ{`+13A;%xvb*Gz7?MzSl$6Ws*um6bjPws8%Ke+nP-Yqju z+vPQ$`u@a-!j2T^>yR1u%X^o}vNe6n?8q1DOkFT7&)>wYntjtyq9B3T7 zZg#S2Nt4yJea?(M#RsOP;DREcFrjqD!C|@n0`g|?$QJGX$Vg= z8>>dA$9t!&HCFe>*O%>Dd~o&A++@DIW@cm8?8%VM#YwZTJ71Q)xF|MMRN1s-dR;2s zd)Yj|b##4EZPUEzjYoU0xwO3MlB9WEthX$?$C!MuC_iP(fo1D5tE%@D&s;rwf67ABLGM%;`Q#V_B!wyDXQRF-V1%9^p_m3;S->5WGw6(@VE4j9RHh}U*ereI08QEAfZBqaB_Zk+lTpt@TGcC1MRmJ@<3XJv7Xh=?q?<}vi4jU;mxw6_w^!4mIy<&0O(*89i zT@6c$VzE_Cl~py_(o`Zowqr|^xo+r69bZ$zM_Ugr z?b^Epag;kaW|+0vhMKFFcIB=+26obrFPooinVn6DacF3xQM`A{qLcZ`X@_!Wrf=+@ z9#5OoQ+wCeHpOG{gXK+eu%|QAHx0~K)s5*dI^d)~$w_~Kxm={**K%gol;W#v59M~1 zm2FR>ehyZEeirXfRc&8b(KrVA_Rf*{)^0W`Fke2S{!mL%_0+ySb%VL9PR}WYavgTc zH8+(tv0PhPd-|s}bg!8_qoHq4{YdVLi6>hx0-T#2oNX0NMTm3Oi6s};^=&@0u%U17 z(r!p^?!eSlpx@aOrG6{tGztA~DA~6(*Sv$DSTqCD+3!zh`c$w-J)J#RPwU-u4371* zq3^)z&b^aQ@9NuIcWCof6HjhAxU?V2Ie(s8&U9PdqQ=twP@lUjdu?;m4C|*n z88>I9=P$5gvGN6FWwq^w-M(tZ#w8cmcUP1pS1zcUZ7O+4r?x|;Q#CJ^#&o8xoE(o| z7jItMwB6jA-n$Uesa{Z7wtZ(qEV;9KYRQ3k+-y%*Zf-JnH`ldpEQWSGp=kN!*xIH& z=B$b`VXtcsY#f-n8uZ#W*{z>cZga`$4cM>s6?IL4dKziI`t*ikC}*Qn&bcYW+*jYV zrVh)~*weOU5u{TO>GZkj7|EgblKhE92Wm%mOS{|;cY(QH*!9TfEsHjlK>Nu+{R+D)<+6Q%-I-{2>5awHRvl_VJ?(ST zpCt5Mn`^m>>S>#cze<$9sCr}D!KEWmzm-dz`rT}#H?OKbv8b{>mpd~n0d|ph?4l#S zeR~_Um*(>7xdXx;`=%(p8AaU(%TG@(o>o7y<|-^lqg#&JN@~C5d}GaCVV{*XZhjM5 zCeJv*FK#%#X2ry*&~AF#P)`Tla#TpW*?8s{;yLc(G0OW7E*)(zU$nbz+OqDAJ7$~| z?J48x$FM~Iabn4VhNHb?=hwLLTh?Md_dtJKe4zf&=8_pF7EN0i8nLQQ+r7=VK%2%F08t;EE&Ii($Jwe7+x}YRg;bFb649%s|Ist z%toj`=zq%QSGnaD{a)+78#@fl|;;P+C_Ac$~ zDLK8O_~M4i@#ONDxwdIjJk!$DR8<@+Pwj15e4tj%J5aCHQm@-PjFjlt53Ydz3vrb? zxVD?h57r;b6`k_MtFUJ`#dG_MP76C~DcUZ|lU-7M zl20p(RZ{%$u#G>hqvFSQrCC5`N$DDyZ%LnB6r}(D$DKRgT4}F_H%Hl zE7vl=2l{Q1PTrIMOiJJFr^?}@ao_5`y=N9GdDMS}$hWR{bIF0-&BofbRmDT|wr`JH z@zQJOkRC*T+qE6$151n|%%`ze(~t; z;(f|LHg|bz1B|anx2{^Wv2W_K!^i4KztbnJYwX+80QS15u?FTB=F0hrLFjLLO8D*p zutUgqs2Tg4@)-?_LC-QjIZvsN)mFC7g?zC;nGExZ?w&19|1~Qy+bHR2zv^^%|BS}m zMjY29e%T)a{_ybP7NcbIVd!5EtmAHCVH_)&vAcgyZP&)h zGa6yOK=ZAGMrGR^u#0_lUHi|ZVSZIV+H+>%+^q*2VZ5;_ni9*7=FW_q*fJvsf5p^n zc(^^=@aumS^i!axeXB;-Oygju(BH>WRkIE(ABFkEiM9jvIptqexns%xRWSd*tY^uz z`a^9+V!Vd(P&-82Qct^NJM6}CI`g%#axXd%QtlP!DmU~`q2)dX_3A74o`!Md-hY;I z?+-3F^eesFi!*hH_HUUnW%1Q8Z(qT)mrlDJ#)%n?i}$V`g?3ME^WdJg14~ED zCT$+ya}dVs*+yE-bLD&)Ke_p^{4Pa3HrbuWww#_*v#&M>{W8wOK&}k>g{32#i>jdU$GFsX_jZjQNw6sfD^byepTTAy z%^uIz;JqqL$8xQ|bnTagmbrG1w$su+x!TWw2tBlwKOP0j2EA1vZD*u;I%4$OvG& zQecy;!KV0@_N%M2{R92gwF%Y?c>1$_z5PAS1F*t>aX@fm`zOho zE#3%V)w$vBY$vYN$9e~#-c-D`V`ysNUy|$Z%4EB`hBJf1xlA8;D4CrQf1JcH!0+nI zW(JRqX1YMNN_uRxH(#?WoA2#{IP(L%FKg}X@63;N<-{);7=Lv26(Gy;hX-W)GQIsC zc380Z(?=#ZJUjpZQO003=SH*o8lI`rw zjeuQd$MOPad0+1c=(eXn*X4Q^=T3HJ`rs#;v5_3cn$q1noayT9?90gLqzDL^h9O{A zfq_~wP`_tM213rB3WaD6%lhKJGHCkRm|q1pH4`Z6ala%SX6Z}(^pbjwFZ2cYQ)Phd+u zELpS(jnvz&)(#Bhq1G+%hPFJxBQKkv&mIuX;jxj-a4t*05nu7TrjDje+xoUlYs;4P zm^|1)K3mfChL1a4s3SPB)$N&`Fpw-0oig>(j_4$hs2b5gw%ps* zJHnH7VL1?hbcNR4JK|G(;&L?SarfJ=}9hpr~`!3hI z70`>Y9Xp!#X4b9W*0BjnjXoPUfpAm%rVJQ7cRbo#_JQHr$P$@e+p*T0u)|Tp$axbk zM(MR3O&c>CwzjT=Do{M7HW7IYs>`%&S+{y%QS6T3yrh`%9@fMds<+IGC?t!ElnLQyC59A+B4gBbfEG!H0^Bd z023GOys5c)eS5pm&C&or(CRq3x&XiW06#!7F7EOGzZC&~FoUY_;#IFzKp5Z) z7z2C(Wq>c>4Dbb{0lt7Wz!%U4_yS(7tZhy0oBjGkKfgZF&#zDP^Xn7+{Q5*czdq5= zuTS&?d?o9eo7*yLo3?DutZnINhv@@(2)dN$y&dZ_yIa;_>mnaf2hxWUSl`_2h@?d% zsv0mc!ENxypfsqBP@a^=mYoCyKu{Vx)^BXty2XLi-n4FqqD51tgai%=zIa_*Q)cbX z4Nhl}BECJ-0u7cs3|c(qA!OS#(4=8ju%W5F0~&6}`W-uVZtKYGSii1i$NFYy5ydUd zP0gFuXX;Q%fNg^0JvuA#dSNG}d0;Cp^GZm~c@t{S>}~=~6^_{YCQp~A1ksl?=A9ci9E0oqLS9|l8b(vPszbil;nuDahqp1UWDk{1Y3wvT&az}0GGWIbZpJ+Y+s+**1EGDuq0aBch*5K2NMCH zT)S}?X*Uil?4|@cw9zeVF-831);v@%kKXMtA)j^i03p7AxGVVkn7mm(bS3^H`E*^ zp?F6NB|Fx`gs%fyyqqQ_5w>hYX-ShRQj#N0bhNas-;rruzY)@355v%e9lJ6cwry<6 zY~2PyqCF^7AtA|2I?G*+Mdf5#+yG>_7y zl7|NdMn^`6vx5eEr58cSTBY}65|V{AE3c0hvE$TWE@)TwtOGD?x!>)y`fXedRn(IkrCK-GmEJ0nx*wmUSEoL^;l?2&n=&%S zVQ5mh{t?*O+ay+>dJpGw23z4x-xoDB)h;8K0xfidU4jM-w$Ymq71UGm|0U%kMlWjT zD6}Hb<&lA|<}9qQf$3lBub6Qb4y`0XaX@_9+4IK^+^2bnI@R^k@g|I+pf(I+P0C#g zw2e_6F$7C6K#lJKU{rGLr_VOP%)RA>U>O^z@v&k2a`T4RXY|+*EMo&TJ~oVW-n@X7 z(m;)uhB4494Xl&~YP>Xzj$UbCr8H3Er5kl=V5KxrLZ z2Ye}kppz2D8LwP4BupiDa?Cbv^2LTgCpM4)vB8|uxC)!6V-U9SabF4`;G_U#Knh@D z6P%Jbi}tqhDPJ-m zey-`PgWrZ=eb_dB=u5)2n7TlVsRJv}@^lRZg97q|`i2Gl?C_`>wtwbJ!ZnV%K;x+M z83*!?>I03V4ys%O=~@E@jR#rpvj*g44oC(>fGRIVvmecmW%`D*ux{OL8^6=Dt#?fX z$$(URCQ|R32$BI2zz#V6k~W;{fnW2n!}zN&PuFPb1C6GBnay_l;<$EGA80rAU1=_;0-5EO>wZ~a-7kX*PQn*N ze+GU$$=R_vzWA;wpk#m!eWtL?H3cLCB0zmp=U6K{#~AFM(8}o=$Fe}kTE=pydo6-%78n$e15~^g!L^Cyfi|%mYF!Mh;-_#sw#FCVwGWgG z$Pg@`5+@`h@XeafWpbUJ@|S7YtT1fH;CooZR7QwYE3<}T$7>E|ZwVf4#wzC3^K#Sno2L=V?09CF< zaIIlQpf#+3I@Th%)sI2rb7=5YKk`ybNCrfJ>h$K&;MO#f0TFyP-Qd;MO#f0TG}&-Oqk7cldnOl;GBULtxD}V9jF}q;}cZvDf<2 zaE$?_13>tUp}{o>DS2tG4ubj<|GfCyj$eQ_aN-~xkWVdCwU!Ths5rrP zQ0un?^iXkv?V#3g2k4>V1lvKa-wx12#R;~9TBzzc&Itx>bF#l^9+N=1Aa(dnqSj*) zNC(C7n?$Y0B#;h@<2Q*~k4YdM6vuB8wH}i|Iv@_NnQGOG9*zo;4vOP930zWDae_?( zS5EbOJSKsGLHYPi0#{R2KEWn|>!*4?9+SYppnRaJ^*A0oz}3{CIDR|8MO1|?*bZ=! zRL{p_2N)QXkKYb(4OQh6YzMehs^{ae0}Kqv2UknAIOyTzgLF_Fza8LWsfrV92e>?{ z=i{*h3=GQ0ZwI(Qs`3f816&W)^YPdL1_tHhw*y=aRrv(l0j_`Q`FQLA1B3GM+X1eL zs(ga&09Qfvd^~o5fkFBB?EqIsRX)LXfNP;zKIq}ZK{_BGTnp9XcFfkGahz949drE7r36O@(H#JT(Z>j@z@0h2IPaQmg#)g2prKG%jV(t zEfaoP*AhVqCu5|867d@fE@-Ma!G?lMn0h`QL&3nHeEf!j%bF^mU_-%$Og$fupSje<~ge!j;mXRKOy{ur7~MX6PWLZT`)lmdA{65j7|+zZv1$sVaG}8R3ekUUH8a zVPH@`elrpasB+B+>7Y1%Gs2Zog)P{Oa4l5F=CK$I49W+LB0(#q!?soAPrze7xKJ99 z04{lInWBdS6zQNiU?JY)tbMjs;!nk6P`F+ilnPkL1laV}m+8xn9HWD=kWz&|C67_z zLTXS-U>|xJJjR1-sX=l4#)C_!s@%cGgNvqmJ|5%2z@U7e3np0&rGFfb@&zWo^aVz&&Ojz!E35@{xwzMA@dRRcx-h`!6v-<2oDt} z&|vD8Lhb8uT+xArLJiRIw+3MH0qYRrXlE{I8fDkd0acF zTN-EwbxVDAAUu@b0_~t~DO9z%ws|-=g7*Z-F4uzNb9(l!d5AbckbUM+x70NcK?lU~ zFRa#i7FGov6vuBKo`uyqq=Vx4&BL>>T8DH{9KU&Z7FO$!4vOP956{AC9nwK@{N{lx zs7|#7ucp@Ff~i(Np4C(l7?h9SJUpwZb-}Btb+}-v=i{-1;MLSRTrkz+car~x%i>fM4uxa3Ws-BOh=fJ?AeEgfN0VAH@gR6QS$X#_8@*5P`p9>>#jU|%oGfH?jI);iAutDuA8`0c>6z*>iNP#nJ<;G(L7EqH;o4%bsP9e5U4MPN`qemlTL zRh3V$9pHMZo{z^4Ffb?|za8MBs>&zW4sbnH&&Oj27#Ng~-wtq5Rpk?G2e_W9=i{*h z3=GJ}zrb4OSzr}(P#nJ<;G(L74e2yopi&2i)$+M)KOE?q*D@cDJ>w}R?g@b7TE+96 z3p7@P2)AyrK9Od&^+|{`3Qs8DQ6E^3tid%&d9VPE`XtAkMBoyxdFsj`aVL^{yOF%g zC8dNRySZ+5q0zYYY3nlxJ!bB~lc zng#fF_Q>JPC>(8r+HoU6z887&9Uq-r-?P4tnf(AN5Gv8?&i4+&`7Du@b8A%gWN)VP zNVdN}mmh%&ilYpqJWEeGP?}pmvF^e2e+s6H5M6ArfnnZwKzM3K6N8LqxEYO)z^(hN z`!NSt97y1;Jk3q5@D-#^C8}$A_W8V?*^7B(&VaVkMeCf5lo+`6E9=(?^ydXl6P)$4mi4Pw?JPcaPLCK2f1QaxIr#rAmj0g z@kyZl_++7h{D{x*?l0$^$VyeB_9yxLjtV`wt~Um;gM0We7NKe#?_JGPB;@Zl)<~f zz_Tyc+jF9GbU2?G9LS3{A!ZnkCyghtsSQ2yUkmyO4l#A{H?@Bvh+ zbVAv}K&gB@S{02-95U$dgo7fWmo9AbVA-xG7~u+PuP|wYHi#i`cuRJu)W8y4HRJsQ4NU^xZbb}FV&L@p<+Y*ZV#y6fF#4R^C^JG z*Tk>H%4-sh&{cm1f7il(6_0|5S^^)alXX-l>yD_t>xKQ=DihE|D@c7m73~B++ z+)U9yIJ$+8(Wz$%fkx9wO6+o!2$u~?C1##sC63pk)w+H|hZx48_MD7Cc}LEd^865Z zyf=OnwFl!LX-}aTr@iqZpuK+5-oRO-!vI0b9~Zp=q7PGa_`-z=-sp*ekxsZ=8@|k3 z2851Nw+7RKMBg#-HX!V4{s z^Ibgt!uX3)AopUCDBUdTPn3MCS9A6p_FPQ#R_V#9oZazRR&A8KS5A9!mGUVm1Px;PwT)I2=DRz18G~AmEp< zmtx8v6y35XNKg7kO8Ubv2@stK%!n%diNf`yoV|>_9Fw~l4;7jFLai%&q1WN()Ytpwm#BYn_CBH>?1@aIr}J4Y0hpYs+hBn5mn0B$B8QA>=vRbIQs-qlQ{b%QByd(m8eS2K1I}Y z&Tb>>BF=6nY9?oQ5LLz5r-_=y*=LBF&Dm#(n#vY=ZRX#*%ydf%-I)-s^RQQ zMAdS3CsFmBeVM4`oPC9;2F~sxY9(i1B??UWYeZen+1H6$!`U~8YU1phL^X5vEuz+Q z_HCjza`qjfS~&YIQJXpY9#L(aeV?eUoc(~P?VSCPsCLeNMAS~s?j~wCXFn!tFK0g? zYCmW95Ot8VpAwbf>|Ua>oc)ZbPR@Q#RF1Rzi0a|&exiCgdw{58oc)5RKF%H_YJjs} z5;erxLqv^m_A8>sIQunGCpdeUs8gK%hNx>f`z=w|arQf+uIKC#qMps!?}>UYXMZ5- z`JDZcs26hfC!$`=*`JAeDQABn>gAmMm8e&6_BWzl#o6D9dJSj)AnJ9T{gbFSaP}xs zZ{qAMHwAUCEH^$<5^5cO+rTtw7wxN$L2zvISCqJGbf zONja-H>!yGGdC_J>aX0GMbzK9QBBl8xiOole{o|DQIBzBE>VwjV;)gYa$`PGPjO>` zpb`c*77}H0V-ZntZY(A$!Hp$ECAm>URGJ%0i7MtsEm5W1s3WS38}&q0aAO%!len>* zs43i7K~yC-8i<Jp%W^!W{QB~YnP1G!ITt?JvZd^{(Ty9)J)O;(z!P}jAS;Ow&qy0^HK+^i5eyjzo`W}ZdLl?X%+ z_jJlT<|&y_q0k~?O8z2>S&3g4AgJz>r%Xe|+yX2NDk7xjzkNPDQE@jhUP>QH%qjBO zAd1xR3i}AD;m!6D#PGuVNMcTEfmIKBaWxlcYTB*&lwnnnhDi=C(Lo#Tjz4VTJ>4V{G%Wkxc{qol`;q_&o)w&W6@uh~ zhE&gE5hN7UtNP!NI0ruW(*1MbQ+M&710UTzPC{qZF#d{sE)g|JIcp`juUSx9LBlOQ zTp=I1AhDqF%6Z?SWgW=`O`jYYy#Jh}0xPKvU)2yVWsuO405dzw0~!<|s2K7sh&u7E z28jh#z7$i>ZIEP;&3PrAnZvo{oT)=l$K_3r>P0LdodcwJ%7Z`#b)8P4o)7hE+6nSA<1Lnfbo(U8ffUo>R$ z=@$)|eELO0KAyay=lpmYFC6mmG+sF5<7vFG)Nf$t<*^0A9P;rrKIM>)r}4reA5Y_j zLq49o!uUN9^6}&sjcC7^YDD`*(TMhoq7m&EMI+iTibk|w6b;eqxaX3nU${76E&^{G z;VVic5Y(mN<45$M5Xl5}X^u>Im*z;}kSPX;pne~Pu-m5>nn*5ap68SE%wU6t0F;*R zIZ={9W0MeapLrs|pl)~E>rW&aq&@dhD3S@9Noa3Hkyy}LpYw!NctYxBsc=l*;qYvk zxkKIAP`l4jiTVucmI7X_B4k08uRmr*azP`pdgF>jf@<9J^wrrl;l7AC-D zM~?Ehk8?&o;o;j|6P}DECB{ zFUfPZk!`|Y*!F?PUYyj$7EaDu*i{(#Q_eOM^)uRI;)rRl$1n86cLRdokLRqFwPDf^ zaJH4G2RYkD)I+#U&Bb!IwA|ap@x6G?wo~kfIcq1dzvZlhs7E;4Nz@-W+eOr$INMFs zUpU)C)ZaMUOVmF&+eg%+ob4y--*Ur_JavYr8^jq4$ol|0h^2X)v#Uw=NzO7vJ;m7} zLD}$=aF!^Ov%^HiIqM`U!C4nkNzQUar8(;+s+hALqDnbCLR1-Ny+l=Tc9f_|oE;-- z3TJtuDmm*TYC32AL|w$$08ukJ8zicVvmv5paW+iUY|ciAn#@~HwLeQjc1qj_VjuSl^g z@Xsv#(};go!N~{f8op?Ru${P?&O1(UTHTIZ&lWh5QJt`N zf|^vDb-Y)@Q+D?5q`k}D14fv*2NedV^HlV~Z0E6T4?K@&!$Wm$bjMpnzdC6jv@-^q z4ST9o298Ac@IW8zsO}hOg~tcs9YUy=$#ymcG2j%Zv6I_fm}!L#8`9=Dm{oT*GB7sW znd|NE9;j&-WNU9fR7;P|lDLu5^L(3p6|V(%bwRZrP1#3m*pfTbNpk(k!F+FL?`Sh@ zBkj%SwhoTMYc(TKEI7qpr9huWN|bUSY4_W(wYCVe>Vz%gD?Ao?mWX<8^5s8!*d9qi zT98EE-C(;8&J4M`;&txq`e*^wxUux?JUetna_}^weLMv<4f}w(qZc%rgnbG&%qhd` z>mAAFdwcrfoQ5(!=$6PfPQxxzB`F@{fa76fBRRBC=&?xXdf1Vzg!*$QFz7G^J;xse zjl7eBp6?H;hfaxtUgQs227MC+z0@DH9J)6O`agfr3h3`B=vDrp2I%%E2)6X<;2UAI zNZf}F1Ge&(JBo7$nuPr(IP-P5cN8{Zd%HSPy8RaWtttD>_S?W3HC_;T-IDhxYVw$WCtdlzyW1d?IPz zV#9IlRBs)JI^ONZ6l-$%j){db>#2a2h+^ zHIT`UU}3g)Yo|TVOUtuAZ+{_WUt`1mU|(H;`EMf)e|cP3{Wu>O2VGNuUI9mih3?4Z zJXqP%e|(@bi#=RNb{O6fU4ODOCpx@@{dGveQ43A$681M?n=wdwUE}titcMf1EOg?Vm_w*u&7y zc{fWnOt0qlPwjhC_BU*paH@`NEwsmkeIF!%HBB$bZugv{f7*R2&1CEc>|dnp`|Sr| zFoVii>=}~ISsSaN9S^~!k`Pa?)jDpBkd}V~$<|3Ra9!g8__ffj8YfBa4W*OA;`K@N;a$bmaubs8h$rq(VPgffG}vNs>44&+g9zM?l@&W-0#dXITDjx8r) zKMpx8mpOpzA{H@MaO3$RW|D#23MmJ!Pp*L*FCw|P!FG6096#-PqpubFfn);eEolP` zm_G`Ifa`j0jAD?3yE23vxIVd+-1t9|D+f93pRr!S)#d7za^p25HwDf`;$2Eb z!T^BqNkY}WktAoJB$x@#3@Z`bG%m{(LL_o6&}YZwrx$}^W%)d81P4v*$*WQ^p2 zh;w9>z^a+?_r_BslRrNk#I6h^T|fr*`6MwZR{i{;JMInRR-uKO8)$0@_ES@aO3^d(ZKvZ zDJEn%s2XgW3$Rc~DoYxslP^iW6ubMEapS|3C43x|desiE6(^FfgjxqeJc5jmns)Kz ztD(nCzAE_|ZhVX)z7DF|iRgK#IFWnjog24ODQ@t8%53P(=b^gbW5d1FKva4p`;0K==38=p6EFL{Q>&fY-T^wr5$s3a&hPia|CYyD@>KzSeH`{C{EZ`Ay zi_La%<8y@aRw!tGAlsD@cX%H@HJBY4!Fs(7zMPVy$=g%OMQ9awlJsYw4)NJ@&6QOCN1EAJ55h}hJo#r**k5e657uHy;_o)w&yDYr0&!uM zy#JlDpTK^q)KOjAK-X9vR=AQdbuCkhlfFW2PbQO3B>&6pw#0v+j#I3(C}m7Y{KsUB zCGd{{Hb$Sp?YZGzSUkB#EFY$d;An^Y!9w3fHLcmc!(G|7+-SBt+X=JoQ!o#OJ~Eph z_4pa=1>T`sMg@T*16`Zowwioyq`Gxr;MmyU>ha^b$485ZU%RUVt8pC2=4?LS4hwdx zc`B7kr&7rjheE?77*Fm}Q8$eBiz;6VD%zYXEoVk*g1Dr`AFNdRv^#*@$wAO>U#@>N zo3B}$9m!E8!3W?2sq$3viByHn4#4_4Rnuf#QeDN39|#LbReDBradSczpsKwm)Cs8%rc#J5R zSO1r&dLA=~TFzq@Q4KtnAZjI#rHES1W5q;W&SPant>LjrL^bhPB~i^hb`eqQd8~@4 zjXXA+s1_buK-6ZNb=eH22i-P$TZYn;r8E1}Az)j|lZtCsI4TgMk}>Z6dWh znc9`w!()pn>3!VZVYg%JH~@xna6;l9F?AWg$mF*UG@e7{5D(^Np1wieZQ+*=nyNwq zohbkVMa%P89pUNW_O*nk7w{Y{XJ#sEvpybMPT~FBK11Py5I$7SEDZ1Gu|^6XV^>h%b9k(osORz6CZb-zV{Jsi zbf*Ny%d!6K@TpAoVYq*br^E#1n$$~qYzGOwoX2((^$NT~s4vS?uM%B<>eW1UfI?mi zr}g4HQR}bgu?+dW5q|t31Aa67_(RlNd8~^<-_Bz_MBTt+M~QkDjDeVOAF=O+p0zs* zx0677<*D~mo*%$*5(+;^GB@%Vtx%+H;<4l8_fZ}@MbyW5>@-ogz{IY%AJW1Lo_Ok$ z0LQ)|bt{iuPvN)0xF(h!c6x4_ z(s+~lQtD2q?$nogjMghscj4t3V2-d7#ZzA+Fkj~}TBS&R6K&&V@S_~*`#U^F>jtUs z@puIZ|A5D6jUe?S9-~!&)Q{mOJBo1+{ESD`y*x%={;8ky*jq?V_oMbf({CsCL2kd0 zOz$Buys8MmM(`nOjqz2jTh-jJa#u> zox)@H5LL-zKO<^7kAEcoQD}MTi?D9)=CS)J;1X`#Zryl6fceuHGLnsb}Nq z>hx@sn!{rcQOtP;Yx7Kw=+;d&cz_g*^5!<*}H@ zen(Udw_h*nGhJI+l&&jh9P9H>6bQr8Ux{kq_IpTdC5WvmXT>P?4+?})>0d;x;r0he ztO>-{ma`HNW36IkI}Ph1MPvOV@RJfxZxpROy-BotSc~E5tH@_FkNtC9zL|*lp#k9L4rh;HSMd*#&FiJpEZRpU-iV#_KeUUKQA{^$h2- zu-@vpJpCo{{gS@ZX2aa1fjoT|?5hw%Li%gmJWQFu7o}%`q7FV})8E2OUX}i~%|^JH zBeCys^9WHtfGru6$d7C`%FScs{S$6~jY{%UD9OF$tO85YPl5Mw`(X-v00Mte&L-mI ztXOu)UA@PlQ|9T1sKfk~&A?iRC?LmmL?6^ zJkIT>gcwhNm|e~)QH(}x4!dQ>p#8BJhutzQLPflkn>1|mGH%jf%`14)qC_S^B9qJ6 zG)&}G0y2lqGAAgJ>9FgG61j+*ucy$N+Wa~?)V_)DFuxV&KEVWg|UIxaq}ihe;GG#CTayYZy~CYo3voW zS8q;nAQ#qS~#iFsEuj3|-^?UciZ+lBkbxtC^^q zxwV0)k8^7?QJ>(}7NTzD)^?(9<5mYzcW`SrQJ>+~KB7Lyt%F2;fm?@&`VzM~iTX0^ zOQW*g#jS4g`x>`;iTVb&@=pjOE!ln_g=tnk*WWXGg!6yHS7GuB{uo?nV{=&7 zO5yK8upgtl_ayF1^sEp$zX9#y{7L>__{oPq1;6YM4#3*q=-6<-{I$zqyS$O)Pxq?( zQFDmUU#4JH&s}JeN$_H$I0jIQP5fmMe)OYX7J-Q2(<;OP5yJ;mhyx;q&!G?pL<}D~ zAr6QbK4C%}5HWm}L~uyN@Qo4TfQaEsBE$g^!*@Z510sg6e-MXQ@GTGG5DUK2K^$Vi zw>XGHEcmhpafk)q&ma!5;L8|-Ln4OnTo8v?@C6Iv5DUIjK^$Vi7bu8BEcl)Tafk(9 zjUW!O;2RLcAr^e;fjGp1?=}#JSnzcQ!66aDw-$&)Ecj$Cafk&Upd}8m;4`ztAr^cz zmN>+MPs0+2Snxqt;t&fy+e#c_!ADq$LoE2bs^E}_;UlWVAr^cRl{mzL51$f;SnwHB z;t&fyNJ<=H!DmN_LoE1+C~=4dp9&=ovEaj>#32@Z!BcQZ#PFR?;t&hIx=9>j!M8Pu zLo9fsFmZ?l-@qgevEWOW#32@Zw~{!-g0E8&hgk4kN#YO-z8EPuBx3k3ByorZUwI@B zvEXBk#32@Zl94#Xf)6Ybhgk3#MdA<(K8i>jV!@XUi9;;-ej#y)1z#f+91<~nXOK9= zg6{DXh(j#+{vL6N1z*`C4zb{ydBhzTh(j#+fE;m%1)qr{4zb{)Z^R*%l@1mpV)!Z>g&`JvdyP26f-k8N2ZULR zFQ_GG7Y9(l0}9Cl1w7!8JW#*`63GJvJYbPLP{0Ek$pZyE;E_B~zyl)50|h)_k~~nr z11iZ2e7MVmc%;l)+)E;Pfe&|#NM7K>?H!UA_^?x!yugRuvg8Fm?3g7l@L|_1d4Uf* zXUPkE*gZ>L;KP2Ic%;l)?4l(v@L?w{d4UhRX~_$G*ilPf;KQz3@&X@r){+a!|q)20v~qhk{9@}OP9RBhn>3Q z1wQQ7B`@${$1Zt+54(2B3w+q86OWWxi`~2A1wQQHB`@${7cY5%4?B6u3w+qkOJ3l^ zj$ZNtA9nSU7x=KVm%PA--M!=mKJ4d-N6M_lE?@EjA9nhZ7x=K-m%PA-9lzuSKJ5A> zFYsaKFL{9vyMM_Gd^i9|Uf{zaK=J|~_Wi^oW!B;_AbEig2Lj0pd^i+HUf{#QK=J|~ z4hNDK_;5gwyugP;g5(80926uk@Zqo^d4Uhd1LBb~YjJ3hyugQpgX9H193CVu@ZkU< zd4Ugy2+0e4I7mod;KN};@&X?Y6p|PCaHx>Hz=vZ5@kp7qI9y0x;KKn!@&X?Y8Il+H zaL|yvz=y+zt9`#qJ#t%UOukaxSyuybR@CqMNz$<)6nc}HIN?(j0b!z+&6of1NAqBkBA5y?8 z{UHUs(jQX5D||=+ukaxSyuybR@CqMNrg#F6@QLw5JZ~p?p+7Z#)FB1(3LjFyD||=+ zukaxSyuybR@CqMNz$<)60k7~OWs0ZS2#**)>eTolsM7uFBTuXwB}%>xYnattxe>Y3 zAxHZeU}tB8_X|;OJaG0yK=o1i5>Cn%-!(;uU@aw+ZA+gTQB2n^vgS{po`Dc1V zgtlFR_E~6%Ww^UL!q`yq!&J!+N`6#YRB|``&XX6LJ3P>N$xlkYSI#aixd(m;ZHJ#g zvhY<6KUrGM;;onBcHEHKmL2TC9V58G5DqwP8S6Wo8rP4KpswKige&?rf}h8rf}h0rf}g@rf}g* zrf}gzrf}grrf}gjrf}iRrEuZIrEuZArEm{P7tUG=9!^>c7tUD<7fx9U7tUA;7fx6T z7tU7-7fx3S7tU4+7fx0R7tU1*7fw|Q7tT})7fw_P7tT`(7fw?O7tT@&7fwwR&2*QQ;3xo@A z4hR<>2@oz^=`UQki(j~Kk-l)@3Vh+h-SxtSOXr0Pcg2HSju)v5_f67$vvl7g-M32j zZPIIVA>Ap+4@0RX+r2Ag!zE8UEm+l9o`$6e`NV+#l_rub?NxC1A?nkA2 zvvfZu-H%K67U_OMx}TKpt3&YSpO@|zr29qbeo4A_ zO83js{fcz&lI~Zf`!(r)UAo_p?l-0TE$Mz+y5EuRccuG1>3(0jKalPZrTZi4-Ywl9 zOZO+zy+^t~mF~UL{h4%sF5Ua2d%tuaknS&}`=E4xDcy&p`zz`GTDlKQ_czl0t#p4U z-AAPRd+Gi`x_^}JpQQU|>HbB!f0gdvr2BX2{zJO|lLAqtq zEthVEbSFx8l5{6acZzhUO1DzF)1*6Hx-+DEk#sMX?o8=kBHb$KUMk&L(yf;6Z0XLC z?p*24lkR-!E|Bg*=`ND)V(BiCZjE%8O1D=z~X z!{19Fw6RVKlJ`017sDMFlnX^g$h0piYE= zIvE|*HHCnBP}9`43e@Q^Q1IhWR83v~^g%sKfqHfrDEKKnDyV{N>LE>2&sCtF7X}J` zm5&Olpz8aT2I>V0)C0iahzhD8n|fFS^)dzO+tZFi^0MGAgLo6$0uJ4bX{>YZVrV7E(DO}(cOP=C@uy;p&HUl=I(i9IT)4;BKdQv>xO z1?t8yP#=yC>LX7d)JGMlo5MhTEIO!Lo<68gC{Uja0|on)qE_E+g@EePs_%9M>W(l_ zpN!+AZnLT&?IkI1NA)x z>ic1!U^`<}P(Lc9^_|c_-K{|VI1JQJE-0vb6sVtufx0(3sGk?2sZ*M!?o*)d4+Hf; zbWjD^)HNEYUno!yhJpHJbWjELQ`c&s9#Wuw6$a|p7ZlXP3e<1HK>ap4s7DI1sneRK zey>3NAq>d`_#ozX!3OM&`# z7%15D9aU407Xs>f4b&3~)RSSL{(C_|J>`Iku@F#r`Z=nm3R+8emRD1;m;z;nfwC?r zsJH@E6b3309aKSU3D4FvWh+q0Fi>z*A!_wK1EA6h6b}OhrzRqTij@@7`ktd{s#JlR z5C*C&I;iqOKs{FjRiQvl32IqiVA9OA)sEQftsg4%?|^$ z;DUl$s6Z_W1GP9hsG34F^;%6+OBJZvFi>^p8Pw}EQ1uGbvM^A~&oijkYoJyrPz_M{lD@-R?WL0(Es5s7!QF*+Q!C9a`%(e!LjRJLT7^u_HK^0_E z@6>GSItA)X7^v%`gDOZ-W=9X!Tl;xK^0`^I;giQ zP;UzZ1y{X91$9Fq)8Y4P)%Q*X>Rn-=-hG}yeLw^C9tG;XVW8f3oH}e* zK6su%eMkePHVVeVHVWc(o#)l2Zqz{Cq%`%BFim|lI;f8oV(1^!Kz&?+x+M(MC!&M8 z_34B9lmc~I7^vGXD5yIWs85H1g6rO*+SD_ksn042ENr77UbK5&t4{}|HVVeVHVWdMzvmUyEt;m(M!{IvMnSxOI4Y=u z`l(N9pwvdeSlC8EypK2{DC3$!Z0c4G)DM-R|0vAR?~V*AR#2DlDGk(*6{w$tfx0I; zsDi3b2lZ10>fSI=KZ_2kps$(RG)?_nfx0gY)cw&x{h|acd)I-rh74#dj z4(eA5)UU%pJscfWLDhG=R(-!wpne+$>UYsW{l1Xu(?R_~f%;<@s6RyqRgk9c&@}aD z1?n$hp#B;iR6&~3LH$jE`g<6te?$jW&}aLnHBJ3ffqFCy)W4#GDo9g0sDCR^kA;Ey zPh?O=K_`s9plRxH1?q_~P*0v`P+!tO{a1l{Dg+c>avyb;P>`nX^nx-C2b38L17)6P zP+!(SSqfA<3{=s12K5yUR6>EW!$2j^GpM^XP$>l}9R`Y@XHZ|&Kou)cC1IdSqk}5w zjKlXdP!klWvM^BP(Loh-zE20G_Ia9N`#kaCh=^T+Ii-+Uf(~k`(o|)brlv&(WfW9> z-`A>7?ejFl_IcuS8WA;B*w@StG*A~SP0b9`)FqKY6{e{lX`reUs7u2@&58`FFq_gr zRVz@l!$8f63@TR8QjiX6t^zeL4AlI{pq>d$El{8qhJjiX8B}5ODP2>G6{sa)plYIn zDyU1iTQhXEBi0Pt5sS~%MC}p^vMC*u+7WAp?TEz}b0UH&tV_^AsU5Lq*p66yyeA^4 z!aC0%Yt^TA#F}9{V(}fJsGtg}J{^?W5o?C+h{Y$3qJk=D(M$)mMzy{x!&)D_u5?~O z-J@0CS_P^(4Ai>lplS-4y6B+RD^MH4Ky8c;s-PdXf2wI}lLFNe2I{Klpl&EcQ#vTM zci0TuJB$x(omZQ>SJTuMrKzo9nu52!B7!msI&iOp+O9zD2m{p~8C0wwoBElisSX8d zXBenm(Loio6r_XNtw8Mw1GP6YsKTZ$_i36^yR6NyUDo)nTU47WXw^jrrFL1HVY{sH z{kZcA>V8dAhg9`t!>aFabWjD|>!O3|RG_-TK;@!?Drn~UfTpQ#1*#_u)RE|*3euDg zs#k$J8V2fEWKgk!2IOC8no_q^ntfrQ`Y$M`0i~(IFi`NSSj5&BD`-I0ZmBef6{wLg zQ1HOn1q3ywKphVQ1#hiI1ZAEoWa{!u&8E~YYcp(@H9j^O5maHnwm+nSQoF3puwB;p z?qx(!g|$8%l-gx&hV8P(_co(~DriUSuQW}mUDjsUE^B=HGb*TpTAvO|?Xot*c3I<- zqY*(F1>NWQYfV#Xm$ezT%Nk!gjS8xuZ6!J=waeNJ+hvW9u0{k^n4#;SUZMJ_SBCXd zuZj%Hd`%(!ly)7f`C0|)bzz`h9~o4vpw_2@dV>P>#xPKCiVmuv)~ADdvjX*&Fi>xe z49Y0zrmo*;t?z9L)Z4>Ay(2oPf@YpNs2dchcZPv_S7cCTLD#YBpwxA&X4rMC_zrT^ zF5wr2v_2iw2b88h7^bNYMF&;TRwW(OjSAF8B}4z%x^VA|A+$h(J)Z(q;%Bk zD`?GA2lX)p>f>RcZix=6Ae+)bsf%mPu#0Q)q3x)eD#)gEP-;KA8MYrC-~5gU$|z{7 zl6LF2srI9rVf)eX`SFOL3Y#V9pwxbJGi*OPK6@S!lv&U(4%$`ZrrM8ghV4hk7uX|$ zD(qua2lW-z`tAyAeP4|X$|&e6a_xR}^J@y!*TX=4BQmJMY)S|9O$F*(VW7Sp8I<|m zLdHJr(sonrM>oUvqnpw9qZejVIw-Xt-3;50ZbsjaZWJ_i`J*=Wsr~3?*nV{Lf7p*+ z(4v_RO6^BC!}g<_|HFRtg68Pjo%^QRk8XzTM>nJIM>h)^kpHYzpW2UZhV4f;qwhyA z%%*fuYCpOewjbU6ANHd^1DaC%(ao^^=;r^hAHATdi>|3hROk8ou+H-j(L2vS71DYB zO|vPrAKeVwk8Vcak8b|`>4W-*($qi0H1%j?O%?V8)ju>%{Y!!RcNnP0B7=$*bk)+X!dLlZgf_|>mK|QHJ{WlEMQx_7H#T-zU5dzAJT~JV_0%e7Pibn=zB?@VM zTJ>4B0+kE{m5L0iuq^;OsI&sb!$1{B24$5NqN#s*Tc4$NSzBSdtgYy~tgVSpAJinJ zsmWoQni5%4g?-KFno_&0t*~9zR`gxgh3(1HL8)EVR@g3UEBY>Lqo5zQbx>-TwH3C@ z+KRr*+A8Qa-N!XUpQUW7I?SeKN4BZLW(iMdpynu0bHhN*iwvr;>ie$-YQ6%sAPm&P z$e;@AJatfu6sW~vpq5-vP&EqF(lAi9=NZ&fTJ_Z_Q1xM;mR(R#%N3{29?k>b+rPO2?KTL zf`ZB_P=~`nb)IKXwx+2r1u7Q?syi~Mf|jfeu7T=NppJxr>WvJlpv8S3sG|zhu`p2i z$e^tLLdHH_Qv(XrU>K;O$e;@P?lX$D>Qj4%t+2hrR`k8Y1%3A!B^oHTci0NsJ8VVY zJ6zBLhY!?AReh(zs_&Y})%Q$*x>kWY9R}*U^9-s~tG+V|)b(MYo^?S%JzIf#P8g`? zo@Y=KG)+BEfqH%zs25yNP%l)VUK9rE#pfARnWm|iC{QmA1NE{C3hLzw)c=Kndc}DL zRjz63l?v3W!a%(`GN{77`zkb0uTh|08wToikwF#q-KT?6cUfCucUfEK`7Uci2c_<^ zw!-eRw*H5^tPA-O(wL}KpSsK13cJhN`XBDHeg;6jL)p{~VK(*7^K4U-G)=urfqHis zsP|k@P->U86}HRT`X6>#7gT+dHBG5q)>haqYwLg5W&I3*QoF3JuwB+x^j+44^;1(c zO{rbhR>&@E!@Ak}nB3>NipAhR#)=k9zsO+tlO!r-E~cTM=`JGbe|* z;mHBKCx8bujCedyEpa6_A-pb{(G}id1ZZ z-~2o%;+NqiAdU?@N>2C7Jasw|4n13>fP-vaoz5dJNK ze~SgcWx*EO7z}K@g)T>ZI2O8GB)KxaO4`o>z=CK}ROZ{_YlD+rMpCN0srY45&8awM zfND;~F9!E z=tFaBi-5^kvQ(Z;*0yF1vWdsiAe)w2#Ik9rO~#g*VN618Lc(x6YkLeV%L2N^%i3AI zTQV$OAa}8Lm4$sagne&u+XKU%M;Dslo&YQiVy)dU0o-9>yK9aEx`VATY;^75xH}JS^Cft+!4vLH2FQ$asNA)>|i<^T^}YNuK7{ z$FyV<)0MQ|`s6-r$~Rh{VTY_S;ju?qNi5EQD-(B@T%IVhSf8~{5eQCo3%&rssaGgC z-8w@cIMXdS2ZA%NP;jnwouKyZg!a2Eu3T%q8**4+ZZ zy>7wxA-MMn1v9K42n6@L1rI=Q{}l>;V*OMgc+f5Q1q2UXq2OWbm!8Ghcg9@y2J1xg(!TouZb>pnn6{3YN?T;EkbK-6 zd7hAb(j0ldkbK%4d4Z7ptvT{bLh@O26K$(PKLSBl7y#2k5*kQ`=? zyjn<(Fh_n(NRBi|eqBhmnIo?ek{#yAZwSfJ=E!df$+70hYlUQ&Ir2IoIo=%kEg?Cp zIr4fTIlDRX1|d1o964P`&S{RkQAo~hj=V`o&TEdmSxC-rj=V)kE@+OtRY)#uj=W7s zE^3bawvb%h9C^EtoMeu?Lr5-Vj=WPyE@O`Tj*wi=9C??JT)`aqT_L%WIr45HIoTX} zkC0r|9C@#hoMMi=Pe`s|j{KgGT+1B!eIdDyIdX=OT+bZ&10gxp9Qi{bxuH4oej&M$ zIr2wBauajpkA>uB=Ew(xE_6P2+1#+BmXHR&oW2;OGut$j{LWfJkK2YypX)W9QlHf z{IWUnKSJ_CbL4-84O zWQ&mera5w$ki59IkHVi-foU;7m|0H zBRhoTUFOJ6A$hksa8IaWx{Fh|ZJB!6g*>=KecGDnURk`I_8#|z1y znjznIq>Al8>4rCkn~O%#o>(eB2y4r;vQo966Ve zeA*m2w~+j;IdUE$`K&o|ULpAhbL4zN@=xZ-`Gw?P%#jNS$-kK+7Zj4unIjhxlK(VE zE-WPfZH`<-NWNf>TvSN@*BrT+kbKb`xww#g$sD z(j2+8kZdzYE+Zs6%#q6q$<%Hx|bL8?uvdbK~f{+|Ih0T#`2+2jwk!uRc#m$jx z3CT&O$WbN5ZwDT``nLn4N{JKs zAxNf(B`<~`SzRo7DFn$HLdi%Hf@DpxWLOB2wZxM6D7@J>)cs#0kE$(}j0{1tj#$zb zf@EE>q$32$dSc1w5G3o1C1XR7OchJILXd1AmW&TUvY}WqYY38QV#(|wNH!8nCWau{ zSS*<{1j#01$=o4GHWf?e4MDP*STcVIlFh}E1w)W*A(kv0f@DjvWYG{LTZtu$halNn zESVI7WE-($sSqUFiY3d0AlXhVSuO<0_F~BjAxL%*OI8X&vZGisIRwd0V#%r@NOl%W zri38bMJ!n(1j(*q$yy;ub`wk12|=>ESh8LSl0C$dsUb-A6iYS?L9&-vvQY?=uILP_bl>5G03*C3}S+d5>7KPY9C3#ghF(ki1tcIUoed5n{wmlOae>5KB%DLGm%NSn}l%B&Uca7lt7DoLF*k2$EC9l1oF7d|oWMECk7EV#yUDNWLJJTor=k zbg|@XAxO>;ORfn)@AxO>X6-)jQg5)}} z)kh5F|H>CI1dVa+6r{LI{$Z#ghMqAh|^> zc`*dZtzyYbAxLf$N=A_oB;OWGhJ_%xT`U<9g5(adWMl}EJH?W=5G3CbOFBZ3+$ENb z4ngu=v1DurlDox{t`H>mh$Z7gklZVl%o>8^KCxu>5G3CdOD2XO`My{(X9$uRV#(Yg zNPZxe%o~E_hhoY6AxQ2QOBM`4@*}Zi;SeN07E2ZlLGplDvUmuRpNJ)su3nNfh|<39 z-uDYG>!bL0+y{O+z5fqoq(y!1`L29D`3v?1`I(a&M;#WBCBN0?Z@HH^z zewsz!Y{`$f87#ZMhCk{Uev+f5P~@bE7U)D;2}Mp{nMiA)i1}ZTi#mhuv=ut^dlM}I zxt);wqd9VWA^B%>=f0!e87LxxmNA4mdpEpPDDkT47j@&Kk zU%`~UXpY=NXj$?@eTG?w2Ky@cd2bL8GavgFtQ{F~iJNRBjTxv!8c`B^}J z%l(98hdImrh2&^+RQLxk^>x;Zj=1p zrJ>obx+Kk3O+c=0!ZL}sK4qQkA@|3xb+RA(%b(P_8T+X%{Q6s#q^+cG=cJ9c+8eA- zmV9?Pi7ff(pyza+cI2enF0zti?>$-(a12%}l-|HHqJtV)LB(aYwNjN&=Eh)%6^Np^g3JTq;y-?b+%sN>9)S;l@1$z(6>^&l|H#1Xv zGc&U{US@BCz}~D(?aj)}-eWR*j|=S0&eY!Q%e1@@M^ z(lUvc<(b)gMrLn{z}|{X?XAen-g7d0&kO9W%+%h>%}s1y;B3|zP8KDarC!5bJci48 z4KEj%+~TUAiODTGljTGvS9neO<GzzBe(9|33M%#KCiSZ+92h z`0OE*uSvJ9U1v-8X7R(WuIOpHZOcyEHsD%1$3M5H+ji}=&C{J(*XWaZ{`vl}u8qA# zK6zKx#yy5M?(u8mm!{kJr7N>GewkSt_j57oB%OocW!$n<`?L%UTvSnN* zR`0(7c8Iba)a_i3^Umf+FLIJd+bP>=>~x|?Zrd5sh|hxuMWOg@W)3)O&H>}D)B(e< zw*$WSI^c(34)`%M2mEZt0Vl3B0#00y2smMgfM2{0_%)aVe#^`O=gc|a3M1fz>pDb$ z(E)#W9q?x`2mIxAfZqyrUNCb{x*7!puJO_5NnOqnu22`e_TI*n566W-Gh@#ASM2bM zg65p!ai^_T?u(C*6Uiw<82!)d#Y-M9HWMY1%bE1bZZY4h)7PU{r}e$EhiSdChX?7E zJ;K|o@3EU;WA%_>tn88EULCWB>lcVKt_P&~(QX%v>lxR;fG`VrT+bLh;P86D>G8lr zf^oG+XXb%eQy%!%HTudt@U3gmwfDfc1`lNMdcYOT194sti07+4LF@t1eEt43a0)Cqu6ad3#kjSg(qBdu3dA?ZpHM@n=D^jqC2uybbcZZ1&<_dy;va z6zD~Jshu{_JpRR1@7ialUkqL>?e$_AsTYaGR>N~MH9YBe5^s5*kYV|f-1~uz_VP)a z?3M9f3jV8+w9Z~P-JZH3>R?h*y1gN8v!-^oY_vB{w>M9)x7ciN7fyECKHL!X1^+XK zAl=@{GZ3g;dD87&)9pRe?R`8~F|Mwz{5~Jp2PD`BdPK%3xK|YbAZV~%E z>+GYp+VAhY(f&ZX{UObKcUK;r`7sIhu^#5U4N=E~GJE(lANDa%24(j2XO8nRPwSbs zg=p;~y4J#h#@3GaF~7t8h&jHPcmGSfHR0;*DgThVM5A<;Y}DqvUUxZl z8!}fvS1GwA`#SqFS2P#4Q#%)4LG3zW2X%Ob$EMqHBg;Ej{ah90!fO)jZ}{5(qo@7$ zwF&lhKKN%1UY}s!;DdkF4`+&#I(fS`Qm3w6(KOoKu6ej$#qK29?@zaH(Y01>?ha+)?HP(r>Ix=7yY%FYebNns&>7sZkduD>1l4G=yS&xnj*bjAR_N$ozK;IG zCu=sEjYsBrnoSp(*=crnWa7r@MfB+(Z3!RcN<@=9cQ5S!dSd4C7DpT*x?bavthD8h ztUGNj6CBw*Eor$S3KkqW(jAE@IcN^n7)MT;L)Vx@n#ddD$juvrb&68T&&x}x?!25d zr|&$i!4JCdU|QE;kEL8R7gxwnbLkXv)7<)QI|^zlW5gI9W{lfc&YJTs*M<^)oFE3tw*cts5NK} zFEzW58fKu@q%}D;mDbczYtdR>YEB>i{^ige^bL-RkoYjQZ*RfJ*s)wbeiw3j-Kd%{WpgXT2ZRk7CJD8INgNY59 z;d(O4yF2sJo^_SgCD#E7j^@7hI(S$8MIr)4rud6!SGHp}*rLsfJm)Xc($|t$vm#Ud zMOyhpTxLa{_ZMmH6Nwj!SOa}B&0nOAPb8~QMBvyL{6*UOM6#O|neH#r&L@)S7ICom ztg5G^(KH@!U1^#w-Wt(HJl?Q)J8~y&ar7Z1-O)e6F~BF2(;(BBHs&&eXk(pB6WYWe zGt47%k54AIL8d8f%4P1QO?5KOXfuP%eIA+neKL8`ndjGe`qZ2@=PILVbDc^H+QOhR zmaAaic_+qfS5e=@a6Amj>6&Cq+LB8?LR;!2ThUeq$;SdD=LASrPiakCbFIf|Yn@gb z+JKW`fCsynY6?dCpDcj@k!VQj*^(#F~k8PDt{KhxdV z?zB5^#7x><*N7gphrSVb<-#}0^W(_mAgbPBo^QCHhhcWij#%h;n|Hk@?a8gqr9E|4 zd(mD7tDwxse=q5+zv3z{H-3nV_oltM_$#!xPP`B8Q@9=b}q!f1T(6IzTVV@7x%N{}tC*skCFMu@MhR&-BFVKst~& zVi_H%Ys4TrD6kPL4bpLBhE&_H6>;PR>8bWL-gtS%l^3UZE&&~@xZ?-Y!Mu5|(ZRar z4WUDf&ExOMal%kKloQs_p*q4aio2$s&AK~3+(Yl-=es~U(R8#?h*^HeKQS!kTTO9?9eccH7YocjNFU^8_t6J+W*?#t1)9xRXXm%k zQ^wFSobw?aqvMRFW8EAqkq9(n>RJ*cE{u!J5?A9Og9lyRz4AD+#MN7Rw!dyq>6v!C z73oXzOI)L*XFB#{_wBj0>f5}dRUb#{?lPfWab%iQ#D2Y-axyzbxQ@Udz3!P zjeS8M)ft;WCwPrrzm z(y0c?O97Irjgrfqco1QmgV&Ey1zh>8<;$pe@v*yirO(snSv#DBKCf%XG&+s9gI!Y@ zf^IE;-#)0~3-kr97)D>vDNd);-HPt(2g4p_t*e(jlHEI#8FU60ji57hqA$`H^`e5E z$y(QgQfcG%Xl<}J;M|RvNoVp#MADhMM$DqK0vlo2m97o8;`p^9j!cy{*t0pFO=t6F z*ywCsGv-i4fwq~{=LuL}Bb78R#5nae>8ZLs@mg0doThD(*q#`+kwq5bb0PRg^_01E zE^nfP&eb(>9-ZfFq9<(iEnOFECt|pSSeMC81WlMv=kq2+)A_n4ET9Vl2g`67yUx{L z>H_0ft#bvtnDQ>Z>s%#u&SE=C%~=ljKJ_K~5^rfNeM#5Sm+8xaE%ohF-;%3h4{E#t zvOY)}(CtCj%O$=0%U9?tysa+!imt5-DMnt4J%8&PT&?5|HQdD5;A$Z~lgIwk`e@nU z8X!H}7}gtH{iJ8I{UzTu;uDibb@}U{&aC2{rfrIGr@0}H%#<58>@+t7yMJIKWP%1#&QhG;Qe>>VQiU1Ykey1bdbU1WNI4t(g%w?*H`-#-_x5J7f` zxCv-|!?G;lJxcZjdjS2-akeN{(TMwfZrWmo_=#*t-G zXE_WzM4bAr{M4)3Awu$Lsbs(oaic59eK!B?h&fF@xikoBaL>dNd zlS_WVVLz1aEQGcdW9_P*vYM{u$|dM(o$_n+HD5U3{B&n2Z+_q8_l^Kld7Zw_Rm#xU zbt-G<8m~LB5_%R)ZAbV9eS>q#(KmFQH|d+4FqDqEWbtH;%8T-Z|TlnPuCkP*9fq@MR03u1Kq&2YS9fkt#q31wv7GcMa}F+x{;q+ zhi=rJx`}Qwm`x2ZyHy{5>_)w_q32yPXJaJha9ljH^evh}79;yn4!Jx|#Q{1>LOc-xj)s_s`B>FLbu{#?ek!c9~&pP_#A5d-^*f z!|omMHg0|^-OA0krCW98x6y5S^Buf;yQF$f_XDrD>DyedD}7t1x1DY`=;xskhu;|I$<(+3l(-Pn1}C`9Pu)y@bcu^2aI8 ztlpOImbTpf5pVfB^c~*vf%F|+%XiUTL0Y~ivzB}Aafw^L$6U+z$Xo8-MZ8Pjs zWS_Z~?~}INIb4ug-ZR{^!$p=i5wTZh0}|2u($k$I1*d2DozC88!D)t9AYAzaAJud-OdX3pU(~ zaNp!awtkxYx+f=x6-YiS#qwsR!x7z*9e` zpYu~E(a&|K9-@cbr(%HI&(OZ0U-0vuq+jUHJ4_GjCEW|9;V#X=U=Q03cOMSAYRX5+ z@Vw%nOa1`Cc#V3HUtEbt-pOw4RZsbne#txbEd5f~v9IV?ykpvaLLW{CU0tLNbw1~f zgM<1VEPup_eX4tWj?g3A=JWK3&gM~iRPRjpsbABt`Kd3^uXU#$qsRQl=NtMBKW_&8 zMt9zEdR#9lxRv*LutyJu@%fy8?Sj~s#^*_&2f6Gvj?d@(#UQb!^J%XuKM!yv79+QR zPS6v)-!th6UB6G#llp$UPd!CX@l$8hQ@T@6)6;&gJVVd$^XAesy7RuJ-|8g=t~_Mg zm4{5b@{nm)9x~_3LjkU=p7I_2j(2!I{Z7~6v-GUD!``cl@9Fpa)R*Y@x>J9kKk!qv z%c;cyR~Ls}?4u&O=O37PKhht$)>8VTPU|Q7Q=r+O>CgPsW%Os=slU))3}#manEg^< z_E-8V*Lscqs?++7{^m8y@~Cz>^*jBYpS_0ut~>i2J!i1IF2M3vddr>{9R8qxaIN+9 z51rPZ^iQGXzvy55>~#8WmJ(+HY zt9H0P*?k@CE*AU50#Bm<(0{n}JM=RYa_x&Cz9}D_%6W)sd(f{#Qd_e!FYsDpc$=wS65iI9N0lM<{gN#qzkMT!b;#AA; zaV0oE_Bp7y!9kblW!|(;=w)xyvg}T=kloZmc=On%57UNcMYiwu-FNyX$bBdGhtD8n zfslop_>3JHjD!IR)A_)@)6WOr@Gnh}^z0M{x|?q=wZT@}ulZ515XG&ZWk&|hb)|Qi5(e8E+8(w^=N$iFJ5TYzx;bjzfQZ~n?+ONz>H(6 z(JX%A465-!;zdnKz#kGgiN#EUfs_?URzp)90ZlnAY)UpTvvF1C%xnhL>_D>Xn!-Ms zhg2IKi~n36ujarxIXIs+Jcogw2qe+V$AgtfeMUJG>~nZ_O z1h*vDt;~)Ly39?byt@8@de+Q9m4-xVE?g>p1paPHzUKfq^bNyL=*Yn&^5uA!#uO>S(=v4wz z$*ZSb&|&=9=v3yU+U&?cN(Pe5NuFIwbp3$k;d|lozy_rXS8c$K460RuR24Oaxw#rA zrLiLeDFsN1p(#xRn(~9NDa^OkxoUHEWKgXEq=u*|%z-sIsU6~82SwKYmko^I1LG!1z<~VU=%wt7-$8gm9G=-ERhhcyYXMy)6CX5 zvo%+FfE^iB+5lbF*XEk-=;SARWAB(NOQF>*L6uLNzvJI&!s#*^xo56Oc}NHQw#cKs$5BBkah) z=mMmRo8etpIH@cC(3O)OWk&{5Hz3{gB=@~T{yZ7^mrcL!ocb6$GEjQ}=@CG6cGf%l z?;y7wozEH1ly88%`wcd+d-CQy&W;St=>?>h*I|YWwZDVBZEC#d`?srye0k*ex`$iNr?WPq1}NoBYbcRuK(V&8p|-!pLsLT4bi@hm$s z*cb$4kk^J`2+s%E6FH{1zh%VhkMqIq3*tL#_?tE+x`1uIg zHKp$ZZs!eO{Dmip>;sXpKf?43<{Z>Bk*rb8_H^MMH1i(bg}Lm=&;_;>4fhTjzL?JE zpZ{D1VD_KkK{?y4&lk7kURb-Ax8x;uWM~On;zo#Da?x~4F8a5GkKss2kK|@w zVMhkDY~dSa7(;!$--o~6$C-=Rk%4(Xko(;Y*59IR?D0BV3m@RrCG5yR9Svl3Ahnm? z{g+%#r0#b<&E^cQ;k~cLxDvgO{vo1$Gg0&mSCnC7AmakO!(OfP&SeYXH$KFT zaxC>kEZdYk!i}tEM+PI~fs79{qK{Y@VK2^$jd&v#ol0b>$`xg7pZwi$yWiJP`3E)Z zJ|eX%{=%&|aM*9Ah}&u$rOQD+!e|^Mwm*B6`|ovjWboevAQJ-pXBZ@lnL%PZxyQJX zH`tNE$V4C$1B@8viN#`akZ@xRj?SVVBukJ_S_lToFqL5U$_L3}c91M2jyx$JPs3DV zd(X$Y1J|-6g99f4nH1?$|kxXM`~;>bjKG>G%IB_h~X&9KU`t?#qE&zsqi zq0du*ObP6><}S8VeU4MNvLgd^Dv+rGRO9Tm1_>l@iuG*@#H-wVmvL%ZT|=+fTUOIk z6NB-LT;4D>*$(@8?wz;Uk-bKe z1fEq6fvHaek-;48zsmUsbz~fQO72HVP)C{`CToxnUK*FV8F11J?!b50k->p40(mje zfre!+%FHOugwRZGm0JO?vtzbf0t(&fdSj+y9vOqXy@`?zR!*fy_^SR9`7Z8KIT~?=L4P386U7C17iV@ z1#Z8vHPXXi*QzgZ#(s8WV7v_EWgkNyN6}`lS}oD~PV%Q+{Q4Oe^0>f@aDO~TuN)KP z)jelcI7qwlXP3LL@Ronfjtnhd2xOtSC~z71j5%8R(!PuP*c>|!8`-Gk!! z>Sz6R4!cxd!kM43BLj0OkfrVhi>|lX73Zs*`Z+r?P?rH&7DzSZ_1J51y}i$GClY%V z*V~rZU{{@nod~;BUe5dc1v@hIc?FOafqm9?BJ4VHC8vJLjttaQKvo4%jR`hOkg0BZ zC$i6PCz2&t&m~J-7SqWSgJJf1n_U2}=H5BNjtt&;4ajQ&-ZAb(TxQldc9r-#H}W+* zG8kC{WKEzEL&Unw41s4Sg22>g5LYlq`>%2SLG8MVOF5V6QOaWadYfGUzrp?Y4LdUU z?@b_Y2Kvvi5s5Q1NbDkUEjMz49T|+Q1F|l_h;g38nH(gx5y5oO4^rHfTyOhNrMRmY zq&U-q6I^er7oy1Q@w*W2t;c_XKN$BqoNO+YpUxWs$C&8|2%bL#i($Uxl! zWJ@5`kTVjl$Mtr?)m(2Un7-a-m&#jtpMPXWhCXitvMsRB+A7DcBj4uKpV^Utx*f>& z0IG5JW(^WZrdK)t>+P(;E(0a2TvpRl6N6#)dV2>vu!DQ&S9WCZ&Q2gZ1H5Bg<+7Pc zpzI>?9d6`zc4RQJ3&^fOBZi30W@ZS)*W1~GIof}f^S|ECb~RUW*-Q^pR@2wp>;m{* z?!Z6Tk->qxf$R=+pkbNIZf2C&HR2v_xS0eLUbgOcm*9Hy_ga|H3aah-#of_22Z&L!wC z=yYb9TSi=TT%Nf6sN2T%iyMe~ZrrN4*HQlz z_rG{z%ZRTU-#ES*>Q(Vu2Z zo&){Okuyih9A!|?&9N#6_=(AhX^Blx4@`V0@nO_&Cccxn+m=C#(WaKJcz1NnJ z^ShiEa{gz_$d#C@M6Ob(pU5>U*IZjh?v&h3bGJY}H21jNkJ>WwY|8U~9*j?3XWra- z;kUd!^4^{As8+<$pgv z_yuYgXjPys>XQZjDDbx}qhSAnqYI9)WfaO%D1V_ssJ9mSu+Yc0j3PaX+*<_tMY|Qf zr|1aO&lP>S=ptK2vCoQ~F7}-*qjZu zUy0GErmk)mm80IX1QhMR-*o{+=X(`Dc`O9 zJ>}8P@(ataEx#W1@$$cy|I?OH!C4`91^BB%a)q=C7>^1)D%@KEIu)x_Y*eu+>eUsu zRosF4P{r>m{($;Yr7V@=Z5fsRt{hd_VaupopmK%El~FgY+@*4NTSjt9a?@mtOLG6@ z(aG>z^4jEG$?$*j(d3_#(Z4Fit5mB}19gWg1FH-{y|~I-Rnk!(sB)qT;=XEusuik2 zuj;s}&sCjf%cz!8t!XvrRohwZlWGTT87W;;hNU1bQnsahl7fF%pI&`=^;M`ptA4in zkG6~&t!oUZfq774dX42ZfY)@@EK;*L>drNX*Tg)lIko1Jn#)k1uK7>Ri?$5>q(zxp zn1{9Qtu?9EQ>fplwY%0nTSo1)+TCjRM7_NBw%X`_?eA(|tbN&*QRmS*FV>lj`nx(8 z>)_vY8`kYww+HHn>rSgX1N9emf2#YdEu&u5dd=&#LOreCtMyi({T{WkRn z)4Ss3xyDg((*@meN(@^(oIHut^)Vmsf(eNuc#ZNks?-Scxl!vz0~*0!joxeYb))09jKhL3H#Oeh_<${=Nm`R`P2iU%`a>ka?^!}z#H=TldS<|gex7#wB zIhy5bRuJ{9W~-a6L4C1#T=T59jOII_xZ82}! z?QeIo9oo@;W&7>z-$8x6{a@`b*fKig>QJsjMO#M4EFBAXEQY#C$DSSg*fKf|>hwsb z38?pXI@t-lF0ow-bt#JazAlryJZsD7x}j@E*ZsDPZu7gn+3hXV`@5a&hV!~z?w+-K z4qHa|&D}rh{)sK4N4XvidNe{kq{pK@CZc||$Ce&%+cJ92?YXAsTGR)7e&6#aTSl*Q zy`y?NY#F^f_8!(7aocBhpV#`lf%;0cgotN#7_4?_KH z|AqaR*fIt@I^e|th_?Y-27El=Q(MNs!vlXF_?st7Aud)eRGu*DIN%DrEF22!ry{@iJs@~u(>$`n3 zZ0mR86-dfW=)K+pH_N`!3pH+%7xbREnQbt7qt;FIhTbDLyB$Wa)VaxC(R=1rXp7N1 z^=<+0=sk3+v`6oy(j@g3@sj2#?V6+EEz~ZpZERa`tF=qpHiQi%jczfQ>D_iKwoSXs z=IFq0W7Hx|Zb1j?op`IZFTjz_Zc#_-op~#_(a)hZNsC+9p?as@>g^0?j|)8Wy$NY` zi+fe?+1sJ5dhgaJZEgeaY94m)ItG(=w@I6g?aoI!+(zxz+Rk=Uw_Cfl-4@A5I^AY2 z*Sr08Y&((*9yhrk_TERj+=kxQkHGEPe*GxqBi(M(QP7XX?c9e5ZBDqS#W>RAHXacD zpxo~L&`*Y)K6gNWv_7$2$eq%k@F?H=P>o5yJ7kpfBXuYBNk3Z6 z$bdU&wDco(r}axeYU9YDJ8abSBX=kEO+R|G$dEg5^t2IluhqrLusgJmT0hzPeJA%3 zcO2ZC`+Q{h9Xyo!;k?uP$#0W-Ms+k9afgqpeq`^4zUoK!MKbCx7+r0IwI%2NyQI%r zzu6LWH}%=OB-J6K@1mjBhT9$H!^uN;S>KKQFG7(LIZ=n04(eFQ201V&I`6irc4B#a_`Bq<+>BPlSNrmAR? zMw33Gl%M1g#t#KE{-v>hY2oI6$9Di(rTmqtzcin* z_c)cmf=^NAEB0R`d&d($7-DnZU~_*Yx;1%Cg`25x(}$e$nYobTq2~)bED4U>Jlyb7 zdQGxMMV{%%)1uG4NR%XRD&Jj^?==6h1w;An3TCfo@zB53U7xH|(Ransr$wN91{Wdg zl@G7VhngSROjbU;@|n#1$Nu9FT|J-Es87;WG+uQyY7yz4*#*fa<;#$Ksri%5aOKOZ zpW(iljtf7}OfPXKylMP8*>aanG4n4b>nD%$lFV<+OZc^I_s?dJCfigvNj#(}$e$oWya$kn;<>y(;V^-jju$KJ=9L zB+iqDo<97-!pVCo{3IR>7Jga?YIj~Ulozkki<&3dJy+#Li4%iPW-U(fq?CUZAN%6H z#^gg4krHQW{>rS@?mQ_^N*rk_Dw&u0Pu+Rm2}W=ZBOj^Yl=w8Wpw$0e3sB`vi8D{pN+Qei9cuI8+(skP8m-jz7_szaBJ27epS^FBmA za#%&M#K~6^xq6Nk#md7H2Zt2J%+nFb+1D(z z*(m7~cRq4lMYzQ2p+vNZt3|o;xWwVtG|Jfs`0cxYB_~y|OMEX1*ibPo;FZ@UPQT^> z&qhqQdpWJbUE=vrLtDnzLSA`Z;`r+l@@zDWyP9uRr}U*i1h7X57W z{B}EM$yqfCB;#-`f<2f9n+Vzy;~&&0+@w)pBc!DyH42hJxUMNlnCLLxl#3*R2VzSrUr za!E}t$(Y^D3FU8Fn_z0RB!hL!OfWWbk-kah`~1>$3%-#DUy2a=*MQ$F4Vmjh$8T1T zWOm)t&8bFAILc##hUM{sNT2m ziKrGi!7yrGyVYuK?x~Rz3>t@SwGq?Wqb+)#XO)#KeB-GmpI{s{m)(lBHUZV>2?p+# zo`5Wxv_%kKQ{kD1BP}+y`IHRhtvLVmZPMnV8bQeb-jW+p{RjpuiVigyC1a^~+pT)6 zO-MD0l0m%XCnSq3(IOeG=A~pvZ`HZz-!5%#s*#iocD3B0|KwC-OT3z?l7ZFybvxDi9Gq2+ z>>V_+`dq9=Rx+@+T`tygi!mo>S2I^Kz?#2q$J&>hD_RoO2;YGttk2PEge3!f+vaF3 z=S1?K>N^(Yc@f3^h4If?a;hmU8F9^Vw{xvs2CGq)4DxM1tyy&1JyV}R8od+TGPNKyCq zrdSHA87~=p{Y<%&j5GoQRfpB+Zh=7}kWmIO6 z1jL<~1!R5FvV)2SNif_s*?~of-%ZC3mU1ddNa8{^q3%>%OB5<1Bmr?(B?=bhfj1}H zSt_V}AqkH=H7^9~mzFbBR7is2uFDxbS|m3s>RT$Q>>&x1V6*H_{u|36+V^rKtLRYC z5s)#pHAY2;Bsc;*b|>cx|GpVNn_g9A5J`~u`|WP~w|<>TQBk6zM4C0V^+rXBBuJ#5 zyPI-~aowq*GKwTv?xvUs)>r-dQ%gmQik3{XsJ0HNXpsa;Q2V1_m+GmgQBh+$t7_|$iW*7KnD%6-bNF`R8`r5+m2o7&b32Xcjcluay=thU zM@7#SXIX9CQqdy`o-6j~jhz2C+m?R)YHewxGLR&QZuU6c>h`rPq#{TXKq?F24a{Is z?Ds=O4J=JmGLpnnFgL2dxx-9LNGggXK@@Z{swi?tk(QJ^-)L3D(o7{KNj#~L3BJB* z{?QVXiX=%Osl=4YPDzU-?Wb2+a&mv^RuM}Jm7gSGrFl)&ndd1jN2zF%1e3~9CUX>< zZILV|*<10i1sxmZ`ChPg764(l^JJ8TM(FtM?3J6*b}e10h?2$L%@~#2*{01uW%<6M zsG6mXN?ejSyPb#pX0@FqFZU+~i&)yJ$WoDYP4|}C(x@U!5?I&N+cztR+zh+eyIU{l%c8f7;Yb@(dli|paJC&^8;^ioMq5_kGZs*bNLsfqlh zB{~&xl7Lf*?iwaK7J>Th+!#wAmFXmbCz`Da3oYYm*-k~BB#mAEN$}n95s~GJI}!Ij7wc#lq|%=x0`J6u zzm@u=r9c&dk^odG@H$+2=w|?a0}XF&;!{{l5R3T11POT zy1P@T=i`9iSsqeZR1&Cqzp3Nvdr2hnua-$wgh~QbWzw6NNm<15Y--q(j#b%I60D*b zuCN=MRke(&qEr&3Dx==yjLI@XB!AAS-=#%EnE!>VYN1gpxhw@8L%*+H<4t!SB`60Ib5RYJY- z2{o9{wPdRzRuZr(+1^6QmPK;lwsxY*wvyltHuKfrZcZlFGOmhRNzkf{dy8dUmMH|= z+)9>7D)CBUSS8n+lUy_NyOw-aLg5 z6~&SuR_XW_y{yyEI9%f4H@uLNy`S2dqO!6ip!I%J$2aeCE;HY2nOQ}$B#>2Rz6~-n zi*lZw4W9yhHHs#?~^lie^bLs|m*_`W1%H$715G_R>}G{O4cmm{kPSfEYnrCmISw%457}swKBSvu~k${f?8$l z+bm6g)sB8wpmb-D|8V+6^&OP zjaTA3ng2yN(+%gp-Z3f4cJMMOVp*UvugFmEOGmH! zC+H?=AH*tRd08c4NgQhvUe(ukGa+%m5Y~@{mWWjZ3ta_J?=5wF{i0are-W-92iz0G zuY|ahG2ZRRrucQsLY0q2Zd1{G!)CL@{X$qD&Fomq$tsG4?ov^FTST!wFOTJUd5C4P z%FB|F_Rj(8-`8iSA#uMD7L5VR&F=4~D{Wb-qFLxSwPzMZ&h@|~?ia!```T_pMYGUt z0A-$2__fW^GXINkneW}V=Dgoqw@jsIk@r+k->^w7ala6j1vSI9G_4|9=sFeAw_QZL zFA(+LXSYISYe{ei%M9vo*KQjkala7G%f7dd%ifo|^Rb=0`kuCV0wm8dcDuGMl+)T1i=Y+mV zk+@$7oAtMr#8q?)-3ZXEC)IhOMYzoWBHXO6{kP$rENfI27dceL`3;-r688&XvvJPO z(=xe=aG@(zgx~fNF4&e=w7jVjx+LDOBzdTFuYU6)ala70Qa@`+T}8RjodB=Yqw4&t zi*%X)MfjEaIB=W3PGxnGV^zH0u=y@=zYxCCcxUHpnO#M?(4{KU@0dszY}+eY)~f_B ziTkTbCW>?An;MDxh49t-S4;9L+J$Zfc(tBYyeo@%ng2!j)%wD`}rOR{WIQ7`nb%J!i|*p+Z4?ia#WqANOA+vBLH7rGaq$ZHC_ zvi*x0f64qW!p-OfI|rYjyBKBneu8d`O8Fu$tKh$3lV9R~Asj5Q&HhbG`zrE@9$ z$3{LbbKR-m{nOawJIgke{UwvYY&KEng|;1%xL*igD_?6XfQo*hn*m-cPb;0^vqR>8 z5q_JJo_9 znGe@8`6w;<=1AgxA$+a4|58%>N?%TKmxdGNF@Yms%A>j#u;H zhUEi^`-Skeo)1h0~Hftx0Y`-SlJ@}IUy zsM#QNJHYGZd8KQd5iqr&_i(z6WG7Li2yu||s8dN-G8*;Mq#N0a`Xkbv z{6(THHqygV7Ii(+(=r(KIMU0q1oc|dJ1mk!g*i#zurE>nMEZr#B~jt8k^bRtqJEbQ z2;YbLD>5)*I*E#Sl?;hki+VR1X01lx05P2eIA?mltlQBn7|3aRMg%4s2lgY8qqkfS* z8@m_vmt;y7CyC0EmpqrH73#iZYL=O(SCHqke1-aFGR>8bM7b)E7hL^NKS-v#R-)cU zUUdCNqT<5I%(yD3n~+&?=u_MjGCS@YGAF(QnHRs5%+LBPc`54*@=ErtWMN`CvM6yC zSxgI%B{@%!rMVs@ujW}zmgl)dR^)9>R_0wwR^>fUR_AL@))x4ftSfktyj7?TSzqW? zvY|**l3rvF*;s5p*;M=}*<7L<*;3*V*_zaxyqz?TY)@KEb|ig8c9twm-YMCZ>?*m0 zyjv;{*_GsqAd>Vc87SUz7diTqLSoA@WhV z4ygN)1LfP0Ps-0CpO*iM9IW^<`MlEiP`u0}rNY2!L zg?wA*3-VpP3FK`3|H${LPm&)R#E~BxEFeEMEJl8AxQ;|M+(>?Dh}cZCkzX6xNmQd8 zdjkH8`n_`LXKHL)1qqrruM|VqX&ncEHy|!3ld!4bk`t-77>GO;wuAkN7 z>Q~MZ-|r(F|6_^mA7@GEU*D22V3Z~6z=f8Cfgf114NkLU9X!&KeaO$2Y(o<*IfkZN zvJX9BNf`PM;_w(DaYrrhhZP}_F;?4Y5*8Lua>qoHM#K^}lGtLRfJKD$AbDeKz{0~8 z0J8&&411Ckh;ab3hDQQ(0<(qv1}qv_RCrHdF~A(*je*4ivxlDtmIYXJ_z7SxV9tov zz~X?#MpOqD4=g6)B(MZvu80qTWd)YS+7VbbVDZ+vz_J62v;GAv2e7QxZ-6BNORzl; zi~`GU8v`sSux$3+z;Xdgv_}HV4J?N}6<8i%IqhYD8Ps**^qU z09amoIg&5y!W{N&+kHH~_2^uwu^6z)Ay4a@Ge{23QFv^L<%hrJT(7<$#rR?gLgH zSQ+P9U=@Isj%MRg5m-4V8;?rB%0`z5RvB1@=v=^(ft8PD<68w-rRc7}ssgJR&1_Ty zmK@D&qyVcNjggJ14y0<1Q$8ZoKB>Hw=AGZR={ zV6|c%1y&DO&6oqg>I172vjtcxu-dUsU=4uPi}?#!Ltu4d>jFyymKs|USR-KdV+R9k z46I>nTVPFqHE?|itSPWYu6Kbo1C|z-A6Ro>P2wEDS^#StHxO7$V9nxM18W7WY253; zS_5klHycfWF>;kNPLM*VZz&gcW0M-py$Ao8qbqCfZ;eKE}fOSq-2dpQsZV3y3^#ay4 zYZYL2iTD8JAe%bHaG{1t9yYB%g*9z z1hAnw$^aV)Y#?B2vCz#afLDzOr<(ZEI~J`C(ZVD~5X z2lf!K`x18p8v|@~;u>IMfjvO01A7?QL$nC6aljs=_X2wa*jU;b*mz)La{dSGQDEb8 zo&h!i*u%L>0ecMC_*~h6O$7ExuDQS-2R0$s~Xdo*_oU{3&>n7b;lCxJbd`%z#| z0h^S2FtEwM9?!$_!PCH=%)|1*Gr*q6%jUwfz$WKqb72awr}B;h_8hQh@^%9@71+~x z_W*ky*p$3)0GkHv*?ft>UH~>VUl_3Iz@E#u5ZDZ0)ABt7>_uSD=Q{^%Ca~%Gjslwn z?1lVkz-9w`F@JerbAZjr&)PQ^*sT1lee;0LEYKF%d|-15)C9Hw*z5v-1A7VByaLC8 zy$oz_!7;#I0k)uEUtkM?%`a34*dkyr7m5M47}!gNJ_fb~*up}afh`60N)guISAi`q z!uq=m*rK8%fGr2Mv}hM#D}XI2x(L`xV9Sa=3v3mzSBrfIY&EbI#XbS{8nETXI{&IGPYl^detONFXi6mfe0eiDVR$%Lay-{K` zunoZ0mFNX59oX6uEEjJCw!Q?*#hZY=RpK{bn}Ma5_zKt-U>lNh0ow{}Q<4?fHeef* zSggGbY)cZ0we7$*mmCXh2e54=`vKbtY-`C3VDA9iUUEIKUBKQhl?v=#U^`2d0k#|1 zj#8I^?E$u{)LCGAfxT0D6R>^2c9&iZ>^)%bmSKK=AK2b9%+DFX_LLhB>;qu$l^X=? zLty*Ltpv6oSVp-Sz&--@emU0WkAZzyj=dx$6)yri4eXoB4q#`1ovidHuy28#s9YJ?cfd|p&Ijx)uv3-01N$D>x0Ta?{Q&Gt zGMoE90y~?`=KfE>zDs6v;b&k!B(u5j3$X8#+5G(#*iXr9{{9B+$7B{SzXSUvnZ?UF zU_V!>0qhT8zf~y;>`!37Rv7~9FJR}Yv;+1xu-~hs13M4w&ngRnT>$n+6}Hy?1MKfA zY_0tl*k4syKmG%Dp(^XgMPTQvP6PHoVE=Xkad2 z&YB~E#Q}@0*&bLtu$Y?5fF%HP)qDn6R$y6bUIdm6SbWXnz_J62t5qIY4q#bpWdoK7 zETPs@z$mcnwT1%A2`pQ!eZX=7ORTjTSZ-iBYWD<|2UyP9^?~IDMr-c?mJe9&+Dm}t z2bQb$Wncw><*j`RSV3TU>dXdK2w46)4+AR#*~R0xM9r2e4wm3fHX% ztT?bjb!Px80jy}<2Z1F4D^mAYU?qVSulpIWQoxGUYXz({u%vpGfRzDOqTUK%Wr3Bd zHw9QZU?uBE0V@xzOuZk0RRC7H{t#dlft9P@0$3$rW$S+ctTM0)_1^%N46J-=E?`xF zRjPj(SXE#ZQ<>drz>-s$-4tMzQ`vY_2UazejYkb&RZ@=ts|hS6bqBCoz^XN1<53$} zjRtHy>Hw?WU;wbXz-l#U0<0denhmxAs}HPBgN49Sfz@vCJFo`8>NWTrSVLfS8>RtE z1D4vbB(O%n>Ngw*tTC{L4SNA=0<1y9uYffL)~MljV9kJ~rIiBK99WYy7qAw<8mF=G zXbG%Y8XJ#Rz?!B_1J)W?i?sWJwE@;VjkTpMuvTfTE$x7{Y*YnUdthxE!+A*I#1#D6~=F`c*9&i5+u&04N+5S~v&j5R({RLpp0-N0aD6lEO zp6XB$*mJ<1>5v21RA5hcEC%d(U{g9eflUMUY{x#pUH~?=V?$umfj!r00_uSDcVcas32b^N)|OeoUg%O3*lb`gc5wik18hc@XMxQHHml3Mz~%v)*>yj#`M~CM zT?=dhu-V<-0`?NHdEI6Mdl}f=Zp_9jz!r34HWmV#-#rJgMZjL}_8+jtz+US939u!= z7IxnNY$>o;dNcy|DzL>pN&#C2Y*CMiz?K7B+G7B)6~LDCcpKPCV9R9PrzOWwyNg=U~7P_?Ck*d2C&z9{Q~SwV5@txwQMc0HND#cTLmU4vL#_5yon@GM~afbAYU7T9~h-W~iOu=j!O z9sCWj3}AbP)&%weu=j=*0QMoUeS{3l7qKy76Co}~?8mVOh$Z$2?#Ct&3;u_PMM+z5 z2a>#rLqZ~BkE48s#F1FyLTSfo*>L05P7+a5)VW9=k{3s~s3rvN{eI4P}@k+!5ihI->AjxCiUu=;_6Jda$7aY?J^S zBfusIurmVeM*;S`06Qeb25X(0okyG}oIg5$cV39LMBAftL>Gy!7u_VfO>~#& zKGB0>PZJVrwUDs=wl=o57JG3Nw&qd)T5RLl(R-};mK8s;;x|@2%!2Ptu;L{YmMW~M%8F{NNMS{FR@7icO;*%GL0)FXE38<^ zibbqgjKWfh9W7x;$?Rw;D_&*AGFB{S#R^ueWW_2JmdfmCH7j0Y#p|qC!-_Xp@g^(Q zvSJ-8-eSdiR%~EJIx9A^ViPMivtkP?wz6UyE8b?sc2?|Q#ZFed!-`$3c$XEsS+R!| zds*=??rf8N?C3pKyw8dZR(!yU4_UFF6(6zUV^$ns#V4%zlog+$uvBD41@_N_toWQ2 zhgk6iD-N^bOI93X#aFC2!iuA;_?i{pu;Mr?PO#!6D^9WEG%L=q;#*dH$BMJ8_?{I% zu;NEn{KSf%S@8=ier3gPtoWT3=UDLvEB<7~U#$3>73W!TfffI-;$K$$$BK)r_#Z1S zvEnic3t@$Y6=AFhXGH`ntgMJ+MHDM+tgy4f!3rlUqFE8cida@;VTFqoajb}EMFK0b zvLYKRva=!wD-u~jS&@?!xmb~#6?s^ZmlgR~k)IU>SWyr~#0dINXGK3&^kKyiR`h2@Useod#Q+o$pGO=bq!asRS5`b=D@n+MC?ej(!&j0P^*IV# zHDWz%{gPOZSdWtbcWB=sS5|Qxz@PKH_hd#H1=0Ych@x_(xy_X`?RAe_Y3{9WZqqck zX_}hm-nsXx*IlmMDM*rFkQfCO1r3VIp3nQwhwpg~_qosg{m!`@F1J`|ltEdPLwUT2 z^SFSExP;5Nf-i9u6;Kf`p%NsUZ5Q9*F!5D&}7>2hn93wCiqc9p{Fc#zRHpXKD-oZpn!n>G^DVU0Bn2s5kiCK6L zvoQznV=m_51I)()EX0RcgvIy>ORyBnupBF}605KpYp@pUupS%mF*afoHe(C6VjH$& z2Xt5I7>s@yacmryac`9*X;|!9lC;mRg*u^b5*B8p-{A-Rj9>8^{>0z-HzO}WUPf3V z-Gkz|9}nVTl*HpGg(vZJMp!95i|0`W*8z`w{w<_XqyMKe(HbOA#}|G3h=$ z0Nu(x0^Q2#R!+Ba&)_+f&IrSC(y$yVpc1O025O-$>Z1{wWrQ zAN0=%=cGX>fJWssDmM-jFbPvI9kVjRMQIM^VF4Dwip?#@Dy+o@=vKHQX<1Iog4&0# z@HM`{w-|#v_#Qvu7yJ%o5C3JP0XYH64Yq8NotN$73Rj-n{5mMH6;FY6qkX zp`595hN1~IP1j>1wqQGUVLu9C-KWPhLeZ_P`&6e>eM@yARr~ZhZr~@DMLp&j!j~7C`EcJpOGZ^;FUDQK?jXB8dy)!VnjGMTz)e67ys8B(`