diff --git a/.idea/modules/chisel-module-template.iml b/.idea/modules/chisel-module-template.iml index 004cb04d..b3e4e5b2 100644 --- a/.idea/modules/chisel-module-template.iml +++ b/.idea/modules/chisel-module-template.iml @@ -7,6 +7,7 @@ + diff --git a/TEC_RV_ICG.v b/TEC_RV_ICG.v new file mode 100644 index 00000000..5d8f005d --- /dev/null +++ b/TEC_RV_ICG.v @@ -0,0 +1,14 @@ +module TEC_RV_ICG( + ( + input logic SE, EN, CK, + output Q + ); + logic en_ff; + logic enable; + assign enable = EN | SE; + always @(CK, enable) begin + if(!CK) + en_ff = enable; + end + assign Q = CK & en_ff; +endmodule \ No newline at end of file diff --git a/el2_ifu_bp_ctl.fir b/el2_ifu_bp_ctl.fir index 8d83829d..b798cb8c 100644 --- a/el2_ifu_bp_ctl.fir +++ b/el2_ifu_bp_ctl.fir @@ -2,7 +2,7 @@ circuit el2_ifu_bp_ctl : module el2_ifu_bp_ctl : input clock : Clock - input reset : UInt<1> + input reset : AsyncReset output io : {flip active_clk : Clock, flip ic_hit_f : UInt<1>, flip ifc_fetch_addr_f : UInt<31>, flip ifc_fetch_req_f : UInt<1>, flip dec_tlu_br0_r_pkt : {valid : UInt<1>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}, flip exu_i0_br_fghr_r : UInt<8>, flip exu_i0_br_index_r : UInt<8>, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>, flip exu_mp_pkt : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, valid : UInt<1>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<32>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}, flip exu_mp_eghr : UInt<8>, flip exu_mp_fghr : UInt<8>, flip exu_mp_index : UInt<8>, flip exu_mp_btag : UInt<5>, flip exu_flush_final : UInt<1>, ifu_bp_hit_taken_f : UInt<1>, ifu_bp_btb_target_f : UInt<31>, ifu_bp_inst_mask_f : UInt<1>, ifu_bp_fghr_f : UInt<8>, ifu_bp_way_f : UInt<2>, ifu_bp_ret_f : UInt<2>, ifu_bp_hist1_f : UInt<2>, ifu_bp_hist0_f : UInt<2>, ifu_bp_pc4_f : UInt<2>, ifu_bp_valid_f : UInt<2>, ifu_bp_poffset_f : UInt<12>} wire leak_one_f : UInt<1> @@ -195,8 +195,8 @@ circuit el2_ifu_bp_ctl : btb_bank0e_rd_data_f <= _T_121 @[Mux.scala 27:72] node _T_122 = bits(tag_match_way0_expanded_f, 1, 1) @[el2_ifu_bp_ctl.scala 167:65] node _T_123 = bits(_T_122, 0, 0) @[el2_ifu_bp_ctl.scala 167:69] - node _T_124 = bits(tag_match_way1_expanded_f, 1, 1) @[el2_ifu_bp_ctl.scala 168:30] - node _T_125 = bits(_T_124, 0, 0) @[el2_ifu_bp_ctl.scala 168:34] + node _T_124 = bits(tag_match_way1_expanded_f, 1, 1) @[el2_ifu_bp_ctl.scala 168:65] + node _T_125 = bits(_T_124, 0, 0) @[el2_ifu_bp_ctl.scala 168:69] node _T_126 = mux(_T_123, btb_bank0_rd_data_way0_f, UInt<1>("h00")) @[Mux.scala 27:72] node _T_127 = mux(_T_125, btb_bank0_rd_data_way1_f, UInt<1>("h00")) @[Mux.scala 27:72] node _T_128 = or(_T_126, _T_127) @[Mux.scala 27:72] @@ -341,7 +341,7 @@ circuit el2_ifu_bp_ctl : node _T_226 = bits(btb_vbank1_rd_data_f, 16, 1) @[el2_ifu_bp_ctl.scala 229:73] node _T_227 = bits(btb_sel_f, 0, 0) @[el2_ifu_bp_ctl.scala 230:40] node _T_228 = bits(_T_227, 0, 0) @[el2_ifu_bp_ctl.scala 230:44] - node _T_229 = bits(btb_vbank1_rd_data_f, 16, 1) @[el2_ifu_bp_ctl.scala 230:73] + node _T_229 = bits(btb_vbank0_rd_data_f, 16, 1) @[el2_ifu_bp_ctl.scala 230:73] node _T_230 = mux(_T_225, _T_226, UInt<1>("h00")) @[Mux.scala 27:72] node _T_231 = mux(_T_228, _T_229, UInt<1>("h00")) @[Mux.scala 27:72] node _T_232 = or(_T_230, _T_231) @[Mux.scala 27:72] diff --git a/el2_ifu_bp_ctl.v b/el2_ifu_bp_ctl.v index 827a0e6d..ab311f57 100644 --- a/el2_ifu_bp_ctl.v +++ b/el2_ifu_bp_ctl.v @@ -6883,7 +6883,7 @@ module el2_ifu_bp_ctl( wire [1:0] _T_214 = _T_213 & btb_vlru_rd_f; // @[el2_ifu_bp_ctl.scala 214:58] wire _T_215 = io_ifc_fetch_req_f | exu_mp_valid; // @[el2_ifu_bp_ctl.scala 216:75] wire [15:0] _T_230 = btb_sel_f[1] ? btb_vbank1_rd_data_f[16:1] : 16'h0; // @[Mux.scala 27:72] - wire [15:0] _T_231 = btb_sel_f[0] ? btb_vbank1_rd_data_f[16:1] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_231 = btb_sel_f[0] ? btb_vbank0_rd_data_f[16:1] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_232 = _T_230 | _T_231; // @[Mux.scala 27:72] wire [16:0] btb_sel_data_f = {{1'd0}, _T_232}; // @[el2_ifu_bp_ctl.scala 229:18] wire [11:0] btb_rd_tgt_f = btb_sel_data_f[15:4]; // @[el2_ifu_bp_ctl.scala 224:36] @@ -6933,7 +6933,6 @@ module el2_ifu_bp_ctl( wire [7:0] _T_337 = _T_327 ? merged_ghr : 8'h0; // @[Mux.scala 27:72] wire [7:0] _T_338 = _T_334 ? fghr : 8'h0; // @[Mux.scala 27:72] wire [7:0] _T_339 = _T_336 | _T_337; // @[Mux.scala 27:72] - wire [7:0] fghr_ns = _T_339 | _T_338; // @[Mux.scala 27:72] wire [1:0] _T_343 = io_dec_tlu_bpred_disable ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] wire [1:0] _T_344 = ~_T_343; // @[el2_ifu_bp_ctl.scala 291:36] wire _T_348 = ~fetch_start_f[0]; // @[el2_ifu_bp_ctl.scala 294:36] @@ -12796,2583 +12795,6735 @@ initial begin _RAND_1038 = {1{`RANDOM}}; rets_out_7 = _RAND_1038[31:0]; `endif // RANDOMIZE_REG_INIT + if (reset) begin + leak_one_f_d1 = 1'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_0 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_1 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_2 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_3 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_4 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_5 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_6 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_7 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_8 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_9 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_10 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_11 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_12 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_13 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_14 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_15 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_16 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_17 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_18 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_19 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_20 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_21 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_22 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_23 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_24 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_25 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_26 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_27 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_28 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_29 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_30 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_31 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_32 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_33 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_34 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_35 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_36 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_37 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_38 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_39 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_40 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_41 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_42 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_43 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_44 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_45 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_46 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_47 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_48 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_49 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_50 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_51 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_52 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_53 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_54 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_55 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_56 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_57 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_58 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_59 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_60 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_61 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_62 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_63 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_64 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_65 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_66 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_67 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_68 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_69 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_70 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_71 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_72 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_73 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_74 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_75 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_76 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_77 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_78 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_79 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_80 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_81 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_82 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_83 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_84 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_85 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_86 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_87 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_88 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_89 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_90 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_91 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_92 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_93 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_94 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_95 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_96 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_97 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_98 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_99 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_100 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_101 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_102 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_103 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_104 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_105 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_106 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_107 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_108 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_109 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_110 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_111 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_112 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_113 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_114 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_115 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_116 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_117 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_118 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_119 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_120 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_121 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_122 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_123 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_124 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_125 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_126 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_127 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_128 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_129 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_130 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_131 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_132 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_133 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_134 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_135 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_136 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_137 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_138 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_139 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_140 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_141 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_142 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_143 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_144 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_145 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_146 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_147 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_148 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_149 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_150 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_151 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_152 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_153 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_154 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_155 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_156 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_157 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_158 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_159 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_160 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_161 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_162 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_163 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_164 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_165 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_166 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_167 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_168 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_169 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_170 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_171 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_172 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_173 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_174 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_175 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_176 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_177 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_178 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_179 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_180 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_181 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_182 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_183 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_184 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_185 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_186 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_187 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_188 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_189 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_190 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_191 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_192 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_193 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_194 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_195 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_196 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_197 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_198 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_199 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_200 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_201 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_202 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_203 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_204 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_205 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_206 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_207 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_208 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_209 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_210 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_211 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_212 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_213 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_214 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_215 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_216 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_217 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_218 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_219 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_220 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_221 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_222 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_223 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_224 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_225 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_226 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_227 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_228 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_229 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_230 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_231 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_232 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_233 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_234 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_235 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_236 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_237 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_238 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_239 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_240 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_241 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_242 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_243 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_244 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_245 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_246 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_247 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_248 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_249 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_250 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_251 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_252 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_253 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_254 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_255 = 22'h0; + end + if (reset) begin + dec_tlu_way_wb_f = 1'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_0 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_1 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_2 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_3 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_4 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_5 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_6 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_7 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_8 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_9 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_10 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_11 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_12 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_13 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_14 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_15 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_16 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_17 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_18 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_19 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_20 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_21 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_22 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_23 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_24 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_25 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_26 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_27 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_28 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_29 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_30 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_31 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_32 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_33 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_34 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_35 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_36 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_37 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_38 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_39 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_40 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_41 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_42 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_43 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_44 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_45 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_46 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_47 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_48 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_49 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_50 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_51 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_52 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_53 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_54 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_55 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_56 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_57 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_58 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_59 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_60 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_61 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_62 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_63 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_64 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_65 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_66 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_67 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_68 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_69 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_70 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_71 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_72 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_73 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_74 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_75 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_76 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_77 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_78 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_79 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_80 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_81 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_82 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_83 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_84 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_85 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_86 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_87 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_88 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_89 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_90 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_91 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_92 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_93 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_94 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_95 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_96 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_97 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_98 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_99 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_100 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_101 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_102 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_103 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_104 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_105 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_106 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_107 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_108 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_109 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_110 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_111 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_112 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_113 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_114 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_115 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_116 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_117 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_118 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_119 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_120 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_121 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_122 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_123 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_124 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_125 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_126 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_127 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_128 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_129 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_130 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_131 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_132 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_133 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_134 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_135 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_136 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_137 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_138 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_139 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_140 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_141 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_142 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_143 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_144 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_145 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_146 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_147 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_148 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_149 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_150 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_151 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_152 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_153 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_154 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_155 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_156 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_157 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_158 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_159 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_160 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_161 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_162 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_163 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_164 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_165 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_166 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_167 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_168 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_169 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_170 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_171 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_172 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_173 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_174 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_175 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_176 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_177 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_178 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_179 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_180 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_181 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_182 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_183 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_184 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_185 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_186 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_187 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_188 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_189 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_190 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_191 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_192 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_193 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_194 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_195 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_196 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_197 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_198 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_199 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_200 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_201 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_202 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_203 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_204 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_205 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_206 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_207 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_208 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_209 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_210 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_211 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_212 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_213 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_214 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_215 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_216 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_217 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_218 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_219 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_220 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_221 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_222 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_223 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_224 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_225 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_226 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_227 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_228 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_229 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_230 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_231 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_232 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_233 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_234 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_235 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_236 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_237 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_238 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_239 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_240 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_241 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_242 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_243 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_244 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_245 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_246 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_247 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_248 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_249 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_250 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_251 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_252 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_253 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_254 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_255 = 22'h0; + end + if (reset) begin + fghr = 8'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_0 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_1 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_2 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_3 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_4 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_5 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_6 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_7 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_8 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_9 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_10 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_11 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_12 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_13 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_14 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_15 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_16 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_17 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_18 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_19 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_20 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_21 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_22 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_23 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_24 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_25 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_26 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_27 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_28 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_29 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_30 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_31 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_32 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_33 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_34 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_35 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_36 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_37 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_38 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_39 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_40 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_41 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_42 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_43 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_44 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_45 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_46 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_47 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_48 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_49 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_50 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_51 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_52 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_53 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_54 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_55 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_56 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_57 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_58 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_59 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_60 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_61 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_62 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_63 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_64 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_65 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_66 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_67 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_68 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_69 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_70 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_71 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_72 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_73 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_74 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_75 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_76 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_77 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_78 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_79 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_80 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_81 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_82 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_83 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_84 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_85 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_86 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_87 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_88 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_89 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_90 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_91 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_92 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_93 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_94 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_95 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_96 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_97 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_98 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_99 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_100 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_101 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_102 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_103 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_104 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_105 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_106 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_107 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_108 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_109 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_110 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_111 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_112 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_113 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_114 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_115 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_116 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_117 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_118 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_119 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_120 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_121 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_122 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_123 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_124 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_125 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_126 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_127 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_128 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_129 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_130 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_131 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_132 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_133 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_134 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_135 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_136 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_137 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_138 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_139 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_140 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_141 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_142 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_143 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_144 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_145 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_146 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_147 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_148 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_149 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_150 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_151 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_152 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_153 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_154 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_155 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_156 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_157 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_158 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_159 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_160 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_161 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_162 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_163 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_164 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_165 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_166 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_167 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_168 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_169 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_170 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_171 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_172 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_173 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_174 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_175 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_176 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_177 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_178 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_179 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_180 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_181 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_182 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_183 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_184 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_185 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_186 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_187 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_188 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_189 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_190 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_191 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_192 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_193 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_194 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_195 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_196 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_197 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_198 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_199 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_200 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_201 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_202 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_203 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_204 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_205 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_206 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_207 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_208 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_209 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_210 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_211 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_212 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_213 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_214 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_215 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_216 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_217 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_218 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_219 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_220 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_221 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_222 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_223 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_224 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_225 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_226 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_227 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_228 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_229 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_230 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_231 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_232 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_233 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_234 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_235 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_236 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_237 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_238 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_239 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_240 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_241 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_242 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_243 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_244 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_245 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_246 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_247 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_248 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_249 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_250 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_251 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_252 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_253 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_254 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_255 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_0 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_1 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_2 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_3 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_4 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_5 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_6 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_7 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_8 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_9 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_10 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_11 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_12 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_13 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_14 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_15 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_16 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_17 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_18 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_19 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_20 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_21 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_22 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_23 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_24 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_25 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_26 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_27 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_28 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_29 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_30 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_31 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_32 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_33 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_34 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_35 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_36 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_37 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_38 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_39 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_40 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_41 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_42 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_43 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_44 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_45 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_46 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_47 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_48 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_49 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_50 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_51 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_52 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_53 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_54 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_55 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_56 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_57 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_58 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_59 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_60 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_61 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_62 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_63 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_64 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_65 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_66 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_67 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_68 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_69 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_70 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_71 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_72 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_73 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_74 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_75 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_76 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_77 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_78 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_79 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_80 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_81 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_82 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_83 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_84 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_85 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_86 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_87 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_88 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_89 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_90 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_91 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_92 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_93 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_94 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_95 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_96 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_97 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_98 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_99 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_100 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_101 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_102 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_103 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_104 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_105 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_106 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_107 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_108 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_109 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_110 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_111 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_112 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_113 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_114 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_115 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_116 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_117 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_118 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_119 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_120 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_121 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_122 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_123 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_124 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_125 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_126 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_127 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_128 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_129 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_130 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_131 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_132 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_133 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_134 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_135 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_136 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_137 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_138 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_139 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_140 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_141 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_142 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_143 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_144 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_145 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_146 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_147 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_148 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_149 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_150 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_151 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_152 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_153 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_154 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_155 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_156 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_157 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_158 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_159 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_160 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_161 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_162 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_163 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_164 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_165 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_166 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_167 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_168 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_169 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_170 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_171 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_172 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_173 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_174 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_175 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_176 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_177 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_178 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_179 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_180 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_181 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_182 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_183 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_184 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_185 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_186 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_187 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_188 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_189 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_190 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_191 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_192 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_193 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_194 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_195 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_196 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_197 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_198 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_199 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_200 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_201 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_202 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_203 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_204 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_205 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_206 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_207 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_208 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_209 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_210 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_211 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_212 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_213 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_214 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_215 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_216 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_217 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_218 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_219 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_220 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_221 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_222 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_223 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_224 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_225 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_226 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_227 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_228 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_229 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_230 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_231 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_232 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_233 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_234 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_235 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_236 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_237 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_238 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_239 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_240 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_241 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_242 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_243 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_244 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_245 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_246 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_247 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_248 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_249 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_250 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_251 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_252 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_253 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_254 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_255 = 2'h0; + end + if (reset) begin + exu_mp_way_f = 1'h0; + end + if (reset) begin + exu_flush_final_d1 = 1'h0; + end + if (reset) begin + btb_lru_b0_f = 256'h0; + end + if (reset) begin + ifc_fetch_adder_prior = 31'h0; + end + if (reset) begin + rets_out_0 = 32'h0; + end + if (reset) begin + rets_out_1 = 32'h0; + end + if (reset) begin + rets_out_2 = 32'h0; + end + if (reset) begin + rets_out_3 = 32'h0; + end + if (reset) begin + rets_out_4 = 32'h0; + end + if (reset) begin + rets_out_5 = 32'h0; + end + if (reset) begin + rets_out_6 = 32'h0; + end + if (reset) begin + rets_out_7 = 32'h0; + end `endif // RANDOMIZE end // initial `ifdef FIRRTL_AFTER_INITIAL `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS - always @(posedge clock) begin + always @(posedge clock or posedge reset) begin if (reset) begin leak_one_f_d1 <= 1'h0; end else begin - leak_one_f_d1 <= leak_one_f; + leak_one_f_d1 <= _T_40 | _T_41; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_0 <= 22'h0; end else if (_T_575) begin btb_bank0_rd_data_way0_out_0 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_1 <= 22'h0; end else if (_T_578) begin btb_bank0_rd_data_way0_out_1 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_2 <= 22'h0; end else if (_T_581) begin btb_bank0_rd_data_way0_out_2 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_3 <= 22'h0; end else if (_T_584) begin btb_bank0_rd_data_way0_out_3 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_4 <= 22'h0; end else if (_T_587) begin btb_bank0_rd_data_way0_out_4 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_5 <= 22'h0; end else if (_T_590) begin btb_bank0_rd_data_way0_out_5 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_6 <= 22'h0; end else if (_T_593) begin btb_bank0_rd_data_way0_out_6 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_7 <= 22'h0; end else if (_T_596) begin btb_bank0_rd_data_way0_out_7 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_8 <= 22'h0; end else if (_T_599) begin btb_bank0_rd_data_way0_out_8 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_9 <= 22'h0; end else if (_T_602) begin btb_bank0_rd_data_way0_out_9 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_10 <= 22'h0; end else if (_T_605) begin btb_bank0_rd_data_way0_out_10 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_11 <= 22'h0; end else if (_T_608) begin btb_bank0_rd_data_way0_out_11 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_12 <= 22'h0; end else if (_T_611) begin btb_bank0_rd_data_way0_out_12 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_13 <= 22'h0; end else if (_T_614) begin btb_bank0_rd_data_way0_out_13 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_14 <= 22'h0; end else if (_T_617) begin btb_bank0_rd_data_way0_out_14 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_15 <= 22'h0; end else if (_T_620) begin btb_bank0_rd_data_way0_out_15 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_16 <= 22'h0; end else if (_T_623) begin btb_bank0_rd_data_way0_out_16 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_17 <= 22'h0; end else if (_T_626) begin btb_bank0_rd_data_way0_out_17 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_18 <= 22'h0; end else if (_T_629) begin btb_bank0_rd_data_way0_out_18 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_19 <= 22'h0; end else if (_T_632) begin btb_bank0_rd_data_way0_out_19 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_20 <= 22'h0; end else if (_T_635) begin btb_bank0_rd_data_way0_out_20 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_21 <= 22'h0; end else if (_T_638) begin btb_bank0_rd_data_way0_out_21 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_22 <= 22'h0; end else if (_T_641) begin btb_bank0_rd_data_way0_out_22 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_23 <= 22'h0; end else if (_T_644) begin btb_bank0_rd_data_way0_out_23 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_24 <= 22'h0; end else if (_T_647) begin btb_bank0_rd_data_way0_out_24 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_25 <= 22'h0; end else if (_T_650) begin btb_bank0_rd_data_way0_out_25 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_26 <= 22'h0; end else if (_T_653) begin btb_bank0_rd_data_way0_out_26 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_27 <= 22'h0; end else if (_T_656) begin btb_bank0_rd_data_way0_out_27 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_28 <= 22'h0; end else if (_T_659) begin btb_bank0_rd_data_way0_out_28 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_29 <= 22'h0; end else if (_T_662) begin btb_bank0_rd_data_way0_out_29 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_30 <= 22'h0; end else if (_T_665) begin btb_bank0_rd_data_way0_out_30 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_31 <= 22'h0; end else if (_T_668) begin btb_bank0_rd_data_way0_out_31 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_32 <= 22'h0; end else if (_T_671) begin btb_bank0_rd_data_way0_out_32 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_33 <= 22'h0; end else if (_T_674) begin btb_bank0_rd_data_way0_out_33 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_34 <= 22'h0; end else if (_T_677) begin btb_bank0_rd_data_way0_out_34 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_35 <= 22'h0; end else if (_T_680) begin btb_bank0_rd_data_way0_out_35 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_36 <= 22'h0; end else if (_T_683) begin btb_bank0_rd_data_way0_out_36 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_37 <= 22'h0; end else if (_T_686) begin btb_bank0_rd_data_way0_out_37 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_38 <= 22'h0; end else if (_T_689) begin btb_bank0_rd_data_way0_out_38 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_39 <= 22'h0; end else if (_T_692) begin btb_bank0_rd_data_way0_out_39 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_40 <= 22'h0; end else if (_T_695) begin btb_bank0_rd_data_way0_out_40 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_41 <= 22'h0; end else if (_T_698) begin btb_bank0_rd_data_way0_out_41 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_42 <= 22'h0; end else if (_T_701) begin btb_bank0_rd_data_way0_out_42 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_43 <= 22'h0; end else if (_T_704) begin btb_bank0_rd_data_way0_out_43 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_44 <= 22'h0; end else if (_T_707) begin btb_bank0_rd_data_way0_out_44 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_45 <= 22'h0; end else if (_T_710) begin btb_bank0_rd_data_way0_out_45 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_46 <= 22'h0; end else if (_T_713) begin btb_bank0_rd_data_way0_out_46 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_47 <= 22'h0; end else if (_T_716) begin btb_bank0_rd_data_way0_out_47 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_48 <= 22'h0; end else if (_T_719) begin btb_bank0_rd_data_way0_out_48 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_49 <= 22'h0; end else if (_T_722) begin btb_bank0_rd_data_way0_out_49 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_50 <= 22'h0; end else if (_T_725) begin btb_bank0_rd_data_way0_out_50 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_51 <= 22'h0; end else if (_T_728) begin btb_bank0_rd_data_way0_out_51 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_52 <= 22'h0; end else if (_T_731) begin btb_bank0_rd_data_way0_out_52 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_53 <= 22'h0; end else if (_T_734) begin btb_bank0_rd_data_way0_out_53 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_54 <= 22'h0; end else if (_T_737) begin btb_bank0_rd_data_way0_out_54 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_55 <= 22'h0; end else if (_T_740) begin btb_bank0_rd_data_way0_out_55 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_56 <= 22'h0; end else if (_T_743) begin btb_bank0_rd_data_way0_out_56 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_57 <= 22'h0; end else if (_T_746) begin btb_bank0_rd_data_way0_out_57 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_58 <= 22'h0; end else if (_T_749) begin btb_bank0_rd_data_way0_out_58 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_59 <= 22'h0; end else if (_T_752) begin btb_bank0_rd_data_way0_out_59 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_60 <= 22'h0; end else if (_T_755) begin btb_bank0_rd_data_way0_out_60 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_61 <= 22'h0; end else if (_T_758) begin btb_bank0_rd_data_way0_out_61 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_62 <= 22'h0; end else if (_T_761) begin btb_bank0_rd_data_way0_out_62 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_63 <= 22'h0; end else if (_T_764) begin btb_bank0_rd_data_way0_out_63 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_64 <= 22'h0; end else if (_T_767) begin btb_bank0_rd_data_way0_out_64 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_65 <= 22'h0; end else if (_T_770) begin btb_bank0_rd_data_way0_out_65 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_66 <= 22'h0; end else if (_T_773) begin btb_bank0_rd_data_way0_out_66 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_67 <= 22'h0; end else if (_T_776) begin btb_bank0_rd_data_way0_out_67 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_68 <= 22'h0; end else if (_T_779) begin btb_bank0_rd_data_way0_out_68 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_69 <= 22'h0; end else if (_T_782) begin btb_bank0_rd_data_way0_out_69 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_70 <= 22'h0; end else if (_T_785) begin btb_bank0_rd_data_way0_out_70 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_71 <= 22'h0; end else if (_T_788) begin btb_bank0_rd_data_way0_out_71 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_72 <= 22'h0; end else if (_T_791) begin btb_bank0_rd_data_way0_out_72 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_73 <= 22'h0; end else if (_T_794) begin btb_bank0_rd_data_way0_out_73 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_74 <= 22'h0; end else if (_T_797) begin btb_bank0_rd_data_way0_out_74 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_75 <= 22'h0; end else if (_T_800) begin btb_bank0_rd_data_way0_out_75 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_76 <= 22'h0; end else if (_T_803) begin btb_bank0_rd_data_way0_out_76 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_77 <= 22'h0; end else if (_T_806) begin btb_bank0_rd_data_way0_out_77 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_78 <= 22'h0; end else if (_T_809) begin btb_bank0_rd_data_way0_out_78 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_79 <= 22'h0; end else if (_T_812) begin btb_bank0_rd_data_way0_out_79 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_80 <= 22'h0; end else if (_T_815) begin btb_bank0_rd_data_way0_out_80 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_81 <= 22'h0; end else if (_T_818) begin btb_bank0_rd_data_way0_out_81 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_82 <= 22'h0; end else if (_T_821) begin btb_bank0_rd_data_way0_out_82 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_83 <= 22'h0; end else if (_T_824) begin btb_bank0_rd_data_way0_out_83 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_84 <= 22'h0; end else if (_T_827) begin btb_bank0_rd_data_way0_out_84 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_85 <= 22'h0; end else if (_T_830) begin btb_bank0_rd_data_way0_out_85 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_86 <= 22'h0; end else if (_T_833) begin btb_bank0_rd_data_way0_out_86 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_87 <= 22'h0; end else if (_T_836) begin btb_bank0_rd_data_way0_out_87 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_88 <= 22'h0; end else if (_T_839) begin btb_bank0_rd_data_way0_out_88 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_89 <= 22'h0; end else if (_T_842) begin btb_bank0_rd_data_way0_out_89 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_90 <= 22'h0; end else if (_T_845) begin btb_bank0_rd_data_way0_out_90 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_91 <= 22'h0; end else if (_T_848) begin btb_bank0_rd_data_way0_out_91 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_92 <= 22'h0; end else if (_T_851) begin btb_bank0_rd_data_way0_out_92 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_93 <= 22'h0; end else if (_T_854) begin btb_bank0_rd_data_way0_out_93 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_94 <= 22'h0; end else if (_T_857) begin btb_bank0_rd_data_way0_out_94 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_95 <= 22'h0; end else if (_T_860) begin btb_bank0_rd_data_way0_out_95 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_96 <= 22'h0; end else if (_T_863) begin btb_bank0_rd_data_way0_out_96 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_97 <= 22'h0; end else if (_T_866) begin btb_bank0_rd_data_way0_out_97 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_98 <= 22'h0; end else if (_T_869) begin btb_bank0_rd_data_way0_out_98 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_99 <= 22'h0; end else if (_T_872) begin btb_bank0_rd_data_way0_out_99 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_100 <= 22'h0; end else if (_T_875) begin btb_bank0_rd_data_way0_out_100 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_101 <= 22'h0; end else if (_T_878) begin btb_bank0_rd_data_way0_out_101 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_102 <= 22'h0; end else if (_T_881) begin btb_bank0_rd_data_way0_out_102 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_103 <= 22'h0; end else if (_T_884) begin btb_bank0_rd_data_way0_out_103 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_104 <= 22'h0; end else if (_T_887) begin btb_bank0_rd_data_way0_out_104 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_105 <= 22'h0; end else if (_T_890) begin btb_bank0_rd_data_way0_out_105 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_106 <= 22'h0; end else if (_T_893) begin btb_bank0_rd_data_way0_out_106 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_107 <= 22'h0; end else if (_T_896) begin btb_bank0_rd_data_way0_out_107 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_108 <= 22'h0; end else if (_T_899) begin btb_bank0_rd_data_way0_out_108 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_109 <= 22'h0; end else if (_T_902) begin btb_bank0_rd_data_way0_out_109 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_110 <= 22'h0; end else if (_T_905) begin btb_bank0_rd_data_way0_out_110 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_111 <= 22'h0; end else if (_T_908) begin btb_bank0_rd_data_way0_out_111 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_112 <= 22'h0; end else if (_T_911) begin btb_bank0_rd_data_way0_out_112 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_113 <= 22'h0; end else if (_T_914) begin btb_bank0_rd_data_way0_out_113 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_114 <= 22'h0; end else if (_T_917) begin btb_bank0_rd_data_way0_out_114 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_115 <= 22'h0; end else if (_T_920) begin btb_bank0_rd_data_way0_out_115 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_116 <= 22'h0; end else if (_T_923) begin btb_bank0_rd_data_way0_out_116 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_117 <= 22'h0; end else if (_T_926) begin btb_bank0_rd_data_way0_out_117 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_118 <= 22'h0; end else if (_T_929) begin btb_bank0_rd_data_way0_out_118 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_119 <= 22'h0; end else if (_T_932) begin btb_bank0_rd_data_way0_out_119 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_120 <= 22'h0; end else if (_T_935) begin btb_bank0_rd_data_way0_out_120 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_121 <= 22'h0; end else if (_T_938) begin btb_bank0_rd_data_way0_out_121 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_122 <= 22'h0; end else if (_T_941) begin btb_bank0_rd_data_way0_out_122 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_123 <= 22'h0; end else if (_T_944) begin btb_bank0_rd_data_way0_out_123 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_124 <= 22'h0; end else if (_T_947) begin btb_bank0_rd_data_way0_out_124 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_125 <= 22'h0; end else if (_T_950) begin btb_bank0_rd_data_way0_out_125 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_126 <= 22'h0; end else if (_T_953) begin btb_bank0_rd_data_way0_out_126 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_127 <= 22'h0; end else if (_T_956) begin btb_bank0_rd_data_way0_out_127 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_128 <= 22'h0; end else if (_T_959) begin btb_bank0_rd_data_way0_out_128 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_129 <= 22'h0; end else if (_T_962) begin btb_bank0_rd_data_way0_out_129 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_130 <= 22'h0; end else if (_T_965) begin btb_bank0_rd_data_way0_out_130 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_131 <= 22'h0; end else if (_T_968) begin btb_bank0_rd_data_way0_out_131 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_132 <= 22'h0; end else if (_T_971) begin btb_bank0_rd_data_way0_out_132 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_133 <= 22'h0; end else if (_T_974) begin btb_bank0_rd_data_way0_out_133 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_134 <= 22'h0; end else if (_T_977) begin btb_bank0_rd_data_way0_out_134 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_135 <= 22'h0; end else if (_T_980) begin btb_bank0_rd_data_way0_out_135 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_136 <= 22'h0; end else if (_T_983) begin btb_bank0_rd_data_way0_out_136 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_137 <= 22'h0; end else if (_T_986) begin btb_bank0_rd_data_way0_out_137 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_138 <= 22'h0; end else if (_T_989) begin btb_bank0_rd_data_way0_out_138 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_139 <= 22'h0; end else if (_T_992) begin btb_bank0_rd_data_way0_out_139 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_140 <= 22'h0; end else if (_T_995) begin btb_bank0_rd_data_way0_out_140 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_141 <= 22'h0; end else if (_T_998) begin btb_bank0_rd_data_way0_out_141 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_142 <= 22'h0; end else if (_T_1001) begin btb_bank0_rd_data_way0_out_142 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_143 <= 22'h0; end else if (_T_1004) begin btb_bank0_rd_data_way0_out_143 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_144 <= 22'h0; end else if (_T_1007) begin btb_bank0_rd_data_way0_out_144 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_145 <= 22'h0; end else if (_T_1010) begin btb_bank0_rd_data_way0_out_145 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_146 <= 22'h0; end else if (_T_1013) begin btb_bank0_rd_data_way0_out_146 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_147 <= 22'h0; end else if (_T_1016) begin btb_bank0_rd_data_way0_out_147 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_148 <= 22'h0; end else if (_T_1019) begin btb_bank0_rd_data_way0_out_148 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_149 <= 22'h0; end else if (_T_1022) begin btb_bank0_rd_data_way0_out_149 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_150 <= 22'h0; end else if (_T_1025) begin btb_bank0_rd_data_way0_out_150 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_151 <= 22'h0; end else if (_T_1028) begin btb_bank0_rd_data_way0_out_151 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_152 <= 22'h0; end else if (_T_1031) begin btb_bank0_rd_data_way0_out_152 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_153 <= 22'h0; end else if (_T_1034) begin btb_bank0_rd_data_way0_out_153 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_154 <= 22'h0; end else if (_T_1037) begin btb_bank0_rd_data_way0_out_154 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_155 <= 22'h0; end else if (_T_1040) begin btb_bank0_rd_data_way0_out_155 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_156 <= 22'h0; end else if (_T_1043) begin btb_bank0_rd_data_way0_out_156 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_157 <= 22'h0; end else if (_T_1046) begin btb_bank0_rd_data_way0_out_157 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_158 <= 22'h0; end else if (_T_1049) begin btb_bank0_rd_data_way0_out_158 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_159 <= 22'h0; end else if (_T_1052) begin btb_bank0_rd_data_way0_out_159 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_160 <= 22'h0; end else if (_T_1055) begin btb_bank0_rd_data_way0_out_160 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_161 <= 22'h0; end else if (_T_1058) begin btb_bank0_rd_data_way0_out_161 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_162 <= 22'h0; end else if (_T_1061) begin btb_bank0_rd_data_way0_out_162 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_163 <= 22'h0; end else if (_T_1064) begin btb_bank0_rd_data_way0_out_163 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_164 <= 22'h0; end else if (_T_1067) begin btb_bank0_rd_data_way0_out_164 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_165 <= 22'h0; end else if (_T_1070) begin btb_bank0_rd_data_way0_out_165 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_166 <= 22'h0; end else if (_T_1073) begin btb_bank0_rd_data_way0_out_166 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_167 <= 22'h0; end else if (_T_1076) begin btb_bank0_rd_data_way0_out_167 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_168 <= 22'h0; end else if (_T_1079) begin btb_bank0_rd_data_way0_out_168 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_169 <= 22'h0; end else if (_T_1082) begin btb_bank0_rd_data_way0_out_169 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_170 <= 22'h0; end else if (_T_1085) begin btb_bank0_rd_data_way0_out_170 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_171 <= 22'h0; end else if (_T_1088) begin btb_bank0_rd_data_way0_out_171 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_172 <= 22'h0; end else if (_T_1091) begin btb_bank0_rd_data_way0_out_172 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_173 <= 22'h0; end else if (_T_1094) begin btb_bank0_rd_data_way0_out_173 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_174 <= 22'h0; end else if (_T_1097) begin btb_bank0_rd_data_way0_out_174 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_175 <= 22'h0; end else if (_T_1100) begin btb_bank0_rd_data_way0_out_175 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_176 <= 22'h0; end else if (_T_1103) begin btb_bank0_rd_data_way0_out_176 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_177 <= 22'h0; end else if (_T_1106) begin btb_bank0_rd_data_way0_out_177 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_178 <= 22'h0; end else if (_T_1109) begin btb_bank0_rd_data_way0_out_178 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_179 <= 22'h0; end else if (_T_1112) begin btb_bank0_rd_data_way0_out_179 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_180 <= 22'h0; end else if (_T_1115) begin btb_bank0_rd_data_way0_out_180 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_181 <= 22'h0; end else if (_T_1118) begin btb_bank0_rd_data_way0_out_181 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_182 <= 22'h0; end else if (_T_1121) begin btb_bank0_rd_data_way0_out_182 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_183 <= 22'h0; end else if (_T_1124) begin btb_bank0_rd_data_way0_out_183 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_184 <= 22'h0; end else if (_T_1127) begin btb_bank0_rd_data_way0_out_184 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_185 <= 22'h0; end else if (_T_1130) begin btb_bank0_rd_data_way0_out_185 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_186 <= 22'h0; end else if (_T_1133) begin btb_bank0_rd_data_way0_out_186 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_187 <= 22'h0; end else if (_T_1136) begin btb_bank0_rd_data_way0_out_187 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_188 <= 22'h0; end else if (_T_1139) begin btb_bank0_rd_data_way0_out_188 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_189 <= 22'h0; end else if (_T_1142) begin btb_bank0_rd_data_way0_out_189 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_190 <= 22'h0; end else if (_T_1145) begin btb_bank0_rd_data_way0_out_190 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_191 <= 22'h0; end else if (_T_1148) begin btb_bank0_rd_data_way0_out_191 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_192 <= 22'h0; end else if (_T_1151) begin btb_bank0_rd_data_way0_out_192 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_193 <= 22'h0; end else if (_T_1154) begin btb_bank0_rd_data_way0_out_193 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_194 <= 22'h0; end else if (_T_1157) begin btb_bank0_rd_data_way0_out_194 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_195 <= 22'h0; end else if (_T_1160) begin btb_bank0_rd_data_way0_out_195 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_196 <= 22'h0; end else if (_T_1163) begin btb_bank0_rd_data_way0_out_196 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_197 <= 22'h0; end else if (_T_1166) begin btb_bank0_rd_data_way0_out_197 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_198 <= 22'h0; end else if (_T_1169) begin btb_bank0_rd_data_way0_out_198 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_199 <= 22'h0; end else if (_T_1172) begin btb_bank0_rd_data_way0_out_199 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_200 <= 22'h0; end else if (_T_1175) begin btb_bank0_rd_data_way0_out_200 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_201 <= 22'h0; end else if (_T_1178) begin btb_bank0_rd_data_way0_out_201 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_202 <= 22'h0; end else if (_T_1181) begin btb_bank0_rd_data_way0_out_202 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_203 <= 22'h0; end else if (_T_1184) begin btb_bank0_rd_data_way0_out_203 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_204 <= 22'h0; end else if (_T_1187) begin btb_bank0_rd_data_way0_out_204 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_205 <= 22'h0; end else if (_T_1190) begin btb_bank0_rd_data_way0_out_205 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_206 <= 22'h0; end else if (_T_1193) begin btb_bank0_rd_data_way0_out_206 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_207 <= 22'h0; end else if (_T_1196) begin btb_bank0_rd_data_way0_out_207 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_208 <= 22'h0; end else if (_T_1199) begin btb_bank0_rd_data_way0_out_208 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_209 <= 22'h0; end else if (_T_1202) begin btb_bank0_rd_data_way0_out_209 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_210 <= 22'h0; end else if (_T_1205) begin btb_bank0_rd_data_way0_out_210 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_211 <= 22'h0; end else if (_T_1208) begin btb_bank0_rd_data_way0_out_211 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_212 <= 22'h0; end else if (_T_1211) begin btb_bank0_rd_data_way0_out_212 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_213 <= 22'h0; end else if (_T_1214) begin btb_bank0_rd_data_way0_out_213 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_214 <= 22'h0; end else if (_T_1217) begin btb_bank0_rd_data_way0_out_214 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_215 <= 22'h0; end else if (_T_1220) begin btb_bank0_rd_data_way0_out_215 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_216 <= 22'h0; end else if (_T_1223) begin btb_bank0_rd_data_way0_out_216 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_217 <= 22'h0; end else if (_T_1226) begin btb_bank0_rd_data_way0_out_217 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_218 <= 22'h0; end else if (_T_1229) begin btb_bank0_rd_data_way0_out_218 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_219 <= 22'h0; end else if (_T_1232) begin btb_bank0_rd_data_way0_out_219 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_220 <= 22'h0; end else if (_T_1235) begin btb_bank0_rd_data_way0_out_220 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_221 <= 22'h0; end else if (_T_1238) begin btb_bank0_rd_data_way0_out_221 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_222 <= 22'h0; end else if (_T_1241) begin btb_bank0_rd_data_way0_out_222 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_223 <= 22'h0; end else if (_T_1244) begin btb_bank0_rd_data_way0_out_223 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_224 <= 22'h0; end else if (_T_1247) begin btb_bank0_rd_data_way0_out_224 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_225 <= 22'h0; end else if (_T_1250) begin btb_bank0_rd_data_way0_out_225 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_226 <= 22'h0; end else if (_T_1253) begin btb_bank0_rd_data_way0_out_226 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_227 <= 22'h0; end else if (_T_1256) begin btb_bank0_rd_data_way0_out_227 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_228 <= 22'h0; end else if (_T_1259) begin btb_bank0_rd_data_way0_out_228 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_229 <= 22'h0; end else if (_T_1262) begin btb_bank0_rd_data_way0_out_229 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_230 <= 22'h0; end else if (_T_1265) begin btb_bank0_rd_data_way0_out_230 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_231 <= 22'h0; end else if (_T_1268) begin btb_bank0_rd_data_way0_out_231 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_232 <= 22'h0; end else if (_T_1271) begin btb_bank0_rd_data_way0_out_232 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_233 <= 22'h0; end else if (_T_1274) begin btb_bank0_rd_data_way0_out_233 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_234 <= 22'h0; end else if (_T_1277) begin btb_bank0_rd_data_way0_out_234 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_235 <= 22'h0; end else if (_T_1280) begin btb_bank0_rd_data_way0_out_235 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_236 <= 22'h0; end else if (_T_1283) begin btb_bank0_rd_data_way0_out_236 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_237 <= 22'h0; end else if (_T_1286) begin btb_bank0_rd_data_way0_out_237 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_238 <= 22'h0; end else if (_T_1289) begin btb_bank0_rd_data_way0_out_238 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_239 <= 22'h0; end else if (_T_1292) begin btb_bank0_rd_data_way0_out_239 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_240 <= 22'h0; end else if (_T_1295) begin btb_bank0_rd_data_way0_out_240 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_241 <= 22'h0; end else if (_T_1298) begin btb_bank0_rd_data_way0_out_241 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_242 <= 22'h0; end else if (_T_1301) begin btb_bank0_rd_data_way0_out_242 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_243 <= 22'h0; end else if (_T_1304) begin btb_bank0_rd_data_way0_out_243 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_244 <= 22'h0; end else if (_T_1307) begin btb_bank0_rd_data_way0_out_244 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_245 <= 22'h0; end else if (_T_1310) begin btb_bank0_rd_data_way0_out_245 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_246 <= 22'h0; end else if (_T_1313) begin btb_bank0_rd_data_way0_out_246 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_247 <= 22'h0; end else if (_T_1316) begin btb_bank0_rd_data_way0_out_247 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_248 <= 22'h0; end else if (_T_1319) begin btb_bank0_rd_data_way0_out_248 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_249 <= 22'h0; end else if (_T_1322) begin btb_bank0_rd_data_way0_out_249 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_250 <= 22'h0; end else if (_T_1325) begin btb_bank0_rd_data_way0_out_250 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_251 <= 22'h0; end else if (_T_1328) begin btb_bank0_rd_data_way0_out_251 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_252 <= 22'h0; end else if (_T_1331) begin btb_bank0_rd_data_way0_out_252 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_253 <= 22'h0; end else if (_T_1334) begin btb_bank0_rd_data_way0_out_253 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_254 <= 22'h0; end else if (_T_1337) begin btb_bank0_rd_data_way0_out_254 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way0_out_255 <= 22'h0; end else if (_T_1340) begin btb_bank0_rd_data_way0_out_255 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin dec_tlu_way_wb_f <= 1'h0; end else begin dec_tlu_way_wb_f <= io_dec_tlu_br0_r_pkt_way; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_0 <= 22'h0; end else if (_T_1343) begin btb_bank0_rd_data_way1_out_0 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_1 <= 22'h0; end else if (_T_1346) begin btb_bank0_rd_data_way1_out_1 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_2 <= 22'h0; end else if (_T_1349) begin btb_bank0_rd_data_way1_out_2 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_3 <= 22'h0; end else if (_T_1352) begin btb_bank0_rd_data_way1_out_3 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_4 <= 22'h0; end else if (_T_1355) begin btb_bank0_rd_data_way1_out_4 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_5 <= 22'h0; end else if (_T_1358) begin btb_bank0_rd_data_way1_out_5 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_6 <= 22'h0; end else if (_T_1361) begin btb_bank0_rd_data_way1_out_6 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_7 <= 22'h0; end else if (_T_1364) begin btb_bank0_rd_data_way1_out_7 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_8 <= 22'h0; end else if (_T_1367) begin btb_bank0_rd_data_way1_out_8 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_9 <= 22'h0; end else if (_T_1370) begin btb_bank0_rd_data_way1_out_9 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_10 <= 22'h0; end else if (_T_1373) begin btb_bank0_rd_data_way1_out_10 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_11 <= 22'h0; end else if (_T_1376) begin btb_bank0_rd_data_way1_out_11 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_12 <= 22'h0; end else if (_T_1379) begin btb_bank0_rd_data_way1_out_12 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_13 <= 22'h0; end else if (_T_1382) begin btb_bank0_rd_data_way1_out_13 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_14 <= 22'h0; end else if (_T_1385) begin btb_bank0_rd_data_way1_out_14 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_15 <= 22'h0; end else if (_T_1388) begin btb_bank0_rd_data_way1_out_15 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_16 <= 22'h0; end else if (_T_1391) begin btb_bank0_rd_data_way1_out_16 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_17 <= 22'h0; end else if (_T_1394) begin btb_bank0_rd_data_way1_out_17 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_18 <= 22'h0; end else if (_T_1397) begin btb_bank0_rd_data_way1_out_18 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_19 <= 22'h0; end else if (_T_1400) begin btb_bank0_rd_data_way1_out_19 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_20 <= 22'h0; end else if (_T_1403) begin btb_bank0_rd_data_way1_out_20 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_21 <= 22'h0; end else if (_T_1406) begin btb_bank0_rd_data_way1_out_21 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_22 <= 22'h0; end else if (_T_1409) begin btb_bank0_rd_data_way1_out_22 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_23 <= 22'h0; end else if (_T_1412) begin btb_bank0_rd_data_way1_out_23 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_24 <= 22'h0; end else if (_T_1415) begin btb_bank0_rd_data_way1_out_24 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_25 <= 22'h0; end else if (_T_1418) begin btb_bank0_rd_data_way1_out_25 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_26 <= 22'h0; end else if (_T_1421) begin btb_bank0_rd_data_way1_out_26 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_27 <= 22'h0; end else if (_T_1424) begin btb_bank0_rd_data_way1_out_27 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_28 <= 22'h0; end else if (_T_1427) begin btb_bank0_rd_data_way1_out_28 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_29 <= 22'h0; end else if (_T_1430) begin btb_bank0_rd_data_way1_out_29 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_30 <= 22'h0; end else if (_T_1433) begin btb_bank0_rd_data_way1_out_30 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_31 <= 22'h0; end else if (_T_1436) begin btb_bank0_rd_data_way1_out_31 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_32 <= 22'h0; end else if (_T_1439) begin btb_bank0_rd_data_way1_out_32 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_33 <= 22'h0; end else if (_T_1442) begin btb_bank0_rd_data_way1_out_33 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_34 <= 22'h0; end else if (_T_1445) begin btb_bank0_rd_data_way1_out_34 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_35 <= 22'h0; end else if (_T_1448) begin btb_bank0_rd_data_way1_out_35 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_36 <= 22'h0; end else if (_T_1451) begin btb_bank0_rd_data_way1_out_36 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_37 <= 22'h0; end else if (_T_1454) begin btb_bank0_rd_data_way1_out_37 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_38 <= 22'h0; end else if (_T_1457) begin btb_bank0_rd_data_way1_out_38 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_39 <= 22'h0; end else if (_T_1460) begin btb_bank0_rd_data_way1_out_39 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_40 <= 22'h0; end else if (_T_1463) begin btb_bank0_rd_data_way1_out_40 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_41 <= 22'h0; end else if (_T_1466) begin btb_bank0_rd_data_way1_out_41 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_42 <= 22'h0; end else if (_T_1469) begin btb_bank0_rd_data_way1_out_42 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_43 <= 22'h0; end else if (_T_1472) begin btb_bank0_rd_data_way1_out_43 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_44 <= 22'h0; end else if (_T_1475) begin btb_bank0_rd_data_way1_out_44 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_45 <= 22'h0; end else if (_T_1478) begin btb_bank0_rd_data_way1_out_45 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_46 <= 22'h0; end else if (_T_1481) begin btb_bank0_rd_data_way1_out_46 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_47 <= 22'h0; end else if (_T_1484) begin btb_bank0_rd_data_way1_out_47 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_48 <= 22'h0; end else if (_T_1487) begin btb_bank0_rd_data_way1_out_48 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_49 <= 22'h0; end else if (_T_1490) begin btb_bank0_rd_data_way1_out_49 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_50 <= 22'h0; end else if (_T_1493) begin btb_bank0_rd_data_way1_out_50 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_51 <= 22'h0; end else if (_T_1496) begin btb_bank0_rd_data_way1_out_51 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_52 <= 22'h0; end else if (_T_1499) begin btb_bank0_rd_data_way1_out_52 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_53 <= 22'h0; end else if (_T_1502) begin btb_bank0_rd_data_way1_out_53 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_54 <= 22'h0; end else if (_T_1505) begin btb_bank0_rd_data_way1_out_54 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_55 <= 22'h0; end else if (_T_1508) begin btb_bank0_rd_data_way1_out_55 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_56 <= 22'h0; end else if (_T_1511) begin btb_bank0_rd_data_way1_out_56 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_57 <= 22'h0; end else if (_T_1514) begin btb_bank0_rd_data_way1_out_57 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_58 <= 22'h0; end else if (_T_1517) begin btb_bank0_rd_data_way1_out_58 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_59 <= 22'h0; end else if (_T_1520) begin btb_bank0_rd_data_way1_out_59 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_60 <= 22'h0; end else if (_T_1523) begin btb_bank0_rd_data_way1_out_60 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_61 <= 22'h0; end else if (_T_1526) begin btb_bank0_rd_data_way1_out_61 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_62 <= 22'h0; end else if (_T_1529) begin btb_bank0_rd_data_way1_out_62 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_63 <= 22'h0; end else if (_T_1532) begin btb_bank0_rd_data_way1_out_63 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_64 <= 22'h0; end else if (_T_1535) begin btb_bank0_rd_data_way1_out_64 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_65 <= 22'h0; end else if (_T_1538) begin btb_bank0_rd_data_way1_out_65 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_66 <= 22'h0; end else if (_T_1541) begin btb_bank0_rd_data_way1_out_66 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_67 <= 22'h0; end else if (_T_1544) begin btb_bank0_rd_data_way1_out_67 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_68 <= 22'h0; end else if (_T_1547) begin btb_bank0_rd_data_way1_out_68 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_69 <= 22'h0; end else if (_T_1550) begin btb_bank0_rd_data_way1_out_69 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_70 <= 22'h0; end else if (_T_1553) begin btb_bank0_rd_data_way1_out_70 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_71 <= 22'h0; end else if (_T_1556) begin btb_bank0_rd_data_way1_out_71 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_72 <= 22'h0; end else if (_T_1559) begin btb_bank0_rd_data_way1_out_72 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_73 <= 22'h0; end else if (_T_1562) begin btb_bank0_rd_data_way1_out_73 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_74 <= 22'h0; end else if (_T_1565) begin btb_bank0_rd_data_way1_out_74 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_75 <= 22'h0; end else if (_T_1568) begin btb_bank0_rd_data_way1_out_75 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_76 <= 22'h0; end else if (_T_1571) begin btb_bank0_rd_data_way1_out_76 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_77 <= 22'h0; end else if (_T_1574) begin btb_bank0_rd_data_way1_out_77 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_78 <= 22'h0; end else if (_T_1577) begin btb_bank0_rd_data_way1_out_78 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_79 <= 22'h0; end else if (_T_1580) begin btb_bank0_rd_data_way1_out_79 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_80 <= 22'h0; end else if (_T_1583) begin btb_bank0_rd_data_way1_out_80 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_81 <= 22'h0; end else if (_T_1586) begin btb_bank0_rd_data_way1_out_81 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_82 <= 22'h0; end else if (_T_1589) begin btb_bank0_rd_data_way1_out_82 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_83 <= 22'h0; end else if (_T_1592) begin btb_bank0_rd_data_way1_out_83 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_84 <= 22'h0; end else if (_T_1595) begin btb_bank0_rd_data_way1_out_84 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_85 <= 22'h0; end else if (_T_1598) begin btb_bank0_rd_data_way1_out_85 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_86 <= 22'h0; end else if (_T_1601) begin btb_bank0_rd_data_way1_out_86 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_87 <= 22'h0; end else if (_T_1604) begin btb_bank0_rd_data_way1_out_87 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_88 <= 22'h0; end else if (_T_1607) begin btb_bank0_rd_data_way1_out_88 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_89 <= 22'h0; end else if (_T_1610) begin btb_bank0_rd_data_way1_out_89 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_90 <= 22'h0; end else if (_T_1613) begin btb_bank0_rd_data_way1_out_90 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_91 <= 22'h0; end else if (_T_1616) begin btb_bank0_rd_data_way1_out_91 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_92 <= 22'h0; end else if (_T_1619) begin btb_bank0_rd_data_way1_out_92 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_93 <= 22'h0; end else if (_T_1622) begin btb_bank0_rd_data_way1_out_93 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_94 <= 22'h0; end else if (_T_1625) begin btb_bank0_rd_data_way1_out_94 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_95 <= 22'h0; end else if (_T_1628) begin btb_bank0_rd_data_way1_out_95 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_96 <= 22'h0; end else if (_T_1631) begin btb_bank0_rd_data_way1_out_96 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_97 <= 22'h0; end else if (_T_1634) begin btb_bank0_rd_data_way1_out_97 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_98 <= 22'h0; end else if (_T_1637) begin btb_bank0_rd_data_way1_out_98 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_99 <= 22'h0; end else if (_T_1640) begin btb_bank0_rd_data_way1_out_99 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_100 <= 22'h0; end else if (_T_1643) begin btb_bank0_rd_data_way1_out_100 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_101 <= 22'h0; end else if (_T_1646) begin btb_bank0_rd_data_way1_out_101 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_102 <= 22'h0; end else if (_T_1649) begin btb_bank0_rd_data_way1_out_102 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_103 <= 22'h0; end else if (_T_1652) begin btb_bank0_rd_data_way1_out_103 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_104 <= 22'h0; end else if (_T_1655) begin btb_bank0_rd_data_way1_out_104 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_105 <= 22'h0; end else if (_T_1658) begin btb_bank0_rd_data_way1_out_105 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_106 <= 22'h0; end else if (_T_1661) begin btb_bank0_rd_data_way1_out_106 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_107 <= 22'h0; end else if (_T_1664) begin btb_bank0_rd_data_way1_out_107 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_108 <= 22'h0; end else if (_T_1667) begin btb_bank0_rd_data_way1_out_108 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_109 <= 22'h0; end else if (_T_1670) begin btb_bank0_rd_data_way1_out_109 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_110 <= 22'h0; end else if (_T_1673) begin btb_bank0_rd_data_way1_out_110 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_111 <= 22'h0; end else if (_T_1676) begin btb_bank0_rd_data_way1_out_111 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_112 <= 22'h0; end else if (_T_1679) begin btb_bank0_rd_data_way1_out_112 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_113 <= 22'h0; end else if (_T_1682) begin btb_bank0_rd_data_way1_out_113 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_114 <= 22'h0; end else if (_T_1685) begin btb_bank0_rd_data_way1_out_114 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_115 <= 22'h0; end else if (_T_1688) begin btb_bank0_rd_data_way1_out_115 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_116 <= 22'h0; end else if (_T_1691) begin btb_bank0_rd_data_way1_out_116 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_117 <= 22'h0; end else if (_T_1694) begin btb_bank0_rd_data_way1_out_117 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_118 <= 22'h0; end else if (_T_1697) begin btb_bank0_rd_data_way1_out_118 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_119 <= 22'h0; end else if (_T_1700) begin btb_bank0_rd_data_way1_out_119 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_120 <= 22'h0; end else if (_T_1703) begin btb_bank0_rd_data_way1_out_120 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_121 <= 22'h0; end else if (_T_1706) begin btb_bank0_rd_data_way1_out_121 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_122 <= 22'h0; end else if (_T_1709) begin btb_bank0_rd_data_way1_out_122 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_123 <= 22'h0; end else if (_T_1712) begin btb_bank0_rd_data_way1_out_123 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_124 <= 22'h0; end else if (_T_1715) begin btb_bank0_rd_data_way1_out_124 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_125 <= 22'h0; end else if (_T_1718) begin btb_bank0_rd_data_way1_out_125 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_126 <= 22'h0; end else if (_T_1721) begin btb_bank0_rd_data_way1_out_126 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_127 <= 22'h0; end else if (_T_1724) begin btb_bank0_rd_data_way1_out_127 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_128 <= 22'h0; end else if (_T_1727) begin btb_bank0_rd_data_way1_out_128 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_129 <= 22'h0; end else if (_T_1730) begin btb_bank0_rd_data_way1_out_129 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_130 <= 22'h0; end else if (_T_1733) begin btb_bank0_rd_data_way1_out_130 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_131 <= 22'h0; end else if (_T_1736) begin btb_bank0_rd_data_way1_out_131 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_132 <= 22'h0; end else if (_T_1739) begin btb_bank0_rd_data_way1_out_132 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_133 <= 22'h0; end else if (_T_1742) begin btb_bank0_rd_data_way1_out_133 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_134 <= 22'h0; end else if (_T_1745) begin btb_bank0_rd_data_way1_out_134 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_135 <= 22'h0; end else if (_T_1748) begin btb_bank0_rd_data_way1_out_135 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_136 <= 22'h0; end else if (_T_1751) begin btb_bank0_rd_data_way1_out_136 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_137 <= 22'h0; end else if (_T_1754) begin btb_bank0_rd_data_way1_out_137 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_138 <= 22'h0; end else if (_T_1757) begin btb_bank0_rd_data_way1_out_138 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_139 <= 22'h0; end else if (_T_1760) begin btb_bank0_rd_data_way1_out_139 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_140 <= 22'h0; end else if (_T_1763) begin btb_bank0_rd_data_way1_out_140 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_141 <= 22'h0; end else if (_T_1766) begin btb_bank0_rd_data_way1_out_141 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_142 <= 22'h0; end else if (_T_1769) begin btb_bank0_rd_data_way1_out_142 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_143 <= 22'h0; end else if (_T_1772) begin btb_bank0_rd_data_way1_out_143 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_144 <= 22'h0; end else if (_T_1775) begin btb_bank0_rd_data_way1_out_144 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_145 <= 22'h0; end else if (_T_1778) begin btb_bank0_rd_data_way1_out_145 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_146 <= 22'h0; end else if (_T_1781) begin btb_bank0_rd_data_way1_out_146 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_147 <= 22'h0; end else if (_T_1784) begin btb_bank0_rd_data_way1_out_147 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_148 <= 22'h0; end else if (_T_1787) begin btb_bank0_rd_data_way1_out_148 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_149 <= 22'h0; end else if (_T_1790) begin btb_bank0_rd_data_way1_out_149 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_150 <= 22'h0; end else if (_T_1793) begin btb_bank0_rd_data_way1_out_150 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_151 <= 22'h0; end else if (_T_1796) begin btb_bank0_rd_data_way1_out_151 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_152 <= 22'h0; end else if (_T_1799) begin btb_bank0_rd_data_way1_out_152 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_153 <= 22'h0; end else if (_T_1802) begin btb_bank0_rd_data_way1_out_153 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_154 <= 22'h0; end else if (_T_1805) begin btb_bank0_rd_data_way1_out_154 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_155 <= 22'h0; end else if (_T_1808) begin btb_bank0_rd_data_way1_out_155 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_156 <= 22'h0; end else if (_T_1811) begin btb_bank0_rd_data_way1_out_156 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_157 <= 22'h0; end else if (_T_1814) begin btb_bank0_rd_data_way1_out_157 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_158 <= 22'h0; end else if (_T_1817) begin btb_bank0_rd_data_way1_out_158 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_159 <= 22'h0; end else if (_T_1820) begin btb_bank0_rd_data_way1_out_159 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_160 <= 22'h0; end else if (_T_1823) begin btb_bank0_rd_data_way1_out_160 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_161 <= 22'h0; end else if (_T_1826) begin btb_bank0_rd_data_way1_out_161 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_162 <= 22'h0; end else if (_T_1829) begin btb_bank0_rd_data_way1_out_162 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_163 <= 22'h0; end else if (_T_1832) begin btb_bank0_rd_data_way1_out_163 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_164 <= 22'h0; end else if (_T_1835) begin btb_bank0_rd_data_way1_out_164 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_165 <= 22'h0; end else if (_T_1838) begin btb_bank0_rd_data_way1_out_165 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_166 <= 22'h0; end else if (_T_1841) begin btb_bank0_rd_data_way1_out_166 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_167 <= 22'h0; end else if (_T_1844) begin btb_bank0_rd_data_way1_out_167 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_168 <= 22'h0; end else if (_T_1847) begin btb_bank0_rd_data_way1_out_168 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_169 <= 22'h0; end else if (_T_1850) begin btb_bank0_rd_data_way1_out_169 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_170 <= 22'h0; end else if (_T_1853) begin btb_bank0_rd_data_way1_out_170 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_171 <= 22'h0; end else if (_T_1856) begin btb_bank0_rd_data_way1_out_171 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_172 <= 22'h0; end else if (_T_1859) begin btb_bank0_rd_data_way1_out_172 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_173 <= 22'h0; end else if (_T_1862) begin btb_bank0_rd_data_way1_out_173 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_174 <= 22'h0; end else if (_T_1865) begin btb_bank0_rd_data_way1_out_174 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_175 <= 22'h0; end else if (_T_1868) begin btb_bank0_rd_data_way1_out_175 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_176 <= 22'h0; end else if (_T_1871) begin btb_bank0_rd_data_way1_out_176 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_177 <= 22'h0; end else if (_T_1874) begin btb_bank0_rd_data_way1_out_177 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_178 <= 22'h0; end else if (_T_1877) begin btb_bank0_rd_data_way1_out_178 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_179 <= 22'h0; end else if (_T_1880) begin btb_bank0_rd_data_way1_out_179 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_180 <= 22'h0; end else if (_T_1883) begin btb_bank0_rd_data_way1_out_180 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_181 <= 22'h0; end else if (_T_1886) begin btb_bank0_rd_data_way1_out_181 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_182 <= 22'h0; end else if (_T_1889) begin btb_bank0_rd_data_way1_out_182 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_183 <= 22'h0; end else if (_T_1892) begin btb_bank0_rd_data_way1_out_183 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_184 <= 22'h0; end else if (_T_1895) begin btb_bank0_rd_data_way1_out_184 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_185 <= 22'h0; end else if (_T_1898) begin btb_bank0_rd_data_way1_out_185 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_186 <= 22'h0; end else if (_T_1901) begin btb_bank0_rd_data_way1_out_186 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_187 <= 22'h0; end else if (_T_1904) begin btb_bank0_rd_data_way1_out_187 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_188 <= 22'h0; end else if (_T_1907) begin btb_bank0_rd_data_way1_out_188 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_189 <= 22'h0; end else if (_T_1910) begin btb_bank0_rd_data_way1_out_189 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_190 <= 22'h0; end else if (_T_1913) begin btb_bank0_rd_data_way1_out_190 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_191 <= 22'h0; end else if (_T_1916) begin btb_bank0_rd_data_way1_out_191 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_192 <= 22'h0; end else if (_T_1919) begin btb_bank0_rd_data_way1_out_192 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_193 <= 22'h0; end else if (_T_1922) begin btb_bank0_rd_data_way1_out_193 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_194 <= 22'h0; end else if (_T_1925) begin btb_bank0_rd_data_way1_out_194 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_195 <= 22'h0; end else if (_T_1928) begin btb_bank0_rd_data_way1_out_195 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_196 <= 22'h0; end else if (_T_1931) begin btb_bank0_rd_data_way1_out_196 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_197 <= 22'h0; end else if (_T_1934) begin btb_bank0_rd_data_way1_out_197 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_198 <= 22'h0; end else if (_T_1937) begin btb_bank0_rd_data_way1_out_198 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_199 <= 22'h0; end else if (_T_1940) begin btb_bank0_rd_data_way1_out_199 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_200 <= 22'h0; end else if (_T_1943) begin btb_bank0_rd_data_way1_out_200 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_201 <= 22'h0; end else if (_T_1946) begin btb_bank0_rd_data_way1_out_201 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_202 <= 22'h0; end else if (_T_1949) begin btb_bank0_rd_data_way1_out_202 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_203 <= 22'h0; end else if (_T_1952) begin btb_bank0_rd_data_way1_out_203 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_204 <= 22'h0; end else if (_T_1955) begin btb_bank0_rd_data_way1_out_204 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_205 <= 22'h0; end else if (_T_1958) begin btb_bank0_rd_data_way1_out_205 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_206 <= 22'h0; end else if (_T_1961) begin btb_bank0_rd_data_way1_out_206 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_207 <= 22'h0; end else if (_T_1964) begin btb_bank0_rd_data_way1_out_207 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_208 <= 22'h0; end else if (_T_1967) begin btb_bank0_rd_data_way1_out_208 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_209 <= 22'h0; end else if (_T_1970) begin btb_bank0_rd_data_way1_out_209 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_210 <= 22'h0; end else if (_T_1973) begin btb_bank0_rd_data_way1_out_210 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_211 <= 22'h0; end else if (_T_1976) begin btb_bank0_rd_data_way1_out_211 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_212 <= 22'h0; end else if (_T_1979) begin btb_bank0_rd_data_way1_out_212 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_213 <= 22'h0; end else if (_T_1982) begin btb_bank0_rd_data_way1_out_213 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_214 <= 22'h0; end else if (_T_1985) begin btb_bank0_rd_data_way1_out_214 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_215 <= 22'h0; end else if (_T_1988) begin btb_bank0_rd_data_way1_out_215 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_216 <= 22'h0; end else if (_T_1991) begin btb_bank0_rd_data_way1_out_216 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_217 <= 22'h0; end else if (_T_1994) begin btb_bank0_rd_data_way1_out_217 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_218 <= 22'h0; end else if (_T_1997) begin btb_bank0_rd_data_way1_out_218 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_219 <= 22'h0; end else if (_T_2000) begin btb_bank0_rd_data_way1_out_219 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_220 <= 22'h0; end else if (_T_2003) begin btb_bank0_rd_data_way1_out_220 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_221 <= 22'h0; end else if (_T_2006) begin btb_bank0_rd_data_way1_out_221 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_222 <= 22'h0; end else if (_T_2009) begin btb_bank0_rd_data_way1_out_222 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_223 <= 22'h0; end else if (_T_2012) begin btb_bank0_rd_data_way1_out_223 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_224 <= 22'h0; end else if (_T_2015) begin btb_bank0_rd_data_way1_out_224 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_225 <= 22'h0; end else if (_T_2018) begin btb_bank0_rd_data_way1_out_225 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_226 <= 22'h0; end else if (_T_2021) begin btb_bank0_rd_data_way1_out_226 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_227 <= 22'h0; end else if (_T_2024) begin btb_bank0_rd_data_way1_out_227 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_228 <= 22'h0; end else if (_T_2027) begin btb_bank0_rd_data_way1_out_228 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_229 <= 22'h0; end else if (_T_2030) begin btb_bank0_rd_data_way1_out_229 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_230 <= 22'h0; end else if (_T_2033) begin btb_bank0_rd_data_way1_out_230 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_231 <= 22'h0; end else if (_T_2036) begin btb_bank0_rd_data_way1_out_231 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_232 <= 22'h0; end else if (_T_2039) begin btb_bank0_rd_data_way1_out_232 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_233 <= 22'h0; end else if (_T_2042) begin btb_bank0_rd_data_way1_out_233 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_234 <= 22'h0; end else if (_T_2045) begin btb_bank0_rd_data_way1_out_234 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_235 <= 22'h0; end else if (_T_2048) begin btb_bank0_rd_data_way1_out_235 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_236 <= 22'h0; end else if (_T_2051) begin btb_bank0_rd_data_way1_out_236 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_237 <= 22'h0; end else if (_T_2054) begin btb_bank0_rd_data_way1_out_237 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_238 <= 22'h0; end else if (_T_2057) begin btb_bank0_rd_data_way1_out_238 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_239 <= 22'h0; end else if (_T_2060) begin btb_bank0_rd_data_way1_out_239 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_240 <= 22'h0; end else if (_T_2063) begin btb_bank0_rd_data_way1_out_240 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_241 <= 22'h0; end else if (_T_2066) begin btb_bank0_rd_data_way1_out_241 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_242 <= 22'h0; end else if (_T_2069) begin btb_bank0_rd_data_way1_out_242 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_243 <= 22'h0; end else if (_T_2072) begin btb_bank0_rd_data_way1_out_243 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_244 <= 22'h0; end else if (_T_2075) begin btb_bank0_rd_data_way1_out_244 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_245 <= 22'h0; end else if (_T_2078) begin btb_bank0_rd_data_way1_out_245 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_246 <= 22'h0; end else if (_T_2081) begin btb_bank0_rd_data_way1_out_246 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_247 <= 22'h0; end else if (_T_2084) begin btb_bank0_rd_data_way1_out_247 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_248 <= 22'h0; end else if (_T_2087) begin btb_bank0_rd_data_way1_out_248 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_249 <= 22'h0; end else if (_T_2090) begin btb_bank0_rd_data_way1_out_249 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_250 <= 22'h0; end else if (_T_2093) begin btb_bank0_rd_data_way1_out_250 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_251 <= 22'h0; end else if (_T_2096) begin btb_bank0_rd_data_way1_out_251 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_252 <= 22'h0; end else if (_T_2099) begin btb_bank0_rd_data_way1_out_252 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_253 <= 22'h0; end else if (_T_2102) begin btb_bank0_rd_data_way1_out_253 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_254 <= 22'h0; end else if (_T_2105) begin btb_bank0_rd_data_way1_out_254 <= btb_wr_data; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_bank0_rd_data_way1_out_255 <= 22'h0; end else if (_T_2108) begin btb_bank0_rd_data_way1_out_255 <= btb_wr_data; end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + fghr <= 8'h0; + end else begin + fghr <= _T_339 | _T_338; + end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_0 <= 2'h0; end else if (_T_20318) begin @@ -15382,6 +19533,8 @@ end // initial bht_bank_rd_data_out_1_0 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_1 <= 2'h0; end else if (_T_20320) begin @@ -15391,6 +19544,8 @@ end // initial bht_bank_rd_data_out_1_1 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_2 <= 2'h0; end else if (_T_20322) begin @@ -15400,6 +19555,8 @@ end // initial bht_bank_rd_data_out_1_2 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_3 <= 2'h0; end else if (_T_20324) begin @@ -15409,6 +19566,8 @@ end // initial bht_bank_rd_data_out_1_3 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_4 <= 2'h0; end else if (_T_20326) begin @@ -15418,6 +19577,8 @@ end // initial bht_bank_rd_data_out_1_4 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_5 <= 2'h0; end else if (_T_20328) begin @@ -15427,6 +19588,8 @@ end // initial bht_bank_rd_data_out_1_5 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_6 <= 2'h0; end else if (_T_20330) begin @@ -15436,6 +19599,8 @@ end // initial bht_bank_rd_data_out_1_6 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_7 <= 2'h0; end else if (_T_20332) begin @@ -15445,6 +19610,8 @@ end // initial bht_bank_rd_data_out_1_7 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_8 <= 2'h0; end else if (_T_20334) begin @@ -15454,6 +19621,8 @@ end // initial bht_bank_rd_data_out_1_8 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_9 <= 2'h0; end else if (_T_20336) begin @@ -15463,6 +19632,8 @@ end // initial bht_bank_rd_data_out_1_9 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_10 <= 2'h0; end else if (_T_20338) begin @@ -15472,6 +19643,8 @@ end // initial bht_bank_rd_data_out_1_10 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_11 <= 2'h0; end else if (_T_20340) begin @@ -15481,6 +19654,8 @@ end // initial bht_bank_rd_data_out_1_11 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_12 <= 2'h0; end else if (_T_20342) begin @@ -15490,6 +19665,8 @@ end // initial bht_bank_rd_data_out_1_12 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_13 <= 2'h0; end else if (_T_20344) begin @@ -15499,6 +19676,8 @@ end // initial bht_bank_rd_data_out_1_13 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_14 <= 2'h0; end else if (_T_20346) begin @@ -15508,6 +19687,8 @@ end // initial bht_bank_rd_data_out_1_14 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_15 <= 2'h0; end else if (_T_20348) begin @@ -15517,6 +19698,8 @@ end // initial bht_bank_rd_data_out_1_15 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_16 <= 2'h0; end else if (_T_20350) begin @@ -15526,6 +19709,8 @@ end // initial bht_bank_rd_data_out_1_16 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_17 <= 2'h0; end else if (_T_20352) begin @@ -15535,6 +19720,8 @@ end // initial bht_bank_rd_data_out_1_17 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_18 <= 2'h0; end else if (_T_20354) begin @@ -15544,6 +19731,8 @@ end // initial bht_bank_rd_data_out_1_18 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_19 <= 2'h0; end else if (_T_20356) begin @@ -15553,6 +19742,8 @@ end // initial bht_bank_rd_data_out_1_19 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_20 <= 2'h0; end else if (_T_20358) begin @@ -15562,6 +19753,8 @@ end // initial bht_bank_rd_data_out_1_20 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_21 <= 2'h0; end else if (_T_20360) begin @@ -15571,6 +19764,8 @@ end // initial bht_bank_rd_data_out_1_21 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_22 <= 2'h0; end else if (_T_20362) begin @@ -15580,6 +19775,8 @@ end // initial bht_bank_rd_data_out_1_22 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_23 <= 2'h0; end else if (_T_20364) begin @@ -15589,6 +19786,8 @@ end // initial bht_bank_rd_data_out_1_23 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_24 <= 2'h0; end else if (_T_20366) begin @@ -15598,6 +19797,8 @@ end // initial bht_bank_rd_data_out_1_24 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_25 <= 2'h0; end else if (_T_20368) begin @@ -15607,6 +19808,8 @@ end // initial bht_bank_rd_data_out_1_25 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_26 <= 2'h0; end else if (_T_20370) begin @@ -15616,6 +19819,8 @@ end // initial bht_bank_rd_data_out_1_26 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_27 <= 2'h0; end else if (_T_20372) begin @@ -15625,6 +19830,8 @@ end // initial bht_bank_rd_data_out_1_27 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_28 <= 2'h0; end else if (_T_20374) begin @@ -15634,6 +19841,8 @@ end // initial bht_bank_rd_data_out_1_28 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_29 <= 2'h0; end else if (_T_20376) begin @@ -15643,6 +19852,8 @@ end // initial bht_bank_rd_data_out_1_29 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_30 <= 2'h0; end else if (_T_20378) begin @@ -15652,6 +19863,8 @@ end // initial bht_bank_rd_data_out_1_30 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_31 <= 2'h0; end else if (_T_20380) begin @@ -15661,6 +19874,8 @@ end // initial bht_bank_rd_data_out_1_31 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_32 <= 2'h0; end else if (_T_20382) begin @@ -15670,6 +19885,8 @@ end // initial bht_bank_rd_data_out_1_32 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_33 <= 2'h0; end else if (_T_20384) begin @@ -15679,6 +19896,8 @@ end // initial bht_bank_rd_data_out_1_33 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_34 <= 2'h0; end else if (_T_20386) begin @@ -15688,6 +19907,8 @@ end // initial bht_bank_rd_data_out_1_34 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_35 <= 2'h0; end else if (_T_20388) begin @@ -15697,6 +19918,8 @@ end // initial bht_bank_rd_data_out_1_35 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_36 <= 2'h0; end else if (_T_20390) begin @@ -15706,6 +19929,8 @@ end // initial bht_bank_rd_data_out_1_36 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_37 <= 2'h0; end else if (_T_20392) begin @@ -15715,6 +19940,8 @@ end // initial bht_bank_rd_data_out_1_37 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_38 <= 2'h0; end else if (_T_20394) begin @@ -15724,6 +19951,8 @@ end // initial bht_bank_rd_data_out_1_38 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_39 <= 2'h0; end else if (_T_20396) begin @@ -15733,6 +19962,8 @@ end // initial bht_bank_rd_data_out_1_39 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_40 <= 2'h0; end else if (_T_20398) begin @@ -15742,6 +19973,8 @@ end // initial bht_bank_rd_data_out_1_40 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_41 <= 2'h0; end else if (_T_20400) begin @@ -15751,6 +19984,8 @@ end // initial bht_bank_rd_data_out_1_41 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_42 <= 2'h0; end else if (_T_20402) begin @@ -15760,6 +19995,8 @@ end // initial bht_bank_rd_data_out_1_42 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_43 <= 2'h0; end else if (_T_20404) begin @@ -15769,6 +20006,8 @@ end // initial bht_bank_rd_data_out_1_43 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_44 <= 2'h0; end else if (_T_20406) begin @@ -15778,6 +20017,8 @@ end // initial bht_bank_rd_data_out_1_44 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_45 <= 2'h0; end else if (_T_20408) begin @@ -15787,6 +20028,8 @@ end // initial bht_bank_rd_data_out_1_45 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_46 <= 2'h0; end else if (_T_20410) begin @@ -15796,6 +20039,8 @@ end // initial bht_bank_rd_data_out_1_46 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_47 <= 2'h0; end else if (_T_20412) begin @@ -15805,6 +20050,8 @@ end // initial bht_bank_rd_data_out_1_47 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_48 <= 2'h0; end else if (_T_20414) begin @@ -15814,6 +20061,8 @@ end // initial bht_bank_rd_data_out_1_48 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_49 <= 2'h0; end else if (_T_20416) begin @@ -15823,6 +20072,8 @@ end // initial bht_bank_rd_data_out_1_49 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_50 <= 2'h0; end else if (_T_20418) begin @@ -15832,6 +20083,8 @@ end // initial bht_bank_rd_data_out_1_50 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_51 <= 2'h0; end else if (_T_20420) begin @@ -15841,6 +20094,8 @@ end // initial bht_bank_rd_data_out_1_51 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_52 <= 2'h0; end else if (_T_20422) begin @@ -15850,6 +20105,8 @@ end // initial bht_bank_rd_data_out_1_52 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_53 <= 2'h0; end else if (_T_20424) begin @@ -15859,6 +20116,8 @@ end // initial bht_bank_rd_data_out_1_53 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_54 <= 2'h0; end else if (_T_20426) begin @@ -15868,6 +20127,8 @@ end // initial bht_bank_rd_data_out_1_54 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_55 <= 2'h0; end else if (_T_20428) begin @@ -15877,6 +20138,8 @@ end // initial bht_bank_rd_data_out_1_55 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_56 <= 2'h0; end else if (_T_20430) begin @@ -15886,6 +20149,8 @@ end // initial bht_bank_rd_data_out_1_56 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_57 <= 2'h0; end else if (_T_20432) begin @@ -15895,6 +20160,8 @@ end // initial bht_bank_rd_data_out_1_57 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_58 <= 2'h0; end else if (_T_20434) begin @@ -15904,6 +20171,8 @@ end // initial bht_bank_rd_data_out_1_58 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_59 <= 2'h0; end else if (_T_20436) begin @@ -15913,6 +20182,8 @@ end // initial bht_bank_rd_data_out_1_59 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_60 <= 2'h0; end else if (_T_20438) begin @@ -15922,6 +20193,8 @@ end // initial bht_bank_rd_data_out_1_60 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_61 <= 2'h0; end else if (_T_20440) begin @@ -15931,6 +20204,8 @@ end // initial bht_bank_rd_data_out_1_61 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_62 <= 2'h0; end else if (_T_20442) begin @@ -15940,6 +20215,8 @@ end // initial bht_bank_rd_data_out_1_62 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_63 <= 2'h0; end else if (_T_20444) begin @@ -15949,6 +20226,8 @@ end // initial bht_bank_rd_data_out_1_63 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_64 <= 2'h0; end else if (_T_20446) begin @@ -15958,6 +20237,8 @@ end // initial bht_bank_rd_data_out_1_64 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_65 <= 2'h0; end else if (_T_20448) begin @@ -15967,6 +20248,8 @@ end // initial bht_bank_rd_data_out_1_65 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_66 <= 2'h0; end else if (_T_20450) begin @@ -15976,6 +20259,8 @@ end // initial bht_bank_rd_data_out_1_66 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_67 <= 2'h0; end else if (_T_20452) begin @@ -15985,6 +20270,8 @@ end // initial bht_bank_rd_data_out_1_67 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_68 <= 2'h0; end else if (_T_20454) begin @@ -15994,6 +20281,8 @@ end // initial bht_bank_rd_data_out_1_68 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_69 <= 2'h0; end else if (_T_20456) begin @@ -16003,6 +20292,8 @@ end // initial bht_bank_rd_data_out_1_69 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_70 <= 2'h0; end else if (_T_20458) begin @@ -16012,6 +20303,8 @@ end // initial bht_bank_rd_data_out_1_70 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_71 <= 2'h0; end else if (_T_20460) begin @@ -16021,6 +20314,8 @@ end // initial bht_bank_rd_data_out_1_71 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_72 <= 2'h0; end else if (_T_20462) begin @@ -16030,6 +20325,8 @@ end // initial bht_bank_rd_data_out_1_72 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_73 <= 2'h0; end else if (_T_20464) begin @@ -16039,6 +20336,8 @@ end // initial bht_bank_rd_data_out_1_73 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_74 <= 2'h0; end else if (_T_20466) begin @@ -16048,6 +20347,8 @@ end // initial bht_bank_rd_data_out_1_74 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_75 <= 2'h0; end else if (_T_20468) begin @@ -16057,6 +20358,8 @@ end // initial bht_bank_rd_data_out_1_75 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_76 <= 2'h0; end else if (_T_20470) begin @@ -16066,6 +20369,8 @@ end // initial bht_bank_rd_data_out_1_76 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_77 <= 2'h0; end else if (_T_20472) begin @@ -16075,6 +20380,8 @@ end // initial bht_bank_rd_data_out_1_77 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_78 <= 2'h0; end else if (_T_20474) begin @@ -16084,6 +20391,8 @@ end // initial bht_bank_rd_data_out_1_78 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_79 <= 2'h0; end else if (_T_20476) begin @@ -16093,6 +20402,8 @@ end // initial bht_bank_rd_data_out_1_79 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_80 <= 2'h0; end else if (_T_20478) begin @@ -16102,6 +20413,8 @@ end // initial bht_bank_rd_data_out_1_80 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_81 <= 2'h0; end else if (_T_20480) begin @@ -16111,6 +20424,8 @@ end // initial bht_bank_rd_data_out_1_81 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_82 <= 2'h0; end else if (_T_20482) begin @@ -16120,6 +20435,8 @@ end // initial bht_bank_rd_data_out_1_82 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_83 <= 2'h0; end else if (_T_20484) begin @@ -16129,6 +20446,8 @@ end // initial bht_bank_rd_data_out_1_83 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_84 <= 2'h0; end else if (_T_20486) begin @@ -16138,6 +20457,8 @@ end // initial bht_bank_rd_data_out_1_84 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_85 <= 2'h0; end else if (_T_20488) begin @@ -16147,6 +20468,8 @@ end // initial bht_bank_rd_data_out_1_85 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_86 <= 2'h0; end else if (_T_20490) begin @@ -16156,6 +20479,8 @@ end // initial bht_bank_rd_data_out_1_86 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_87 <= 2'h0; end else if (_T_20492) begin @@ -16165,6 +20490,8 @@ end // initial bht_bank_rd_data_out_1_87 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_88 <= 2'h0; end else if (_T_20494) begin @@ -16174,6 +20501,8 @@ end // initial bht_bank_rd_data_out_1_88 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_89 <= 2'h0; end else if (_T_20496) begin @@ -16183,6 +20512,8 @@ end // initial bht_bank_rd_data_out_1_89 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_90 <= 2'h0; end else if (_T_20498) begin @@ -16192,6 +20523,8 @@ end // initial bht_bank_rd_data_out_1_90 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_91 <= 2'h0; end else if (_T_20500) begin @@ -16201,6 +20534,8 @@ end // initial bht_bank_rd_data_out_1_91 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_92 <= 2'h0; end else if (_T_20502) begin @@ -16210,6 +20545,8 @@ end // initial bht_bank_rd_data_out_1_92 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_93 <= 2'h0; end else if (_T_20504) begin @@ -16219,6 +20556,8 @@ end // initial bht_bank_rd_data_out_1_93 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_94 <= 2'h0; end else if (_T_20506) begin @@ -16228,6 +20567,8 @@ end // initial bht_bank_rd_data_out_1_94 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_95 <= 2'h0; end else if (_T_20508) begin @@ -16237,6 +20578,8 @@ end // initial bht_bank_rd_data_out_1_95 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_96 <= 2'h0; end else if (_T_20510) begin @@ -16246,6 +20589,8 @@ end // initial bht_bank_rd_data_out_1_96 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_97 <= 2'h0; end else if (_T_20512) begin @@ -16255,6 +20600,8 @@ end // initial bht_bank_rd_data_out_1_97 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_98 <= 2'h0; end else if (_T_20514) begin @@ -16264,6 +20611,8 @@ end // initial bht_bank_rd_data_out_1_98 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_99 <= 2'h0; end else if (_T_20516) begin @@ -16273,6 +20622,8 @@ end // initial bht_bank_rd_data_out_1_99 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_100 <= 2'h0; end else if (_T_20518) begin @@ -16282,6 +20633,8 @@ end // initial bht_bank_rd_data_out_1_100 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_101 <= 2'h0; end else if (_T_20520) begin @@ -16291,6 +20644,8 @@ end // initial bht_bank_rd_data_out_1_101 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_102 <= 2'h0; end else if (_T_20522) begin @@ -16300,6 +20655,8 @@ end // initial bht_bank_rd_data_out_1_102 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_103 <= 2'h0; end else if (_T_20524) begin @@ -16309,6 +20666,8 @@ end // initial bht_bank_rd_data_out_1_103 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_104 <= 2'h0; end else if (_T_20526) begin @@ -16318,6 +20677,8 @@ end // initial bht_bank_rd_data_out_1_104 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_105 <= 2'h0; end else if (_T_20528) begin @@ -16327,6 +20688,8 @@ end // initial bht_bank_rd_data_out_1_105 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_106 <= 2'h0; end else if (_T_20530) begin @@ -16336,6 +20699,8 @@ end // initial bht_bank_rd_data_out_1_106 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_107 <= 2'h0; end else if (_T_20532) begin @@ -16345,6 +20710,8 @@ end // initial bht_bank_rd_data_out_1_107 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_108 <= 2'h0; end else if (_T_20534) begin @@ -16354,6 +20721,8 @@ end // initial bht_bank_rd_data_out_1_108 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_109 <= 2'h0; end else if (_T_20536) begin @@ -16363,6 +20732,8 @@ end // initial bht_bank_rd_data_out_1_109 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_110 <= 2'h0; end else if (_T_20538) begin @@ -16372,6 +20743,8 @@ end // initial bht_bank_rd_data_out_1_110 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_111 <= 2'h0; end else if (_T_20540) begin @@ -16381,6 +20754,8 @@ end // initial bht_bank_rd_data_out_1_111 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_112 <= 2'h0; end else if (_T_20542) begin @@ -16390,6 +20765,8 @@ end // initial bht_bank_rd_data_out_1_112 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_113 <= 2'h0; end else if (_T_20544) begin @@ -16399,6 +20776,8 @@ end // initial bht_bank_rd_data_out_1_113 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_114 <= 2'h0; end else if (_T_20546) begin @@ -16408,6 +20787,8 @@ end // initial bht_bank_rd_data_out_1_114 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_115 <= 2'h0; end else if (_T_20548) begin @@ -16417,6 +20798,8 @@ end // initial bht_bank_rd_data_out_1_115 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_116 <= 2'h0; end else if (_T_20550) begin @@ -16426,6 +20809,8 @@ end // initial bht_bank_rd_data_out_1_116 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_117 <= 2'h0; end else if (_T_20552) begin @@ -16435,6 +20820,8 @@ end // initial bht_bank_rd_data_out_1_117 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_118 <= 2'h0; end else if (_T_20554) begin @@ -16444,6 +20831,8 @@ end // initial bht_bank_rd_data_out_1_118 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_119 <= 2'h0; end else if (_T_20556) begin @@ -16453,6 +20842,8 @@ end // initial bht_bank_rd_data_out_1_119 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_120 <= 2'h0; end else if (_T_20558) begin @@ -16462,6 +20853,8 @@ end // initial bht_bank_rd_data_out_1_120 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_121 <= 2'h0; end else if (_T_20560) begin @@ -16471,6 +20864,8 @@ end // initial bht_bank_rd_data_out_1_121 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_122 <= 2'h0; end else if (_T_20562) begin @@ -16480,6 +20875,8 @@ end // initial bht_bank_rd_data_out_1_122 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_123 <= 2'h0; end else if (_T_20564) begin @@ -16489,6 +20886,8 @@ end // initial bht_bank_rd_data_out_1_123 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_124 <= 2'h0; end else if (_T_20566) begin @@ -16498,6 +20897,8 @@ end // initial bht_bank_rd_data_out_1_124 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_125 <= 2'h0; end else if (_T_20568) begin @@ -16507,6 +20908,8 @@ end // initial bht_bank_rd_data_out_1_125 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_126 <= 2'h0; end else if (_T_20570) begin @@ -16516,6 +20919,8 @@ end // initial bht_bank_rd_data_out_1_126 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_127 <= 2'h0; end else if (_T_20572) begin @@ -16525,6 +20930,8 @@ end // initial bht_bank_rd_data_out_1_127 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_128 <= 2'h0; end else if (_T_20574) begin @@ -16534,6 +20941,8 @@ end // initial bht_bank_rd_data_out_1_128 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_129 <= 2'h0; end else if (_T_20576) begin @@ -16543,6 +20952,8 @@ end // initial bht_bank_rd_data_out_1_129 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_130 <= 2'h0; end else if (_T_20578) begin @@ -16552,6 +20963,8 @@ end // initial bht_bank_rd_data_out_1_130 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_131 <= 2'h0; end else if (_T_20580) begin @@ -16561,6 +20974,8 @@ end // initial bht_bank_rd_data_out_1_131 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_132 <= 2'h0; end else if (_T_20582) begin @@ -16570,6 +20985,8 @@ end // initial bht_bank_rd_data_out_1_132 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_133 <= 2'h0; end else if (_T_20584) begin @@ -16579,6 +20996,8 @@ end // initial bht_bank_rd_data_out_1_133 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_134 <= 2'h0; end else if (_T_20586) begin @@ -16588,6 +21007,8 @@ end // initial bht_bank_rd_data_out_1_134 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_135 <= 2'h0; end else if (_T_20588) begin @@ -16597,6 +21018,8 @@ end // initial bht_bank_rd_data_out_1_135 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_136 <= 2'h0; end else if (_T_20590) begin @@ -16606,6 +21029,8 @@ end // initial bht_bank_rd_data_out_1_136 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_137 <= 2'h0; end else if (_T_20592) begin @@ -16615,6 +21040,8 @@ end // initial bht_bank_rd_data_out_1_137 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_138 <= 2'h0; end else if (_T_20594) begin @@ -16624,6 +21051,8 @@ end // initial bht_bank_rd_data_out_1_138 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_139 <= 2'h0; end else if (_T_20596) begin @@ -16633,6 +21062,8 @@ end // initial bht_bank_rd_data_out_1_139 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_140 <= 2'h0; end else if (_T_20598) begin @@ -16642,6 +21073,8 @@ end // initial bht_bank_rd_data_out_1_140 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_141 <= 2'h0; end else if (_T_20600) begin @@ -16651,6 +21084,8 @@ end // initial bht_bank_rd_data_out_1_141 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_142 <= 2'h0; end else if (_T_20602) begin @@ -16660,6 +21095,8 @@ end // initial bht_bank_rd_data_out_1_142 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_143 <= 2'h0; end else if (_T_20604) begin @@ -16669,6 +21106,8 @@ end // initial bht_bank_rd_data_out_1_143 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_144 <= 2'h0; end else if (_T_20606) begin @@ -16678,6 +21117,8 @@ end // initial bht_bank_rd_data_out_1_144 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_145 <= 2'h0; end else if (_T_20608) begin @@ -16687,6 +21128,8 @@ end // initial bht_bank_rd_data_out_1_145 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_146 <= 2'h0; end else if (_T_20610) begin @@ -16696,6 +21139,8 @@ end // initial bht_bank_rd_data_out_1_146 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_147 <= 2'h0; end else if (_T_20612) begin @@ -16705,6 +21150,8 @@ end // initial bht_bank_rd_data_out_1_147 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_148 <= 2'h0; end else if (_T_20614) begin @@ -16714,6 +21161,8 @@ end // initial bht_bank_rd_data_out_1_148 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_149 <= 2'h0; end else if (_T_20616) begin @@ -16723,6 +21172,8 @@ end // initial bht_bank_rd_data_out_1_149 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_150 <= 2'h0; end else if (_T_20618) begin @@ -16732,6 +21183,8 @@ end // initial bht_bank_rd_data_out_1_150 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_151 <= 2'h0; end else if (_T_20620) begin @@ -16741,6 +21194,8 @@ end // initial bht_bank_rd_data_out_1_151 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_152 <= 2'h0; end else if (_T_20622) begin @@ -16750,6 +21205,8 @@ end // initial bht_bank_rd_data_out_1_152 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_153 <= 2'h0; end else if (_T_20624) begin @@ -16759,6 +21216,8 @@ end // initial bht_bank_rd_data_out_1_153 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_154 <= 2'h0; end else if (_T_20626) begin @@ -16768,6 +21227,8 @@ end // initial bht_bank_rd_data_out_1_154 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_155 <= 2'h0; end else if (_T_20628) begin @@ -16777,6 +21238,8 @@ end // initial bht_bank_rd_data_out_1_155 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_156 <= 2'h0; end else if (_T_20630) begin @@ -16786,6 +21249,8 @@ end // initial bht_bank_rd_data_out_1_156 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_157 <= 2'h0; end else if (_T_20632) begin @@ -16795,6 +21260,8 @@ end // initial bht_bank_rd_data_out_1_157 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_158 <= 2'h0; end else if (_T_20634) begin @@ -16804,6 +21271,8 @@ end // initial bht_bank_rd_data_out_1_158 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_159 <= 2'h0; end else if (_T_20636) begin @@ -16813,6 +21282,8 @@ end // initial bht_bank_rd_data_out_1_159 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_160 <= 2'h0; end else if (_T_20638) begin @@ -16822,6 +21293,8 @@ end // initial bht_bank_rd_data_out_1_160 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_161 <= 2'h0; end else if (_T_20640) begin @@ -16831,6 +21304,8 @@ end // initial bht_bank_rd_data_out_1_161 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_162 <= 2'h0; end else if (_T_20642) begin @@ -16840,6 +21315,8 @@ end // initial bht_bank_rd_data_out_1_162 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_163 <= 2'h0; end else if (_T_20644) begin @@ -16849,6 +21326,8 @@ end // initial bht_bank_rd_data_out_1_163 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_164 <= 2'h0; end else if (_T_20646) begin @@ -16858,6 +21337,8 @@ end // initial bht_bank_rd_data_out_1_164 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_165 <= 2'h0; end else if (_T_20648) begin @@ -16867,6 +21348,8 @@ end // initial bht_bank_rd_data_out_1_165 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_166 <= 2'h0; end else if (_T_20650) begin @@ -16876,6 +21359,8 @@ end // initial bht_bank_rd_data_out_1_166 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_167 <= 2'h0; end else if (_T_20652) begin @@ -16885,6 +21370,8 @@ end // initial bht_bank_rd_data_out_1_167 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_168 <= 2'h0; end else if (_T_20654) begin @@ -16894,6 +21381,8 @@ end // initial bht_bank_rd_data_out_1_168 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_169 <= 2'h0; end else if (_T_20656) begin @@ -16903,6 +21392,8 @@ end // initial bht_bank_rd_data_out_1_169 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_170 <= 2'h0; end else if (_T_20658) begin @@ -16912,6 +21403,8 @@ end // initial bht_bank_rd_data_out_1_170 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_171 <= 2'h0; end else if (_T_20660) begin @@ -16921,6 +21414,8 @@ end // initial bht_bank_rd_data_out_1_171 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_172 <= 2'h0; end else if (_T_20662) begin @@ -16930,6 +21425,8 @@ end // initial bht_bank_rd_data_out_1_172 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_173 <= 2'h0; end else if (_T_20664) begin @@ -16939,6 +21436,8 @@ end // initial bht_bank_rd_data_out_1_173 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_174 <= 2'h0; end else if (_T_20666) begin @@ -16948,6 +21447,8 @@ end // initial bht_bank_rd_data_out_1_174 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_175 <= 2'h0; end else if (_T_20668) begin @@ -16957,6 +21458,8 @@ end // initial bht_bank_rd_data_out_1_175 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_176 <= 2'h0; end else if (_T_20670) begin @@ -16966,6 +21469,8 @@ end // initial bht_bank_rd_data_out_1_176 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_177 <= 2'h0; end else if (_T_20672) begin @@ -16975,6 +21480,8 @@ end // initial bht_bank_rd_data_out_1_177 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_178 <= 2'h0; end else if (_T_20674) begin @@ -16984,6 +21491,8 @@ end // initial bht_bank_rd_data_out_1_178 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_179 <= 2'h0; end else if (_T_20676) begin @@ -16993,6 +21502,8 @@ end // initial bht_bank_rd_data_out_1_179 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_180 <= 2'h0; end else if (_T_20678) begin @@ -17002,6 +21513,8 @@ end // initial bht_bank_rd_data_out_1_180 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_181 <= 2'h0; end else if (_T_20680) begin @@ -17011,6 +21524,8 @@ end // initial bht_bank_rd_data_out_1_181 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_182 <= 2'h0; end else if (_T_20682) begin @@ -17020,6 +21535,8 @@ end // initial bht_bank_rd_data_out_1_182 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_183 <= 2'h0; end else if (_T_20684) begin @@ -17029,6 +21546,8 @@ end // initial bht_bank_rd_data_out_1_183 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_184 <= 2'h0; end else if (_T_20686) begin @@ -17038,6 +21557,8 @@ end // initial bht_bank_rd_data_out_1_184 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_185 <= 2'h0; end else if (_T_20688) begin @@ -17047,6 +21568,8 @@ end // initial bht_bank_rd_data_out_1_185 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_186 <= 2'h0; end else if (_T_20690) begin @@ -17056,6 +21579,8 @@ end // initial bht_bank_rd_data_out_1_186 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_187 <= 2'h0; end else if (_T_20692) begin @@ -17065,6 +21590,8 @@ end // initial bht_bank_rd_data_out_1_187 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_188 <= 2'h0; end else if (_T_20694) begin @@ -17074,6 +21601,8 @@ end // initial bht_bank_rd_data_out_1_188 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_189 <= 2'h0; end else if (_T_20696) begin @@ -17083,6 +21612,8 @@ end // initial bht_bank_rd_data_out_1_189 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_190 <= 2'h0; end else if (_T_20698) begin @@ -17092,6 +21623,8 @@ end // initial bht_bank_rd_data_out_1_190 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_191 <= 2'h0; end else if (_T_20700) begin @@ -17101,6 +21634,8 @@ end // initial bht_bank_rd_data_out_1_191 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_192 <= 2'h0; end else if (_T_20702) begin @@ -17110,6 +21645,8 @@ end // initial bht_bank_rd_data_out_1_192 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_193 <= 2'h0; end else if (_T_20704) begin @@ -17119,6 +21656,8 @@ end // initial bht_bank_rd_data_out_1_193 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_194 <= 2'h0; end else if (_T_20706) begin @@ -17128,6 +21667,8 @@ end // initial bht_bank_rd_data_out_1_194 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_195 <= 2'h0; end else if (_T_20708) begin @@ -17137,6 +21678,8 @@ end // initial bht_bank_rd_data_out_1_195 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_196 <= 2'h0; end else if (_T_20710) begin @@ -17146,6 +21689,8 @@ end // initial bht_bank_rd_data_out_1_196 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_197 <= 2'h0; end else if (_T_20712) begin @@ -17155,6 +21700,8 @@ end // initial bht_bank_rd_data_out_1_197 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_198 <= 2'h0; end else if (_T_20714) begin @@ -17164,6 +21711,8 @@ end // initial bht_bank_rd_data_out_1_198 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_199 <= 2'h0; end else if (_T_20716) begin @@ -17173,6 +21722,8 @@ end // initial bht_bank_rd_data_out_1_199 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_200 <= 2'h0; end else if (_T_20718) begin @@ -17182,6 +21733,8 @@ end // initial bht_bank_rd_data_out_1_200 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_201 <= 2'h0; end else if (_T_20720) begin @@ -17191,6 +21744,8 @@ end // initial bht_bank_rd_data_out_1_201 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_202 <= 2'h0; end else if (_T_20722) begin @@ -17200,6 +21755,8 @@ end // initial bht_bank_rd_data_out_1_202 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_203 <= 2'h0; end else if (_T_20724) begin @@ -17209,6 +21766,8 @@ end // initial bht_bank_rd_data_out_1_203 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_204 <= 2'h0; end else if (_T_20726) begin @@ -17218,6 +21777,8 @@ end // initial bht_bank_rd_data_out_1_204 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_205 <= 2'h0; end else if (_T_20728) begin @@ -17227,6 +21788,8 @@ end // initial bht_bank_rd_data_out_1_205 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_206 <= 2'h0; end else if (_T_20730) begin @@ -17236,6 +21799,8 @@ end // initial bht_bank_rd_data_out_1_206 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_207 <= 2'h0; end else if (_T_20732) begin @@ -17245,6 +21810,8 @@ end // initial bht_bank_rd_data_out_1_207 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_208 <= 2'h0; end else if (_T_20734) begin @@ -17254,6 +21821,8 @@ end // initial bht_bank_rd_data_out_1_208 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_209 <= 2'h0; end else if (_T_20736) begin @@ -17263,6 +21832,8 @@ end // initial bht_bank_rd_data_out_1_209 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_210 <= 2'h0; end else if (_T_20738) begin @@ -17272,6 +21843,8 @@ end // initial bht_bank_rd_data_out_1_210 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_211 <= 2'h0; end else if (_T_20740) begin @@ -17281,6 +21854,8 @@ end // initial bht_bank_rd_data_out_1_211 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_212 <= 2'h0; end else if (_T_20742) begin @@ -17290,6 +21865,8 @@ end // initial bht_bank_rd_data_out_1_212 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_213 <= 2'h0; end else if (_T_20744) begin @@ -17299,6 +21876,8 @@ end // initial bht_bank_rd_data_out_1_213 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_214 <= 2'h0; end else if (_T_20746) begin @@ -17308,6 +21887,8 @@ end // initial bht_bank_rd_data_out_1_214 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_215 <= 2'h0; end else if (_T_20748) begin @@ -17317,6 +21898,8 @@ end // initial bht_bank_rd_data_out_1_215 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_216 <= 2'h0; end else if (_T_20750) begin @@ -17326,6 +21909,8 @@ end // initial bht_bank_rd_data_out_1_216 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_217 <= 2'h0; end else if (_T_20752) begin @@ -17335,6 +21920,8 @@ end // initial bht_bank_rd_data_out_1_217 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_218 <= 2'h0; end else if (_T_20754) begin @@ -17344,6 +21931,8 @@ end // initial bht_bank_rd_data_out_1_218 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_219 <= 2'h0; end else if (_T_20756) begin @@ -17353,6 +21942,8 @@ end // initial bht_bank_rd_data_out_1_219 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_220 <= 2'h0; end else if (_T_20758) begin @@ -17362,6 +21953,8 @@ end // initial bht_bank_rd_data_out_1_220 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_221 <= 2'h0; end else if (_T_20760) begin @@ -17371,6 +21964,8 @@ end // initial bht_bank_rd_data_out_1_221 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_222 <= 2'h0; end else if (_T_20762) begin @@ -17380,6 +21975,8 @@ end // initial bht_bank_rd_data_out_1_222 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_223 <= 2'h0; end else if (_T_20764) begin @@ -17389,6 +21986,8 @@ end // initial bht_bank_rd_data_out_1_223 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_224 <= 2'h0; end else if (_T_20766) begin @@ -17398,6 +21997,8 @@ end // initial bht_bank_rd_data_out_1_224 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_225 <= 2'h0; end else if (_T_20768) begin @@ -17407,6 +22008,8 @@ end // initial bht_bank_rd_data_out_1_225 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_226 <= 2'h0; end else if (_T_20770) begin @@ -17416,6 +22019,8 @@ end // initial bht_bank_rd_data_out_1_226 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_227 <= 2'h0; end else if (_T_20772) begin @@ -17425,6 +22030,8 @@ end // initial bht_bank_rd_data_out_1_227 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_228 <= 2'h0; end else if (_T_20774) begin @@ -17434,6 +22041,8 @@ end // initial bht_bank_rd_data_out_1_228 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_229 <= 2'h0; end else if (_T_20776) begin @@ -17443,6 +22052,8 @@ end // initial bht_bank_rd_data_out_1_229 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_230 <= 2'h0; end else if (_T_20778) begin @@ -17452,6 +22063,8 @@ end // initial bht_bank_rd_data_out_1_230 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_231 <= 2'h0; end else if (_T_20780) begin @@ -17461,6 +22074,8 @@ end // initial bht_bank_rd_data_out_1_231 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_232 <= 2'h0; end else if (_T_20782) begin @@ -17470,6 +22085,8 @@ end // initial bht_bank_rd_data_out_1_232 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_233 <= 2'h0; end else if (_T_20784) begin @@ -17479,6 +22096,8 @@ end // initial bht_bank_rd_data_out_1_233 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_234 <= 2'h0; end else if (_T_20786) begin @@ -17488,6 +22107,8 @@ end // initial bht_bank_rd_data_out_1_234 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_235 <= 2'h0; end else if (_T_20788) begin @@ -17497,6 +22118,8 @@ end // initial bht_bank_rd_data_out_1_235 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_236 <= 2'h0; end else if (_T_20790) begin @@ -17506,6 +22129,8 @@ end // initial bht_bank_rd_data_out_1_236 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_237 <= 2'h0; end else if (_T_20792) begin @@ -17515,6 +22140,8 @@ end // initial bht_bank_rd_data_out_1_237 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_238 <= 2'h0; end else if (_T_20794) begin @@ -17524,6 +22151,8 @@ end // initial bht_bank_rd_data_out_1_238 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_239 <= 2'h0; end else if (_T_20796) begin @@ -17533,6 +22162,8 @@ end // initial bht_bank_rd_data_out_1_239 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_240 <= 2'h0; end else if (_T_20798) begin @@ -17542,6 +22173,8 @@ end // initial bht_bank_rd_data_out_1_240 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_241 <= 2'h0; end else if (_T_20800) begin @@ -17551,6 +22184,8 @@ end // initial bht_bank_rd_data_out_1_241 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_242 <= 2'h0; end else if (_T_20802) begin @@ -17560,6 +22195,8 @@ end // initial bht_bank_rd_data_out_1_242 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_243 <= 2'h0; end else if (_T_20804) begin @@ -17569,6 +22206,8 @@ end // initial bht_bank_rd_data_out_1_243 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_244 <= 2'h0; end else if (_T_20806) begin @@ -17578,6 +22217,8 @@ end // initial bht_bank_rd_data_out_1_244 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_245 <= 2'h0; end else if (_T_20808) begin @@ -17587,6 +22228,8 @@ end // initial bht_bank_rd_data_out_1_245 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_246 <= 2'h0; end else if (_T_20810) begin @@ -17596,6 +22239,8 @@ end // initial bht_bank_rd_data_out_1_246 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_247 <= 2'h0; end else if (_T_20812) begin @@ -17605,6 +22250,8 @@ end // initial bht_bank_rd_data_out_1_247 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_248 <= 2'h0; end else if (_T_20814) begin @@ -17614,6 +22261,8 @@ end // initial bht_bank_rd_data_out_1_248 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_249 <= 2'h0; end else if (_T_20816) begin @@ -17623,6 +22272,8 @@ end // initial bht_bank_rd_data_out_1_249 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_250 <= 2'h0; end else if (_T_20818) begin @@ -17632,6 +22283,8 @@ end // initial bht_bank_rd_data_out_1_250 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_251 <= 2'h0; end else if (_T_20820) begin @@ -17641,6 +22294,8 @@ end // initial bht_bank_rd_data_out_1_251 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_252 <= 2'h0; end else if (_T_20822) begin @@ -17650,6 +22305,8 @@ end // initial bht_bank_rd_data_out_1_252 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_253 <= 2'h0; end else if (_T_20824) begin @@ -17659,6 +22316,8 @@ end // initial bht_bank_rd_data_out_1_253 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_254 <= 2'h0; end else if (_T_20826) begin @@ -17668,6 +22327,8 @@ end // initial bht_bank_rd_data_out_1_254 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_1_255 <= 2'h0; end else if (_T_20828) begin @@ -17677,6 +22338,8 @@ end // initial bht_bank_rd_data_out_1_255 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_0 <= 2'h0; end else if (_T_19806) begin @@ -17686,6 +22349,8 @@ end // initial bht_bank_rd_data_out_0_0 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_1 <= 2'h0; end else if (_T_19808) begin @@ -17695,6 +22360,8 @@ end // initial bht_bank_rd_data_out_0_1 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_2 <= 2'h0; end else if (_T_19810) begin @@ -17704,6 +22371,8 @@ end // initial bht_bank_rd_data_out_0_2 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_3 <= 2'h0; end else if (_T_19812) begin @@ -17713,6 +22382,8 @@ end // initial bht_bank_rd_data_out_0_3 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_4 <= 2'h0; end else if (_T_19814) begin @@ -17722,6 +22393,8 @@ end // initial bht_bank_rd_data_out_0_4 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_5 <= 2'h0; end else if (_T_19816) begin @@ -17731,6 +22404,8 @@ end // initial bht_bank_rd_data_out_0_5 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_6 <= 2'h0; end else if (_T_19818) begin @@ -17740,6 +22415,8 @@ end // initial bht_bank_rd_data_out_0_6 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_7 <= 2'h0; end else if (_T_19820) begin @@ -17749,6 +22426,8 @@ end // initial bht_bank_rd_data_out_0_7 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_8 <= 2'h0; end else if (_T_19822) begin @@ -17758,6 +22437,8 @@ end // initial bht_bank_rd_data_out_0_8 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_9 <= 2'h0; end else if (_T_19824) begin @@ -17767,6 +22448,8 @@ end // initial bht_bank_rd_data_out_0_9 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_10 <= 2'h0; end else if (_T_19826) begin @@ -17776,6 +22459,8 @@ end // initial bht_bank_rd_data_out_0_10 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_11 <= 2'h0; end else if (_T_19828) begin @@ -17785,6 +22470,8 @@ end // initial bht_bank_rd_data_out_0_11 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_12 <= 2'h0; end else if (_T_19830) begin @@ -17794,6 +22481,8 @@ end // initial bht_bank_rd_data_out_0_12 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_13 <= 2'h0; end else if (_T_19832) begin @@ -17803,6 +22492,8 @@ end // initial bht_bank_rd_data_out_0_13 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_14 <= 2'h0; end else if (_T_19834) begin @@ -17812,6 +22503,8 @@ end // initial bht_bank_rd_data_out_0_14 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_15 <= 2'h0; end else if (_T_19836) begin @@ -17821,6 +22514,8 @@ end // initial bht_bank_rd_data_out_0_15 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_16 <= 2'h0; end else if (_T_19838) begin @@ -17830,6 +22525,8 @@ end // initial bht_bank_rd_data_out_0_16 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_17 <= 2'h0; end else if (_T_19840) begin @@ -17839,6 +22536,8 @@ end // initial bht_bank_rd_data_out_0_17 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_18 <= 2'h0; end else if (_T_19842) begin @@ -17848,6 +22547,8 @@ end // initial bht_bank_rd_data_out_0_18 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_19 <= 2'h0; end else if (_T_19844) begin @@ -17857,6 +22558,8 @@ end // initial bht_bank_rd_data_out_0_19 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_20 <= 2'h0; end else if (_T_19846) begin @@ -17866,6 +22569,8 @@ end // initial bht_bank_rd_data_out_0_20 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_21 <= 2'h0; end else if (_T_19848) begin @@ -17875,6 +22580,8 @@ end // initial bht_bank_rd_data_out_0_21 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_22 <= 2'h0; end else if (_T_19850) begin @@ -17884,6 +22591,8 @@ end // initial bht_bank_rd_data_out_0_22 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_23 <= 2'h0; end else if (_T_19852) begin @@ -17893,6 +22602,8 @@ end // initial bht_bank_rd_data_out_0_23 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_24 <= 2'h0; end else if (_T_19854) begin @@ -17902,6 +22613,8 @@ end // initial bht_bank_rd_data_out_0_24 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_25 <= 2'h0; end else if (_T_19856) begin @@ -17911,6 +22624,8 @@ end // initial bht_bank_rd_data_out_0_25 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_26 <= 2'h0; end else if (_T_19858) begin @@ -17920,6 +22635,8 @@ end // initial bht_bank_rd_data_out_0_26 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_27 <= 2'h0; end else if (_T_19860) begin @@ -17929,6 +22646,8 @@ end // initial bht_bank_rd_data_out_0_27 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_28 <= 2'h0; end else if (_T_19862) begin @@ -17938,6 +22657,8 @@ end // initial bht_bank_rd_data_out_0_28 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_29 <= 2'h0; end else if (_T_19864) begin @@ -17947,6 +22668,8 @@ end // initial bht_bank_rd_data_out_0_29 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_30 <= 2'h0; end else if (_T_19866) begin @@ -17956,6 +22679,8 @@ end // initial bht_bank_rd_data_out_0_30 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_31 <= 2'h0; end else if (_T_19868) begin @@ -17965,6 +22690,8 @@ end // initial bht_bank_rd_data_out_0_31 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_32 <= 2'h0; end else if (_T_19870) begin @@ -17974,6 +22701,8 @@ end // initial bht_bank_rd_data_out_0_32 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_33 <= 2'h0; end else if (_T_19872) begin @@ -17983,6 +22712,8 @@ end // initial bht_bank_rd_data_out_0_33 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_34 <= 2'h0; end else if (_T_19874) begin @@ -17992,6 +22723,8 @@ end // initial bht_bank_rd_data_out_0_34 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_35 <= 2'h0; end else if (_T_19876) begin @@ -18001,6 +22734,8 @@ end // initial bht_bank_rd_data_out_0_35 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_36 <= 2'h0; end else if (_T_19878) begin @@ -18010,6 +22745,8 @@ end // initial bht_bank_rd_data_out_0_36 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_37 <= 2'h0; end else if (_T_19880) begin @@ -18019,6 +22756,8 @@ end // initial bht_bank_rd_data_out_0_37 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_38 <= 2'h0; end else if (_T_19882) begin @@ -18028,6 +22767,8 @@ end // initial bht_bank_rd_data_out_0_38 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_39 <= 2'h0; end else if (_T_19884) begin @@ -18037,6 +22778,8 @@ end // initial bht_bank_rd_data_out_0_39 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_40 <= 2'h0; end else if (_T_19886) begin @@ -18046,6 +22789,8 @@ end // initial bht_bank_rd_data_out_0_40 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_41 <= 2'h0; end else if (_T_19888) begin @@ -18055,6 +22800,8 @@ end // initial bht_bank_rd_data_out_0_41 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_42 <= 2'h0; end else if (_T_19890) begin @@ -18064,6 +22811,8 @@ end // initial bht_bank_rd_data_out_0_42 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_43 <= 2'h0; end else if (_T_19892) begin @@ -18073,6 +22822,8 @@ end // initial bht_bank_rd_data_out_0_43 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_44 <= 2'h0; end else if (_T_19894) begin @@ -18082,6 +22833,8 @@ end // initial bht_bank_rd_data_out_0_44 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_45 <= 2'h0; end else if (_T_19896) begin @@ -18091,6 +22844,8 @@ end // initial bht_bank_rd_data_out_0_45 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_46 <= 2'h0; end else if (_T_19898) begin @@ -18100,6 +22855,8 @@ end // initial bht_bank_rd_data_out_0_46 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_47 <= 2'h0; end else if (_T_19900) begin @@ -18109,6 +22866,8 @@ end // initial bht_bank_rd_data_out_0_47 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_48 <= 2'h0; end else if (_T_19902) begin @@ -18118,6 +22877,8 @@ end // initial bht_bank_rd_data_out_0_48 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_49 <= 2'h0; end else if (_T_19904) begin @@ -18127,6 +22888,8 @@ end // initial bht_bank_rd_data_out_0_49 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_50 <= 2'h0; end else if (_T_19906) begin @@ -18136,6 +22899,8 @@ end // initial bht_bank_rd_data_out_0_50 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_51 <= 2'h0; end else if (_T_19908) begin @@ -18145,6 +22910,8 @@ end // initial bht_bank_rd_data_out_0_51 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_52 <= 2'h0; end else if (_T_19910) begin @@ -18154,6 +22921,8 @@ end // initial bht_bank_rd_data_out_0_52 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_53 <= 2'h0; end else if (_T_19912) begin @@ -18163,6 +22932,8 @@ end // initial bht_bank_rd_data_out_0_53 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_54 <= 2'h0; end else if (_T_19914) begin @@ -18172,6 +22943,8 @@ end // initial bht_bank_rd_data_out_0_54 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_55 <= 2'h0; end else if (_T_19916) begin @@ -18181,6 +22954,8 @@ end // initial bht_bank_rd_data_out_0_55 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_56 <= 2'h0; end else if (_T_19918) begin @@ -18190,6 +22965,8 @@ end // initial bht_bank_rd_data_out_0_56 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_57 <= 2'h0; end else if (_T_19920) begin @@ -18199,6 +22976,8 @@ end // initial bht_bank_rd_data_out_0_57 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_58 <= 2'h0; end else if (_T_19922) begin @@ -18208,6 +22987,8 @@ end // initial bht_bank_rd_data_out_0_58 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_59 <= 2'h0; end else if (_T_19924) begin @@ -18217,6 +22998,8 @@ end // initial bht_bank_rd_data_out_0_59 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_60 <= 2'h0; end else if (_T_19926) begin @@ -18226,6 +23009,8 @@ end // initial bht_bank_rd_data_out_0_60 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_61 <= 2'h0; end else if (_T_19928) begin @@ -18235,6 +23020,8 @@ end // initial bht_bank_rd_data_out_0_61 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_62 <= 2'h0; end else if (_T_19930) begin @@ -18244,6 +23031,8 @@ end // initial bht_bank_rd_data_out_0_62 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_63 <= 2'h0; end else if (_T_19932) begin @@ -18253,6 +23042,8 @@ end // initial bht_bank_rd_data_out_0_63 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_64 <= 2'h0; end else if (_T_19934) begin @@ -18262,6 +23053,8 @@ end // initial bht_bank_rd_data_out_0_64 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_65 <= 2'h0; end else if (_T_19936) begin @@ -18271,6 +23064,8 @@ end // initial bht_bank_rd_data_out_0_65 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_66 <= 2'h0; end else if (_T_19938) begin @@ -18280,6 +23075,8 @@ end // initial bht_bank_rd_data_out_0_66 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_67 <= 2'h0; end else if (_T_19940) begin @@ -18289,6 +23086,8 @@ end // initial bht_bank_rd_data_out_0_67 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_68 <= 2'h0; end else if (_T_19942) begin @@ -18298,6 +23097,8 @@ end // initial bht_bank_rd_data_out_0_68 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_69 <= 2'h0; end else if (_T_19944) begin @@ -18307,6 +23108,8 @@ end // initial bht_bank_rd_data_out_0_69 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_70 <= 2'h0; end else if (_T_19946) begin @@ -18316,6 +23119,8 @@ end // initial bht_bank_rd_data_out_0_70 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_71 <= 2'h0; end else if (_T_19948) begin @@ -18325,6 +23130,8 @@ end // initial bht_bank_rd_data_out_0_71 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_72 <= 2'h0; end else if (_T_19950) begin @@ -18334,6 +23141,8 @@ end // initial bht_bank_rd_data_out_0_72 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_73 <= 2'h0; end else if (_T_19952) begin @@ -18343,6 +23152,8 @@ end // initial bht_bank_rd_data_out_0_73 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_74 <= 2'h0; end else if (_T_19954) begin @@ -18352,6 +23163,8 @@ end // initial bht_bank_rd_data_out_0_74 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_75 <= 2'h0; end else if (_T_19956) begin @@ -18361,6 +23174,8 @@ end // initial bht_bank_rd_data_out_0_75 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_76 <= 2'h0; end else if (_T_19958) begin @@ -18370,6 +23185,8 @@ end // initial bht_bank_rd_data_out_0_76 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_77 <= 2'h0; end else if (_T_19960) begin @@ -18379,6 +23196,8 @@ end // initial bht_bank_rd_data_out_0_77 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_78 <= 2'h0; end else if (_T_19962) begin @@ -18388,6 +23207,8 @@ end // initial bht_bank_rd_data_out_0_78 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_79 <= 2'h0; end else if (_T_19964) begin @@ -18397,6 +23218,8 @@ end // initial bht_bank_rd_data_out_0_79 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_80 <= 2'h0; end else if (_T_19966) begin @@ -18406,6 +23229,8 @@ end // initial bht_bank_rd_data_out_0_80 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_81 <= 2'h0; end else if (_T_19968) begin @@ -18415,6 +23240,8 @@ end // initial bht_bank_rd_data_out_0_81 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_82 <= 2'h0; end else if (_T_19970) begin @@ -18424,6 +23251,8 @@ end // initial bht_bank_rd_data_out_0_82 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_83 <= 2'h0; end else if (_T_19972) begin @@ -18433,6 +23262,8 @@ end // initial bht_bank_rd_data_out_0_83 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_84 <= 2'h0; end else if (_T_19974) begin @@ -18442,6 +23273,8 @@ end // initial bht_bank_rd_data_out_0_84 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_85 <= 2'h0; end else if (_T_19976) begin @@ -18451,6 +23284,8 @@ end // initial bht_bank_rd_data_out_0_85 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_86 <= 2'h0; end else if (_T_19978) begin @@ -18460,6 +23295,8 @@ end // initial bht_bank_rd_data_out_0_86 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_87 <= 2'h0; end else if (_T_19980) begin @@ -18469,6 +23306,8 @@ end // initial bht_bank_rd_data_out_0_87 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_88 <= 2'h0; end else if (_T_19982) begin @@ -18478,6 +23317,8 @@ end // initial bht_bank_rd_data_out_0_88 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_89 <= 2'h0; end else if (_T_19984) begin @@ -18487,6 +23328,8 @@ end // initial bht_bank_rd_data_out_0_89 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_90 <= 2'h0; end else if (_T_19986) begin @@ -18496,6 +23339,8 @@ end // initial bht_bank_rd_data_out_0_90 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_91 <= 2'h0; end else if (_T_19988) begin @@ -18505,6 +23350,8 @@ end // initial bht_bank_rd_data_out_0_91 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_92 <= 2'h0; end else if (_T_19990) begin @@ -18514,6 +23361,8 @@ end // initial bht_bank_rd_data_out_0_92 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_93 <= 2'h0; end else if (_T_19992) begin @@ -18523,6 +23372,8 @@ end // initial bht_bank_rd_data_out_0_93 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_94 <= 2'h0; end else if (_T_19994) begin @@ -18532,6 +23383,8 @@ end // initial bht_bank_rd_data_out_0_94 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_95 <= 2'h0; end else if (_T_19996) begin @@ -18541,6 +23394,8 @@ end // initial bht_bank_rd_data_out_0_95 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_96 <= 2'h0; end else if (_T_19998) begin @@ -18550,6 +23405,8 @@ end // initial bht_bank_rd_data_out_0_96 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_97 <= 2'h0; end else if (_T_20000) begin @@ -18559,6 +23416,8 @@ end // initial bht_bank_rd_data_out_0_97 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_98 <= 2'h0; end else if (_T_20002) begin @@ -18568,6 +23427,8 @@ end // initial bht_bank_rd_data_out_0_98 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_99 <= 2'h0; end else if (_T_20004) begin @@ -18577,6 +23438,8 @@ end // initial bht_bank_rd_data_out_0_99 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_100 <= 2'h0; end else if (_T_20006) begin @@ -18586,6 +23449,8 @@ end // initial bht_bank_rd_data_out_0_100 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_101 <= 2'h0; end else if (_T_20008) begin @@ -18595,6 +23460,8 @@ end // initial bht_bank_rd_data_out_0_101 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_102 <= 2'h0; end else if (_T_20010) begin @@ -18604,6 +23471,8 @@ end // initial bht_bank_rd_data_out_0_102 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_103 <= 2'h0; end else if (_T_20012) begin @@ -18613,6 +23482,8 @@ end // initial bht_bank_rd_data_out_0_103 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_104 <= 2'h0; end else if (_T_20014) begin @@ -18622,6 +23493,8 @@ end // initial bht_bank_rd_data_out_0_104 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_105 <= 2'h0; end else if (_T_20016) begin @@ -18631,6 +23504,8 @@ end // initial bht_bank_rd_data_out_0_105 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_106 <= 2'h0; end else if (_T_20018) begin @@ -18640,6 +23515,8 @@ end // initial bht_bank_rd_data_out_0_106 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_107 <= 2'h0; end else if (_T_20020) begin @@ -18649,6 +23526,8 @@ end // initial bht_bank_rd_data_out_0_107 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_108 <= 2'h0; end else if (_T_20022) begin @@ -18658,6 +23537,8 @@ end // initial bht_bank_rd_data_out_0_108 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_109 <= 2'h0; end else if (_T_20024) begin @@ -18667,6 +23548,8 @@ end // initial bht_bank_rd_data_out_0_109 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_110 <= 2'h0; end else if (_T_20026) begin @@ -18676,6 +23559,8 @@ end // initial bht_bank_rd_data_out_0_110 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_111 <= 2'h0; end else if (_T_20028) begin @@ -18685,6 +23570,8 @@ end // initial bht_bank_rd_data_out_0_111 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_112 <= 2'h0; end else if (_T_20030) begin @@ -18694,6 +23581,8 @@ end // initial bht_bank_rd_data_out_0_112 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_113 <= 2'h0; end else if (_T_20032) begin @@ -18703,6 +23592,8 @@ end // initial bht_bank_rd_data_out_0_113 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_114 <= 2'h0; end else if (_T_20034) begin @@ -18712,6 +23603,8 @@ end // initial bht_bank_rd_data_out_0_114 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_115 <= 2'h0; end else if (_T_20036) begin @@ -18721,6 +23614,8 @@ end // initial bht_bank_rd_data_out_0_115 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_116 <= 2'h0; end else if (_T_20038) begin @@ -18730,6 +23625,8 @@ end // initial bht_bank_rd_data_out_0_116 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_117 <= 2'h0; end else if (_T_20040) begin @@ -18739,6 +23636,8 @@ end // initial bht_bank_rd_data_out_0_117 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_118 <= 2'h0; end else if (_T_20042) begin @@ -18748,6 +23647,8 @@ end // initial bht_bank_rd_data_out_0_118 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_119 <= 2'h0; end else if (_T_20044) begin @@ -18757,6 +23658,8 @@ end // initial bht_bank_rd_data_out_0_119 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_120 <= 2'h0; end else if (_T_20046) begin @@ -18766,6 +23669,8 @@ end // initial bht_bank_rd_data_out_0_120 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_121 <= 2'h0; end else if (_T_20048) begin @@ -18775,6 +23680,8 @@ end // initial bht_bank_rd_data_out_0_121 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_122 <= 2'h0; end else if (_T_20050) begin @@ -18784,6 +23691,8 @@ end // initial bht_bank_rd_data_out_0_122 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_123 <= 2'h0; end else if (_T_20052) begin @@ -18793,6 +23702,8 @@ end // initial bht_bank_rd_data_out_0_123 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_124 <= 2'h0; end else if (_T_20054) begin @@ -18802,6 +23713,8 @@ end // initial bht_bank_rd_data_out_0_124 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_125 <= 2'h0; end else if (_T_20056) begin @@ -18811,6 +23724,8 @@ end // initial bht_bank_rd_data_out_0_125 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_126 <= 2'h0; end else if (_T_20058) begin @@ -18820,6 +23735,8 @@ end // initial bht_bank_rd_data_out_0_126 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_127 <= 2'h0; end else if (_T_20060) begin @@ -18829,6 +23746,8 @@ end // initial bht_bank_rd_data_out_0_127 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_128 <= 2'h0; end else if (_T_20062) begin @@ -18838,6 +23757,8 @@ end // initial bht_bank_rd_data_out_0_128 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_129 <= 2'h0; end else if (_T_20064) begin @@ -18847,6 +23768,8 @@ end // initial bht_bank_rd_data_out_0_129 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_130 <= 2'h0; end else if (_T_20066) begin @@ -18856,6 +23779,8 @@ end // initial bht_bank_rd_data_out_0_130 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_131 <= 2'h0; end else if (_T_20068) begin @@ -18865,6 +23790,8 @@ end // initial bht_bank_rd_data_out_0_131 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_132 <= 2'h0; end else if (_T_20070) begin @@ -18874,6 +23801,8 @@ end // initial bht_bank_rd_data_out_0_132 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_133 <= 2'h0; end else if (_T_20072) begin @@ -18883,6 +23812,8 @@ end // initial bht_bank_rd_data_out_0_133 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_134 <= 2'h0; end else if (_T_20074) begin @@ -18892,6 +23823,8 @@ end // initial bht_bank_rd_data_out_0_134 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_135 <= 2'h0; end else if (_T_20076) begin @@ -18901,6 +23834,8 @@ end // initial bht_bank_rd_data_out_0_135 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_136 <= 2'h0; end else if (_T_20078) begin @@ -18910,6 +23845,8 @@ end // initial bht_bank_rd_data_out_0_136 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_137 <= 2'h0; end else if (_T_20080) begin @@ -18919,6 +23856,8 @@ end // initial bht_bank_rd_data_out_0_137 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_138 <= 2'h0; end else if (_T_20082) begin @@ -18928,6 +23867,8 @@ end // initial bht_bank_rd_data_out_0_138 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_139 <= 2'h0; end else if (_T_20084) begin @@ -18937,6 +23878,8 @@ end // initial bht_bank_rd_data_out_0_139 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_140 <= 2'h0; end else if (_T_20086) begin @@ -18946,6 +23889,8 @@ end // initial bht_bank_rd_data_out_0_140 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_141 <= 2'h0; end else if (_T_20088) begin @@ -18955,6 +23900,8 @@ end // initial bht_bank_rd_data_out_0_141 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_142 <= 2'h0; end else if (_T_20090) begin @@ -18964,6 +23911,8 @@ end // initial bht_bank_rd_data_out_0_142 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_143 <= 2'h0; end else if (_T_20092) begin @@ -18973,6 +23922,8 @@ end // initial bht_bank_rd_data_out_0_143 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_144 <= 2'h0; end else if (_T_20094) begin @@ -18982,6 +23933,8 @@ end // initial bht_bank_rd_data_out_0_144 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_145 <= 2'h0; end else if (_T_20096) begin @@ -18991,6 +23944,8 @@ end // initial bht_bank_rd_data_out_0_145 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_146 <= 2'h0; end else if (_T_20098) begin @@ -19000,6 +23955,8 @@ end // initial bht_bank_rd_data_out_0_146 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_147 <= 2'h0; end else if (_T_20100) begin @@ -19009,6 +23966,8 @@ end // initial bht_bank_rd_data_out_0_147 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_148 <= 2'h0; end else if (_T_20102) begin @@ -19018,6 +23977,8 @@ end // initial bht_bank_rd_data_out_0_148 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_149 <= 2'h0; end else if (_T_20104) begin @@ -19027,6 +23988,8 @@ end // initial bht_bank_rd_data_out_0_149 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_150 <= 2'h0; end else if (_T_20106) begin @@ -19036,6 +23999,8 @@ end // initial bht_bank_rd_data_out_0_150 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_151 <= 2'h0; end else if (_T_20108) begin @@ -19045,6 +24010,8 @@ end // initial bht_bank_rd_data_out_0_151 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_152 <= 2'h0; end else if (_T_20110) begin @@ -19054,6 +24021,8 @@ end // initial bht_bank_rd_data_out_0_152 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_153 <= 2'h0; end else if (_T_20112) begin @@ -19063,6 +24032,8 @@ end // initial bht_bank_rd_data_out_0_153 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_154 <= 2'h0; end else if (_T_20114) begin @@ -19072,6 +24043,8 @@ end // initial bht_bank_rd_data_out_0_154 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_155 <= 2'h0; end else if (_T_20116) begin @@ -19081,6 +24054,8 @@ end // initial bht_bank_rd_data_out_0_155 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_156 <= 2'h0; end else if (_T_20118) begin @@ -19090,6 +24065,8 @@ end // initial bht_bank_rd_data_out_0_156 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_157 <= 2'h0; end else if (_T_20120) begin @@ -19099,6 +24076,8 @@ end // initial bht_bank_rd_data_out_0_157 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_158 <= 2'h0; end else if (_T_20122) begin @@ -19108,6 +24087,8 @@ end // initial bht_bank_rd_data_out_0_158 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_159 <= 2'h0; end else if (_T_20124) begin @@ -19117,6 +24098,8 @@ end // initial bht_bank_rd_data_out_0_159 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_160 <= 2'h0; end else if (_T_20126) begin @@ -19126,6 +24109,8 @@ end // initial bht_bank_rd_data_out_0_160 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_161 <= 2'h0; end else if (_T_20128) begin @@ -19135,6 +24120,8 @@ end // initial bht_bank_rd_data_out_0_161 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_162 <= 2'h0; end else if (_T_20130) begin @@ -19144,6 +24131,8 @@ end // initial bht_bank_rd_data_out_0_162 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_163 <= 2'h0; end else if (_T_20132) begin @@ -19153,6 +24142,8 @@ end // initial bht_bank_rd_data_out_0_163 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_164 <= 2'h0; end else if (_T_20134) begin @@ -19162,6 +24153,8 @@ end // initial bht_bank_rd_data_out_0_164 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_165 <= 2'h0; end else if (_T_20136) begin @@ -19171,6 +24164,8 @@ end // initial bht_bank_rd_data_out_0_165 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_166 <= 2'h0; end else if (_T_20138) begin @@ -19180,6 +24175,8 @@ end // initial bht_bank_rd_data_out_0_166 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_167 <= 2'h0; end else if (_T_20140) begin @@ -19189,6 +24186,8 @@ end // initial bht_bank_rd_data_out_0_167 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_168 <= 2'h0; end else if (_T_20142) begin @@ -19198,6 +24197,8 @@ end // initial bht_bank_rd_data_out_0_168 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_169 <= 2'h0; end else if (_T_20144) begin @@ -19207,6 +24208,8 @@ end // initial bht_bank_rd_data_out_0_169 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_170 <= 2'h0; end else if (_T_20146) begin @@ -19216,6 +24219,8 @@ end // initial bht_bank_rd_data_out_0_170 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_171 <= 2'h0; end else if (_T_20148) begin @@ -19225,6 +24230,8 @@ end // initial bht_bank_rd_data_out_0_171 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_172 <= 2'h0; end else if (_T_20150) begin @@ -19234,6 +24241,8 @@ end // initial bht_bank_rd_data_out_0_172 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_173 <= 2'h0; end else if (_T_20152) begin @@ -19243,6 +24252,8 @@ end // initial bht_bank_rd_data_out_0_173 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_174 <= 2'h0; end else if (_T_20154) begin @@ -19252,6 +24263,8 @@ end // initial bht_bank_rd_data_out_0_174 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_175 <= 2'h0; end else if (_T_20156) begin @@ -19261,6 +24274,8 @@ end // initial bht_bank_rd_data_out_0_175 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_176 <= 2'h0; end else if (_T_20158) begin @@ -19270,6 +24285,8 @@ end // initial bht_bank_rd_data_out_0_176 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_177 <= 2'h0; end else if (_T_20160) begin @@ -19279,6 +24296,8 @@ end // initial bht_bank_rd_data_out_0_177 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_178 <= 2'h0; end else if (_T_20162) begin @@ -19288,6 +24307,8 @@ end // initial bht_bank_rd_data_out_0_178 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_179 <= 2'h0; end else if (_T_20164) begin @@ -19297,6 +24318,8 @@ end // initial bht_bank_rd_data_out_0_179 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_180 <= 2'h0; end else if (_T_20166) begin @@ -19306,6 +24329,8 @@ end // initial bht_bank_rd_data_out_0_180 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_181 <= 2'h0; end else if (_T_20168) begin @@ -19315,6 +24340,8 @@ end // initial bht_bank_rd_data_out_0_181 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_182 <= 2'h0; end else if (_T_20170) begin @@ -19324,6 +24351,8 @@ end // initial bht_bank_rd_data_out_0_182 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_183 <= 2'h0; end else if (_T_20172) begin @@ -19333,6 +24362,8 @@ end // initial bht_bank_rd_data_out_0_183 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_184 <= 2'h0; end else if (_T_20174) begin @@ -19342,6 +24373,8 @@ end // initial bht_bank_rd_data_out_0_184 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_185 <= 2'h0; end else if (_T_20176) begin @@ -19351,6 +24384,8 @@ end // initial bht_bank_rd_data_out_0_185 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_186 <= 2'h0; end else if (_T_20178) begin @@ -19360,6 +24395,8 @@ end // initial bht_bank_rd_data_out_0_186 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_187 <= 2'h0; end else if (_T_20180) begin @@ -19369,6 +24406,8 @@ end // initial bht_bank_rd_data_out_0_187 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_188 <= 2'h0; end else if (_T_20182) begin @@ -19378,6 +24417,8 @@ end // initial bht_bank_rd_data_out_0_188 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_189 <= 2'h0; end else if (_T_20184) begin @@ -19387,6 +24428,8 @@ end // initial bht_bank_rd_data_out_0_189 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_190 <= 2'h0; end else if (_T_20186) begin @@ -19396,6 +24439,8 @@ end // initial bht_bank_rd_data_out_0_190 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_191 <= 2'h0; end else if (_T_20188) begin @@ -19405,6 +24450,8 @@ end // initial bht_bank_rd_data_out_0_191 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_192 <= 2'h0; end else if (_T_20190) begin @@ -19414,6 +24461,8 @@ end // initial bht_bank_rd_data_out_0_192 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_193 <= 2'h0; end else if (_T_20192) begin @@ -19423,6 +24472,8 @@ end // initial bht_bank_rd_data_out_0_193 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_194 <= 2'h0; end else if (_T_20194) begin @@ -19432,6 +24483,8 @@ end // initial bht_bank_rd_data_out_0_194 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_195 <= 2'h0; end else if (_T_20196) begin @@ -19441,6 +24494,8 @@ end // initial bht_bank_rd_data_out_0_195 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_196 <= 2'h0; end else if (_T_20198) begin @@ -19450,6 +24505,8 @@ end // initial bht_bank_rd_data_out_0_196 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_197 <= 2'h0; end else if (_T_20200) begin @@ -19459,6 +24516,8 @@ end // initial bht_bank_rd_data_out_0_197 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_198 <= 2'h0; end else if (_T_20202) begin @@ -19468,6 +24527,8 @@ end // initial bht_bank_rd_data_out_0_198 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_199 <= 2'h0; end else if (_T_20204) begin @@ -19477,6 +24538,8 @@ end // initial bht_bank_rd_data_out_0_199 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_200 <= 2'h0; end else if (_T_20206) begin @@ -19486,6 +24549,8 @@ end // initial bht_bank_rd_data_out_0_200 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_201 <= 2'h0; end else if (_T_20208) begin @@ -19495,6 +24560,8 @@ end // initial bht_bank_rd_data_out_0_201 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_202 <= 2'h0; end else if (_T_20210) begin @@ -19504,6 +24571,8 @@ end // initial bht_bank_rd_data_out_0_202 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_203 <= 2'h0; end else if (_T_20212) begin @@ -19513,6 +24582,8 @@ end // initial bht_bank_rd_data_out_0_203 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_204 <= 2'h0; end else if (_T_20214) begin @@ -19522,6 +24593,8 @@ end // initial bht_bank_rd_data_out_0_204 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_205 <= 2'h0; end else if (_T_20216) begin @@ -19531,6 +24604,8 @@ end // initial bht_bank_rd_data_out_0_205 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_206 <= 2'h0; end else if (_T_20218) begin @@ -19540,6 +24615,8 @@ end // initial bht_bank_rd_data_out_0_206 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_207 <= 2'h0; end else if (_T_20220) begin @@ -19549,6 +24626,8 @@ end // initial bht_bank_rd_data_out_0_207 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_208 <= 2'h0; end else if (_T_20222) begin @@ -19558,6 +24637,8 @@ end // initial bht_bank_rd_data_out_0_208 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_209 <= 2'h0; end else if (_T_20224) begin @@ -19567,6 +24648,8 @@ end // initial bht_bank_rd_data_out_0_209 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_210 <= 2'h0; end else if (_T_20226) begin @@ -19576,6 +24659,8 @@ end // initial bht_bank_rd_data_out_0_210 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_211 <= 2'h0; end else if (_T_20228) begin @@ -19585,6 +24670,8 @@ end // initial bht_bank_rd_data_out_0_211 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_212 <= 2'h0; end else if (_T_20230) begin @@ -19594,6 +24681,8 @@ end // initial bht_bank_rd_data_out_0_212 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_213 <= 2'h0; end else if (_T_20232) begin @@ -19603,6 +24692,8 @@ end // initial bht_bank_rd_data_out_0_213 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_214 <= 2'h0; end else if (_T_20234) begin @@ -19612,6 +24703,8 @@ end // initial bht_bank_rd_data_out_0_214 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_215 <= 2'h0; end else if (_T_20236) begin @@ -19621,6 +24714,8 @@ end // initial bht_bank_rd_data_out_0_215 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_216 <= 2'h0; end else if (_T_20238) begin @@ -19630,6 +24725,8 @@ end // initial bht_bank_rd_data_out_0_216 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_217 <= 2'h0; end else if (_T_20240) begin @@ -19639,6 +24736,8 @@ end // initial bht_bank_rd_data_out_0_217 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_218 <= 2'h0; end else if (_T_20242) begin @@ -19648,6 +24747,8 @@ end // initial bht_bank_rd_data_out_0_218 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_219 <= 2'h0; end else if (_T_20244) begin @@ -19657,6 +24758,8 @@ end // initial bht_bank_rd_data_out_0_219 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_220 <= 2'h0; end else if (_T_20246) begin @@ -19666,6 +24769,8 @@ end // initial bht_bank_rd_data_out_0_220 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_221 <= 2'h0; end else if (_T_20248) begin @@ -19675,6 +24780,8 @@ end // initial bht_bank_rd_data_out_0_221 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_222 <= 2'h0; end else if (_T_20250) begin @@ -19684,6 +24791,8 @@ end // initial bht_bank_rd_data_out_0_222 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_223 <= 2'h0; end else if (_T_20252) begin @@ -19693,6 +24802,8 @@ end // initial bht_bank_rd_data_out_0_223 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_224 <= 2'h0; end else if (_T_20254) begin @@ -19702,6 +24813,8 @@ end // initial bht_bank_rd_data_out_0_224 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_225 <= 2'h0; end else if (_T_20256) begin @@ -19711,6 +24824,8 @@ end // initial bht_bank_rd_data_out_0_225 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_226 <= 2'h0; end else if (_T_20258) begin @@ -19720,6 +24835,8 @@ end // initial bht_bank_rd_data_out_0_226 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_227 <= 2'h0; end else if (_T_20260) begin @@ -19729,6 +24846,8 @@ end // initial bht_bank_rd_data_out_0_227 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_228 <= 2'h0; end else if (_T_20262) begin @@ -19738,6 +24857,8 @@ end // initial bht_bank_rd_data_out_0_228 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_229 <= 2'h0; end else if (_T_20264) begin @@ -19747,6 +24868,8 @@ end // initial bht_bank_rd_data_out_0_229 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_230 <= 2'h0; end else if (_T_20266) begin @@ -19756,6 +24879,8 @@ end // initial bht_bank_rd_data_out_0_230 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_231 <= 2'h0; end else if (_T_20268) begin @@ -19765,6 +24890,8 @@ end // initial bht_bank_rd_data_out_0_231 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_232 <= 2'h0; end else if (_T_20270) begin @@ -19774,6 +24901,8 @@ end // initial bht_bank_rd_data_out_0_232 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_233 <= 2'h0; end else if (_T_20272) begin @@ -19783,6 +24912,8 @@ end // initial bht_bank_rd_data_out_0_233 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_234 <= 2'h0; end else if (_T_20274) begin @@ -19792,6 +24923,8 @@ end // initial bht_bank_rd_data_out_0_234 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_235 <= 2'h0; end else if (_T_20276) begin @@ -19801,6 +24934,8 @@ end // initial bht_bank_rd_data_out_0_235 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_236 <= 2'h0; end else if (_T_20278) begin @@ -19810,6 +24945,8 @@ end // initial bht_bank_rd_data_out_0_236 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_237 <= 2'h0; end else if (_T_20280) begin @@ -19819,6 +24956,8 @@ end // initial bht_bank_rd_data_out_0_237 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_238 <= 2'h0; end else if (_T_20282) begin @@ -19828,6 +24967,8 @@ end // initial bht_bank_rd_data_out_0_238 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_239 <= 2'h0; end else if (_T_20284) begin @@ -19837,6 +24978,8 @@ end // initial bht_bank_rd_data_out_0_239 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_240 <= 2'h0; end else if (_T_20286) begin @@ -19846,6 +24989,8 @@ end // initial bht_bank_rd_data_out_0_240 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_241 <= 2'h0; end else if (_T_20288) begin @@ -19855,6 +25000,8 @@ end // initial bht_bank_rd_data_out_0_241 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_242 <= 2'h0; end else if (_T_20290) begin @@ -19864,6 +25011,8 @@ end // initial bht_bank_rd_data_out_0_242 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_243 <= 2'h0; end else if (_T_20292) begin @@ -19873,6 +25022,8 @@ end // initial bht_bank_rd_data_out_0_243 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_244 <= 2'h0; end else if (_T_20294) begin @@ -19882,6 +25033,8 @@ end // initial bht_bank_rd_data_out_0_244 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_245 <= 2'h0; end else if (_T_20296) begin @@ -19891,6 +25044,8 @@ end // initial bht_bank_rd_data_out_0_245 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_246 <= 2'h0; end else if (_T_20298) begin @@ -19900,6 +25055,8 @@ end // initial bht_bank_rd_data_out_0_246 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_247 <= 2'h0; end else if (_T_20300) begin @@ -19909,6 +25066,8 @@ end // initial bht_bank_rd_data_out_0_247 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_248 <= 2'h0; end else if (_T_20302) begin @@ -19918,6 +25077,8 @@ end // initial bht_bank_rd_data_out_0_248 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_249 <= 2'h0; end else if (_T_20304) begin @@ -19927,6 +25088,8 @@ end // initial bht_bank_rd_data_out_0_249 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_250 <= 2'h0; end else if (_T_20306) begin @@ -19936,6 +25099,8 @@ end // initial bht_bank_rd_data_out_0_250 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_251 <= 2'h0; end else if (_T_20308) begin @@ -19945,6 +25110,8 @@ end // initial bht_bank_rd_data_out_0_251 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_252 <= 2'h0; end else if (_T_20310) begin @@ -19954,6 +25121,8 @@ end // initial bht_bank_rd_data_out_0_252 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_253 <= 2'h0; end else if (_T_20312) begin @@ -19963,6 +25132,8 @@ end // initial bht_bank_rd_data_out_0_253 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_254 <= 2'h0; end else if (_T_20314) begin @@ -19972,6 +25143,8 @@ end // initial bht_bank_rd_data_out_0_254 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin bht_bank_rd_data_out_0_255 <= 2'h0; end else if (_T_20316) begin @@ -19981,16 +25154,22 @@ end // initial bht_bank_rd_data_out_0_255 <= io_exu_mp_pkt_hist; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin exu_mp_way_f <= 1'h0; end else begin exu_mp_way_f <= io_exu_mp_pkt_way; end + end + always @(posedge clock or posedge reset) begin if (reset) begin exu_flush_final_d1 <= 1'h0; end else begin exu_flush_final_d1 <= io_exu_flush_final; end + end + always @(posedge clock or posedge reset) begin if (reset) begin btb_lru_b0_f <= 256'h0; end else if (_T_215) begin @@ -20000,57 +25179,68 @@ end // initial btb_lru_b0_f <= _T_186; end end + end + always @(posedge clock or posedge reset) begin if (reset) begin ifc_fetch_adder_prior <= 31'h0; end else if (_T_375) begin ifc_fetch_adder_prior <= io_ifc_fetch_addr_f; end + end + always @(posedge clock or posedge reset) begin if (reset) begin rets_out_0 <= 32'h0; end else if (rsenable_0) begin rets_out_0 <= rets_in_0; end + end + always @(posedge clock or posedge reset) begin if (reset) begin rets_out_1 <= 32'h0; end else if (rsenable_1) begin rets_out_1 <= rets_in_1; end + end + always @(posedge clock or posedge reset) begin if (reset) begin rets_out_2 <= 32'h0; end else if (rsenable_1) begin rets_out_2 <= rets_in_2; end + end + always @(posedge clock or posedge reset) begin if (reset) begin rets_out_3 <= 32'h0; end else if (rsenable_1) begin rets_out_3 <= rets_in_3; end + end + always @(posedge clock or posedge reset) begin if (reset) begin rets_out_4 <= 32'h0; end else if (rsenable_1) begin rets_out_4 <= rets_in_4; end + end + always @(posedge clock or posedge reset) begin if (reset) begin rets_out_5 <= 32'h0; end else if (rsenable_1) begin rets_out_5 <= rets_in_5; end + end + always @(posedge clock or posedge reset) begin if (reset) begin rets_out_6 <= 32'h0; end else if (rsenable_1) begin rets_out_6 <= rets_in_6; end + end + always @(posedge clock or posedge reset) begin if (reset) begin rets_out_7 <= 32'h0; end else if (rs_push) begin rets_out_7 <= rets_out_6; end end - always @(posedge io_active_clk) begin - if (reset) begin - fghr <= 8'h0; - end else begin - fghr <= fghr_ns; - end - end endmodule diff --git a/el2_ifu_ifc_ctl.fir b/el2_ifu_ifc_ctl.fir index bf373468..28e4ff1a 100644 --- a/el2_ifu_ifc_ctl.fir +++ b/el2_ifu_ifc_ctl.fir @@ -3,7 +3,7 @@ circuit el2_ifu_ifc_ctl : module el2_ifu_ifc_ctl : input clock : Clock input reset : AsyncReset - output io : {flip free_clk : Clock, flip active_clk : UInt<1>, flip scan_mode : UInt<1>, flip ic_hit_f : UInt<1>, flip ifu_ic_mb_empty : UInt<1>, flip ifu_fb_consume1 : UInt<1>, flip ifu_fb_consume2 : UInt<1>, flip dec_tlu_flush_noredir_wb : UInt<1>, flip exu_flush_final : UInt<1>, flip exu_flush_path_final : UInt<31>, flip ifu_bp_hit_taken_f : UInt<1>, flip ifu_bp_btb_target_f : UInt<31>, flip ic_dma_active : UInt<1>, flip ic_write_stall : UInt<1>, flip dma_iccm_stall_any : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifc_fetch_addr_f : UInt<31>, ifc_fetch_addr_bf : UInt<31>, ifc_fetch_req_f : UInt<1>, ifu_pmu_fetch_stall : UInt<1>, ifc_fetch_uncacheable_bf : UInt<1>, ifc_fetch_req_bf : UInt<1>, ifc_fetch_req_bf_raw : UInt<1>, ifc_iccm_access_bf : UInt<1>, ifc_region_acc_fault_bf : UInt<1>, ifc_dma_access_ok : UInt<1>} + output io : {flip free_clk : Clock, flip active_clk : Clock, flip scan_mode : UInt<1>, flip ic_hit_f : UInt<1>, flip ifu_ic_mb_empty : UInt<1>, flip ifu_fb_consume1 : UInt<1>, flip ifu_fb_consume2 : UInt<1>, flip dec_tlu_flush_noredir_wb : UInt<1>, flip exu_flush_final : UInt<1>, flip exu_flush_path_final : UInt<31>, flip ifu_bp_hit_taken_f : UInt<1>, flip ifu_bp_btb_target_f : UInt<31>, flip ic_dma_active : UInt<1>, flip ic_write_stall : UInt<1>, flip dma_iccm_stall_any : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifc_fetch_addr_f : UInt<31>, ifc_fetch_addr_bf : UInt<31>, ifc_fetch_req_f : UInt<1>, ifu_pmu_fetch_stall : UInt<1>, ifc_fetch_uncacheable_bf : UInt<1>, ifc_fetch_req_bf : UInt<1>, ifc_fetch_req_bf_raw : UInt<1>, ifc_iccm_access_bf : UInt<1>, ifc_region_acc_fault_bf : UInt<1>, ifc_dma_access_ok : UInt<1>} wire fetch_addr_bf : UInt<31> fetch_addr_bf <= UInt<1>("h00") @@ -149,8 +149,8 @@ circuit el2_ifu_ifc_ctl : node _T_78 = and(_T_76, _T_77) @[el2_ifu_ifc_ctl.scala 100:60] node next_state_0 = or(_T_75, _T_78) @[el2_ifu_ifc_ctl.scala 100:48] node _T_79 = cat(next_state_1, next_state_0) @[Cat.scala 29:58] - reg _T_80 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 102:19] - _T_80 <= _T_79 @[el2_ifu_ifc_ctl.scala 102:19] + reg _T_80 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 102:45] + _T_80 <= _T_79 @[el2_ifu_ifc_ctl.scala 102:45] state <= _T_80 @[el2_ifu_ifc_ctl.scala 102:9] flush_fb <= io.exu_flush_final @[el2_ifu_ifc_ctl.scala 104:12] node _T_81 = eq(io.ifu_fb_consume2, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 106:38] @@ -208,64 +208,60 @@ circuit el2_ifu_ifc_ctl : wire _T_130 : UInt<4> @[Mux.scala 27:72] _T_130 <= _T_129 @[Mux.scala 27:72] fb_write_ns <= _T_130 @[el2_ifu_ifc_ctl.scala 112:15] - node _T_131 = bits(fb_write_ns, 3, 3) @[el2_ifu_ifc_ctl.scala 119:38] - reg _T_132 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 119:26] - _T_132 <= _T_131 @[el2_ifu_ifc_ctl.scala 119:26] - fb_full_f_ns <= _T_132 @[el2_ifu_ifc_ctl.scala 119:16] - node _T_133 = eq(state, UInt<2>("h00")) @[el2_ifu_ifc_ctl.scala 121:17] - idle <= _T_133 @[el2_ifu_ifc_ctl.scala 121:8] - node _T_134 = eq(state, UInt<2>("h03")) @[el2_ifu_ifc_ctl.scala 122:16] - wfm <= _T_134 @[el2_ifu_ifc_ctl.scala 122:7] - node _T_135 = bits(fb_write_ns, 3, 3) @[el2_ifu_ifc_ctl.scala 124:30] - fb_full_f_ns <= _T_135 @[el2_ifu_ifc_ctl.scala 124:16] - reg fb_full_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 125:26] - fb_full_f <= fb_full_f_ns @[el2_ifu_ifc_ctl.scala 125:26] - reg _T_136 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 126:24] - _T_136 <= fb_write_ns @[el2_ifu_ifc_ctl.scala 126:24] - fb_write_f <= _T_136 @[el2_ifu_ifc_ctl.scala 126:14] - node _T_137 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[el2_ifu_ifc_ctl.scala 129:40] - node _T_138 = or(_T_137, io.exu_flush_final) @[el2_ifu_ifc_ctl.scala 129:61] - node _T_139 = eq(_T_138, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 129:19] - node _T_140 = and(fb_full_f, _T_139) @[el2_ifu_ifc_ctl.scala 129:17] - node _T_141 = or(_T_140, dma_stall) @[el2_ifu_ifc_ctl.scala 129:84] - node _T_142 = and(io.ifc_fetch_req_bf_raw, _T_141) @[el2_ifu_ifc_ctl.scala 128:60] - node _T_143 = or(wfm, _T_142) @[el2_ifu_ifc_ctl.scala 128:33] - io.ifu_pmu_fetch_stall <= _T_143 @[el2_ifu_ifc_ctl.scala 128:26] - node _T_144 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_145 = bits(_T_144, 31, 28) @[el2_lib.scala 211:25] - node iccm_acc_in_region_bf = eq(_T_145, UInt<4>("h0e")) @[el2_lib.scala 211:47] - node _T_146 = bits(_T_144, 31, 16) @[el2_lib.scala 214:14] - node iccm_acc_in_range_bf = eq(_T_146, UInt<16>("h0ee00")) @[el2_lib.scala 214:29] - io.ifc_iccm_access_bf <= iccm_acc_in_range_bf @[el2_ifu_ifc_ctl.scala 134:25] - node _T_147 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 135:30] - node _T_148 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[el2_ifu_ifc_ctl.scala 136:39] - node _T_149 = eq(_T_148, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 136:18] - node _T_150 = and(fb_full_f, _T_149) @[el2_ifu_ifc_ctl.scala 136:16] - node _T_151 = or(_T_147, _T_150) @[el2_ifu_ifc_ctl.scala 135:53] - node _T_152 = eq(io.ifc_fetch_req_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 137:13] - node _T_153 = and(wfm, _T_152) @[el2_ifu_ifc_ctl.scala 137:11] - node _T_154 = or(_T_151, _T_153) @[el2_ifu_ifc_ctl.scala 136:62] - node _T_155 = or(_T_154, idle) @[el2_ifu_ifc_ctl.scala 137:35] - node _T_156 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 137:46] - node _T_157 = and(_T_155, _T_156) @[el2_ifu_ifc_ctl.scala 137:44] - node _T_158 = or(_T_157, dma_iccm_stall_any_f) @[el2_ifu_ifc_ctl.scala 137:67] - io.ifc_dma_access_ok <= _T_158 @[el2_ifu_ifc_ctl.scala 135:24] - node _T_159 = eq(iccm_acc_in_range_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 139:33] - node _T_160 = and(_T_159, iccm_acc_in_region_bf) @[el2_ifu_ifc_ctl.scala 139:55] - io.ifc_region_acc_fault_bf <= _T_160 @[el2_ifu_ifc_ctl.scala 139:30] - node _T_161 = bits(io.ifc_fetch_addr_bf, 30, 27) @[el2_ifu_ifc_ctl.scala 140:78] - node _T_162 = cat(_T_161, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_163 = dshr(io.dec_tlu_mrac_ff, _T_162) @[el2_ifu_ifc_ctl.scala 140:53] - node _T_164 = bits(_T_163, 0, 0) @[el2_ifu_ifc_ctl.scala 140:53] - node _T_165 = not(_T_164) @[el2_ifu_ifc_ctl.scala 140:34] - io.ifc_fetch_uncacheable_bf <= _T_165 @[el2_ifu_ifc_ctl.scala 140:31] - reg _T_166 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 142:32] - _T_166 <= io.ifc_fetch_req_bf @[el2_ifu_ifc_ctl.scala 142:32] - io.ifc_fetch_req_f <= _T_166 @[el2_ifu_ifc_ctl.scala 142:22] - node _T_167 = or(io.exu_flush_final, io.ifc_fetch_req_f) @[el2_ifu_ifc_ctl.scala 144:88] - reg _T_168 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_167 : @[Reg.scala 28:19] - _T_168 <= io.ifc_fetch_addr_bf @[Reg.scala 28:23] + node _T_131 = eq(state, UInt<2>("h00")) @[el2_ifu_ifc_ctl.scala 119:17] + idle <= _T_131 @[el2_ifu_ifc_ctl.scala 119:8] + node _T_132 = eq(state, UInt<2>("h03")) @[el2_ifu_ifc_ctl.scala 120:16] + wfm <= _T_132 @[el2_ifu_ifc_ctl.scala 120:7] + node _T_133 = bits(fb_write_ns, 3, 3) @[el2_ifu_ifc_ctl.scala 122:30] + fb_full_f_ns <= _T_133 @[el2_ifu_ifc_ctl.scala 122:16] + reg fb_full_f : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 123:52] + fb_full_f <= fb_full_f_ns @[el2_ifu_ifc_ctl.scala 123:52] + reg _T_134 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 124:50] + _T_134 <= fb_write_ns @[el2_ifu_ifc_ctl.scala 124:50] + fb_write_f <= _T_134 @[el2_ifu_ifc_ctl.scala 124:14] + node _T_135 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[el2_ifu_ifc_ctl.scala 127:40] + node _T_136 = or(_T_135, io.exu_flush_final) @[el2_ifu_ifc_ctl.scala 127:61] + node _T_137 = eq(_T_136, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 127:19] + node _T_138 = and(fb_full_f, _T_137) @[el2_ifu_ifc_ctl.scala 127:17] + node _T_139 = or(_T_138, dma_stall) @[el2_ifu_ifc_ctl.scala 127:84] + node _T_140 = and(io.ifc_fetch_req_bf_raw, _T_139) @[el2_ifu_ifc_ctl.scala 126:60] + node _T_141 = or(wfm, _T_140) @[el2_ifu_ifc_ctl.scala 126:33] + io.ifu_pmu_fetch_stall <= _T_141 @[el2_ifu_ifc_ctl.scala 126:26] + node _T_142 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_143 = bits(_T_142, 31, 28) @[el2_lib.scala 216:25] + node iccm_acc_in_region_bf = eq(_T_143, UInt<4>("h0e")) @[el2_lib.scala 216:47] + node _T_144 = bits(_T_142, 31, 16) @[el2_lib.scala 219:14] + node iccm_acc_in_range_bf = eq(_T_144, UInt<16>("h0ee00")) @[el2_lib.scala 219:29] + io.ifc_iccm_access_bf <= iccm_acc_in_range_bf @[el2_ifu_ifc_ctl.scala 132:25] + node _T_145 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 133:30] + node _T_146 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[el2_ifu_ifc_ctl.scala 134:39] + node _T_147 = eq(_T_146, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 134:18] + node _T_148 = and(fb_full_f, _T_147) @[el2_ifu_ifc_ctl.scala 134:16] + node _T_149 = or(_T_145, _T_148) @[el2_ifu_ifc_ctl.scala 133:53] + node _T_150 = eq(io.ifc_fetch_req_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 135:13] + node _T_151 = and(wfm, _T_150) @[el2_ifu_ifc_ctl.scala 135:11] + node _T_152 = or(_T_149, _T_151) @[el2_ifu_ifc_ctl.scala 134:62] + node _T_153 = or(_T_152, idle) @[el2_ifu_ifc_ctl.scala 135:35] + node _T_154 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 135:46] + node _T_155 = and(_T_153, _T_154) @[el2_ifu_ifc_ctl.scala 135:44] + node _T_156 = or(_T_155, dma_iccm_stall_any_f) @[el2_ifu_ifc_ctl.scala 135:67] + io.ifc_dma_access_ok <= _T_156 @[el2_ifu_ifc_ctl.scala 133:24] + node _T_157 = eq(iccm_acc_in_range_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 137:33] + node _T_158 = and(_T_157, iccm_acc_in_region_bf) @[el2_ifu_ifc_ctl.scala 137:55] + io.ifc_region_acc_fault_bf <= _T_158 @[el2_ifu_ifc_ctl.scala 137:30] + node _T_159 = bits(io.ifc_fetch_addr_bf, 30, 27) @[el2_ifu_ifc_ctl.scala 138:78] + node _T_160 = cat(_T_159, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_161 = dshr(io.dec_tlu_mrac_ff, _T_160) @[el2_ifu_ifc_ctl.scala 138:53] + node _T_162 = bits(_T_161, 0, 0) @[el2_ifu_ifc_ctl.scala 138:53] + node _T_163 = not(_T_162) @[el2_ifu_ifc_ctl.scala 138:34] + io.ifc_fetch_uncacheable_bf <= _T_163 @[el2_ifu_ifc_ctl.scala 138:31] + reg _T_164 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 140:57] + _T_164 <= io.ifc_fetch_req_bf @[el2_ifu_ifc_ctl.scala 140:57] + io.ifc_fetch_req_f <= _T_164 @[el2_ifu_ifc_ctl.scala 140:22] + node _T_165 = or(io.exu_flush_final, io.ifc_fetch_req_f) @[el2_ifu_ifc_ctl.scala 142:88] + reg _T_166 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_165 : @[Reg.scala 28:19] + _T_166 <= io.ifc_fetch_addr_bf @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.ifc_fetch_addr_f <= _T_168 @[el2_ifu_ifc_ctl.scala 144:23] + io.ifc_fetch_addr_f <= _T_166 @[el2_ifu_ifc_ctl.scala 142:23] diff --git a/el2_ifu_ifc_ctl.v b/el2_ifu_ifc_ctl.v index 704e985a..cc245959 100644 --- a/el2_ifu_ifc_ctl.v +++ b/el2_ifu_ifc_ctl.v @@ -62,8 +62,8 @@ module el2_ifu_ifc_ctl( wire [30:0] _T_20 = sel_next_addr_bf ? fetch_addr_next : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_21 = _T_17 | _T_18; // @[Mux.scala 27:72] wire [30:0] _T_22 = _T_21 | _T_19; // @[Mux.scala 27:72] - reg [1:0] state; // @[el2_ifu_ifc_ctl.scala 102:19] - wire idle = state == 2'h0; // @[el2_ifu_ifc_ctl.scala 121:17] + reg [1:0] state; // @[el2_ifu_ifc_ctl.scala 102:45] + wire idle = state == 2'h0; // @[el2_ifu_ifc_ctl.scala 119:17] wire _T_35 = io_ifu_fb_consume2 | io_ifu_fb_consume1; // @[el2_ifu_ifc_ctl.scala 84:91] wire _T_36 = ~_T_35; // @[el2_ifu_ifc_ctl.scala 84:70] wire [3:0] _T_121 = io_exu_flush_final ? 4'h1 : 4'h0; // @[Mux.scala 27:72] @@ -76,7 +76,7 @@ module el2_ifu_ifc_ctl( wire _T_86 = io_ifu_fb_consume2 & io_ifc_fetch_req_f; // @[el2_ifu_ifc_ctl.scala 107:25] wire fb_right = _T_85 | _T_86; // @[el2_ifu_ifc_ctl.scala 106:92] wire _T_98 = _T_2 & fb_right; // @[el2_ifu_ifc_ctl.scala 113:16] - reg [3:0] fb_write_f; // @[el2_ifu_ifc_ctl.scala 126:24] + reg [3:0] fb_write_f; // @[el2_ifu_ifc_ctl.scala 124:50] wire [3:0] _T_101 = {1'h0,fb_write_f[3:1]}; // @[Cat.scala 29:58] wire [3:0] _T_122 = _T_98 ? _T_101 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_126 = _T_121 | _T_122; // @[Mux.scala 27:72] @@ -102,7 +102,7 @@ module el2_ifu_ifc_ctl( wire _T_118 = _T_116 & _T_117; // @[el2_ifu_ifc_ctl.scala 116:41] wire [3:0] _T_125 = _T_118 ? fb_write_f : 4'h0; // @[Mux.scala 27:72] wire [3:0] fb_write_ns = _T_128 | _T_125; // @[Mux.scala 27:72] - wire fb_full_f_ns = fb_write_ns[3]; // @[el2_ifu_ifc_ctl.scala 124:30] + wire fb_full_f_ns = fb_write_ns[3]; // @[el2_ifu_ifc_ctl.scala 122:30] wire _T_37 = fb_full_f_ns & _T_36; // @[el2_ifu_ifc_ctl.scala 84:68] wire _T_38 = ~_T_37; // @[el2_ifu_ifc_ctl.scala 84:53] wire _T_39 = io_ifc_fetch_req_bf_raw & _T_38; // @[el2_ifu_ifc_ctl.scala 84:51] @@ -132,39 +132,39 @@ module el2_ifu_ifc_ctl( wire _T_75 = _T_67 & leave_idle; // @[el2_ifu_ifc_ctl.scala 100:34] wire _T_78 = state[0] & _T_67; // @[el2_ifu_ifc_ctl.scala 100:60] wire next_state_0 = _T_75 | _T_78; // @[el2_ifu_ifc_ctl.scala 100:48] - wire wfm = state == 2'h3; // @[el2_ifu_ifc_ctl.scala 122:16] - reg fb_full_f; // @[el2_ifu_ifc_ctl.scala 125:26] - wire _T_138 = _T_35 | io_exu_flush_final; // @[el2_ifu_ifc_ctl.scala 129:61] - wire _T_139 = ~_T_138; // @[el2_ifu_ifc_ctl.scala 129:19] - wire _T_140 = fb_full_f & _T_139; // @[el2_ifu_ifc_ctl.scala 129:17] - wire _T_141 = _T_140 | dma_stall; // @[el2_ifu_ifc_ctl.scala 129:84] - wire _T_142 = io_ifc_fetch_req_bf_raw & _T_141; // @[el2_ifu_ifc_ctl.scala 128:60] - wire [31:0] _T_144 = {io_ifc_fetch_addr_bf,1'h0}; // @[Cat.scala 29:58] - wire iccm_acc_in_region_bf = _T_144[31:28] == 4'he; // @[el2_lib.scala 211:47] - wire iccm_acc_in_range_bf = _T_144[31:16] == 16'hee00; // @[el2_lib.scala 214:29] - wire _T_147 = ~io_ifc_iccm_access_bf; // @[el2_ifu_ifc_ctl.scala 135:30] - wire _T_150 = fb_full_f & _T_36; // @[el2_ifu_ifc_ctl.scala 136:16] - wire _T_151 = _T_147 | _T_150; // @[el2_ifu_ifc_ctl.scala 135:53] - wire _T_152 = ~io_ifc_fetch_req_bf; // @[el2_ifu_ifc_ctl.scala 137:13] - wire _T_153 = wfm & _T_152; // @[el2_ifu_ifc_ctl.scala 137:11] - wire _T_154 = _T_151 | _T_153; // @[el2_ifu_ifc_ctl.scala 136:62] - wire _T_155 = _T_154 | idle; // @[el2_ifu_ifc_ctl.scala 137:35] - wire _T_157 = _T_155 & _T_2; // @[el2_ifu_ifc_ctl.scala 137:44] - wire _T_159 = ~iccm_acc_in_range_bf; // @[el2_ifu_ifc_ctl.scala 139:33] - wire [4:0] _T_162 = {io_ifc_fetch_addr_bf[30:27],1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_163 = io_dec_tlu_mrac_ff >> _T_162; // @[el2_ifu_ifc_ctl.scala 140:53] - reg _T_166; // @[el2_ifu_ifc_ctl.scala 142:32] - reg [30:0] _T_168; // @[Reg.scala 27:20] - assign io_ifc_fetch_addr_f = _T_168; // @[el2_ifu_ifc_ctl.scala 144:23] + wire wfm = state == 2'h3; // @[el2_ifu_ifc_ctl.scala 120:16] + reg fb_full_f; // @[el2_ifu_ifc_ctl.scala 123:52] + wire _T_136 = _T_35 | io_exu_flush_final; // @[el2_ifu_ifc_ctl.scala 127:61] + wire _T_137 = ~_T_136; // @[el2_ifu_ifc_ctl.scala 127:19] + wire _T_138 = fb_full_f & _T_137; // @[el2_ifu_ifc_ctl.scala 127:17] + wire _T_139 = _T_138 | dma_stall; // @[el2_ifu_ifc_ctl.scala 127:84] + wire _T_140 = io_ifc_fetch_req_bf_raw & _T_139; // @[el2_ifu_ifc_ctl.scala 126:60] + wire [31:0] _T_142 = {io_ifc_fetch_addr_bf,1'h0}; // @[Cat.scala 29:58] + wire iccm_acc_in_region_bf = _T_142[31:28] == 4'he; // @[el2_lib.scala 216:47] + wire iccm_acc_in_range_bf = _T_142[31:16] == 16'hee00; // @[el2_lib.scala 219:29] + wire _T_145 = ~io_ifc_iccm_access_bf; // @[el2_ifu_ifc_ctl.scala 133:30] + wire _T_148 = fb_full_f & _T_36; // @[el2_ifu_ifc_ctl.scala 134:16] + wire _T_149 = _T_145 | _T_148; // @[el2_ifu_ifc_ctl.scala 133:53] + wire _T_150 = ~io_ifc_fetch_req_bf; // @[el2_ifu_ifc_ctl.scala 135:13] + wire _T_151 = wfm & _T_150; // @[el2_ifu_ifc_ctl.scala 135:11] + wire _T_152 = _T_149 | _T_151; // @[el2_ifu_ifc_ctl.scala 134:62] + wire _T_153 = _T_152 | idle; // @[el2_ifu_ifc_ctl.scala 135:35] + wire _T_155 = _T_153 & _T_2; // @[el2_ifu_ifc_ctl.scala 135:44] + wire _T_157 = ~iccm_acc_in_range_bf; // @[el2_ifu_ifc_ctl.scala 137:33] + wire [4:0] _T_160 = {io_ifc_fetch_addr_bf[30:27],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_161 = io_dec_tlu_mrac_ff >> _T_160; // @[el2_ifu_ifc_ctl.scala 138:53] + reg _T_164; // @[el2_ifu_ifc_ctl.scala 140:57] + reg [30:0] _T_166; // @[Reg.scala 27:20] + assign io_ifc_fetch_addr_f = _T_166; // @[el2_ifu_ifc_ctl.scala 142:23] assign io_ifc_fetch_addr_bf = _T_22 | _T_20; // @[el2_ifu_ifc_ctl.scala 72:24] - assign io_ifc_fetch_req_f = _T_166; // @[el2_ifu_ifc_ctl.scala 142:22] - assign io_ifu_pmu_fetch_stall = wfm | _T_142; // @[el2_ifu_ifc_ctl.scala 128:26] - assign io_ifc_fetch_uncacheable_bf = ~_T_163[0]; // @[el2_ifu_ifc_ctl.scala 140:31] + assign io_ifc_fetch_req_f = _T_164; // @[el2_ifu_ifc_ctl.scala 140:22] + assign io_ifu_pmu_fetch_stall = wfm | _T_140; // @[el2_ifu_ifc_ctl.scala 126:26] + assign io_ifc_fetch_uncacheable_bf = ~_T_161[0]; // @[el2_ifu_ifc_ctl.scala 138:31] assign io_ifc_fetch_req_bf = _T_43 & _T_44; // @[el2_ifu_ifc_ctl.scala 84:23] assign io_ifc_fetch_req_bf_raw = ~idle; // @[el2_ifu_ifc_ctl.scala 82:27] - assign io_ifc_iccm_access_bf = _T_144[31:16] == 16'hee00; // @[el2_ifu_ifc_ctl.scala 134:25] - assign io_ifc_region_acc_fault_bf = _T_159 & iccm_acc_in_region_bf; // @[el2_ifu_ifc_ctl.scala 139:30] - assign io_ifc_dma_access_ok = _T_157 | dma_iccm_stall_any_f; // @[el2_ifu_ifc_ctl.scala 135:24] + assign io_ifc_iccm_access_bf = _T_142[31:16] == 16'hee00; // @[el2_ifu_ifc_ctl.scala 132:25] + assign io_ifc_region_acc_fault_bf = _T_157 & iccm_acc_in_region_bf; // @[el2_ifu_ifc_ctl.scala 137:30] + assign io_ifc_dma_access_ok = _T_155 | dma_iccm_stall_any_f; // @[el2_ifu_ifc_ctl.scala 133:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -211,9 +211,9 @@ initial begin _RAND_4 = {1{`RANDOM}}; fb_full_f = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; - _T_166 = _RAND_5[0:0]; + _T_164 = _RAND_5[0:0]; _RAND_6 = {1{`RANDOM}}; - _T_168 = _RAND_6[30:0]; + _T_166 = _RAND_6[30:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin dma_iccm_stall_any_f = 1'h0; @@ -231,10 +231,10 @@ initial begin fb_full_f = 1'h0; end if (reset) begin - _T_166 = 1'h0; + _T_164 = 1'h0; end if (reset) begin - _T_168 = 31'h0; + _T_166 = 31'h0; end `endif // RANDOMIZE end // initial @@ -256,39 +256,39 @@ end // initial miss_a <= _T_48 & _T_2; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_active_clk or posedge reset) begin if (reset) begin state <= 2'h0; end else begin state <= {next_state_1,next_state_0}; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_active_clk or posedge reset) begin if (reset) begin fb_write_f <= 4'h0; end else begin fb_write_f <= _T_128 | _T_125; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_active_clk or posedge reset) begin if (reset) begin fb_full_f <= 1'h0; end else begin fb_full_f <= fb_write_ns[3]; end end - always @(posedge clock or posedge reset) begin + always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_166 <= 1'h0; + _T_164 <= 1'h0; end else begin - _T_166 <= io_ifc_fetch_req_bf; + _T_164 <= io_ifc_fetch_req_bf; end end always @(posedge clock or posedge reset) begin if (reset) begin - _T_168 <= 31'h0; + _T_166 <= 31'h0; end else if (fetch_bf_en) begin - _T_168 <= io_ifc_fetch_addr_bf; + _T_166 <= io_ifc_fetch_addr_bf; end end endmodule diff --git a/firrtl_black_box_resource_files.f b/firrtl_black_box_resource_files.f index 8a57174c..ffe34997 100644 --- a/firrtl_black_box_resource_files.f +++ b/firrtl_black_box_resource_files.f @@ -1 +1 @@ -/home/waleedbinehsan/Desktop/SweRV-Chisel/rvdff.v \ No newline at end of file +/home/waleedbinehsan/Desktop/SweRV-Chislified-master/TEC_RV_ICG.v \ No newline at end of file diff --git a/src/main/resources/vsrc/TEC_RV_ICG.v b/src/main/resources/vsrc/TEC_RV_ICG.v new file mode 100644 index 00000000..5d8f005d --- /dev/null +++ b/src/main/resources/vsrc/TEC_RV_ICG.v @@ -0,0 +1,14 @@ +module TEC_RV_ICG( + ( + input logic SE, EN, CK, + output Q + ); + logic en_ff; + logic enable; + assign enable = EN | SE; + always @(CK, enable) begin + if(!CK) + en_ff = enable; + end + assign Q = CK & en_ff; +endmodule \ No newline at end of file diff --git a/src/main/scala/ifu/el2_ifu_bp_ctl.scala b/src/main/scala/ifu/el2_ifu_bp_ctl.scala index 63b78281..536ea550 100644 --- a/src/main/scala/ifu/el2_ifu_bp_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_bp_ctl.scala @@ -6,7 +6,7 @@ import chisel3.util._ import chisel3.experimental.chiselName @chiselName -class el2_ifu_bp_ctl extends Module with el2_lib { +class el2_ifu_bp_ctl extends Module with el2_lib with RequireAsyncReset { val io = IO (new Bundle { val active_clk = Input(Clock()) val ic_hit_f = Input(Bool()) @@ -227,7 +227,7 @@ class el2_ifu_bp_ctl extends Module with el2_lib { val btb_rd_ret_f = btb_sel_data_f(0) btb_sel_data_f := Mux1H(Seq(btb_sel_f(1).asBool-> btb_vbank1_rd_data_f(16,1), - btb_sel_f(0).asBool-> btb_vbank1_rd_data_f(16,1))) + btb_sel_f(0).asBool-> btb_vbank0_rd_data_f(16,1))) io.ifu_bp_hit_taken_f := (vwayhit_f & hist1_raw).orR & io.ifc_fetch_req_f & !leak_one_f_d1 & !io.dec_tlu_bpred_disable diff --git a/src/main/scala/ifu/el2_ifu_ifc_ctl.scala b/src/main/scala/ifu/el2_ifu_ifc_ctl.scala index 9e53b8b2..76b3a5da 100644 --- a/src/main/scala/ifu/el2_ifu_ifc_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_ifc_ctl.scala @@ -6,7 +6,7 @@ import chisel3.util._ class el2_ifu_ifc_ctl extends Module with el2_lib with RequireAsyncReset { val io = IO(new Bundle{ val free_clk = Input(Clock()) - val active_clk = Input(Bool()) + val active_clk = Input(Clock()) val scan_mode = Input(Bool()) val ic_hit_f = Input(Bool()) val ifu_ic_mb_empty = Input(Bool()) @@ -99,7 +99,7 @@ class el2_ifu_ifc_ctl extends Module with el2_lib with RequireAsyncReset { val next_state_0 = (!goto_idle & leave_idle) | (state(0) & !goto_idle) - state := RegNext(Cat(next_state_1, next_state_0), init = 0.U) + state := withClock(io.active_clk) {RegNext(Cat(next_state_1, next_state_0), init = 0.U)} flush_fb := io.exu_flush_final @@ -116,14 +116,12 @@ class el2_ifu_ifc_ctl extends Module with el2_lib with RequireAsyncReset { (!flush_fb & !fb_right & !fb_right2 & !fb_left).asBool -> fb_write_f(3,0) )) - fb_full_f_ns := RegNext(fb_write_ns(3), init = 0.U) - idle := state === 0.U(2.W) wfm := state === 3.U(2.W) fb_full_f_ns := fb_write_ns(3) - val fb_full_f = RegNext(fb_full_f_ns, init = 0.U) - fb_write_f := RegNext(fb_write_ns, 0.U) + val fb_full_f = withClock(io.active_clk) {RegNext(fb_full_f_ns, init = 0.U)} + fb_write_f := withClock(io.active_clk) {RegNext(fb_write_ns, 0.U)} io.ifu_pmu_fetch_stall := wfm | (io.ifc_fetch_req_bf_raw & ((fb_full_f & !(io.ifu_fb_consume2 | io.ifu_fb_consume1 | io.exu_flush_final)) | dma_stall)) @@ -139,9 +137,10 @@ class el2_ifu_ifc_ctl extends Module with el2_lib with RequireAsyncReset { io.ifc_region_acc_fault_bf := !iccm_acc_in_range_bf & iccm_acc_in_region_bf io.ifc_fetch_uncacheable_bf := ~io.dec_tlu_mrac_ff(Cat(io.ifc_fetch_addr_bf(30,27), 0.U)) - io.ifc_fetch_req_f := RegNext(io.ifc_fetch_req_bf, init=0.U) + io.ifc_fetch_req_f := withClock(io.active_clk){RegNext(io.ifc_fetch_req_bf, init=0.U)} io.ifc_fetch_addr_f := RegEnable(io.ifc_fetch_addr_bf, init = 0.U, io.exu_flush_final|io.ifc_fetch_req_f) + //rvdffe(io.ifc_fetch_addr_bf,(io.exu_flush_final|io.ifc_fetch_req_f).asBool,clock,io.scan_mode) } object ifu_ifc extends App { diff --git a/src/main/scala/lib/el2_lib.scala b/src/main/scala/lib/el2_lib.scala index 8260d925..11ee7a3a 100644 --- a/src/main/scala/lib/el2_lib.scala +++ b/src/main/scala/lib/el2_lib.scala @@ -375,4 +375,63 @@ trait el2_lib extends param{ val ecc_error = en & (ecc_check(6,0) =/= 0.U) ecc_error } + + + + class TEC_RV_ICG extends BlackBox with HasBlackBoxResource { + val io = IO(new Bundle { + val Q = Output(Clock()) + val CK = Input(Clock()) + val EN = Input(Bool()) + val SE = Input(Bool()) + }) + addResource("/vsrc/TEC_RV_ICG.v") + } + + class rvclkhdr extends Module { + val io = IO(new Bundle { + val l1clk = Output(Clock()) + val clk = Input(Clock()) + val en = Input(Bool()) + val scan_mode = Input(Bool()) + }) + val clkhdr = { Module(new TEC_RV_ICG) } + io.l1clk := clkhdr.io.Q + clkhdr.io.CK := io.clk + clkhdr.io.EN := io.en + clkhdr.io.SE := io.scan_mode + } + + object rvclkhdr { + def apply(clk: Clock, en: Bool, scan_mode: Bool): Clock = { + val cg = Module(new rvclkhdr) + cg.io.clk := clk + cg.io.en := en + cg.io.scan_mode := scan_mode + cg.io.l1clk + } + } + + object rvdffe { + def apply(din: UInt, en: Bool, clk: Clock, scan_mode: Bool): UInt = { + val obj = Module(new rvclkhdr()) + val l1clk = obj.io.l1clk + obj.io.clk := clk + obj.io.en := en + obj.io.scan_mode := scan_mode + withClock(l1clk) { + RegNext(din, 0.U) + } + } + def apply(din: Bundle, en: Bool, clk: Clock, scan_mode: Bool) = { + val obj = Module(new rvclkhdr()) + val l1clk = obj.io.l1clk + obj.io.clk := clk + obj.io.en := en + obj.io.scan_mode := scan_mode + withClock(l1clk) { + RegNext(din,0.U.asTypeOf(din.cloneType)) + } + } + } } diff --git a/target/scala-2.12/classes/ifu/EL2_IC_DATA.class b/target/scala-2.12/classes/ifu/EL2_IC_DATA.class index c8220eff..c9da7937 100644 Binary files a/target/scala-2.12/classes/ifu/EL2_IC_DATA.class and b/target/scala-2.12/classes/ifu/EL2_IC_DATA.class differ diff --git a/target/scala-2.12/classes/ifu/EL2_IC_TAG.class b/target/scala-2.12/classes/ifu/EL2_IC_TAG.class index a7a14b74..f5ade755 100644 Binary files a/target/scala-2.12/classes/ifu/EL2_IC_TAG.class and b/target/scala-2.12/classes/ifu/EL2_IC_TAG.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class index cda86dd6..367e9ef7 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_bp_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_bp_ctl.class index 20bc3a0f..0eae2370 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_bp_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_bp_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class index a161a0de..00066748 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem.class b/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem.class index a8c34103..2ec89cc8 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem.class and b/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctl$$anon$1.class b/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctl$$anon$1.class index 0be8117f..f00c67e0 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctl$$anon$1.class and b/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctl$$anon$1.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctl.class index 1b23e2ad..90e3b122 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class index ea477dfd..6785b0ce 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_ifc$.class b/target/scala-2.12/classes/ifu/ifu_ifc$.class index ca749c3b..2b97909f 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_ifc$.class and b/target/scala-2.12/classes/ifu/ifu_ifc$.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_ifc$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_ifc$delayedInit$body.class index 632a3a69..54d2682b 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_ifc$delayedInit$body.class and b/target/scala-2.12/classes/ifu/ifu_ifc$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG$$anon$1.class b/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG$$anon$1.class new file mode 100644 index 00000000..7d72e2a8 Binary files /dev/null and b/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG$$anon$1.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG.class b/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG.class new file mode 100644 index 00000000..545cb38f Binary files /dev/null and b/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$$anon$2.class b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$$anon$2.class new file mode 100644 index 00000000..53bc7e9f Binary files /dev/null and b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$$anon$2.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$.class b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$.class new file mode 100644 index 00000000..2586cc4a Binary files /dev/null and b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvclkhdr.class b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr.class new file mode 100644 index 00000000..14722e92 Binary files /dev/null and b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class b/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class new file mode 100644 index 00000000..15691de3 Binary files /dev/null and b/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib.class b/target/scala-2.12/classes/lib/el2_lib.class index 3db9dfbb..64498cff 100644 Binary files a/target/scala-2.12/classes/lib/el2_lib.class and b/target/scala-2.12/classes/lib/el2_lib.class differ diff --git a/target/scala-2.12/classes/lib/rvdffs.class b/target/scala-2.12/classes/lib/rvdffs.class index e2a2e816..027f43eb 100644 Binary files a/target/scala-2.12/classes/lib/rvdffs.class and b/target/scala-2.12/classes/lib/rvdffs.class differ diff --git a/target/scala-2.12/classes/lib/rvdffsc.class b/target/scala-2.12/classes/lib/rvdffsc.class index 634995a8..2f135746 100644 Binary files a/target/scala-2.12/classes/lib/rvdffsc.class and b/target/scala-2.12/classes/lib/rvdffsc.class differ diff --git a/target/scala-2.12/classes/vsrc/TEC_RV_ICG.v b/target/scala-2.12/classes/vsrc/TEC_RV_ICG.v new file mode 100644 index 00000000..5d8f005d --- /dev/null +++ b/target/scala-2.12/classes/vsrc/TEC_RV_ICG.v @@ -0,0 +1,14 @@ +module TEC_RV_ICG( + ( + input logic SE, EN, CK, + output Q + ); + logic en_ff; + logic enable; + assign enable = EN | SE; + always @(CK, enable) begin + if(!CK) + en_ff = enable; + end + assign Q = CK & en_ff; +endmodule \ No newline at end of file