From 946a5292b46ca9b744dcd2769103335835448440 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Mon, 14 Dec 2020 12:30:39 +0500 Subject: [PATCH] Reset dontTouch for script --- firrtl_black_box_resource_files.f | 4 +- quasar_wrapper.anno.json | 12 + quasar_wrapper.fir | 1763 ++++++++--------- quasar_wrapper.v | 1190 +++++------ src/main/scala/dbg/dbg.scala | 3 - target/scala-2.12/classes/dbg/db_obj$.class | Bin 3835 -> 0 bytes .../classes/dbg/db_obj$delayedInit$body.class | Bin 718 -> 0 bytes target/scala-2.12/classes/dbg/db_obj.class | Bin 763 -> 0 bytes target/scala-2.12/classes/dbg/dbg.class | Bin 275716 -> 275716 bytes 9 files changed, 1484 insertions(+), 1488 deletions(-) delete mode 100644 target/scala-2.12/classes/dbg/db_obj$.class delete mode 100644 target/scala-2.12/classes/dbg/db_obj$delayedInit$body.class delete mode 100644 target/scala-2.12/classes/dbg/db_obj.class diff --git a/firrtl_black_box_resource_files.f b/firrtl_black_box_resource_files.f index d4456bc6..40eae7ce 100644 --- a/firrtl_black_box_resource_files.f +++ b/firrtl_black_box_resource_files.f @@ -1 +1,3 @@ -/home/waleedbinehsan/Desktop/Quasar/gated_latch.v \ No newline at end of file +/home/waleedbinehsan/Desktop/Quasar/gated_latch.v +/home/waleedbinehsan/Desktop/Quasar/dmi_wrapper.sv +/home/waleedbinehsan/Desktop/Quasar/mem.sv \ No newline at end of file diff --git a/quasar_wrapper.anno.json b/quasar_wrapper.anno.json index 411cce42..7c6bb0c8 100644 --- a/quasar_wrapper.anno.json +++ b/quasar_wrapper.anno.json @@ -968,6 +968,18 @@ "class":"firrtl.transforms.DontTouchAnnotation", "target":"~quasar_wrapper|exu>i0_rs2_d" }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~quasar_wrapper|dbg>rst_not" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~quasar_wrapper|dbg>rst_temp" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~quasar_wrapper|dbg>dbg_dm_rst_l" + }, { "class":"firrtl.transforms.DontTouchAnnotation", "target":"~quasar_wrapper|dec_trigger>io_dec_i0_trigger_match_d" diff --git a/quasar_wrapper.fir b/quasar_wrapper.fir index f481c14f..4a37af3c 100644 --- a/quasar_wrapper.fir +++ b/quasar_wrapper.fir @@ -81933,59 +81933,61 @@ circuit quasar_wrapper : rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] rvclkhdr_1.io.en <= sb_free_clken @[lib.scala 345:16] rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node _T_7 = bits(io.dbg_rst_l, 0, 0) @[dbg.scala 99:41] - node _T_8 = bits(dmcontrol_reg, 0, 0) @[dbg.scala 99:60] - node _T_9 = or(_T_8, io.scan_mode) @[dbg.scala 99:64] - node dbg_dm_rst_l = and(_T_7, _T_9) @[dbg.scala 99:44] - node _T_10 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 100:39] - node _T_11 = eq(_T_10, UInt<1>("h00")) @[dbg.scala 100:25] - node _T_12 = bits(_T_11, 0, 0) @[dbg.scala 100:50] - io.dbg_core_rst_l <= _T_12 @[dbg.scala 100:21] - node _T_13 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 101:36] - node _T_14 = and(_T_13, io.dmi_reg_en) @[dbg.scala 101:49] - node _T_15 = and(_T_14, io.dmi_reg_wr_en) @[dbg.scala 101:65] - node _T_16 = eq(sb_state, UInt<4>("h00")) @[dbg.scala 101:96] - node sbcs_wren = and(_T_15, _T_16) @[dbg.scala 101:84] - node _T_17 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 102:60] - node _T_18 = and(sbcs_wren, _T_17) @[dbg.scala 102:42] - node _T_19 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 102:79] - node _T_20 = and(_T_19, io.dmi_reg_en) @[dbg.scala 102:102] - node _T_21 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 103:23] - node _T_22 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 103:55] - node _T_23 = or(_T_21, _T_22) @[dbg.scala 103:36] - node _T_24 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 103:87] - node _T_25 = or(_T_23, _T_24) @[dbg.scala 103:68] - node _T_26 = and(_T_20, _T_25) @[dbg.scala 102:118] - node sbcs_sbbusyerror_wren = or(_T_18, _T_26) @[dbg.scala 102:66] - node _T_27 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 105:61] - node _T_28 = and(sbcs_wren, _T_27) @[dbg.scala 105:43] - node sbcs_sbbusyerror_din = not(_T_28) @[dbg.scala 105:31] - node _T_29 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 106:80] - reg temp_sbcs_22 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_29, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_7 = bits(io.dbg_rst_l, 0, 0) @[dbg.scala 100:42] + node _T_8 = bits(dmcontrol_reg, 0, 0) @[dbg.scala 100:61] + node _T_9 = or(_T_8, io.scan_mode) @[dbg.scala 100:65] + node _T_10 = and(_T_7, _T_9) @[dbg.scala 100:45] + node dbg_dm_rst_l = asAsyncReset(_T_10) @[dbg.scala 100:94] + node _T_11 = asUInt(dbg_dm_rst_l) @[dbg.scala 102:38] + node _T_12 = asUInt(reset) @[dbg.scala 102:55] + node _T_13 = and(_T_11, _T_12) @[dbg.scala 102:41] + node rst_temp = asAsyncReset(_T_13) @[dbg.scala 102:71] + node _T_14 = asUInt(dbg_dm_rst_l) @[dbg.scala 104:32] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[dbg.scala 104:18] + node rst_not = asAsyncReset(_T_15) @[dbg.scala 104:52] + node _T_16 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 107:39] + node _T_17 = eq(_T_16, UInt<1>("h00")) @[dbg.scala 107:25] + node _T_18 = bits(_T_17, 0, 0) @[dbg.scala 107:50] + io.dbg_core_rst_l <= _T_18 @[dbg.scala 107:21] + node _T_19 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 108:36] + node _T_20 = and(_T_19, io.dmi_reg_en) @[dbg.scala 108:49] + node _T_21 = and(_T_20, io.dmi_reg_wr_en) @[dbg.scala 108:65] + node _T_22 = eq(sb_state, UInt<4>("h00")) @[dbg.scala 108:96] + node sbcs_wren = and(_T_21, _T_22) @[dbg.scala 108:84] + node _T_23 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 109:60] + node _T_24 = and(sbcs_wren, _T_23) @[dbg.scala 109:42] + node _T_25 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 109:79] + node _T_26 = and(_T_25, io.dmi_reg_en) @[dbg.scala 109:102] + node _T_27 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 110:23] + node _T_28 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 110:55] + node _T_29 = or(_T_27, _T_28) @[dbg.scala 110:36] + node _T_30 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 110:87] + node _T_31 = or(_T_29, _T_30) @[dbg.scala 110:68] + node _T_32 = and(_T_26, _T_31) @[dbg.scala 109:118] + node sbcs_sbbusyerror_wren = or(_T_24, _T_32) @[dbg.scala 109:66] + node _T_33 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 112:61] + node _T_34 = and(sbcs_wren, _T_33) @[dbg.scala 112:43] + node sbcs_sbbusyerror_din = not(_T_34) @[dbg.scala 112:31] + reg temp_sbcs_22 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sbbusyerror_wren : @[Reg.scala 28:19] temp_sbcs_22 <= sbcs_sbbusyerror_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_30 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 110:80] - reg temp_sbcs_21 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_30, UInt<1>("h00"))) @[Reg.scala 27:20] + reg temp_sbcs_21 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sbbusy_wren : @[Reg.scala 28:19] temp_sbcs_21 <= sbcs_sbbusy_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_31 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 114:80] - node _T_32 = bits(io.dmi_reg_wdata, 20, 20) @[dbg.scala 115:31] - reg temp_sbcs_20 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_31, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_35 = bits(io.dmi_reg_wdata, 20, 20) @[dbg.scala 122:31] + reg temp_sbcs_20 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_wren : @[Reg.scala 28:19] - temp_sbcs_20 <= _T_32 @[Reg.scala 28:23] + temp_sbcs_20 <= _T_35 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_33 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 118:83] - node _T_34 = bits(io.dmi_reg_wdata, 19, 15) @[dbg.scala 119:31] - reg temp_sbcs_19_15 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_33, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_36 = bits(io.dmi_reg_wdata, 19, 15) @[dbg.scala 126:31] + reg temp_sbcs_19_15 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_wren : @[Reg.scala 28:19] - temp_sbcs_19_15 <= _T_34 @[Reg.scala 28:23] + temp_sbcs_19_15 <= _T_36 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_35 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 122:57] - node _T_36 = asAsyncReset(_T_35) @[dbg.scala 122:84] - node _T_37 = bits(sbcs_sberror_din, 2, 0) @[dbg.scala 123:31] - reg temp_sbcs_14_12 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_36, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_37 = bits(sbcs_sberror_din, 2, 0) @[dbg.scala 130:31] + reg temp_sbcs_14_12 : UInt, rvclkhdr_1.io.l1clk with : (reset => (rst_not, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sberror_wren : @[Reg.scala 28:19] temp_sbcs_14_12 <= _T_37 @[Reg.scala 28:23] skip @[Reg.scala 28:19] @@ -81997,963 +81999,946 @@ circuit quasar_wrapper : node _T_43 = cat(_T_42, temp_sbcs_22) @[Cat.scala 29:58] node _T_44 = cat(_T_43, _T_41) @[Cat.scala 29:58] node _T_45 = cat(_T_44, _T_40) @[Cat.scala 29:58] - sbcs_reg <= _T_45 @[dbg.scala 125:12] - node _T_46 = bits(sbcs_reg, 19, 17) @[dbg.scala 127:33] - node _T_47 = eq(_T_46, UInt<3>("h01")) @[dbg.scala 127:42] - node _T_48 = bits(sbaddress0_reg, 0, 0) @[dbg.scala 127:77] - node _T_49 = and(_T_47, _T_48) @[dbg.scala 127:61] - node _T_50 = bits(sbcs_reg, 19, 17) @[dbg.scala 128:14] - node _T_51 = eq(_T_50, UInt<3>("h02")) @[dbg.scala 128:23] - node _T_52 = bits(sbaddress0_reg, 1, 0) @[dbg.scala 128:58] - node _T_53 = orr(_T_52) @[dbg.scala 128:65] - node _T_54 = and(_T_51, _T_53) @[dbg.scala 128:42] - node _T_55 = or(_T_49, _T_54) @[dbg.scala 127:81] - node _T_56 = bits(sbcs_reg, 19, 17) @[dbg.scala 129:14] - node _T_57 = eq(_T_56, UInt<3>("h03")) @[dbg.scala 129:23] - node _T_58 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 129:58] - node _T_59 = orr(_T_58) @[dbg.scala 129:65] - node _T_60 = and(_T_57, _T_59) @[dbg.scala 129:42] - node sbcs_unaligned = or(_T_55, _T_60) @[dbg.scala 128:69] - node sbcs_illegal_size = bits(sbcs_reg, 19, 19) @[dbg.scala 131:35] - node _T_61 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:42] - node _T_62 = eq(_T_61, UInt<1>("h00")) @[dbg.scala 132:51] + sbcs_reg <= _T_45 @[dbg.scala 132:12] + node _T_46 = bits(sbcs_reg, 19, 17) @[dbg.scala 134:33] + node _T_47 = eq(_T_46, UInt<3>("h01")) @[dbg.scala 134:42] + node _T_48 = bits(sbaddress0_reg, 0, 0) @[dbg.scala 134:77] + node _T_49 = and(_T_47, _T_48) @[dbg.scala 134:61] + node _T_50 = bits(sbcs_reg, 19, 17) @[dbg.scala 135:14] + node _T_51 = eq(_T_50, UInt<3>("h02")) @[dbg.scala 135:23] + node _T_52 = bits(sbaddress0_reg, 1, 0) @[dbg.scala 135:58] + node _T_53 = orr(_T_52) @[dbg.scala 135:65] + node _T_54 = and(_T_51, _T_53) @[dbg.scala 135:42] + node _T_55 = or(_T_49, _T_54) @[dbg.scala 134:81] + node _T_56 = bits(sbcs_reg, 19, 17) @[dbg.scala 136:14] + node _T_57 = eq(_T_56, UInt<3>("h03")) @[dbg.scala 136:23] + node _T_58 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 136:58] + node _T_59 = orr(_T_58) @[dbg.scala 136:65] + node _T_60 = and(_T_57, _T_59) @[dbg.scala 136:42] + node sbcs_unaligned = or(_T_55, _T_60) @[dbg.scala 135:69] + node sbcs_illegal_size = bits(sbcs_reg, 19, 19) @[dbg.scala 138:35] + node _T_61 = bits(sbcs_reg, 19, 17) @[dbg.scala 139:42] + node _T_62 = eq(_T_61, UInt<1>("h00")) @[dbg.scala 139:51] node _T_63 = bits(_T_62, 0, 0) @[Bitwise.scala 72:15] node _T_64 = mux(_T_63, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_65 = and(_T_64, UInt<4>("h01")) @[dbg.scala 132:64] - node _T_66 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:100] - node _T_67 = eq(_T_66, UInt<1>("h01")) @[dbg.scala 132:109] + node _T_65 = and(_T_64, UInt<4>("h01")) @[dbg.scala 139:64] + node _T_66 = bits(sbcs_reg, 19, 17) @[dbg.scala 139:100] + node _T_67 = eq(_T_66, UInt<1>("h01")) @[dbg.scala 139:109] node _T_68 = bits(_T_67, 0, 0) @[Bitwise.scala 72:15] node _T_69 = mux(_T_68, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_70 = and(_T_69, UInt<4>("h02")) @[dbg.scala 132:122] - node _T_71 = or(_T_65, _T_70) @[dbg.scala 132:81] - node _T_72 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:22] - node _T_73 = eq(_T_72, UInt<2>("h02")) @[dbg.scala 133:31] + node _T_70 = and(_T_69, UInt<4>("h02")) @[dbg.scala 139:122] + node _T_71 = or(_T_65, _T_70) @[dbg.scala 139:81] + node _T_72 = bits(sbcs_reg, 19, 17) @[dbg.scala 140:22] + node _T_73 = eq(_T_72, UInt<2>("h02")) @[dbg.scala 140:31] node _T_74 = bits(_T_73, 0, 0) @[Bitwise.scala 72:15] node _T_75 = mux(_T_74, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_76 = and(_T_75, UInt<4>("h04")) @[dbg.scala 133:44] - node _T_77 = or(_T_71, _T_76) @[dbg.scala 132:139] - node _T_78 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:80] - node _T_79 = eq(_T_78, UInt<2>("h03")) @[dbg.scala 133:89] + node _T_76 = and(_T_75, UInt<4>("h04")) @[dbg.scala 140:44] + node _T_77 = or(_T_71, _T_76) @[dbg.scala 139:139] + node _T_78 = bits(sbcs_reg, 19, 17) @[dbg.scala 140:80] + node _T_79 = eq(_T_78, UInt<2>("h03")) @[dbg.scala 140:89] node _T_80 = bits(_T_79, 0, 0) @[Bitwise.scala 72:15] node _T_81 = mux(_T_80, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_82 = and(_T_81, UInt<4>("h08")) @[dbg.scala 133:102] - node sbaddress0_incr = or(_T_77, _T_82) @[dbg.scala 133:61] - node _T_83 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 135:41] - node _T_84 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 135:79] - node sbdata0_reg_wren0 = and(_T_83, _T_84) @[dbg.scala 135:60] - node _T_85 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 136:37] - node _T_86 = and(_T_85, sb_state_en) @[dbg.scala 136:60] - node _T_87 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 136:76] - node sbdata0_reg_wren1 = and(_T_86, _T_87) @[dbg.scala 136:74] - node sbdata0_reg_wren = or(sbdata0_reg_wren0, sbdata0_reg_wren1) @[dbg.scala 137:44] - node _T_88 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 138:41] - node _T_89 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 138:79] - node sbdata1_reg_wren0 = and(_T_88, _T_89) @[dbg.scala 138:60] - node _T_90 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 139:37] - node _T_91 = and(_T_90, sb_state_en) @[dbg.scala 139:60] - node _T_92 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 139:76] - node sbdata1_reg_wren1 = and(_T_91, _T_92) @[dbg.scala 139:74] - node sbdata1_reg_wren = or(sbdata1_reg_wren0, sbdata1_reg_wren1) @[dbg.scala 140:44] + node _T_82 = and(_T_81, UInt<4>("h08")) @[dbg.scala 140:102] + node sbaddress0_incr = or(_T_77, _T_82) @[dbg.scala 140:61] + node _T_83 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 142:41] + node _T_84 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 142:79] + node sbdata0_reg_wren0 = and(_T_83, _T_84) @[dbg.scala 142:60] + node _T_85 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 143:37] + node _T_86 = and(_T_85, sb_state_en) @[dbg.scala 143:60] + node _T_87 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 143:76] + node sbdata0_reg_wren1 = and(_T_86, _T_87) @[dbg.scala 143:74] + node sbdata0_reg_wren = or(sbdata0_reg_wren0, sbdata0_reg_wren1) @[dbg.scala 144:44] + node _T_88 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 145:41] + node _T_89 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 145:79] + node sbdata1_reg_wren0 = and(_T_88, _T_89) @[dbg.scala 145:60] + node _T_90 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 146:37] + node _T_91 = and(_T_90, sb_state_en) @[dbg.scala 146:60] + node _T_92 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 146:76] + node sbdata1_reg_wren1 = and(_T_91, _T_92) @[dbg.scala 146:74] + node sbdata1_reg_wren = or(sbdata1_reg_wren0, sbdata1_reg_wren1) @[dbg.scala 147:44] node _T_93 = bits(sbdata0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] node _T_94 = mux(_T_93, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_95 = and(_T_94, io.dmi_reg_wdata) @[dbg.scala 141:49] + node _T_95 = and(_T_94, io.dmi_reg_wdata) @[dbg.scala 148:49] node _T_96 = bits(sbdata0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] node _T_97 = mux(_T_96, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_98 = bits(sb_bus_rdata, 31, 0) @[dbg.scala 142:47] - node _T_99 = and(_T_97, _T_98) @[dbg.scala 142:33] - node sbdata0_din = or(_T_95, _T_99) @[dbg.scala 141:68] + node _T_98 = bits(sb_bus_rdata, 31, 0) @[dbg.scala 149:47] + node _T_99 = and(_T_97, _T_98) @[dbg.scala 149:33] + node sbdata0_din = or(_T_95, _T_99) @[dbg.scala 148:68] node _T_100 = bits(sbdata1_reg_wren0, 0, 0) @[Bitwise.scala 72:15] node _T_101 = mux(_T_100, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_102 = and(_T_101, io.dmi_reg_wdata) @[dbg.scala 144:49] + node _T_102 = and(_T_101, io.dmi_reg_wdata) @[dbg.scala 151:49] node _T_103 = bits(sbdata1_reg_wren1, 0, 0) @[Bitwise.scala 72:15] node _T_104 = mux(_T_103, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_105 = bits(sb_bus_rdata, 63, 32) @[dbg.scala 145:47] - node _T_106 = and(_T_104, _T_105) @[dbg.scala 145:33] - node sbdata1_din = or(_T_102, _T_106) @[dbg.scala 144:68] - node _T_107 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 147:58] + node _T_105 = bits(sb_bus_rdata, 63, 32) @[dbg.scala 152:47] + node _T_106 = and(_T_104, _T_105) @[dbg.scala 152:33] + node sbdata1_din = or(_T_102, _T_106) @[dbg.scala 151:68] inst rvclkhdr_2 of rvclkhdr_757 @[lib.scala 368:23] rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= _T_107 + rvclkhdr_2.reset <= dbg_dm_rst_l rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] rvclkhdr_2.io.en <= sbdata0_reg_wren @[lib.scala 371:17] rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg sbdata0_reg : UInt, rvclkhdr_2.io.l1clk with : (reset => (_T_107, UInt<1>("h00"))) @[lib.scala 374:16] + reg sbdata0_reg : UInt, rvclkhdr_2.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] sbdata0_reg <= sbdata0_din @[lib.scala 374:16] - node _T_108 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 151:58] inst rvclkhdr_3 of rvclkhdr_758 @[lib.scala 368:23] rvclkhdr_3.clock <= clock - rvclkhdr_3.reset <= _T_108 + rvclkhdr_3.reset <= dbg_dm_rst_l rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] rvclkhdr_3.io.en <= sbdata1_reg_wren @[lib.scala 371:17] rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg sbdata1_reg : UInt, rvclkhdr_3.io.l1clk with : (reset => (_T_108, UInt<1>("h00"))) @[lib.scala 374:16] + reg sbdata1_reg : UInt, rvclkhdr_3.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] sbdata1_reg <= sbdata1_din @[lib.scala 374:16] - node _T_109 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 155:44] - node _T_110 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 155:82] - node sbaddress0_reg_wren0 = and(_T_109, _T_110) @[dbg.scala 155:63] - node sbaddress0_reg_wren = or(sbaddress0_reg_wren0, sbaddress0_reg_wren1) @[dbg.scala 156:50] - node _T_111 = bits(sbaddress0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_112 = mux(_T_111, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_113 = and(_T_112, io.dmi_reg_wdata) @[dbg.scala 157:59] - node _T_114 = bits(sbaddress0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_115 = mux(_T_114, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_116 = cat(UInt<28>("h00"), sbaddress0_incr) @[Cat.scala 29:58] - node _T_117 = add(sbaddress0_reg, _T_116) @[dbg.scala 158:54] - node _T_118 = tail(_T_117, 1) @[dbg.scala 158:54] - node _T_119 = and(_T_115, _T_118) @[dbg.scala 158:36] - node sbaddress0_reg_din = or(_T_113, _T_119) @[dbg.scala 157:78] - node _T_120 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 159:58] + node _T_107 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 162:44] + node _T_108 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 162:82] + node sbaddress0_reg_wren0 = and(_T_107, _T_108) @[dbg.scala 162:63] + node sbaddress0_reg_wren = or(sbaddress0_reg_wren0, sbaddress0_reg_wren1) @[dbg.scala 163:50] + node _T_109 = bits(sbaddress0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_110 = mux(_T_109, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_111 = and(_T_110, io.dmi_reg_wdata) @[dbg.scala 164:59] + node _T_112 = bits(sbaddress0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_113 = mux(_T_112, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_114 = cat(UInt<28>("h00"), sbaddress0_incr) @[Cat.scala 29:58] + node _T_115 = add(sbaddress0_reg, _T_114) @[dbg.scala 165:54] + node _T_116 = tail(_T_115, 1) @[dbg.scala 165:54] + node _T_117 = and(_T_113, _T_116) @[dbg.scala 165:36] + node sbaddress0_reg_din = or(_T_111, _T_117) @[dbg.scala 164:78] inst rvclkhdr_4 of rvclkhdr_759 @[lib.scala 368:23] rvclkhdr_4.clock <= clock - rvclkhdr_4.reset <= _T_120 + rvclkhdr_4.reset <= dbg_dm_rst_l rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] rvclkhdr_4.io.en <= sbaddress0_reg_wren @[lib.scala 371:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_121 : UInt, rvclkhdr_4.io.l1clk with : (reset => (_T_120, UInt<1>("h00"))) @[lib.scala 374:16] - _T_121 <= sbaddress0_reg_din @[lib.scala 374:16] - sbaddress0_reg <= _T_121 @[dbg.scala 159:18] - node _T_122 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 163:43] - node _T_123 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 163:81] - node _T_124 = and(_T_122, _T_123) @[dbg.scala 163:62] - node _T_125 = bits(sbcs_reg, 20, 20) @[dbg.scala 163:104] - node sbreadonaddr_access = and(_T_124, _T_125) @[dbg.scala 163:94] - node _T_126 = eq(io.dmi_reg_wr_en, UInt<1>("h00")) @[dbg.scala 164:45] - node _T_127 = and(io.dmi_reg_en, _T_126) @[dbg.scala 164:43] - node _T_128 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 164:82] - node _T_129 = and(_T_127, _T_128) @[dbg.scala 164:63] - node _T_130 = bits(sbcs_reg, 15, 15) @[dbg.scala 164:105] - node sbreadondata_access = and(_T_129, _T_130) @[dbg.scala 164:95] - node _T_131 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 165:40] - node _T_132 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 165:78] - node sbdata0wr_access = and(_T_131, _T_132) @[dbg.scala 165:59] - node _T_133 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 166:41] - node _T_134 = and(_T_133, io.dmi_reg_en) @[dbg.scala 166:54] - node dmcontrol_wren = and(_T_134, io.dmi_reg_wr_en) @[dbg.scala 166:70] - node _T_135 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 167:76] - node _T_136 = bits(io.dmi_reg_wdata, 31, 30) @[dbg.scala 169:27] - node _T_137 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 169:53] - node _T_138 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 169:75] - node _T_139 = cat(_T_136, _T_137) @[Cat.scala 29:58] - node _T_140 = cat(_T_139, _T_138) @[Cat.scala 29:58] - reg dm_temp : UInt, rvclkhdr.io.l1clk with : (reset => (_T_135, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_118 : UInt, rvclkhdr_4.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + _T_118 <= sbaddress0_reg_din @[lib.scala 374:16] + sbaddress0_reg <= _T_118 @[dbg.scala 166:18] + node _T_119 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 170:43] + node _T_120 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 170:81] + node _T_121 = and(_T_119, _T_120) @[dbg.scala 170:62] + node _T_122 = bits(sbcs_reg, 20, 20) @[dbg.scala 170:104] + node sbreadonaddr_access = and(_T_121, _T_122) @[dbg.scala 170:94] + node _T_123 = eq(io.dmi_reg_wr_en, UInt<1>("h00")) @[dbg.scala 171:45] + node _T_124 = and(io.dmi_reg_en, _T_123) @[dbg.scala 171:43] + node _T_125 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 171:82] + node _T_126 = and(_T_124, _T_125) @[dbg.scala 171:63] + node _T_127 = bits(sbcs_reg, 15, 15) @[dbg.scala 171:105] + node sbreadondata_access = and(_T_126, _T_127) @[dbg.scala 171:95] + node _T_128 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 172:40] + node _T_129 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 172:78] + node sbdata0wr_access = and(_T_128, _T_129) @[dbg.scala 172:59] + node _T_130 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 173:41] + node _T_131 = and(_T_130, io.dmi_reg_en) @[dbg.scala 173:54] + node dmcontrol_wren = and(_T_131, io.dmi_reg_wr_en) @[dbg.scala 173:70] + node _T_132 = bits(io.dmi_reg_wdata, 31, 30) @[dbg.scala 176:27] + node _T_133 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 176:53] + node _T_134 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 176:75] + node _T_135 = cat(_T_132, _T_133) @[Cat.scala 29:58] + node _T_136 = cat(_T_135, _T_134) @[Cat.scala 29:58] + reg dm_temp : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when dmcontrol_wren : @[Reg.scala 28:19] - dm_temp <= _T_140 @[Reg.scala 28:23] + dm_temp <= _T_136 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_141 = asAsyncReset(io.dbg_rst_l) @[dbg.scala 173:76] - node _T_142 = bits(io.dmi_reg_wdata, 0, 0) @[dbg.scala 174:31] - reg dm_temp_0 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_141, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_137 = asAsyncReset(io.dbg_rst_l) @[dbg.scala 180:76] + node _T_138 = bits(io.dmi_reg_wdata, 0, 0) @[dbg.scala 181:31] + reg dm_temp_0 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_137, UInt<1>("h00"))) @[Reg.scala 27:20] when dmcontrol_wren : @[Reg.scala 28:19] - dm_temp_0 <= _T_142 @[Reg.scala 28:23] + dm_temp_0 <= _T_138 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_143 = bits(dm_temp, 3, 2) @[dbg.scala 177:25] - node _T_144 = bits(dm_temp, 1, 1) @[dbg.scala 177:45] - node _T_145 = bits(dm_temp, 0, 0) @[dbg.scala 177:68] - node _T_146 = cat(UInt<26>("h00"), _T_145) @[Cat.scala 29:58] - node _T_147 = cat(_T_146, dm_temp_0) @[Cat.scala 29:58] - node _T_148 = cat(_T_143, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_149 = cat(_T_148, _T_144) @[Cat.scala 29:58] - node temp = cat(_T_149, _T_147) @[Cat.scala 29:58] - dmcontrol_reg <= temp @[dbg.scala 178:17] - node _T_150 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 180:85] - reg dmcontrol_wren_Q : UInt<1>, rvclkhdr.io.l1clk with : (reset => (_T_150, UInt<1>("h00"))) @[dbg.scala 181:12] - dmcontrol_wren_Q <= dmcontrol_wren @[dbg.scala 181:12] - node _T_151 = bits(dmstatus_havereset, 0, 0) @[Bitwise.scala 72:15] - node _T_152 = mux(_T_151, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_153 = bits(dmstatus_resumeack, 0, 0) @[Bitwise.scala 72:15] - node _T_154 = mux(_T_153, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_155 = bits(dmstatus_unavail, 0, 0) @[Bitwise.scala 72:15] - node _T_156 = mux(_T_155, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_157 = bits(dmstatus_running, 0, 0) @[Bitwise.scala 72:15] - node _T_158 = mux(_T_157, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_159 = bits(dmstatus_halted, 0, 0) @[Bitwise.scala 72:15] - node _T_160 = mux(_T_159, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_161 = cat(UInt<3>("h00"), UInt<4>("h02")) @[Cat.scala 29:58] - node _T_162 = cat(_T_158, _T_160) @[Cat.scala 29:58] - node _T_163 = cat(_T_162, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_164 = cat(_T_163, _T_161) @[Cat.scala 29:58] - node _T_165 = cat(UInt<2>("h00"), _T_156) @[Cat.scala 29:58] - node _T_166 = cat(UInt<12>("h00"), _T_152) @[Cat.scala 29:58] - node _T_167 = cat(_T_166, _T_154) @[Cat.scala 29:58] - node _T_168 = cat(_T_167, _T_165) @[Cat.scala 29:58] - node _T_169 = cat(_T_168, _T_164) @[Cat.scala 29:58] - dmstatus_reg <= _T_169 @[dbg.scala 184:16] - node _T_170 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 186:44] - node _T_171 = and(_T_170, io.dec_tlu_resume_ack) @[dbg.scala 186:66] - node _T_172 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 186:127] - node _T_173 = eq(_T_172, UInt<1>("h00")) @[dbg.scala 186:113] - node _T_174 = and(dmstatus_resumeack, _T_173) @[dbg.scala 186:111] - node dmstatus_resumeack_wren = or(_T_171, _T_174) @[dbg.scala 186:90] - node _T_175 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 187:43] - node dmstatus_resumeack_din = and(_T_175, io.dec_tlu_resume_ack) @[dbg.scala 187:65] - node _T_176 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 188:50] - node _T_177 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 188:81] - node _T_178 = and(_T_176, _T_177) @[dbg.scala 188:63] - node _T_179 = and(_T_178, io.dmi_reg_en) @[dbg.scala 188:85] - node dmstatus_havereset_wren = and(_T_179, io.dmi_reg_wr_en) @[dbg.scala 188:101] - node _T_180 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 189:49] - node _T_181 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 189:80] - node _T_182 = and(_T_180, _T_181) @[dbg.scala 189:62] - node _T_183 = and(_T_182, io.dmi_reg_en) @[dbg.scala 189:85] - node dmstatus_havereset_rst = and(_T_183, io.dmi_reg_wr_en) @[dbg.scala 189:101] - node temp_rst = asUInt(reset) @[dbg.scala 190:30] - node _T_184 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 191:37] - node _T_185 = eq(temp_rst, UInt<1>("h00")) @[dbg.scala 191:43] - node _T_186 = or(_T_184, _T_185) @[dbg.scala 191:41] - node _T_187 = bits(_T_186, 0, 0) @[dbg.scala 191:62] - dmstatus_unavail <= _T_187 @[dbg.scala 191:20] - node _T_188 = or(dmstatus_unavail, dmstatus_halted) @[dbg.scala 192:42] - node _T_189 = not(_T_188) @[dbg.scala 192:23] - dmstatus_running <= _T_189 @[dbg.scala 192:20] - node _T_190 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 193:84] - reg _T_191 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_190, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_139 = bits(dm_temp, 3, 2) @[dbg.scala 184:25] + node _T_140 = bits(dm_temp, 1, 1) @[dbg.scala 184:45] + node _T_141 = bits(dm_temp, 0, 0) @[dbg.scala 184:68] + node _T_142 = cat(UInt<26>("h00"), _T_141) @[Cat.scala 29:58] + node _T_143 = cat(_T_142, dm_temp_0) @[Cat.scala 29:58] + node _T_144 = cat(_T_139, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_145 = cat(_T_144, _T_140) @[Cat.scala 29:58] + node temp = cat(_T_145, _T_143) @[Cat.scala 29:58] + dmcontrol_reg <= temp @[dbg.scala 185:17] + reg dmcontrol_wren_Q : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 188:12] + dmcontrol_wren_Q <= dmcontrol_wren @[dbg.scala 188:12] + node _T_146 = bits(dmstatus_havereset, 0, 0) @[Bitwise.scala 72:15] + node _T_147 = mux(_T_146, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_148 = bits(dmstatus_resumeack, 0, 0) @[Bitwise.scala 72:15] + node _T_149 = mux(_T_148, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_150 = bits(dmstatus_unavail, 0, 0) @[Bitwise.scala 72:15] + node _T_151 = mux(_T_150, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_152 = bits(dmstatus_running, 0, 0) @[Bitwise.scala 72:15] + node _T_153 = mux(_T_152, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_154 = bits(dmstatus_halted, 0, 0) @[Bitwise.scala 72:15] + node _T_155 = mux(_T_154, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_156 = cat(UInt<3>("h00"), UInt<4>("h02")) @[Cat.scala 29:58] + node _T_157 = cat(_T_153, _T_155) @[Cat.scala 29:58] + node _T_158 = cat(_T_157, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_159 = cat(_T_158, _T_156) @[Cat.scala 29:58] + node _T_160 = cat(UInt<2>("h00"), _T_151) @[Cat.scala 29:58] + node _T_161 = cat(UInt<12>("h00"), _T_147) @[Cat.scala 29:58] + node _T_162 = cat(_T_161, _T_149) @[Cat.scala 29:58] + node _T_163 = cat(_T_162, _T_160) @[Cat.scala 29:58] + node _T_164 = cat(_T_163, _T_159) @[Cat.scala 29:58] + dmstatus_reg <= _T_164 @[dbg.scala 191:16] + node _T_165 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 193:44] + node _T_166 = and(_T_165, io.dec_tlu_resume_ack) @[dbg.scala 193:66] + node _T_167 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 193:127] + node _T_168 = eq(_T_167, UInt<1>("h00")) @[dbg.scala 193:113] + node _T_169 = and(dmstatus_resumeack, _T_168) @[dbg.scala 193:111] + node dmstatus_resumeack_wren = or(_T_166, _T_169) @[dbg.scala 193:90] + node _T_170 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 194:43] + node dmstatus_resumeack_din = and(_T_170, io.dec_tlu_resume_ack) @[dbg.scala 194:65] + node _T_171 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 195:50] + node _T_172 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 195:81] + node _T_173 = and(_T_171, _T_172) @[dbg.scala 195:63] + node _T_174 = and(_T_173, io.dmi_reg_en) @[dbg.scala 195:85] + node dmstatus_havereset_wren = and(_T_174, io.dmi_reg_wr_en) @[dbg.scala 195:101] + node _T_175 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 196:49] + node _T_176 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 196:80] + node _T_177 = and(_T_175, _T_176) @[dbg.scala 196:62] + node _T_178 = and(_T_177, io.dmi_reg_en) @[dbg.scala 196:85] + node dmstatus_havereset_rst = and(_T_178, io.dmi_reg_wr_en) @[dbg.scala 196:101] + node temp_rst = asUInt(reset) @[dbg.scala 197:30] + node _T_179 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 198:37] + node _T_180 = eq(temp_rst, UInt<1>("h00")) @[dbg.scala 198:43] + node _T_181 = or(_T_179, _T_180) @[dbg.scala 198:41] + node _T_182 = bits(_T_181, 0, 0) @[dbg.scala 198:62] + dmstatus_unavail <= _T_182 @[dbg.scala 198:20] + node _T_183 = or(dmstatus_unavail, dmstatus_halted) @[dbg.scala 199:42] + node _T_184 = not(_T_183) @[dbg.scala 199:23] + dmstatus_running <= _T_184 @[dbg.scala 199:20] + reg _T_185 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when dmstatus_resumeack_wren : @[Reg.scala 28:19] - _T_191 <= dmstatus_resumeack_din @[Reg.scala 28:23] + _T_185 <= dmstatus_resumeack_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dmstatus_resumeack <= _T_191 @[dbg.scala 193:22] - node _T_192 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 197:81] - node _T_193 = eq(io.dec_tlu_mpc_halted_only, UInt<1>("h00")) @[dbg.scala 198:37] - node _T_194 = and(io.dec_tlu_dbg_halted, _T_193) @[dbg.scala 198:35] - reg _T_195 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (_T_192, UInt<1>("h00"))) @[dbg.scala 198:12] - _T_195 <= _T_194 @[dbg.scala 198:12] - dmstatus_halted <= _T_195 @[dbg.scala 197:19] - node _T_196 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 201:84] - node _T_197 = mux(dmstatus_havereset_wren, UInt<1>("h01"), dmstatus_havereset) @[dbg.scala 202:16] - node _T_198 = eq(dmstatus_havereset_rst, UInt<1>("h00")) @[dbg.scala 202:72] - node _T_199 = and(_T_197, _T_198) @[dbg.scala 202:70] - reg _T_200 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (_T_196, UInt<1>("h00"))) @[dbg.scala 202:12] - _T_200 <= _T_199 @[dbg.scala 202:12] - dmstatus_havereset <= _T_200 @[dbg.scala 201:22] + dmstatus_resumeack <= _T_185 @[dbg.scala 200:22] + node _T_186 = eq(io.dec_tlu_mpc_halted_only, UInt<1>("h00")) @[dbg.scala 205:37] + node _T_187 = and(io.dec_tlu_dbg_halted, _T_186) @[dbg.scala 205:35] + reg _T_188 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 205:12] + _T_188 <= _T_187 @[dbg.scala 205:12] + dmstatus_halted <= _T_188 @[dbg.scala 204:19] + node _T_189 = mux(dmstatus_havereset_wren, UInt<1>("h01"), dmstatus_havereset) @[dbg.scala 209:16] + node _T_190 = eq(dmstatus_havereset_rst, UInt<1>("h00")) @[dbg.scala 209:72] + node _T_191 = and(_T_189, _T_190) @[dbg.scala 209:70] + reg _T_192 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 209:12] + _T_192 <= _T_191 @[dbg.scala 209:12] + dmstatus_havereset <= _T_192 @[dbg.scala 208:22] node haltsum0_reg = cat(UInt<31>("h00"), dmstatus_halted) @[Cat.scala 29:58] wire abstractcs_reg : UInt<32> abstractcs_reg <= UInt<32>("h02") - node _T_201 = bits(abstractcs_reg, 12, 12) @[dbg.scala 208:45] - node _T_202 = and(_T_201, io.dmi_reg_en) @[dbg.scala 208:50] - node _T_203 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 208:106] - node _T_204 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 208:138] - node _T_205 = or(_T_203, _T_204) @[dbg.scala 208:119] - node _T_206 = and(io.dmi_reg_wr_en, _T_205) @[dbg.scala 208:86] - node _T_207 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 208:171] - node _T_208 = or(_T_206, _T_207) @[dbg.scala 208:152] - node abstractcs_error_sel0 = and(_T_202, _T_208) @[dbg.scala 208:66] - node _T_209 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 209:45] - node _T_210 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 209:83] - node _T_211 = and(_T_209, _T_210) @[dbg.scala 209:64] - node _T_212 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 209:117] - node _T_213 = eq(_T_212, UInt<1>("h00")) @[dbg.scala 209:126] - node _T_214 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 209:154] - node _T_215 = eq(_T_214, UInt<2>("h02")) @[dbg.scala 209:163] - node _T_216 = or(_T_213, _T_215) @[dbg.scala 209:135] - node _T_217 = eq(_T_216, UInt<1>("h00")) @[dbg.scala 209:98] - node abstractcs_error_sel1 = and(_T_211, _T_217) @[dbg.scala 209:96] - node abstractcs_error_sel2 = and(io.core_dbg_cmd_done, io.core_dbg_cmd_fail) @[dbg.scala 210:52] - node _T_218 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 211:45] - node _T_219 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 211:83] - node _T_220 = and(_T_218, _T_219) @[dbg.scala 211:64] - node _T_221 = bits(dmstatus_reg, 9, 9) @[dbg.scala 211:111] - node _T_222 = eq(_T_221, UInt<1>("h00")) @[dbg.scala 211:98] - node abstractcs_error_sel3 = and(_T_220, _T_222) @[dbg.scala 211:96] - node _T_223 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 212:48] - node _T_224 = and(_T_223, io.dmi_reg_en) @[dbg.scala 212:61] - node _T_225 = and(_T_224, io.dmi_reg_wr_en) @[dbg.scala 212:77] - node _T_226 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 213:23] - node _T_227 = neq(_T_226, UInt<3>("h02")) @[dbg.scala 213:32] - node _T_228 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 213:71] - node _T_229 = eq(_T_228, UInt<2>("h02")) @[dbg.scala 213:80] - node _T_230 = bits(data1_reg, 1, 0) @[dbg.scala 213:104] - node _T_231 = orr(_T_230) @[dbg.scala 213:111] - node _T_232 = and(_T_229, _T_231) @[dbg.scala 213:92] - node _T_233 = or(_T_227, _T_232) @[dbg.scala 213:51] - node abstractcs_error_sel4 = and(_T_225, _T_233) @[dbg.scala 212:96] - node _T_234 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 215:48] - node _T_235 = and(_T_234, io.dmi_reg_en) @[dbg.scala 215:61] - node abstractcs_error_sel5 = and(_T_235, io.dmi_reg_wr_en) @[dbg.scala 215:77] - node _T_236 = or(abstractcs_error_sel0, abstractcs_error_sel1) @[dbg.scala 216:54] - node _T_237 = or(_T_236, abstractcs_error_sel2) @[dbg.scala 216:78] - node _T_238 = or(_T_237, abstractcs_error_sel3) @[dbg.scala 216:102] - node _T_239 = or(_T_238, abstractcs_error_sel4) @[dbg.scala 216:126] - node abstractcs_error_selor = or(_T_239, abstractcs_error_sel5) @[dbg.scala 216:150] - node _T_240 = bits(abstractcs_error_sel0, 0, 0) @[Bitwise.scala 72:15] - node _T_241 = mux(_T_240, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_242 = and(_T_241, UInt<3>("h01")) @[dbg.scala 217:62] - node _T_243 = bits(abstractcs_error_sel1, 0, 0) @[Bitwise.scala 72:15] + node _T_193 = bits(abstractcs_reg, 12, 12) @[dbg.scala 215:45] + node _T_194 = and(_T_193, io.dmi_reg_en) @[dbg.scala 215:50] + node _T_195 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 215:106] + node _T_196 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 215:138] + node _T_197 = or(_T_195, _T_196) @[dbg.scala 215:119] + node _T_198 = and(io.dmi_reg_wr_en, _T_197) @[dbg.scala 215:86] + node _T_199 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 215:171] + node _T_200 = or(_T_198, _T_199) @[dbg.scala 215:152] + node abstractcs_error_sel0 = and(_T_194, _T_200) @[dbg.scala 215:66] + node _T_201 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 216:45] + node _T_202 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 216:83] + node _T_203 = and(_T_201, _T_202) @[dbg.scala 216:64] + node _T_204 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 216:117] + node _T_205 = eq(_T_204, UInt<1>("h00")) @[dbg.scala 216:126] + node _T_206 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 216:154] + node _T_207 = eq(_T_206, UInt<2>("h02")) @[dbg.scala 216:163] + node _T_208 = or(_T_205, _T_207) @[dbg.scala 216:135] + node _T_209 = eq(_T_208, UInt<1>("h00")) @[dbg.scala 216:98] + node abstractcs_error_sel1 = and(_T_203, _T_209) @[dbg.scala 216:96] + node abstractcs_error_sel2 = and(io.core_dbg_cmd_done, io.core_dbg_cmd_fail) @[dbg.scala 217:52] + node _T_210 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 218:45] + node _T_211 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 218:83] + node _T_212 = and(_T_210, _T_211) @[dbg.scala 218:64] + node _T_213 = bits(dmstatus_reg, 9, 9) @[dbg.scala 218:111] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[dbg.scala 218:98] + node abstractcs_error_sel3 = and(_T_212, _T_214) @[dbg.scala 218:96] + node _T_215 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 219:48] + node _T_216 = and(_T_215, io.dmi_reg_en) @[dbg.scala 219:61] + node _T_217 = and(_T_216, io.dmi_reg_wr_en) @[dbg.scala 219:77] + node _T_218 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 220:23] + node _T_219 = neq(_T_218, UInt<3>("h02")) @[dbg.scala 220:32] + node _T_220 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 220:71] + node _T_221 = eq(_T_220, UInt<2>("h02")) @[dbg.scala 220:80] + node _T_222 = bits(data1_reg, 1, 0) @[dbg.scala 220:104] + node _T_223 = orr(_T_222) @[dbg.scala 220:111] + node _T_224 = and(_T_221, _T_223) @[dbg.scala 220:92] + node _T_225 = or(_T_219, _T_224) @[dbg.scala 220:51] + node abstractcs_error_sel4 = and(_T_217, _T_225) @[dbg.scala 219:96] + node _T_226 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 222:48] + node _T_227 = and(_T_226, io.dmi_reg_en) @[dbg.scala 222:61] + node abstractcs_error_sel5 = and(_T_227, io.dmi_reg_wr_en) @[dbg.scala 222:77] + node _T_228 = or(abstractcs_error_sel0, abstractcs_error_sel1) @[dbg.scala 223:54] + node _T_229 = or(_T_228, abstractcs_error_sel2) @[dbg.scala 223:78] + node _T_230 = or(_T_229, abstractcs_error_sel3) @[dbg.scala 223:102] + node _T_231 = or(_T_230, abstractcs_error_sel4) @[dbg.scala 223:126] + node abstractcs_error_selor = or(_T_231, abstractcs_error_sel5) @[dbg.scala 223:150] + node _T_232 = bits(abstractcs_error_sel0, 0, 0) @[Bitwise.scala 72:15] + node _T_233 = mux(_T_232, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_234 = and(_T_233, UInt<3>("h01")) @[dbg.scala 224:62] + node _T_235 = bits(abstractcs_error_sel1, 0, 0) @[Bitwise.scala 72:15] + node _T_236 = mux(_T_235, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_237 = and(_T_236, UInt<3>("h02")) @[dbg.scala 225:37] + node _T_238 = or(_T_234, _T_237) @[dbg.scala 224:79] + node _T_239 = bits(abstractcs_error_sel2, 0, 0) @[Bitwise.scala 72:15] + node _T_240 = mux(_T_239, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_241 = and(_T_240, UInt<3>("h03")) @[dbg.scala 226:37] + node _T_242 = or(_T_238, _T_241) @[dbg.scala 225:54] + node _T_243 = bits(abstractcs_error_sel3, 0, 0) @[Bitwise.scala 72:15] node _T_244 = mux(_T_243, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_245 = and(_T_244, UInt<3>("h02")) @[dbg.scala 218:37] - node _T_246 = or(_T_242, _T_245) @[dbg.scala 217:79] - node _T_247 = bits(abstractcs_error_sel2, 0, 0) @[Bitwise.scala 72:15] + node _T_245 = and(_T_244, UInt<3>("h04")) @[dbg.scala 227:37] + node _T_246 = or(_T_242, _T_245) @[dbg.scala 226:54] + node _T_247 = bits(abstractcs_error_sel4, 0, 0) @[Bitwise.scala 72:15] node _T_248 = mux(_T_247, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_249 = and(_T_248, UInt<3>("h03")) @[dbg.scala 219:37] - node _T_250 = or(_T_246, _T_249) @[dbg.scala 218:54] - node _T_251 = bits(abstractcs_error_sel3, 0, 0) @[Bitwise.scala 72:15] + node _T_249 = and(_T_248, UInt<3>("h07")) @[dbg.scala 228:37] + node _T_250 = or(_T_246, _T_249) @[dbg.scala 227:54] + node _T_251 = bits(abstractcs_error_sel5, 0, 0) @[Bitwise.scala 72:15] node _T_252 = mux(_T_251, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_253 = and(_T_252, UInt<3>("h04")) @[dbg.scala 220:37] - node _T_254 = or(_T_250, _T_253) @[dbg.scala 219:54] - node _T_255 = bits(abstractcs_error_sel4, 0, 0) @[Bitwise.scala 72:15] - node _T_256 = mux(_T_255, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_257 = and(_T_256, UInt<3>("h07")) @[dbg.scala 221:37] - node _T_258 = or(_T_254, _T_257) @[dbg.scala 220:54] - node _T_259 = bits(abstractcs_error_sel5, 0, 0) @[Bitwise.scala 72:15] - node _T_260 = mux(_T_259, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_261 = bits(io.dmi_reg_wdata, 10, 8) @[dbg.scala 222:57] - node _T_262 = not(_T_261) @[dbg.scala 222:40] - node _T_263 = and(_T_260, _T_262) @[dbg.scala 222:37] - node _T_264 = bits(abstractcs_reg, 10, 8) @[dbg.scala 222:91] - node _T_265 = and(_T_263, _T_264) @[dbg.scala 222:75] - node _T_266 = or(_T_258, _T_265) @[dbg.scala 221:54] - node _T_267 = not(abstractcs_error_selor) @[dbg.scala 223:15] - node _T_268 = bits(_T_267, 0, 0) @[Bitwise.scala 72:15] - node _T_269 = mux(_T_268, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_270 = bits(abstractcs_reg, 10, 8) @[dbg.scala 223:66] - node _T_271 = and(_T_269, _T_270) @[dbg.scala 223:50] - node abstractcs_error_din = or(_T_266, _T_271) @[dbg.scala 222:100] - node _T_272 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 225:80] - reg abs_temp_12 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_272, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_253 = bits(io.dmi_reg_wdata, 10, 8) @[dbg.scala 229:57] + node _T_254 = not(_T_253) @[dbg.scala 229:40] + node _T_255 = and(_T_252, _T_254) @[dbg.scala 229:37] + node _T_256 = bits(abstractcs_reg, 10, 8) @[dbg.scala 229:91] + node _T_257 = and(_T_255, _T_256) @[dbg.scala 229:75] + node _T_258 = or(_T_250, _T_257) @[dbg.scala 228:54] + node _T_259 = not(abstractcs_error_selor) @[dbg.scala 230:15] + node _T_260 = bits(_T_259, 0, 0) @[Bitwise.scala 72:15] + node _T_261 = mux(_T_260, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_262 = bits(abstractcs_reg, 10, 8) @[dbg.scala 230:66] + node _T_263 = and(_T_261, _T_262) @[dbg.scala 230:50] + node abstractcs_error_din = or(_T_258, _T_263) @[dbg.scala 229:100] + reg abs_temp_12 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when abstractcs_busy_wren : @[Reg.scala 28:19] abs_temp_12 <= abstractcs_busy_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_273 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 229:82] - node _T_274 = bits(abstractcs_error_din, 2, 0) @[dbg.scala 230:33] - reg abs_temp_10_8 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_273, UInt<1>("h00"))) @[dbg.scala 230:12] - abs_temp_10_8 <= _T_274 @[dbg.scala 230:12] - node _T_275 = cat(abs_temp_10_8, UInt<8>("h02")) @[Cat.scala 29:58] - node _T_276 = cat(UInt<19>("h00"), abs_temp_12) @[Cat.scala 29:58] - node _T_277 = cat(_T_276, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_278 = cat(_T_277, _T_275) @[Cat.scala 29:58] - abstractcs_reg <= _T_278 @[dbg.scala 233:18] - node _T_279 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 235:39] - node _T_280 = and(_T_279, io.dmi_reg_en) @[dbg.scala 235:52] - node _T_281 = and(_T_280, io.dmi_reg_wr_en) @[dbg.scala 235:68] - node _T_282 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 235:100] - node command_wren = and(_T_281, _T_282) @[dbg.scala 235:87] - node _T_283 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 236:41] - node _T_284 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 236:77] - node _T_285 = bits(io.dmi_reg_wdata, 16, 0) @[dbg.scala 236:113] - node _T_286 = cat(UInt<3>("h00"), _T_285) @[Cat.scala 29:58] - node _T_287 = cat(_T_283, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_288 = cat(_T_287, _T_284) @[Cat.scala 29:58] - node command_din = cat(_T_288, _T_286) @[Cat.scala 29:58] - node _T_289 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 237:58] + node _T_264 = bits(abstractcs_error_din, 2, 0) @[dbg.scala 237:33] + reg abs_temp_10_8 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 237:12] + abs_temp_10_8 <= _T_264 @[dbg.scala 237:12] + node _T_265 = cat(abs_temp_10_8, UInt<8>("h02")) @[Cat.scala 29:58] + node _T_266 = cat(UInt<19>("h00"), abs_temp_12) @[Cat.scala 29:58] + node _T_267 = cat(_T_266, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_268 = cat(_T_267, _T_265) @[Cat.scala 29:58] + abstractcs_reg <= _T_268 @[dbg.scala 240:18] + node _T_269 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 242:39] + node _T_270 = and(_T_269, io.dmi_reg_en) @[dbg.scala 242:52] + node _T_271 = and(_T_270, io.dmi_reg_wr_en) @[dbg.scala 242:68] + node _T_272 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 242:100] + node command_wren = and(_T_271, _T_272) @[dbg.scala 242:87] + node _T_273 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 243:41] + node _T_274 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 243:77] + node _T_275 = bits(io.dmi_reg_wdata, 16, 0) @[dbg.scala 243:113] + node _T_276 = cat(UInt<3>("h00"), _T_275) @[Cat.scala 29:58] + node _T_277 = cat(_T_273, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_278 = cat(_T_277, _T_274) @[Cat.scala 29:58] + node command_din = cat(_T_278, _T_276) @[Cat.scala 29:58] inst rvclkhdr_5 of rvclkhdr_760 @[lib.scala 368:23] rvclkhdr_5.clock <= clock - rvclkhdr_5.reset <= _T_289 + rvclkhdr_5.reset <= dbg_dm_rst_l rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] rvclkhdr_5.io.en <= command_wren @[lib.scala 371:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg command_reg : UInt, rvclkhdr_5.io.l1clk with : (reset => (_T_289, UInt<1>("h00"))) @[lib.scala 374:16] + reg command_reg : UInt, rvclkhdr_5.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] command_reg <= command_din @[lib.scala 374:16] - node _T_290 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 241:39] - node _T_291 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 241:77] - node _T_292 = and(_T_290, _T_291) @[dbg.scala 241:58] - node _T_293 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 241:102] - node data0_reg_wren0 = and(_T_292, _T_293) @[dbg.scala 241:89] - node _T_294 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 242:59] - node _T_295 = and(io.core_dbg_cmd_done, _T_294) @[dbg.scala 242:46] - node _T_296 = bits(command_reg, 16, 16) @[dbg.scala 242:95] - node _T_297 = eq(_T_296, UInt<1>("h00")) @[dbg.scala 242:83] - node data0_reg_wren1 = and(_T_295, _T_297) @[dbg.scala 242:81] - node data0_reg_wren = or(data0_reg_wren0, data0_reg_wren1) @[dbg.scala 244:40] - node _T_298 = bits(data0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_299 = mux(_T_298, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_300 = and(_T_299, io.dmi_reg_wdata) @[dbg.scala 245:45] - node _T_301 = bits(data0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_302 = mux(_T_301, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_303 = and(_T_302, io.core_dbg_rddata) @[dbg.scala 245:92] - node data0_din = or(_T_300, _T_303) @[dbg.scala 245:64] - node _T_304 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 246:56] + node _T_279 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 248:39] + node _T_280 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 248:77] + node _T_281 = and(_T_279, _T_280) @[dbg.scala 248:58] + node _T_282 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 248:102] + node data0_reg_wren0 = and(_T_281, _T_282) @[dbg.scala 248:89] + node _T_283 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 249:59] + node _T_284 = and(io.core_dbg_cmd_done, _T_283) @[dbg.scala 249:46] + node _T_285 = bits(command_reg, 16, 16) @[dbg.scala 249:95] + node _T_286 = eq(_T_285, UInt<1>("h00")) @[dbg.scala 249:83] + node data0_reg_wren1 = and(_T_284, _T_286) @[dbg.scala 249:81] + node data0_reg_wren = or(data0_reg_wren0, data0_reg_wren1) @[dbg.scala 251:40] + node _T_287 = bits(data0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_288 = mux(_T_287, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_289 = and(_T_288, io.dmi_reg_wdata) @[dbg.scala 252:45] + node _T_290 = bits(data0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_291 = mux(_T_290, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_292 = and(_T_291, io.core_dbg_rddata) @[dbg.scala 252:92] + node data0_din = or(_T_289, _T_292) @[dbg.scala 252:64] inst rvclkhdr_6 of rvclkhdr_761 @[lib.scala 368:23] rvclkhdr_6.clock <= clock - rvclkhdr_6.reset <= _T_304 + rvclkhdr_6.reset <= dbg_dm_rst_l rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] rvclkhdr_6.io.en <= data0_reg_wren @[lib.scala 371:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg data0_reg : UInt, rvclkhdr_6.io.l1clk with : (reset => (_T_304, UInt<1>("h00"))) @[lib.scala 374:16] + reg data0_reg : UInt, rvclkhdr_6.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] data0_reg <= data0_din @[lib.scala 374:16] - node _T_305 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 250:39] - node _T_306 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 250:77] - node _T_307 = and(_T_305, _T_306) @[dbg.scala 250:58] - node _T_308 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 250:102] - node data1_reg_wren = and(_T_307, _T_308) @[dbg.scala 250:89] - node _T_309 = bits(data1_reg_wren, 0, 0) @[Bitwise.scala 72:15] - node _T_310 = mux(_T_309, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node data1_din = and(_T_310, io.dmi_reg_wdata) @[dbg.scala 251:44] - node _T_311 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 252:53] + node _T_293 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 257:39] + node _T_294 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 257:77] + node _T_295 = and(_T_293, _T_294) @[dbg.scala 257:58] + node _T_296 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 257:102] + node data1_reg_wren = and(_T_295, _T_296) @[dbg.scala 257:89] + node _T_297 = bits(data1_reg_wren, 0, 0) @[Bitwise.scala 72:15] + node _T_298 = mux(_T_297, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node data1_din = and(_T_298, io.dmi_reg_wdata) @[dbg.scala 258:44] inst rvclkhdr_7 of rvclkhdr_762 @[lib.scala 368:23] rvclkhdr_7.clock <= clock - rvclkhdr_7.reset <= _T_311 + rvclkhdr_7.reset <= dbg_dm_rst_l rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] rvclkhdr_7.io.en <= data1_reg_wren @[lib.scala 371:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_312 : UInt, rvclkhdr_7.io.l1clk with : (reset => (_T_311, UInt<1>("h00"))) @[lib.scala 374:16] - _T_312 <= data1_din @[lib.scala 374:16] - data1_reg <= _T_312 @[dbg.scala 252:13] + reg _T_299 : UInt, rvclkhdr_7.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + _T_299 <= data1_din @[lib.scala 374:16] + data1_reg <= _T_299 @[dbg.scala 259:13] wire dbg_nxtstate : UInt<3> dbg_nxtstate <= UInt<3>("h00") - dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 257:16] - dbg_state_en <= UInt<1>("h00") @[dbg.scala 258:16] - abstractcs_busy_wren <= UInt<1>("h00") @[dbg.scala 259:24] - abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 260:23] - io.dbg_halt_req <= UInt<1>("h00") @[dbg.scala 261:19] - io.dbg_resume_req <= UInt<1>("h00") @[dbg.scala 262:21] - node _T_313 = eq(UInt<3>("h00"), dbg_state) @[Conditional.scala 37:30] - when _T_313 : @[Conditional.scala 40:58] - node _T_314 = bits(dmstatus_reg, 9, 9) @[dbg.scala 265:39] - node _T_315 = or(_T_314, io.dec_tlu_mpc_halted_only) @[dbg.scala 265:43] - node _T_316 = mux(_T_315, UInt<3>("h02"), UInt<3>("h01")) @[dbg.scala 265:26] - dbg_nxtstate <= _T_316 @[dbg.scala 265:20] - node _T_317 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 266:38] - node _T_318 = eq(io.dec_tlu_debug_mode, UInt<1>("h00")) @[dbg.scala 266:45] - node _T_319 = and(_T_317, _T_318) @[dbg.scala 266:43] - node _T_320 = bits(dmstatus_reg, 9, 9) @[dbg.scala 266:83] - node _T_321 = or(_T_319, _T_320) @[dbg.scala 266:69] - node _T_322 = or(_T_321, io.dec_tlu_mpc_halted_only) @[dbg.scala 266:87] - node _T_323 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 266:133] - node _T_324 = eq(_T_323, UInt<1>("h00")) @[dbg.scala 266:119] - node _T_325 = and(_T_322, _T_324) @[dbg.scala 266:117] - dbg_state_en <= _T_325 @[dbg.scala 266:20] - node _T_326 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 267:40] - node _T_327 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 267:61] - node _T_328 = eq(_T_327, UInt<1>("h00")) @[dbg.scala 267:47] - node _T_329 = and(_T_326, _T_328) @[dbg.scala 267:45] - node _T_330 = bits(_T_329, 0, 0) @[dbg.scala 267:72] - io.dbg_halt_req <= _T_330 @[dbg.scala 267:23] + dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 264:16] + dbg_state_en <= UInt<1>("h00") @[dbg.scala 265:16] + abstractcs_busy_wren <= UInt<1>("h00") @[dbg.scala 266:24] + abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 267:23] + io.dbg_halt_req <= UInt<1>("h00") @[dbg.scala 268:19] + io.dbg_resume_req <= UInt<1>("h00") @[dbg.scala 269:21] + node _T_300 = eq(UInt<3>("h00"), dbg_state) @[Conditional.scala 37:30] + when _T_300 : @[Conditional.scala 40:58] + node _T_301 = bits(dmstatus_reg, 9, 9) @[dbg.scala 272:39] + node _T_302 = or(_T_301, io.dec_tlu_mpc_halted_only) @[dbg.scala 272:43] + node _T_303 = mux(_T_302, UInt<3>("h02"), UInt<3>("h01")) @[dbg.scala 272:26] + dbg_nxtstate <= _T_303 @[dbg.scala 272:20] + node _T_304 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 273:38] + node _T_305 = eq(io.dec_tlu_debug_mode, UInt<1>("h00")) @[dbg.scala 273:45] + node _T_306 = and(_T_304, _T_305) @[dbg.scala 273:43] + node _T_307 = bits(dmstatus_reg, 9, 9) @[dbg.scala 273:83] + node _T_308 = or(_T_306, _T_307) @[dbg.scala 273:69] + node _T_309 = or(_T_308, io.dec_tlu_mpc_halted_only) @[dbg.scala 273:87] + node _T_310 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 273:133] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[dbg.scala 273:119] + node _T_312 = and(_T_309, _T_311) @[dbg.scala 273:117] + dbg_state_en <= _T_312 @[dbg.scala 273:20] + node _T_313 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 274:40] + node _T_314 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 274:61] + node _T_315 = eq(_T_314, UInt<1>("h00")) @[dbg.scala 274:47] + node _T_316 = and(_T_313, _T_315) @[dbg.scala 274:45] + node _T_317 = bits(_T_316, 0, 0) @[dbg.scala 274:72] + io.dbg_halt_req <= _T_317 @[dbg.scala 274:23] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_331 = eq(UInt<3>("h01"), dbg_state) @[Conditional.scala 37:30] - when _T_331 : @[Conditional.scala 39:67] - node _T_332 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 270:40] - node _T_333 = mux(_T_332, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 270:26] - dbg_nxtstate <= _T_333 @[dbg.scala 270:20] - node _T_334 = bits(dmstatus_reg, 9, 9) @[dbg.scala 271:35] - node _T_335 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 271:54] - node _T_336 = or(_T_334, _T_335) @[dbg.scala 271:39] - dbg_state_en <= _T_336 @[dbg.scala 271:20] - node _T_337 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 272:59] - node _T_338 = and(dmcontrol_wren_Q, _T_337) @[dbg.scala 272:44] - node _T_339 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 272:81] - node _T_340 = not(_T_339) @[dbg.scala 272:67] - node _T_341 = and(_T_338, _T_340) @[dbg.scala 272:64] - node _T_342 = bits(_T_341, 0, 0) @[dbg.scala 272:102] - io.dbg_halt_req <= _T_342 @[dbg.scala 272:23] + node _T_318 = eq(UInt<3>("h01"), dbg_state) @[Conditional.scala 37:30] + when _T_318 : @[Conditional.scala 39:67] + node _T_319 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 277:40] + node _T_320 = mux(_T_319, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 277:26] + dbg_nxtstate <= _T_320 @[dbg.scala 277:20] + node _T_321 = bits(dmstatus_reg, 9, 9) @[dbg.scala 278:35] + node _T_322 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 278:54] + node _T_323 = or(_T_321, _T_322) @[dbg.scala 278:39] + dbg_state_en <= _T_323 @[dbg.scala 278:20] + node _T_324 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 279:59] + node _T_325 = and(dmcontrol_wren_Q, _T_324) @[dbg.scala 279:44] + node _T_326 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 279:81] + node _T_327 = not(_T_326) @[dbg.scala 279:67] + node _T_328 = and(_T_325, _T_327) @[dbg.scala 279:64] + node _T_329 = bits(_T_328, 0, 0) @[dbg.scala 279:102] + io.dbg_halt_req <= _T_329 @[dbg.scala 279:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_343 = eq(UInt<3>("h02"), dbg_state) @[Conditional.scala 37:30] - when _T_343 : @[Conditional.scala 39:67] - node _T_344 = bits(dmstatus_reg, 9, 9) @[dbg.scala 275:39] - node _T_345 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 275:59] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[dbg.scala 275:45] - node _T_347 = and(_T_344, _T_346) @[dbg.scala 275:43] - node _T_348 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 276:26] - node _T_349 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 276:47] - node _T_350 = eq(_T_349, UInt<1>("h00")) @[dbg.scala 276:33] - node _T_351 = and(_T_348, _T_350) @[dbg.scala 276:31] - node _T_352 = mux(_T_351, UInt<3>("h06"), UInt<3>("h03")) @[dbg.scala 276:12] - node _T_353 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 277:26] - node _T_354 = mux(_T_353, UInt<3>("h01"), UInt<3>("h00")) @[dbg.scala 277:12] - node _T_355 = mux(_T_347, _T_352, _T_354) @[dbg.scala 275:26] - dbg_nxtstate <= _T_355 @[dbg.scala 275:20] - node _T_356 = bits(dmstatus_reg, 9, 9) @[dbg.scala 278:35] - node _T_357 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 278:54] - node _T_358 = and(_T_356, _T_357) @[dbg.scala 278:39] - node _T_359 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 278:75] - node _T_360 = eq(_T_359, UInt<1>("h00")) @[dbg.scala 278:61] - node _T_361 = and(_T_358, _T_360) @[dbg.scala 278:59] - node _T_362 = and(_T_361, dmcontrol_wren_Q) @[dbg.scala 278:80] - node _T_363 = or(_T_362, command_wren) @[dbg.scala 278:99] - node _T_364 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 279:22] - node _T_365 = or(_T_363, _T_364) @[dbg.scala 278:114] - node _T_366 = bits(dmstatus_reg, 9, 9) @[dbg.scala 279:42] - node _T_367 = or(_T_366, io.dec_tlu_mpc_halted_only) @[dbg.scala 279:46] - node _T_368 = eq(_T_367, UInt<1>("h00")) @[dbg.scala 279:28] - node _T_369 = or(_T_365, _T_368) @[dbg.scala 279:26] - dbg_state_en <= _T_369 @[dbg.scala 278:20] - node _T_370 = eq(dbg_nxtstate, UInt<3>("h03")) @[dbg.scala 280:60] - node _T_371 = and(dbg_state_en, _T_370) @[dbg.scala 280:44] - abstractcs_busy_wren <= _T_371 @[dbg.scala 280:28] - abstractcs_busy_din <= UInt<1>("h01") @[dbg.scala 281:27] - node _T_372 = eq(dbg_nxtstate, UInt<3>("h06")) @[dbg.scala 282:58] - node _T_373 = and(dbg_state_en, _T_372) @[dbg.scala 282:42] - node _T_374 = bits(_T_373, 0, 0) @[dbg.scala 282:87] - io.dbg_resume_req <= _T_374 @[dbg.scala 282:25] - node _T_375 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 283:59] - node _T_376 = and(dmcontrol_wren_Q, _T_375) @[dbg.scala 283:44] - node _T_377 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 283:81] - node _T_378 = not(_T_377) @[dbg.scala 283:67] - node _T_379 = and(_T_376, _T_378) @[dbg.scala 283:64] - node _T_380 = bits(_T_379, 0, 0) @[dbg.scala 283:102] - io.dbg_halt_req <= _T_380 @[dbg.scala 283:23] + node _T_330 = eq(UInt<3>("h02"), dbg_state) @[Conditional.scala 37:30] + when _T_330 : @[Conditional.scala 39:67] + node _T_331 = bits(dmstatus_reg, 9, 9) @[dbg.scala 282:39] + node _T_332 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 282:59] + node _T_333 = eq(_T_332, UInt<1>("h00")) @[dbg.scala 282:45] + node _T_334 = and(_T_331, _T_333) @[dbg.scala 282:43] + node _T_335 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 283:26] + node _T_336 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 283:47] + node _T_337 = eq(_T_336, UInt<1>("h00")) @[dbg.scala 283:33] + node _T_338 = and(_T_335, _T_337) @[dbg.scala 283:31] + node _T_339 = mux(_T_338, UInt<3>("h06"), UInt<3>("h03")) @[dbg.scala 283:12] + node _T_340 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 284:26] + node _T_341 = mux(_T_340, UInt<3>("h01"), UInt<3>("h00")) @[dbg.scala 284:12] + node _T_342 = mux(_T_334, _T_339, _T_341) @[dbg.scala 282:26] + dbg_nxtstate <= _T_342 @[dbg.scala 282:20] + node _T_343 = bits(dmstatus_reg, 9, 9) @[dbg.scala 285:35] + node _T_344 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 285:54] + node _T_345 = and(_T_343, _T_344) @[dbg.scala 285:39] + node _T_346 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 285:75] + node _T_347 = eq(_T_346, UInt<1>("h00")) @[dbg.scala 285:61] + node _T_348 = and(_T_345, _T_347) @[dbg.scala 285:59] + node _T_349 = and(_T_348, dmcontrol_wren_Q) @[dbg.scala 285:80] + node _T_350 = or(_T_349, command_wren) @[dbg.scala 285:99] + node _T_351 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 286:22] + node _T_352 = or(_T_350, _T_351) @[dbg.scala 285:114] + node _T_353 = bits(dmstatus_reg, 9, 9) @[dbg.scala 286:42] + node _T_354 = or(_T_353, io.dec_tlu_mpc_halted_only) @[dbg.scala 286:46] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[dbg.scala 286:28] + node _T_356 = or(_T_352, _T_355) @[dbg.scala 286:26] + dbg_state_en <= _T_356 @[dbg.scala 285:20] + node _T_357 = eq(dbg_nxtstate, UInt<3>("h03")) @[dbg.scala 287:60] + node _T_358 = and(dbg_state_en, _T_357) @[dbg.scala 287:44] + abstractcs_busy_wren <= _T_358 @[dbg.scala 287:28] + abstractcs_busy_din <= UInt<1>("h01") @[dbg.scala 288:27] + node _T_359 = eq(dbg_nxtstate, UInt<3>("h06")) @[dbg.scala 289:58] + node _T_360 = and(dbg_state_en, _T_359) @[dbg.scala 289:42] + node _T_361 = bits(_T_360, 0, 0) @[dbg.scala 289:87] + io.dbg_resume_req <= _T_361 @[dbg.scala 289:25] + node _T_362 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 290:59] + node _T_363 = and(dmcontrol_wren_Q, _T_362) @[dbg.scala 290:44] + node _T_364 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 290:81] + node _T_365 = not(_T_364) @[dbg.scala 290:67] + node _T_366 = and(_T_363, _T_365) @[dbg.scala 290:64] + node _T_367 = bits(_T_366, 0, 0) @[dbg.scala 290:102] + io.dbg_halt_req <= _T_367 @[dbg.scala 290:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_381 = eq(UInt<3>("h03"), dbg_state) @[Conditional.scala 37:30] - when _T_381 : @[Conditional.scala 39:67] - node _T_382 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 286:40] - node _T_383 = bits(abstractcs_reg, 10, 8) @[dbg.scala 286:77] - node _T_384 = orr(_T_383) @[dbg.scala 286:85] - node _T_385 = mux(_T_384, UInt<3>("h05"), UInt<3>("h04")) @[dbg.scala 286:62] - node _T_386 = mux(_T_382, UInt<3>("h00"), _T_385) @[dbg.scala 286:26] - dbg_nxtstate <= _T_386 @[dbg.scala 286:20] - node _T_387 = bits(abstractcs_reg, 10, 8) @[dbg.scala 287:71] - node _T_388 = orr(_T_387) @[dbg.scala 287:79] - node _T_389 = or(io.dbg_dec.dbg_ib.dbg_cmd_valid, _T_388) @[dbg.scala 287:55] - node _T_390 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 287:98] - node _T_391 = or(_T_389, _T_390) @[dbg.scala 287:83] - dbg_state_en <= _T_391 @[dbg.scala 287:20] - node _T_392 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 288:59] - node _T_393 = and(dmcontrol_wren_Q, _T_392) @[dbg.scala 288:44] - node _T_394 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 288:81] - node _T_395 = not(_T_394) @[dbg.scala 288:67] - node _T_396 = and(_T_393, _T_395) @[dbg.scala 288:64] - node _T_397 = bits(_T_396, 0, 0) @[dbg.scala 288:102] - io.dbg_halt_req <= _T_397 @[dbg.scala 288:23] + node _T_368 = eq(UInt<3>("h03"), dbg_state) @[Conditional.scala 37:30] + when _T_368 : @[Conditional.scala 39:67] + node _T_369 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 293:40] + node _T_370 = bits(abstractcs_reg, 10, 8) @[dbg.scala 293:77] + node _T_371 = orr(_T_370) @[dbg.scala 293:85] + node _T_372 = mux(_T_371, UInt<3>("h05"), UInt<3>("h04")) @[dbg.scala 293:62] + node _T_373 = mux(_T_369, UInt<3>("h00"), _T_372) @[dbg.scala 293:26] + dbg_nxtstate <= _T_373 @[dbg.scala 293:20] + node _T_374 = bits(abstractcs_reg, 10, 8) @[dbg.scala 294:71] + node _T_375 = orr(_T_374) @[dbg.scala 294:79] + node _T_376 = or(io.dbg_dec.dbg_ib.dbg_cmd_valid, _T_375) @[dbg.scala 294:55] + node _T_377 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 294:98] + node _T_378 = or(_T_376, _T_377) @[dbg.scala 294:83] + dbg_state_en <= _T_378 @[dbg.scala 294:20] + node _T_379 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 295:59] + node _T_380 = and(dmcontrol_wren_Q, _T_379) @[dbg.scala 295:44] + node _T_381 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 295:81] + node _T_382 = not(_T_381) @[dbg.scala 295:67] + node _T_383 = and(_T_380, _T_382) @[dbg.scala 295:64] + node _T_384 = bits(_T_383, 0, 0) @[dbg.scala 295:102] + io.dbg_halt_req <= _T_384 @[dbg.scala 295:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_398 = eq(UInt<3>("h04"), dbg_state) @[Conditional.scala 37:30] - when _T_398 : @[Conditional.scala 39:67] - node _T_399 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 291:40] - node _T_400 = mux(_T_399, UInt<3>("h00"), UInt<3>("h05")) @[dbg.scala 291:26] - dbg_nxtstate <= _T_400 @[dbg.scala 291:20] - node _T_401 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 292:59] - node _T_402 = or(io.core_dbg_cmd_done, _T_401) @[dbg.scala 292:44] - dbg_state_en <= _T_402 @[dbg.scala 292:20] - node _T_403 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 293:59] - node _T_404 = and(dmcontrol_wren_Q, _T_403) @[dbg.scala 293:44] - node _T_405 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 293:81] - node _T_406 = not(_T_405) @[dbg.scala 293:67] - node _T_407 = and(_T_404, _T_406) @[dbg.scala 293:64] - node _T_408 = bits(_T_407, 0, 0) @[dbg.scala 293:102] - io.dbg_halt_req <= _T_408 @[dbg.scala 293:23] + node _T_385 = eq(UInt<3>("h04"), dbg_state) @[Conditional.scala 37:30] + when _T_385 : @[Conditional.scala 39:67] + node _T_386 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 298:40] + node _T_387 = mux(_T_386, UInt<3>("h00"), UInt<3>("h05")) @[dbg.scala 298:26] + dbg_nxtstate <= _T_387 @[dbg.scala 298:20] + node _T_388 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 299:59] + node _T_389 = or(io.core_dbg_cmd_done, _T_388) @[dbg.scala 299:44] + dbg_state_en <= _T_389 @[dbg.scala 299:20] + node _T_390 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 300:59] + node _T_391 = and(dmcontrol_wren_Q, _T_390) @[dbg.scala 300:44] + node _T_392 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 300:81] + node _T_393 = not(_T_392) @[dbg.scala 300:67] + node _T_394 = and(_T_391, _T_393) @[dbg.scala 300:64] + node _T_395 = bits(_T_394, 0, 0) @[dbg.scala 300:102] + io.dbg_halt_req <= _T_395 @[dbg.scala 300:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_409 = eq(UInt<3>("h05"), dbg_state) @[Conditional.scala 37:30] - when _T_409 : @[Conditional.scala 39:67] - node _T_410 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 296:40] - node _T_411 = mux(_T_410, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 296:26] - dbg_nxtstate <= _T_411 @[dbg.scala 296:20] - dbg_state_en <= UInt<1>("h01") @[dbg.scala 297:20] - abstractcs_busy_wren <= dbg_state_en @[dbg.scala 298:28] - abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 299:27] - node _T_412 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 300:59] - node _T_413 = and(dmcontrol_wren_Q, _T_412) @[dbg.scala 300:44] - node _T_414 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 300:81] - node _T_415 = not(_T_414) @[dbg.scala 300:67] - node _T_416 = and(_T_413, _T_415) @[dbg.scala 300:64] - node _T_417 = bits(_T_416, 0, 0) @[dbg.scala 300:102] - io.dbg_halt_req <= _T_417 @[dbg.scala 300:23] + node _T_396 = eq(UInt<3>("h05"), dbg_state) @[Conditional.scala 37:30] + when _T_396 : @[Conditional.scala 39:67] + node _T_397 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 303:40] + node _T_398 = mux(_T_397, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 303:26] + dbg_nxtstate <= _T_398 @[dbg.scala 303:20] + dbg_state_en <= UInt<1>("h01") @[dbg.scala 304:20] + abstractcs_busy_wren <= dbg_state_en @[dbg.scala 305:28] + abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 306:27] + node _T_399 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 307:59] + node _T_400 = and(dmcontrol_wren_Q, _T_399) @[dbg.scala 307:44] + node _T_401 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 307:81] + node _T_402 = not(_T_401) @[dbg.scala 307:67] + node _T_403 = and(_T_400, _T_402) @[dbg.scala 307:64] + node _T_404 = bits(_T_403, 0, 0) @[dbg.scala 307:102] + io.dbg_halt_req <= _T_404 @[dbg.scala 307:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_418 = eq(UInt<3>("h06"), dbg_state) @[Conditional.scala 37:30] - when _T_418 : @[Conditional.scala 39:67] - dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 303:20] - node _T_419 = bits(dmstatus_reg, 17, 17) @[dbg.scala 304:35] - node _T_420 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 304:55] - node _T_421 = or(_T_419, _T_420) @[dbg.scala 304:40] - dbg_state_en <= _T_421 @[dbg.scala 304:20] - node _T_422 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 305:59] - node _T_423 = and(dmcontrol_wren_Q, _T_422) @[dbg.scala 305:44] - node _T_424 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 305:81] - node _T_425 = not(_T_424) @[dbg.scala 305:67] - node _T_426 = and(_T_423, _T_425) @[dbg.scala 305:64] - node _T_427 = bits(_T_426, 0, 0) @[dbg.scala 305:102] - io.dbg_halt_req <= _T_427 @[dbg.scala 305:23] + node _T_405 = eq(UInt<3>("h06"), dbg_state) @[Conditional.scala 37:30] + when _T_405 : @[Conditional.scala 39:67] + dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 310:20] + node _T_406 = bits(dmstatus_reg, 17, 17) @[dbg.scala 311:35] + node _T_407 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 311:55] + node _T_408 = or(_T_406, _T_407) @[dbg.scala 311:40] + dbg_state_en <= _T_408 @[dbg.scala 311:20] + node _T_409 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 312:59] + node _T_410 = and(dmcontrol_wren_Q, _T_409) @[dbg.scala 312:44] + node _T_411 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 312:81] + node _T_412 = not(_T_411) @[dbg.scala 312:67] + node _T_413 = and(_T_410, _T_412) @[dbg.scala 312:64] + node _T_414 = bits(_T_413, 0, 0) @[dbg.scala 312:102] + io.dbg_halt_req <= _T_414 @[dbg.scala 312:23] skip @[Conditional.scala 39:67] - node _T_428 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 308:52] - node _T_429 = bits(_T_428, 0, 0) @[Bitwise.scala 72:15] - node _T_430 = mux(_T_429, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_431 = and(_T_430, data0_reg) @[dbg.scala 308:71] - node _T_432 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 308:110] - node _T_433 = bits(_T_432, 0, 0) @[Bitwise.scala 72:15] - node _T_434 = mux(_T_433, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_435 = and(_T_434, data1_reg) @[dbg.scala 308:122] - node _T_436 = or(_T_431, _T_435) @[dbg.scala 308:83] - node _T_437 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 309:30] - node _T_438 = bits(_T_437, 0, 0) @[Bitwise.scala 72:15] - node _T_439 = mux(_T_438, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_440 = and(_T_439, dmcontrol_reg) @[dbg.scala 309:43] - node _T_441 = or(_T_436, _T_440) @[dbg.scala 308:134] - node _T_442 = eq(io.dmi_reg_addr, UInt<5>("h011")) @[dbg.scala 309:86] - node _T_443 = bits(_T_442, 0, 0) @[Bitwise.scala 72:15] - node _T_444 = mux(_T_443, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_445 = and(_T_444, dmstatus_reg) @[dbg.scala 309:99] - node _T_446 = or(_T_441, _T_445) @[dbg.scala 309:59] - node _T_447 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 310:30] - node _T_448 = bits(_T_447, 0, 0) @[Bitwise.scala 72:15] - node _T_449 = mux(_T_448, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_450 = and(_T_449, abstractcs_reg) @[dbg.scala 310:43] - node _T_451 = or(_T_446, _T_450) @[dbg.scala 309:114] - node _T_452 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 310:87] - node _T_453 = bits(_T_452, 0, 0) @[Bitwise.scala 72:15] - node _T_454 = mux(_T_453, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_455 = and(_T_454, command_reg) @[dbg.scala 310:100] - node _T_456 = or(_T_451, _T_455) @[dbg.scala 310:60] - node _T_457 = eq(io.dmi_reg_addr, UInt<7>("h040")) @[dbg.scala 311:30] - node _T_458 = bits(_T_457, 0, 0) @[Bitwise.scala 72:15] - node _T_459 = mux(_T_458, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_460 = and(_T_459, haltsum0_reg) @[dbg.scala 311:43] - node _T_461 = or(_T_456, _T_460) @[dbg.scala 310:114] - node _T_462 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 311:85] - node _T_463 = bits(_T_462, 0, 0) @[Bitwise.scala 72:15] - node _T_464 = mux(_T_463, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_465 = and(_T_464, sbcs_reg) @[dbg.scala 311:98] - node _T_466 = or(_T_461, _T_465) @[dbg.scala 311:58] - node _T_467 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 312:30] - node _T_468 = bits(_T_467, 0, 0) @[Bitwise.scala 72:15] - node _T_469 = mux(_T_468, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_470 = and(_T_469, sbaddress0_reg) @[dbg.scala 312:43] - node _T_471 = or(_T_466, _T_470) @[dbg.scala 311:109] - node _T_472 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 312:87] - node _T_473 = bits(_T_472, 0, 0) @[Bitwise.scala 72:15] - node _T_474 = mux(_T_473, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_475 = and(_T_474, sbdata0_reg) @[dbg.scala 312:100] - node _T_476 = or(_T_471, _T_475) @[dbg.scala 312:60] - node _T_477 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 313:30] - node _T_478 = bits(_T_477, 0, 0) @[Bitwise.scala 72:15] - node _T_479 = mux(_T_478, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_480 = and(_T_479, sbdata1_reg) @[dbg.scala 313:43] - node dmi_reg_rdata_din = or(_T_476, _T_480) @[dbg.scala 312:114] - node _T_481 = and(dbg_dm_rst_l, temp_rst) @[dbg.scala 315:62] - node _T_482 = asAsyncReset(_T_481) @[dbg.scala 315:86] - reg _T_483 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_482, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_415 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 315:52] + node _T_416 = bits(_T_415, 0, 0) @[Bitwise.scala 72:15] + node _T_417 = mux(_T_416, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_418 = and(_T_417, data0_reg) @[dbg.scala 315:71] + node _T_419 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 315:110] + node _T_420 = bits(_T_419, 0, 0) @[Bitwise.scala 72:15] + node _T_421 = mux(_T_420, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_422 = and(_T_421, data1_reg) @[dbg.scala 315:122] + node _T_423 = or(_T_418, _T_422) @[dbg.scala 315:83] + node _T_424 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 316:30] + node _T_425 = bits(_T_424, 0, 0) @[Bitwise.scala 72:15] + node _T_426 = mux(_T_425, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_427 = and(_T_426, dmcontrol_reg) @[dbg.scala 316:43] + node _T_428 = or(_T_423, _T_427) @[dbg.scala 315:134] + node _T_429 = eq(io.dmi_reg_addr, UInt<5>("h011")) @[dbg.scala 316:86] + node _T_430 = bits(_T_429, 0, 0) @[Bitwise.scala 72:15] + node _T_431 = mux(_T_430, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_432 = and(_T_431, dmstatus_reg) @[dbg.scala 316:99] + node _T_433 = or(_T_428, _T_432) @[dbg.scala 316:59] + node _T_434 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 317:30] + node _T_435 = bits(_T_434, 0, 0) @[Bitwise.scala 72:15] + node _T_436 = mux(_T_435, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_437 = and(_T_436, abstractcs_reg) @[dbg.scala 317:43] + node _T_438 = or(_T_433, _T_437) @[dbg.scala 316:114] + node _T_439 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 317:87] + node _T_440 = bits(_T_439, 0, 0) @[Bitwise.scala 72:15] + node _T_441 = mux(_T_440, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_442 = and(_T_441, command_reg) @[dbg.scala 317:100] + node _T_443 = or(_T_438, _T_442) @[dbg.scala 317:60] + node _T_444 = eq(io.dmi_reg_addr, UInt<7>("h040")) @[dbg.scala 318:30] + node _T_445 = bits(_T_444, 0, 0) @[Bitwise.scala 72:15] + node _T_446 = mux(_T_445, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_447 = and(_T_446, haltsum0_reg) @[dbg.scala 318:43] + node _T_448 = or(_T_443, _T_447) @[dbg.scala 317:114] + node _T_449 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 318:85] + node _T_450 = bits(_T_449, 0, 0) @[Bitwise.scala 72:15] + node _T_451 = mux(_T_450, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_452 = and(_T_451, sbcs_reg) @[dbg.scala 318:98] + node _T_453 = or(_T_448, _T_452) @[dbg.scala 318:58] + node _T_454 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 319:30] + node _T_455 = bits(_T_454, 0, 0) @[Bitwise.scala 72:15] + node _T_456 = mux(_T_455, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_457 = and(_T_456, sbaddress0_reg) @[dbg.scala 319:43] + node _T_458 = or(_T_453, _T_457) @[dbg.scala 318:109] + node _T_459 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 319:87] + node _T_460 = bits(_T_459, 0, 0) @[Bitwise.scala 72:15] + node _T_461 = mux(_T_460, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_462 = and(_T_461, sbdata0_reg) @[dbg.scala 319:100] + node _T_463 = or(_T_458, _T_462) @[dbg.scala 319:60] + node _T_464 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 320:30] + node _T_465 = bits(_T_464, 0, 0) @[Bitwise.scala 72:15] + node _T_466 = mux(_T_465, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_467 = and(_T_466, sbdata1_reg) @[dbg.scala 320:43] + node dmi_reg_rdata_din = or(_T_463, _T_467) @[dbg.scala 319:114] + reg _T_468 : UInt, rvclkhdr.io.l1clk with : (reset => (rst_temp, UInt<1>("h00"))) @[Reg.scala 27:20] when dbg_state_en : @[Reg.scala 28:19] - _T_483 <= dbg_nxtstate @[Reg.scala 28:23] + _T_468 <= dbg_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dbg_state <= _T_483 @[dbg.scala 315:13] - node _T_484 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 320:82] - reg _T_485 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_484, UInt<1>("h00"))) @[Reg.scala 27:20] + dbg_state <= _T_468 @[dbg.scala 322:13] + reg _T_469 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when io.dmi_reg_en : @[Reg.scala 28:19] - _T_485 <= dmi_reg_rdata_din @[Reg.scala 28:23] + _T_469 <= dmi_reg_rdata_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.dmi_reg_rdata <= _T_485 @[dbg.scala 320:20] - node _T_486 = bits(command_reg, 31, 24) @[dbg.scala 324:53] - node _T_487 = eq(_T_486, UInt<2>("h02")) @[dbg.scala 324:62] - node _T_488 = bits(data1_reg, 31, 2) @[dbg.scala 324:88] - node _T_489 = cat(_T_488, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_490 = bits(command_reg, 11, 0) @[dbg.scala 324:138] - node _T_491 = cat(UInt<20>("h00"), _T_490) @[Cat.scala 29:58] - node _T_492 = mux(_T_487, _T_489, _T_491) @[dbg.scala 324:40] - io.dbg_dec.dbg_ib.dbg_cmd_addr <= _T_492 @[dbg.scala 324:34] - node _T_493 = bits(data0_reg, 31, 0) @[dbg.scala 325:50] - io.dbg_dec.dbg_dctl.dbg_cmd_wrdata <= _T_493 @[dbg.scala 325:38] - node _T_494 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 326:50] - node _T_495 = bits(abstractcs_reg, 10, 8) @[dbg.scala 326:91] - node _T_496 = orr(_T_495) @[dbg.scala 326:99] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[dbg.scala 326:75] - node _T_498 = and(_T_494, _T_497) @[dbg.scala 326:73] - node _T_499 = and(_T_498, io.dbg_dma_io.dma_dbg_ready) @[dbg.scala 326:104] - node _T_500 = bits(_T_499, 0, 0) @[dbg.scala 326:141] - io.dbg_dec.dbg_ib.dbg_cmd_valid <= _T_500 @[dbg.scala 326:35] - node _T_501 = bits(command_reg, 16, 16) @[dbg.scala 327:49] - node _T_502 = bits(_T_501, 0, 0) @[dbg.scala 327:60] - io.dbg_dec.dbg_ib.dbg_cmd_write <= _T_502 @[dbg.scala 327:35] - node _T_503 = bits(command_reg, 31, 24) @[dbg.scala 328:53] - node _T_504 = eq(_T_503, UInt<2>("h02")) @[dbg.scala 328:62] - node _T_505 = bits(command_reg, 15, 12) @[dbg.scala 328:113] - node _T_506 = eq(_T_505, UInt<1>("h00")) @[dbg.scala 328:122] - node _T_507 = cat(UInt<1>("h00"), _T_506) @[Cat.scala 29:58] - node _T_508 = mux(_T_504, UInt<2>("h02"), _T_507) @[dbg.scala 328:40] - io.dbg_dec.dbg_ib.dbg_cmd_type <= _T_508 @[dbg.scala 328:34] - node _T_509 = bits(command_reg, 21, 20) @[dbg.scala 329:33] - io.dbg_cmd_size <= _T_509 @[dbg.scala 329:19] - node _T_510 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 330:47] - node _T_511 = bits(abstractcs_reg, 10, 8) @[dbg.scala 330:88] - node _T_512 = orr(_T_511) @[dbg.scala 330:96] - node _T_513 = eq(_T_512, UInt<1>("h00")) @[dbg.scala 330:72] - node _T_514 = and(_T_510, _T_513) @[dbg.scala 330:70] - node _T_515 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 330:114] - node _T_516 = or(_T_514, _T_515) @[dbg.scala 330:101] - node _T_517 = bits(_T_516, 0, 0) @[dbg.scala 330:143] - io.dbg_dma_io.dbg_dma_bubble <= _T_517 @[dbg.scala 330:32] + io.dmi_reg_rdata <= _T_469 @[dbg.scala 327:20] + node _T_470 = bits(command_reg, 31, 24) @[dbg.scala 331:53] + node _T_471 = eq(_T_470, UInt<2>("h02")) @[dbg.scala 331:62] + node _T_472 = bits(data1_reg, 31, 2) @[dbg.scala 331:88] + node _T_473 = cat(_T_472, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_474 = bits(command_reg, 11, 0) @[dbg.scala 331:138] + node _T_475 = cat(UInt<20>("h00"), _T_474) @[Cat.scala 29:58] + node _T_476 = mux(_T_471, _T_473, _T_475) @[dbg.scala 331:40] + io.dbg_dec.dbg_ib.dbg_cmd_addr <= _T_476 @[dbg.scala 331:34] + node _T_477 = bits(data0_reg, 31, 0) @[dbg.scala 332:50] + io.dbg_dec.dbg_dctl.dbg_cmd_wrdata <= _T_477 @[dbg.scala 332:38] + node _T_478 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 333:50] + node _T_479 = bits(abstractcs_reg, 10, 8) @[dbg.scala 333:91] + node _T_480 = orr(_T_479) @[dbg.scala 333:99] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[dbg.scala 333:75] + node _T_482 = and(_T_478, _T_481) @[dbg.scala 333:73] + node _T_483 = and(_T_482, io.dbg_dma_io.dma_dbg_ready) @[dbg.scala 333:104] + node _T_484 = bits(_T_483, 0, 0) @[dbg.scala 333:141] + io.dbg_dec.dbg_ib.dbg_cmd_valid <= _T_484 @[dbg.scala 333:35] + node _T_485 = bits(command_reg, 16, 16) @[dbg.scala 334:49] + node _T_486 = bits(_T_485, 0, 0) @[dbg.scala 334:60] + io.dbg_dec.dbg_ib.dbg_cmd_write <= _T_486 @[dbg.scala 334:35] + node _T_487 = bits(command_reg, 31, 24) @[dbg.scala 335:53] + node _T_488 = eq(_T_487, UInt<2>("h02")) @[dbg.scala 335:62] + node _T_489 = bits(command_reg, 15, 12) @[dbg.scala 335:113] + node _T_490 = eq(_T_489, UInt<1>("h00")) @[dbg.scala 335:122] + node _T_491 = cat(UInt<1>("h00"), _T_490) @[Cat.scala 29:58] + node _T_492 = mux(_T_488, UInt<2>("h02"), _T_491) @[dbg.scala 335:40] + io.dbg_dec.dbg_ib.dbg_cmd_type <= _T_492 @[dbg.scala 335:34] + node _T_493 = bits(command_reg, 21, 20) @[dbg.scala 336:33] + io.dbg_cmd_size <= _T_493 @[dbg.scala 336:19] + node _T_494 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 337:47] + node _T_495 = bits(abstractcs_reg, 10, 8) @[dbg.scala 337:88] + node _T_496 = orr(_T_495) @[dbg.scala 337:96] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[dbg.scala 337:72] + node _T_498 = and(_T_494, _T_497) @[dbg.scala 337:70] + node _T_499 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 337:114] + node _T_500 = or(_T_498, _T_499) @[dbg.scala 337:101] + node _T_501 = bits(_T_500, 0, 0) @[dbg.scala 337:143] + io.dbg_dma_io.dbg_dma_bubble <= _T_501 @[dbg.scala 337:32] wire sb_nxtstate : UInt<4> sb_nxtstate <= UInt<4>("h00") - sb_nxtstate <= UInt<4>("h00") @[dbg.scala 333:15] - sbcs_sbbusy_wren <= UInt<1>("h00") @[dbg.scala 335:20] - sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 336:19] - sbcs_sberror_wren <= UInt<1>("h00") @[dbg.scala 337:21] - sbcs_sberror_din <= UInt<3>("h00") @[dbg.scala 338:20] - sbaddress0_reg_wren1 <= UInt<1>("h00") @[dbg.scala 339:24] - node _T_518 = eq(UInt<4>("h00"), sb_state) @[Conditional.scala 37:30] - when _T_518 : @[Conditional.scala 40:58] - node _T_519 = mux(sbdata0wr_access, UInt<4>("h02"), UInt<4>("h01")) @[dbg.scala 342:25] - sb_nxtstate <= _T_519 @[dbg.scala 342:19] - node _T_520 = or(sbdata0wr_access, sbreadondata_access) @[dbg.scala 343:39] - node _T_521 = or(_T_520, sbreadonaddr_access) @[dbg.scala 343:61] - sb_state_en <= _T_521 @[dbg.scala 343:19] - sbcs_sbbusy_wren <= sb_state_en @[dbg.scala 344:24] - sbcs_sbbusy_din <= UInt<1>("h01") @[dbg.scala 345:23] - node _T_522 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 346:56] - node _T_523 = orr(_T_522) @[dbg.scala 346:65] - node _T_524 = and(sbcs_wren, _T_523) @[dbg.scala 346:38] - sbcs_sberror_wren <= _T_524 @[dbg.scala 346:25] - node _T_525 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 347:44] - node _T_526 = not(_T_525) @[dbg.scala 347:27] - node _T_527 = bits(sbcs_reg, 14, 12) @[dbg.scala 347:63] - node _T_528 = and(_T_526, _T_527) @[dbg.scala 347:53] - sbcs_sberror_din <= _T_528 @[dbg.scala 347:24] + sb_nxtstate <= UInt<4>("h00") @[dbg.scala 340:15] + sbcs_sbbusy_wren <= UInt<1>("h00") @[dbg.scala 342:20] + sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 343:19] + sbcs_sberror_wren <= UInt<1>("h00") @[dbg.scala 344:21] + sbcs_sberror_din <= UInt<3>("h00") @[dbg.scala 345:20] + sbaddress0_reg_wren1 <= UInt<1>("h00") @[dbg.scala 346:24] + node _T_502 = eq(UInt<4>("h00"), sb_state) @[Conditional.scala 37:30] + when _T_502 : @[Conditional.scala 40:58] + node _T_503 = mux(sbdata0wr_access, UInt<4>("h02"), UInt<4>("h01")) @[dbg.scala 349:25] + sb_nxtstate <= _T_503 @[dbg.scala 349:19] + node _T_504 = or(sbdata0wr_access, sbreadondata_access) @[dbg.scala 350:39] + node _T_505 = or(_T_504, sbreadonaddr_access) @[dbg.scala 350:61] + sb_state_en <= _T_505 @[dbg.scala 350:19] + sbcs_sbbusy_wren <= sb_state_en @[dbg.scala 351:24] + sbcs_sbbusy_din <= UInt<1>("h01") @[dbg.scala 352:23] + node _T_506 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 353:56] + node _T_507 = orr(_T_506) @[dbg.scala 353:65] + node _T_508 = and(sbcs_wren, _T_507) @[dbg.scala 353:38] + sbcs_sberror_wren <= _T_508 @[dbg.scala 353:25] + node _T_509 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 354:44] + node _T_510 = not(_T_509) @[dbg.scala 354:27] + node _T_511 = bits(sbcs_reg, 14, 12) @[dbg.scala 354:63] + node _T_512 = and(_T_510, _T_511) @[dbg.scala 354:53] + sbcs_sberror_din <= _T_512 @[dbg.scala 354:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_529 = eq(UInt<4>("h01"), sb_state) @[Conditional.scala 37:30] - when _T_529 : @[Conditional.scala 39:67] - node _T_530 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 350:41] - node _T_531 = mux(_T_530, UInt<4>("h09"), UInt<4>("h03")) @[dbg.scala 350:25] - sb_nxtstate <= _T_531 @[dbg.scala 350:19] - node _T_532 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 351:40] - node _T_533 = or(_T_532, sbcs_illegal_size) @[dbg.scala 351:57] - sb_state_en <= _T_533 @[dbg.scala 351:19] - node _T_534 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 352:43] - sbcs_sberror_wren <= _T_534 @[dbg.scala 352:25] - node _T_535 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 353:30] - sbcs_sberror_din <= _T_535 @[dbg.scala 353:24] + node _T_513 = eq(UInt<4>("h01"), sb_state) @[Conditional.scala 37:30] + when _T_513 : @[Conditional.scala 39:67] + node _T_514 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 357:41] + node _T_515 = mux(_T_514, UInt<4>("h09"), UInt<4>("h03")) @[dbg.scala 357:25] + sb_nxtstate <= _T_515 @[dbg.scala 357:19] + node _T_516 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 358:40] + node _T_517 = or(_T_516, sbcs_illegal_size) @[dbg.scala 358:57] + sb_state_en <= _T_517 @[dbg.scala 358:19] + node _T_518 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 359:43] + sbcs_sberror_wren <= _T_518 @[dbg.scala 359:25] + node _T_519 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 360:30] + sbcs_sberror_din <= _T_519 @[dbg.scala 360:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_536 = eq(UInt<4>("h02"), sb_state) @[Conditional.scala 37:30] - when _T_536 : @[Conditional.scala 39:67] - node _T_537 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 356:41] - node _T_538 = mux(_T_537, UInt<4>("h09"), UInt<4>("h04")) @[dbg.scala 356:25] - sb_nxtstate <= _T_538 @[dbg.scala 356:19] - node _T_539 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 357:40] - node _T_540 = or(_T_539, sbcs_illegal_size) @[dbg.scala 357:57] - sb_state_en <= _T_540 @[dbg.scala 357:19] - node _T_541 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 358:43] - sbcs_sberror_wren <= _T_541 @[dbg.scala 358:25] - node _T_542 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 359:30] - sbcs_sberror_din <= _T_542 @[dbg.scala 359:24] + node _T_520 = eq(UInt<4>("h02"), sb_state) @[Conditional.scala 37:30] + when _T_520 : @[Conditional.scala 39:67] + node _T_521 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 363:41] + node _T_522 = mux(_T_521, UInt<4>("h09"), UInt<4>("h04")) @[dbg.scala 363:25] + sb_nxtstate <= _T_522 @[dbg.scala 363:19] + node _T_523 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 364:40] + node _T_524 = or(_T_523, sbcs_illegal_size) @[dbg.scala 364:57] + sb_state_en <= _T_524 @[dbg.scala 364:19] + node _T_525 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 365:43] + sbcs_sberror_wren <= _T_525 @[dbg.scala 365:25] + node _T_526 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 366:30] + sbcs_sberror_din <= _T_526 @[dbg.scala 366:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_543 = eq(UInt<4>("h03"), sb_state) @[Conditional.scala 37:30] - when _T_543 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h07") @[dbg.scala 362:19] - node _T_544 = and(sb_bus_cmd_read, io.dbg_bus_clk_en) @[dbg.scala 363:38] - sb_state_en <= _T_544 @[dbg.scala 363:19] + node _T_527 = eq(UInt<4>("h03"), sb_state) @[Conditional.scala 37:30] + when _T_527 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h07") @[dbg.scala 369:19] + node _T_528 = and(sb_bus_cmd_read, io.dbg_bus_clk_en) @[dbg.scala 370:38] + sb_state_en <= _T_528 @[dbg.scala 370:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_545 = eq(UInt<4>("h04"), sb_state) @[Conditional.scala 37:30] - when _T_545 : @[Conditional.scala 39:67] - node _T_546 = and(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 366:48] - node _T_547 = mux(sb_bus_cmd_write_data, UInt<4>("h05"), UInt<4>("h06")) @[dbg.scala 366:95] - node _T_548 = mux(_T_546, UInt<4>("h08"), _T_547) @[dbg.scala 366:25] - sb_nxtstate <= _T_548 @[dbg.scala 366:19] - node _T_549 = or(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 367:45] - node _T_550 = and(_T_549, io.dbg_bus_clk_en) @[dbg.scala 367:70] - sb_state_en <= _T_550 @[dbg.scala 367:19] + node _T_529 = eq(UInt<4>("h04"), sb_state) @[Conditional.scala 37:30] + when _T_529 : @[Conditional.scala 39:67] + node _T_530 = and(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 373:48] + node _T_531 = mux(sb_bus_cmd_write_data, UInt<4>("h05"), UInt<4>("h06")) @[dbg.scala 373:95] + node _T_532 = mux(_T_530, UInt<4>("h08"), _T_531) @[dbg.scala 373:25] + sb_nxtstate <= _T_532 @[dbg.scala 373:19] + node _T_533 = or(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 374:45] + node _T_534 = and(_T_533, io.dbg_bus_clk_en) @[dbg.scala 374:70] + sb_state_en <= _T_534 @[dbg.scala 374:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_551 = eq(UInt<4>("h05"), sb_state) @[Conditional.scala 37:30] - when _T_551 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h08") @[dbg.scala 370:19] - node _T_552 = and(sb_bus_cmd_write_addr, io.dbg_bus_clk_en) @[dbg.scala 371:44] - sb_state_en <= _T_552 @[dbg.scala 371:19] + node _T_535 = eq(UInt<4>("h05"), sb_state) @[Conditional.scala 37:30] + when _T_535 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h08") @[dbg.scala 377:19] + node _T_536 = and(sb_bus_cmd_write_addr, io.dbg_bus_clk_en) @[dbg.scala 378:44] + sb_state_en <= _T_536 @[dbg.scala 378:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_553 = eq(UInt<4>("h06"), sb_state) @[Conditional.scala 37:30] - when _T_553 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h08") @[dbg.scala 374:19] - node _T_554 = and(sb_bus_cmd_write_data, io.dbg_bus_clk_en) @[dbg.scala 375:44] - sb_state_en <= _T_554 @[dbg.scala 375:19] + node _T_537 = eq(UInt<4>("h06"), sb_state) @[Conditional.scala 37:30] + when _T_537 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h08") @[dbg.scala 381:19] + node _T_538 = and(sb_bus_cmd_write_data, io.dbg_bus_clk_en) @[dbg.scala 382:44] + sb_state_en <= _T_538 @[dbg.scala 382:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_555 = eq(UInt<4>("h07"), sb_state) @[Conditional.scala 37:30] - when _T_555 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h09") @[dbg.scala 378:19] - node _T_556 = and(sb_bus_rsp_read, io.dbg_bus_clk_en) @[dbg.scala 379:38] - sb_state_en <= _T_556 @[dbg.scala 379:19] - node _T_557 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 380:40] - sbcs_sberror_wren <= _T_557 @[dbg.scala 380:25] - sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 381:24] + node _T_539 = eq(UInt<4>("h07"), sb_state) @[Conditional.scala 37:30] + when _T_539 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h09") @[dbg.scala 385:19] + node _T_540 = and(sb_bus_rsp_read, io.dbg_bus_clk_en) @[dbg.scala 386:38] + sb_state_en <= _T_540 @[dbg.scala 386:19] + node _T_541 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 387:40] + sbcs_sberror_wren <= _T_541 @[dbg.scala 387:25] + sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 388:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_558 = eq(UInt<4>("h08"), sb_state) @[Conditional.scala 37:30] - when _T_558 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h09") @[dbg.scala 384:19] - node _T_559 = and(sb_bus_rsp_write, io.dbg_bus_clk_en) @[dbg.scala 385:39] - sb_state_en <= _T_559 @[dbg.scala 385:19] - node _T_560 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 386:40] - sbcs_sberror_wren <= _T_560 @[dbg.scala 386:25] - sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 387:24] + node _T_542 = eq(UInt<4>("h08"), sb_state) @[Conditional.scala 37:30] + when _T_542 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h09") @[dbg.scala 391:19] + node _T_543 = and(sb_bus_rsp_write, io.dbg_bus_clk_en) @[dbg.scala 392:39] + sb_state_en <= _T_543 @[dbg.scala 392:19] + node _T_544 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 393:40] + sbcs_sberror_wren <= _T_544 @[dbg.scala 393:25] + sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 394:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_561 = eq(UInt<4>("h09"), sb_state) @[Conditional.scala 37:30] - when _T_561 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h00") @[dbg.scala 390:19] - sb_state_en <= UInt<1>("h01") @[dbg.scala 391:19] - sbcs_sbbusy_wren <= UInt<1>("h01") @[dbg.scala 392:24] - sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 393:23] - node _T_562 = bits(sbcs_reg, 16, 16) @[dbg.scala 394:39] - sbaddress0_reg_wren1 <= _T_562 @[dbg.scala 394:28] + node _T_545 = eq(UInt<4>("h09"), sb_state) @[Conditional.scala 37:30] + when _T_545 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h00") @[dbg.scala 397:19] + sb_state_en <= UInt<1>("h01") @[dbg.scala 398:19] + sbcs_sbbusy_wren <= UInt<1>("h01") @[dbg.scala 399:24] + sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 400:23] + node _T_546 = bits(sbcs_reg, 16, 16) @[dbg.scala 401:39] + sbaddress0_reg_wren1 <= _T_546 @[dbg.scala 401:28] skip @[Conditional.scala 39:67] - node _T_563 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 397:73] - reg _T_564 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_563, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_547 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sb_state_en : @[Reg.scala 28:19] - _T_564 <= sb_nxtstate @[Reg.scala 28:23] + _T_547 <= sb_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - sb_state <= _T_564 @[dbg.scala 397:12] - node _T_565 = and(io.sb_axi.ar.valid, io.sb_axi.ar.ready) @[dbg.scala 401:41] - sb_bus_cmd_read <= _T_565 @[dbg.scala 401:19] - node _T_566 = and(io.sb_axi.aw.valid, io.sb_axi.aw.ready) @[dbg.scala 402:47] - sb_bus_cmd_write_addr <= _T_566 @[dbg.scala 402:25] - node _T_567 = and(io.sb_axi.w.valid, io.sb_axi.w.ready) @[dbg.scala 403:46] - sb_bus_cmd_write_data <= _T_567 @[dbg.scala 403:25] - node _T_568 = and(io.sb_axi.r.valid, io.sb_axi.r.ready) @[dbg.scala 404:40] - sb_bus_rsp_read <= _T_568 @[dbg.scala 404:19] - node _T_569 = and(io.sb_axi.b.valid, io.sb_axi.b.ready) @[dbg.scala 405:41] - sb_bus_rsp_write <= _T_569 @[dbg.scala 405:20] - node _T_570 = bits(io.sb_axi.r.bits.resp, 1, 0) @[dbg.scala 406:62] - node _T_571 = orr(_T_570) @[dbg.scala 406:69] - node _T_572 = and(sb_bus_rsp_read, _T_571) @[dbg.scala 406:39] - node _T_573 = bits(io.sb_axi.b.bits.resp, 1, 0) @[dbg.scala 406:115] - node _T_574 = orr(_T_573) @[dbg.scala 406:122] - node _T_575 = and(sb_bus_rsp_write, _T_574) @[dbg.scala 406:92] - node _T_576 = or(_T_572, _T_575) @[dbg.scala 406:73] - sb_bus_rsp_error <= _T_576 @[dbg.scala 406:20] - node _T_577 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 407:36] - node _T_578 = eq(sb_state, UInt<4>("h05")) @[dbg.scala 407:71] - node _T_579 = or(_T_577, _T_578) @[dbg.scala 407:59] - node _T_580 = bits(_T_579, 0, 0) @[dbg.scala 407:106] - io.sb_axi.aw.valid <= _T_580 @[dbg.scala 407:22] - io.sb_axi.aw.bits.addr <= sbaddress0_reg @[dbg.scala 408:26] - io.sb_axi.aw.bits.id <= UInt<1>("h00") @[dbg.scala 409:24] - node _T_581 = bits(sbcs_reg, 19, 17) @[dbg.scala 410:37] - io.sb_axi.aw.bits.size <= _T_581 @[dbg.scala 410:26] - io.sb_axi.aw.bits.prot <= UInt<1>("h00") @[dbg.scala 411:26] - io.sb_axi.aw.bits.cache <= UInt<4>("h0f") @[dbg.scala 412:27] - node _T_582 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 413:45] - io.sb_axi.aw.bits.region <= _T_582 @[dbg.scala 413:28] - io.sb_axi.aw.bits.len <= UInt<1>("h00") @[dbg.scala 414:25] - io.sb_axi.aw.bits.burst <= UInt<2>("h01") @[dbg.scala 415:27] - io.sb_axi.aw.bits.qos <= UInt<1>("h00") @[dbg.scala 416:25] - io.sb_axi.aw.bits.lock <= UInt<1>("h00") @[dbg.scala 417:26] - node _T_583 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 418:35] - node _T_584 = eq(sb_state, UInt<4>("h06")) @[dbg.scala 418:70] - node _T_585 = or(_T_583, _T_584) @[dbg.scala 418:58] - node _T_586 = bits(_T_585, 0, 0) @[dbg.scala 418:105] - io.sb_axi.w.valid <= _T_586 @[dbg.scala 418:21] - node _T_587 = bits(sbcs_reg, 19, 17) @[dbg.scala 419:46] - node _T_588 = eq(_T_587, UInt<1>("h00")) @[dbg.scala 419:55] - node _T_589 = bits(_T_588, 0, 0) @[Bitwise.scala 72:15] - node _T_590 = mux(_T_589, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_591 = bits(sbdata0_reg, 7, 0) @[dbg.scala 419:87] - node _T_592 = cat(_T_591, _T_591) @[Cat.scala 29:58] + sb_state <= _T_547 @[dbg.scala 404:12] + node _T_548 = and(io.sb_axi.ar.valid, io.sb_axi.ar.ready) @[dbg.scala 408:41] + sb_bus_cmd_read <= _T_548 @[dbg.scala 408:19] + node _T_549 = and(io.sb_axi.aw.valid, io.sb_axi.aw.ready) @[dbg.scala 409:47] + sb_bus_cmd_write_addr <= _T_549 @[dbg.scala 409:25] + node _T_550 = and(io.sb_axi.w.valid, io.sb_axi.w.ready) @[dbg.scala 410:46] + sb_bus_cmd_write_data <= _T_550 @[dbg.scala 410:25] + node _T_551 = and(io.sb_axi.r.valid, io.sb_axi.r.ready) @[dbg.scala 411:40] + sb_bus_rsp_read <= _T_551 @[dbg.scala 411:19] + node _T_552 = and(io.sb_axi.b.valid, io.sb_axi.b.ready) @[dbg.scala 412:41] + sb_bus_rsp_write <= _T_552 @[dbg.scala 412:20] + node _T_553 = bits(io.sb_axi.r.bits.resp, 1, 0) @[dbg.scala 413:62] + node _T_554 = orr(_T_553) @[dbg.scala 413:69] + node _T_555 = and(sb_bus_rsp_read, _T_554) @[dbg.scala 413:39] + node _T_556 = bits(io.sb_axi.b.bits.resp, 1, 0) @[dbg.scala 413:115] + node _T_557 = orr(_T_556) @[dbg.scala 413:122] + node _T_558 = and(sb_bus_rsp_write, _T_557) @[dbg.scala 413:92] + node _T_559 = or(_T_555, _T_558) @[dbg.scala 413:73] + sb_bus_rsp_error <= _T_559 @[dbg.scala 413:20] + node _T_560 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 414:36] + node _T_561 = eq(sb_state, UInt<4>("h05")) @[dbg.scala 414:71] + node _T_562 = or(_T_560, _T_561) @[dbg.scala 414:59] + node _T_563 = bits(_T_562, 0, 0) @[dbg.scala 414:106] + io.sb_axi.aw.valid <= _T_563 @[dbg.scala 414:22] + io.sb_axi.aw.bits.addr <= sbaddress0_reg @[dbg.scala 415:26] + io.sb_axi.aw.bits.id <= UInt<1>("h00") @[dbg.scala 416:24] + node _T_564 = bits(sbcs_reg, 19, 17) @[dbg.scala 417:37] + io.sb_axi.aw.bits.size <= _T_564 @[dbg.scala 417:26] + io.sb_axi.aw.bits.prot <= UInt<1>("h00") @[dbg.scala 418:26] + io.sb_axi.aw.bits.cache <= UInt<4>("h0f") @[dbg.scala 419:27] + node _T_565 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 420:45] + io.sb_axi.aw.bits.region <= _T_565 @[dbg.scala 420:28] + io.sb_axi.aw.bits.len <= UInt<1>("h00") @[dbg.scala 421:25] + io.sb_axi.aw.bits.burst <= UInt<2>("h01") @[dbg.scala 422:27] + io.sb_axi.aw.bits.qos <= UInt<1>("h00") @[dbg.scala 423:25] + io.sb_axi.aw.bits.lock <= UInt<1>("h00") @[dbg.scala 424:26] + node _T_566 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 425:35] + node _T_567 = eq(sb_state, UInt<4>("h06")) @[dbg.scala 425:70] + node _T_568 = or(_T_566, _T_567) @[dbg.scala 425:58] + node _T_569 = bits(_T_568, 0, 0) @[dbg.scala 425:105] + io.sb_axi.w.valid <= _T_569 @[dbg.scala 425:21] + node _T_570 = bits(sbcs_reg, 19, 17) @[dbg.scala 426:46] + node _T_571 = eq(_T_570, UInt<1>("h00")) @[dbg.scala 426:55] + node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] + node _T_573 = mux(_T_572, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_574 = bits(sbdata0_reg, 7, 0) @[dbg.scala 426:87] + node _T_575 = cat(_T_574, _T_574) @[Cat.scala 29:58] + node _T_576 = cat(_T_575, _T_575) @[Cat.scala 29:58] + node _T_577 = cat(_T_576, _T_576) @[Cat.scala 29:58] + node _T_578 = and(_T_573, _T_577) @[dbg.scala 426:65] + node _T_579 = bits(sbcs_reg, 19, 17) @[dbg.scala 426:116] + node _T_580 = eq(_T_579, UInt<1>("h01")) @[dbg.scala 426:125] + node _T_581 = bits(_T_580, 0, 0) @[Bitwise.scala 72:15] + node _T_582 = mux(_T_581, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_583 = bits(sbdata0_reg, 15, 0) @[dbg.scala 426:159] + node _T_584 = cat(_T_583, _T_583) @[Cat.scala 29:58] + node _T_585 = cat(_T_584, _T_584) @[Cat.scala 29:58] + node _T_586 = and(_T_582, _T_585) @[dbg.scala 426:138] + node _T_587 = or(_T_578, _T_586) @[dbg.scala 426:96] + node _T_588 = bits(sbcs_reg, 19, 17) @[dbg.scala 427:23] + node _T_589 = eq(_T_588, UInt<2>("h02")) @[dbg.scala 427:32] + node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] + node _T_591 = mux(_T_590, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_592 = bits(sbdata0_reg, 31, 0) @[dbg.scala 427:67] node _T_593 = cat(_T_592, _T_592) @[Cat.scala 29:58] - node _T_594 = cat(_T_593, _T_593) @[Cat.scala 29:58] - node _T_595 = and(_T_590, _T_594) @[dbg.scala 419:65] - node _T_596 = bits(sbcs_reg, 19, 17) @[dbg.scala 419:116] - node _T_597 = eq(_T_596, UInt<1>("h01")) @[dbg.scala 419:125] + node _T_594 = and(_T_591, _T_593) @[dbg.scala 427:45] + node _T_595 = or(_T_587, _T_594) @[dbg.scala 426:168] + node _T_596 = bits(sbcs_reg, 19, 17) @[dbg.scala 427:97] + node _T_597 = eq(_T_596, UInt<2>("h03")) @[dbg.scala 427:106] node _T_598 = bits(_T_597, 0, 0) @[Bitwise.scala 72:15] node _T_599 = mux(_T_598, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_600 = bits(sbdata0_reg, 15, 0) @[dbg.scala 419:159] - node _T_601 = cat(_T_600, _T_600) @[Cat.scala 29:58] - node _T_602 = cat(_T_601, _T_601) @[Cat.scala 29:58] - node _T_603 = and(_T_599, _T_602) @[dbg.scala 419:138] - node _T_604 = or(_T_595, _T_603) @[dbg.scala 419:96] - node _T_605 = bits(sbcs_reg, 19, 17) @[dbg.scala 420:23] - node _T_606 = eq(_T_605, UInt<2>("h02")) @[dbg.scala 420:32] + node _T_600 = bits(sbdata1_reg, 31, 0) @[dbg.scala 427:136] + node _T_601 = bits(sbdata0_reg, 31, 0) @[dbg.scala 427:156] + node _T_602 = cat(_T_600, _T_601) @[Cat.scala 29:58] + node _T_603 = and(_T_599, _T_602) @[dbg.scala 427:119] + node _T_604 = or(_T_595, _T_603) @[dbg.scala 427:77] + io.sb_axi.w.bits.data <= _T_604 @[dbg.scala 426:25] + node _T_605 = bits(sbcs_reg, 19, 17) @[dbg.scala 429:45] + node _T_606 = eq(_T_605, UInt<1>("h00")) @[dbg.scala 429:54] node _T_607 = bits(_T_606, 0, 0) @[Bitwise.scala 72:15] - node _T_608 = mux(_T_607, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_609 = bits(sbdata0_reg, 31, 0) @[dbg.scala 420:67] - node _T_610 = cat(_T_609, _T_609) @[Cat.scala 29:58] - node _T_611 = and(_T_608, _T_610) @[dbg.scala 420:45] - node _T_612 = or(_T_604, _T_611) @[dbg.scala 419:168] - node _T_613 = bits(sbcs_reg, 19, 17) @[dbg.scala 420:97] - node _T_614 = eq(_T_613, UInt<2>("h03")) @[dbg.scala 420:106] - node _T_615 = bits(_T_614, 0, 0) @[Bitwise.scala 72:15] - node _T_616 = mux(_T_615, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_617 = bits(sbdata1_reg, 31, 0) @[dbg.scala 420:136] - node _T_618 = bits(sbdata0_reg, 31, 0) @[dbg.scala 420:156] - node _T_619 = cat(_T_617, _T_618) @[Cat.scala 29:58] - node _T_620 = and(_T_616, _T_619) @[dbg.scala 420:119] - node _T_621 = or(_T_612, _T_620) @[dbg.scala 420:77] - io.sb_axi.w.bits.data <= _T_621 @[dbg.scala 419:25] - node _T_622 = bits(sbcs_reg, 19, 17) @[dbg.scala 422:45] - node _T_623 = eq(_T_622, UInt<1>("h00")) @[dbg.scala 422:54] - node _T_624 = bits(_T_623, 0, 0) @[Bitwise.scala 72:15] - node _T_625 = mux(_T_624, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_626 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 422:99] - node _T_627 = dshl(UInt<8>("h01"), _T_626) @[dbg.scala 422:82] - node _T_628 = and(_T_625, _T_627) @[dbg.scala 422:67] - node _T_629 = bits(sbcs_reg, 19, 17) @[dbg.scala 423:22] - node _T_630 = eq(_T_629, UInt<1>("h01")) @[dbg.scala 423:31] - node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] - node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_633 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 423:80] - node _T_634 = cat(_T_633, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_635 = dshl(UInt<8>("h03"), _T_634) @[dbg.scala 423:59] - node _T_636 = and(_T_632, _T_635) @[dbg.scala 423:44] - node _T_637 = or(_T_628, _T_636) @[dbg.scala 422:107] - node _T_638 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:22] - node _T_639 = eq(_T_638, UInt<2>("h02")) @[dbg.scala 424:31] - node _T_640 = bits(_T_639, 0, 0) @[Bitwise.scala 72:15] - node _T_641 = mux(_T_640, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_642 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 424:80] - node _T_643 = cat(_T_642, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_644 = dshl(UInt<8>("h0f"), _T_643) @[dbg.scala 424:59] - node _T_645 = and(_T_641, _T_644) @[dbg.scala 424:44] - node _T_646 = or(_T_637, _T_645) @[dbg.scala 423:97] - node _T_647 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:22] - node _T_648 = eq(_T_647, UInt<2>("h03")) @[dbg.scala 425:31] - node _T_649 = bits(_T_648, 0, 0) @[Bitwise.scala 72:15] - node _T_650 = mux(_T_649, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_651 = and(_T_650, UInt<8>("h0ff")) @[dbg.scala 425:44] - node _T_652 = or(_T_646, _T_651) @[dbg.scala 424:100] - io.sb_axi.w.bits.strb <= _T_652 @[dbg.scala 422:25] - io.sb_axi.w.bits.last <= UInt<1>("h01") @[dbg.scala 427:25] - node _T_653 = eq(sb_state, UInt<4>("h03")) @[dbg.scala 428:35] - node _T_654 = bits(_T_653, 0, 0) @[dbg.scala 428:64] - io.sb_axi.ar.valid <= _T_654 @[dbg.scala 428:22] - io.sb_axi.ar.bits.addr <= sbaddress0_reg @[dbg.scala 429:26] - io.sb_axi.ar.bits.id <= UInt<1>("h00") @[dbg.scala 430:24] - node _T_655 = bits(sbcs_reg, 19, 17) @[dbg.scala 431:37] - io.sb_axi.ar.bits.size <= _T_655 @[dbg.scala 431:26] - io.sb_axi.ar.bits.prot <= UInt<1>("h00") @[dbg.scala 432:26] - io.sb_axi.ar.bits.cache <= UInt<1>("h00") @[dbg.scala 433:27] - node _T_656 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 434:45] - io.sb_axi.ar.bits.region <= _T_656 @[dbg.scala 434:28] - io.sb_axi.ar.bits.len <= UInt<1>("h00") @[dbg.scala 435:25] - io.sb_axi.ar.bits.burst <= UInt<2>("h01") @[dbg.scala 436:27] - io.sb_axi.ar.bits.qos <= UInt<1>("h00") @[dbg.scala 437:25] - io.sb_axi.ar.bits.lock <= UInt<1>("h00") @[dbg.scala 438:26] - io.sb_axi.b.ready <= UInt<1>("h01") @[dbg.scala 439:21] - io.sb_axi.r.ready <= UInt<1>("h01") @[dbg.scala 440:21] - node _T_657 = bits(sbcs_reg, 19, 17) @[dbg.scala 441:37] - node _T_658 = eq(_T_657, UInt<1>("h00")) @[dbg.scala 441:46] - node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] - node _T_660 = mux(_T_659, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_661 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 441:84] - node _T_662 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 441:115] - node _T_663 = mul(UInt<4>("h08"), _T_662) @[dbg.scala 441:99] - node _T_664 = dshr(_T_661, _T_663) @[dbg.scala 441:92] - node _T_665 = and(_T_664, UInt<64>("h0ff")) @[dbg.scala 441:123] - node _T_666 = and(_T_660, _T_665) @[dbg.scala 441:59] - node _T_667 = bits(sbcs_reg, 19, 17) @[dbg.scala 442:23] - node _T_668 = eq(_T_667, UInt<1>("h01")) @[dbg.scala 442:32] - node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] - node _T_670 = mux(_T_669, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_671 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 442:70] - node _T_672 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 442:102] - node _T_673 = mul(UInt<5>("h010"), _T_672) @[dbg.scala 442:86] - node _T_674 = dshr(_T_671, _T_673) @[dbg.scala 442:78] - node _T_675 = and(_T_674, UInt<64>("h0ffff")) @[dbg.scala 442:110] - node _T_676 = and(_T_670, _T_675) @[dbg.scala 442:45] - node _T_677 = or(_T_666, _T_676) @[dbg.scala 441:140] - node _T_678 = bits(sbcs_reg, 19, 17) @[dbg.scala 443:23] - node _T_679 = eq(_T_678, UInt<2>("h02")) @[dbg.scala 443:32] - node _T_680 = bits(_T_679, 0, 0) @[Bitwise.scala 72:15] - node _T_681 = mux(_T_680, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_682 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 443:70] - node _T_683 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 443:102] - node _T_684 = mul(UInt<6>("h020"), _T_683) @[dbg.scala 443:86] - node _T_685 = dshr(_T_682, _T_684) @[dbg.scala 443:78] - node _T_686 = and(_T_685, UInt<64>("h0ffffffff")) @[dbg.scala 443:107] - node _T_687 = and(_T_681, _T_686) @[dbg.scala 443:45] - node _T_688 = or(_T_677, _T_687) @[dbg.scala 442:129] - node _T_689 = bits(sbcs_reg, 19, 17) @[dbg.scala 444:23] - node _T_690 = eq(_T_689, UInt<2>("h03")) @[dbg.scala 444:32] - node _T_691 = bits(_T_690, 0, 0) @[Bitwise.scala 72:15] - node _T_692 = mux(_T_691, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_693 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 444:68] - node _T_694 = and(_T_692, _T_693) @[dbg.scala 444:45] - node _T_695 = or(_T_688, _T_694) @[dbg.scala 443:131] - sb_bus_rdata <= _T_695 @[dbg.scala 441:16] - io.dbg_dma.dbg_ib.dbg_cmd_addr <= io.dbg_dec.dbg_ib.dbg_cmd_addr @[dbg.scala 447:39] - io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[dbg.scala 448:39] - io.dbg_dma.dbg_ib.dbg_cmd_valid <= io.dbg_dec.dbg_ib.dbg_cmd_valid @[dbg.scala 449:39] - io.dbg_dma.dbg_ib.dbg_cmd_write <= io.dbg_dec.dbg_ib.dbg_cmd_write @[dbg.scala 450:39] - io.dbg_dma.dbg_ib.dbg_cmd_type <= io.dbg_dec.dbg_ib.dbg_cmd_type @[dbg.scala 451:39] + node _T_608 = mux(_T_607, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_609 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 429:99] + node _T_610 = dshl(UInt<8>("h01"), _T_609) @[dbg.scala 429:82] + node _T_611 = and(_T_608, _T_610) @[dbg.scala 429:67] + node _T_612 = bits(sbcs_reg, 19, 17) @[dbg.scala 430:22] + node _T_613 = eq(_T_612, UInt<1>("h01")) @[dbg.scala 430:31] + node _T_614 = bits(_T_613, 0, 0) @[Bitwise.scala 72:15] + node _T_615 = mux(_T_614, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_616 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 430:80] + node _T_617 = cat(_T_616, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_618 = dshl(UInt<8>("h03"), _T_617) @[dbg.scala 430:59] + node _T_619 = and(_T_615, _T_618) @[dbg.scala 430:44] + node _T_620 = or(_T_611, _T_619) @[dbg.scala 429:107] + node _T_621 = bits(sbcs_reg, 19, 17) @[dbg.scala 431:22] + node _T_622 = eq(_T_621, UInt<2>("h02")) @[dbg.scala 431:31] + node _T_623 = bits(_T_622, 0, 0) @[Bitwise.scala 72:15] + node _T_624 = mux(_T_623, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_625 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 431:80] + node _T_626 = cat(_T_625, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_627 = dshl(UInt<8>("h0f"), _T_626) @[dbg.scala 431:59] + node _T_628 = and(_T_624, _T_627) @[dbg.scala 431:44] + node _T_629 = or(_T_620, _T_628) @[dbg.scala 430:97] + node _T_630 = bits(sbcs_reg, 19, 17) @[dbg.scala 432:22] + node _T_631 = eq(_T_630, UInt<2>("h03")) @[dbg.scala 432:31] + node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] + node _T_633 = mux(_T_632, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_634 = and(_T_633, UInt<8>("h0ff")) @[dbg.scala 432:44] + node _T_635 = or(_T_629, _T_634) @[dbg.scala 431:100] + io.sb_axi.w.bits.strb <= _T_635 @[dbg.scala 429:25] + io.sb_axi.w.bits.last <= UInt<1>("h01") @[dbg.scala 434:25] + node _T_636 = eq(sb_state, UInt<4>("h03")) @[dbg.scala 435:35] + node _T_637 = bits(_T_636, 0, 0) @[dbg.scala 435:64] + io.sb_axi.ar.valid <= _T_637 @[dbg.scala 435:22] + io.sb_axi.ar.bits.addr <= sbaddress0_reg @[dbg.scala 436:26] + io.sb_axi.ar.bits.id <= UInt<1>("h00") @[dbg.scala 437:24] + node _T_638 = bits(sbcs_reg, 19, 17) @[dbg.scala 438:37] + io.sb_axi.ar.bits.size <= _T_638 @[dbg.scala 438:26] + io.sb_axi.ar.bits.prot <= UInt<1>("h00") @[dbg.scala 439:26] + io.sb_axi.ar.bits.cache <= UInt<1>("h00") @[dbg.scala 440:27] + node _T_639 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 441:45] + io.sb_axi.ar.bits.region <= _T_639 @[dbg.scala 441:28] + io.sb_axi.ar.bits.len <= UInt<1>("h00") @[dbg.scala 442:25] + io.sb_axi.ar.bits.burst <= UInt<2>("h01") @[dbg.scala 443:27] + io.sb_axi.ar.bits.qos <= UInt<1>("h00") @[dbg.scala 444:25] + io.sb_axi.ar.bits.lock <= UInt<1>("h00") @[dbg.scala 445:26] + io.sb_axi.b.ready <= UInt<1>("h01") @[dbg.scala 446:21] + io.sb_axi.r.ready <= UInt<1>("h01") @[dbg.scala 447:21] + node _T_640 = bits(sbcs_reg, 19, 17) @[dbg.scala 448:37] + node _T_641 = eq(_T_640, UInt<1>("h00")) @[dbg.scala 448:46] + node _T_642 = bits(_T_641, 0, 0) @[Bitwise.scala 72:15] + node _T_643 = mux(_T_642, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_644 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 448:84] + node _T_645 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 448:115] + node _T_646 = mul(UInt<4>("h08"), _T_645) @[dbg.scala 448:99] + node _T_647 = dshr(_T_644, _T_646) @[dbg.scala 448:92] + node _T_648 = and(_T_647, UInt<64>("h0ff")) @[dbg.scala 448:123] + node _T_649 = and(_T_643, _T_648) @[dbg.scala 448:59] + node _T_650 = bits(sbcs_reg, 19, 17) @[dbg.scala 449:23] + node _T_651 = eq(_T_650, UInt<1>("h01")) @[dbg.scala 449:32] + node _T_652 = bits(_T_651, 0, 0) @[Bitwise.scala 72:15] + node _T_653 = mux(_T_652, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_654 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 449:70] + node _T_655 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 449:102] + node _T_656 = mul(UInt<5>("h010"), _T_655) @[dbg.scala 449:86] + node _T_657 = dshr(_T_654, _T_656) @[dbg.scala 449:78] + node _T_658 = and(_T_657, UInt<64>("h0ffff")) @[dbg.scala 449:110] + node _T_659 = and(_T_653, _T_658) @[dbg.scala 449:45] + node _T_660 = or(_T_649, _T_659) @[dbg.scala 448:140] + node _T_661 = bits(sbcs_reg, 19, 17) @[dbg.scala 450:23] + node _T_662 = eq(_T_661, UInt<2>("h02")) @[dbg.scala 450:32] + node _T_663 = bits(_T_662, 0, 0) @[Bitwise.scala 72:15] + node _T_664 = mux(_T_663, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_665 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 450:70] + node _T_666 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 450:102] + node _T_667 = mul(UInt<6>("h020"), _T_666) @[dbg.scala 450:86] + node _T_668 = dshr(_T_665, _T_667) @[dbg.scala 450:78] + node _T_669 = and(_T_668, UInt<64>("h0ffffffff")) @[dbg.scala 450:107] + node _T_670 = and(_T_664, _T_669) @[dbg.scala 450:45] + node _T_671 = or(_T_660, _T_670) @[dbg.scala 449:129] + node _T_672 = bits(sbcs_reg, 19, 17) @[dbg.scala 451:23] + node _T_673 = eq(_T_672, UInt<2>("h03")) @[dbg.scala 451:32] + node _T_674 = bits(_T_673, 0, 0) @[Bitwise.scala 72:15] + node _T_675 = mux(_T_674, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_676 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 451:68] + node _T_677 = and(_T_675, _T_676) @[dbg.scala 451:45] + node _T_678 = or(_T_671, _T_677) @[dbg.scala 450:131] + sb_bus_rdata <= _T_678 @[dbg.scala 448:16] + io.dbg_dma.dbg_ib.dbg_cmd_addr <= io.dbg_dec.dbg_ib.dbg_cmd_addr @[dbg.scala 454:39] + io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[dbg.scala 455:39] + io.dbg_dma.dbg_ib.dbg_cmd_valid <= io.dbg_dec.dbg_ib.dbg_cmd_valid @[dbg.scala 456:39] + io.dbg_dma.dbg_ib.dbg_cmd_write <= io.dbg_dec.dbg_ib.dbg_cmd_write @[dbg.scala 457:39] + io.dbg_dma.dbg_ib.dbg_cmd_type <= io.dbg_dec.dbg_ib.dbg_cmd_type @[dbg.scala 458:39] extmodule gated_latch_763 : output Q : Clock diff --git a/quasar_wrapper.v b/quasar_wrapper.v index 514f23fb..b0b92a9e 100644 --- a/quasar_wrapper.v +++ b/quasar_wrapper.v @@ -59515,70 +59515,71 @@ module dbg( wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] wire rvclkhdr_1_io_en; // @[lib.scala 343:22] wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] - wire _T_9 = dmcontrol_reg[0] | io_scan_mode; // @[dbg.scala 99:64] - wire dbg_dm_rst_l = io_dbg_rst_l & _T_9; // @[dbg.scala 99:44] - wire _T_11 = ~dmcontrol_reg[1]; // @[dbg.scala 100:25] - wire _T_13 = io_dmi_reg_addr == 7'h38; // @[dbg.scala 101:36] - wire _T_14 = _T_13 & io_dmi_reg_en; // @[dbg.scala 101:49] - wire _T_15 = _T_14 & io_dmi_reg_wr_en; // @[dbg.scala 101:65] - wire _T_16 = sb_state == 4'h0; // @[dbg.scala 101:96] - wire sbcs_wren = _T_15 & _T_16; // @[dbg.scala 101:84] - wire _T_18 = sbcs_wren & io_dmi_reg_wdata[22]; // @[dbg.scala 102:42] - wire _T_20 = _T_5 & io_dmi_reg_en; // @[dbg.scala 102:102] - wire _T_21 = io_dmi_reg_addr == 7'h39; // @[dbg.scala 103:23] - wire _T_22 = io_dmi_reg_addr == 7'h3c; // @[dbg.scala 103:55] - wire _T_23 = _T_21 | _T_22; // @[dbg.scala 103:36] - wire _T_24 = io_dmi_reg_addr == 7'h3d; // @[dbg.scala 103:87] - wire _T_25 = _T_23 | _T_24; // @[dbg.scala 103:68] - wire _T_26 = _T_20 & _T_25; // @[dbg.scala 102:118] - wire sbcs_sbbusyerror_wren = _T_18 | _T_26; // @[dbg.scala 102:66] - wire sbcs_sbbusyerror_din = ~_T_18; // @[dbg.scala 105:31] - wire _T_29 = io_dbg_rst_l & _T_9; // @[dbg.scala 106:80] + wire _T_9 = dmcontrol_reg[0] | io_scan_mode; // @[dbg.scala 100:65] + wire dbg_dm_rst_l = io_dbg_rst_l & _T_9; // @[dbg.scala 100:94] + wire _T_11 = io_dbg_rst_l & _T_9; // @[dbg.scala 102:38] + wire rst_temp = _T_11 & reset; // @[dbg.scala 102:71] + wire rst_not = ~_T_11; // @[dbg.scala 104:52] + wire _T_17 = ~dmcontrol_reg[1]; // @[dbg.scala 107:25] + wire _T_19 = io_dmi_reg_addr == 7'h38; // @[dbg.scala 108:36] + wire _T_20 = _T_19 & io_dmi_reg_en; // @[dbg.scala 108:49] + wire _T_21 = _T_20 & io_dmi_reg_wr_en; // @[dbg.scala 108:65] + wire _T_22 = sb_state == 4'h0; // @[dbg.scala 108:96] + wire sbcs_wren = _T_21 & _T_22; // @[dbg.scala 108:84] + wire _T_24 = sbcs_wren & io_dmi_reg_wdata[22]; // @[dbg.scala 109:42] + wire _T_26 = _T_5 & io_dmi_reg_en; // @[dbg.scala 109:102] + wire _T_27 = io_dmi_reg_addr == 7'h39; // @[dbg.scala 110:23] + wire _T_28 = io_dmi_reg_addr == 7'h3c; // @[dbg.scala 110:55] + wire _T_29 = _T_27 | _T_28; // @[dbg.scala 110:36] + wire _T_30 = io_dmi_reg_addr == 7'h3d; // @[dbg.scala 110:87] + wire _T_31 = _T_29 | _T_30; // @[dbg.scala 110:68] + wire _T_32 = _T_26 & _T_31; // @[dbg.scala 109:118] + wire sbcs_sbbusyerror_wren = _T_24 | _T_32; // @[dbg.scala 109:66] + wire sbcs_sbbusyerror_din = ~_T_24; // @[dbg.scala 112:31] reg temp_sbcs_22; // @[Reg.scala 27:20] reg temp_sbcs_21; // @[Reg.scala 27:20] reg temp_sbcs_20; // @[Reg.scala 27:20] reg [4:0] temp_sbcs_19_15; // @[Reg.scala 27:20] - wire _T_36 = ~dbg_dm_rst_l; // @[dbg.scala 122:84] reg [2:0] temp_sbcs_14_12; // @[Reg.scala 27:20] wire [19:0] _T_40 = {temp_sbcs_19_15,temp_sbcs_14_12,12'h40f}; // @[Cat.scala 29:58] wire [11:0] _T_44 = {9'h40,temp_sbcs_22,temp_sbcs_21,temp_sbcs_20}; // @[Cat.scala 29:58] - wire _T_47 = sbcs_reg[19:17] == 3'h1; // @[dbg.scala 127:42] - wire _T_49 = _T_47 & sbaddress0_reg[0]; // @[dbg.scala 127:61] - wire _T_51 = sbcs_reg[19:17] == 3'h2; // @[dbg.scala 128:23] - wire _T_53 = |sbaddress0_reg[1:0]; // @[dbg.scala 128:65] - wire _T_54 = _T_51 & _T_53; // @[dbg.scala 128:42] - wire _T_55 = _T_49 | _T_54; // @[dbg.scala 127:81] - wire _T_57 = sbcs_reg[19:17] == 3'h3; // @[dbg.scala 129:23] - wire _T_59 = |sbaddress0_reg[2:0]; // @[dbg.scala 129:65] - wire _T_60 = _T_57 & _T_59; // @[dbg.scala 129:42] - wire sbcs_unaligned = _T_55 | _T_60; // @[dbg.scala 128:69] - wire sbcs_illegal_size = sbcs_reg[19]; // @[dbg.scala 131:35] - wire _T_62 = sbcs_reg[19:17] == 3'h0; // @[dbg.scala 132:51] + wire _T_47 = sbcs_reg[19:17] == 3'h1; // @[dbg.scala 134:42] + wire _T_49 = _T_47 & sbaddress0_reg[0]; // @[dbg.scala 134:61] + wire _T_51 = sbcs_reg[19:17] == 3'h2; // @[dbg.scala 135:23] + wire _T_53 = |sbaddress0_reg[1:0]; // @[dbg.scala 135:65] + wire _T_54 = _T_51 & _T_53; // @[dbg.scala 135:42] + wire _T_55 = _T_49 | _T_54; // @[dbg.scala 134:81] + wire _T_57 = sbcs_reg[19:17] == 3'h3; // @[dbg.scala 136:23] + wire _T_59 = |sbaddress0_reg[2:0]; // @[dbg.scala 136:65] + wire _T_60 = _T_57 & _T_59; // @[dbg.scala 136:42] + wire sbcs_unaligned = _T_55 | _T_60; // @[dbg.scala 135:69] + wire sbcs_illegal_size = sbcs_reg[19]; // @[dbg.scala 138:35] + wire _T_62 = sbcs_reg[19:17] == 3'h0; // @[dbg.scala 139:51] wire [3:0] _T_64 = _T_62 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_65 = _T_64 & 4'h1; // @[dbg.scala 132:64] + wire [3:0] _T_65 = _T_64 & 4'h1; // @[dbg.scala 139:64] wire [3:0] _T_69 = _T_47 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_70 = _T_69 & 4'h2; // @[dbg.scala 132:122] - wire [3:0] _T_71 = _T_65 | _T_70; // @[dbg.scala 132:81] + wire [3:0] _T_70 = _T_69 & 4'h2; // @[dbg.scala 139:122] + wire [3:0] _T_71 = _T_65 | _T_70; // @[dbg.scala 139:81] wire [3:0] _T_75 = _T_51 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_76 = _T_75 & 4'h4; // @[dbg.scala 133:44] - wire [3:0] _T_77 = _T_71 | _T_76; // @[dbg.scala 132:139] + wire [3:0] _T_76 = _T_75 & 4'h4; // @[dbg.scala 140:44] + wire [3:0] _T_77 = _T_71 | _T_76; // @[dbg.scala 139:139] wire [3:0] _T_81 = _T_57 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_82 = _T_81 & 4'h8; // @[dbg.scala 133:102] - wire [3:0] sbaddress0_incr = _T_77 | _T_82; // @[dbg.scala 133:61] - wire _T_83 = io_dmi_reg_en & io_dmi_reg_wr_en; // @[dbg.scala 135:41] - wire sbdata0_reg_wren0 = _T_83 & _T_22; // @[dbg.scala 135:60] - wire _T_85 = sb_state == 4'h7; // @[dbg.scala 136:37] - wire _T_86 = _T_85 & sb_state_en; // @[dbg.scala 136:60] - wire _T_87 = ~sbcs_sberror_wren; // @[dbg.scala 136:76] - wire sbdata0_reg_wren1 = _T_86 & _T_87; // @[dbg.scala 136:74] - wire sbdata1_reg_wren0 = _T_83 & _T_24; // @[dbg.scala 138:60] + wire [3:0] _T_82 = _T_81 & 4'h8; // @[dbg.scala 140:102] + wire [3:0] sbaddress0_incr = _T_77 | _T_82; // @[dbg.scala 140:61] + wire _T_83 = io_dmi_reg_en & io_dmi_reg_wr_en; // @[dbg.scala 142:41] + wire sbdata0_reg_wren0 = _T_83 & _T_28; // @[dbg.scala 142:60] + wire _T_85 = sb_state == 4'h7; // @[dbg.scala 143:37] + wire _T_86 = _T_85 & sb_state_en; // @[dbg.scala 143:60] + wire _T_87 = ~sbcs_sberror_wren; // @[dbg.scala 143:76] + wire sbdata0_reg_wren1 = _T_86 & _T_87; // @[dbg.scala 143:74] + wire sbdata1_reg_wren0 = _T_83 & _T_30; // @[dbg.scala 145:60] wire [31:0] _T_94 = sbdata0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_95 = _T_94 & io_dmi_reg_wdata; // @[dbg.scala 141:49] + wire [31:0] _T_95 = _T_94 & io_dmi_reg_wdata; // @[dbg.scala 148:49] wire [31:0] _T_97 = sbdata0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_99 = _T_97 & sb_bus_rdata[31:0]; // @[dbg.scala 142:33] + wire [31:0] _T_99 = _T_97 & sb_bus_rdata[31:0]; // @[dbg.scala 149:33] wire [31:0] _T_101 = sbdata1_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_102 = _T_101 & io_dmi_reg_wdata; // @[dbg.scala 144:49] - wire [31:0] _T_106 = _T_97 & sb_bus_rdata[63:32]; // @[dbg.scala 145:33] + wire [31:0] _T_102 = _T_101 & io_dmi_reg_wdata; // @[dbg.scala 151:49] + wire [31:0] _T_106 = _T_97 & sb_bus_rdata[63:32]; // @[dbg.scala 152:33] wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] wire rvclkhdr_2_io_en; // @[lib.scala 368:23] @@ -59589,347 +59590,346 @@ module dbg( wire rvclkhdr_3_io_en; // @[lib.scala 368:23] wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] reg [31:0] sbdata1_reg; // @[lib.scala 374:16] - wire sbaddress0_reg_wren0 = _T_83 & _T_21; // @[dbg.scala 155:63] - wire [31:0] _T_112 = sbaddress0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_113 = _T_112 & io_dmi_reg_wdata; // @[dbg.scala 157:59] - wire [31:0] _T_115 = sbaddress0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_116 = {28'h0,sbaddress0_incr}; // @[Cat.scala 29:58] - wire [31:0] _T_118 = sbaddress0_reg + _T_116; // @[dbg.scala 158:54] - wire [31:0] _T_119 = _T_115 & _T_118; // @[dbg.scala 158:36] + wire sbaddress0_reg_wren0 = _T_83 & _T_27; // @[dbg.scala 162:63] + wire [31:0] _T_110 = sbaddress0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_111 = _T_110 & io_dmi_reg_wdata; // @[dbg.scala 164:59] + wire [31:0] _T_113 = sbaddress0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_114 = {28'h0,sbaddress0_incr}; // @[Cat.scala 29:58] + wire [31:0] _T_116 = sbaddress0_reg + _T_114; // @[dbg.scala 165:54] + wire [31:0] _T_117 = _T_113 & _T_116; // @[dbg.scala 165:36] wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] wire rvclkhdr_4_io_en; // @[lib.scala 368:23] wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] - reg [31:0] _T_121; // @[lib.scala 374:16] - wire sbreadonaddr_access = sbaddress0_reg_wren0 & sbcs_reg[20]; // @[dbg.scala 163:94] - wire _T_126 = ~io_dmi_reg_wr_en; // @[dbg.scala 164:45] - wire _T_127 = io_dmi_reg_en & _T_126; // @[dbg.scala 164:43] - wire _T_129 = _T_127 & _T_22; // @[dbg.scala 164:63] - wire sbreadondata_access = _T_129 & sbcs_reg[15]; // @[dbg.scala 164:95] - wire _T_133 = io_dmi_reg_addr == 7'h10; // @[dbg.scala 166:41] - wire _T_134 = _T_133 & io_dmi_reg_en; // @[dbg.scala 166:54] - wire dmcontrol_wren = _T_134 & io_dmi_reg_wr_en; // @[dbg.scala 166:70] - wire [3:0] _T_140 = {io_dmi_reg_wdata[31:30],io_dmi_reg_wdata[28],io_dmi_reg_wdata[1]}; // @[Cat.scala 29:58] + reg [31:0] _T_118; // @[lib.scala 374:16] + wire sbreadonaddr_access = sbaddress0_reg_wren0 & sbcs_reg[20]; // @[dbg.scala 170:94] + wire _T_123 = ~io_dmi_reg_wr_en; // @[dbg.scala 171:45] + wire _T_124 = io_dmi_reg_en & _T_123; // @[dbg.scala 171:43] + wire _T_126 = _T_124 & _T_28; // @[dbg.scala 171:63] + wire sbreadondata_access = _T_126 & sbcs_reg[15]; // @[dbg.scala 171:95] + wire _T_130 = io_dmi_reg_addr == 7'h10; // @[dbg.scala 173:41] + wire _T_131 = _T_130 & io_dmi_reg_en; // @[dbg.scala 173:54] + wire dmcontrol_wren = _T_131 & io_dmi_reg_wr_en; // @[dbg.scala 173:70] + wire [3:0] _T_136 = {io_dmi_reg_wdata[31:30],io_dmi_reg_wdata[28],io_dmi_reg_wdata[1]}; // @[Cat.scala 29:58] reg [3:0] dm_temp; // @[Reg.scala 27:20] reg dm_temp_0; // @[Reg.scala 27:20] - wire [27:0] _T_147 = {26'h0,dm_temp[0],dm_temp_0}; // @[Cat.scala 29:58] - wire [3:0] _T_149 = {dm_temp[3:2],1'h0,dm_temp[1]}; // @[Cat.scala 29:58] - reg dmcontrol_wren_Q; // @[dbg.scala 181:12] - wire [1:0] _T_152 = dmstatus_havereset ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_154 = dmstatus_resumeack ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_156 = dmstatus_unavail ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_158 = dmstatus_running ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_160 = dmstatus_halted ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [11:0] _T_164 = {_T_158,_T_160,1'h1,7'h2}; // @[Cat.scala 29:58] - wire [19:0] _T_168 = {12'h0,_T_152,_T_154,2'h0,_T_156}; // @[Cat.scala 29:58] - wire _T_170 = dbg_state == 3'h6; // @[dbg.scala 186:44] - wire _T_171 = _T_170 & io_dec_tlu_resume_ack; // @[dbg.scala 186:66] - wire _T_173 = ~dmcontrol_reg[30]; // @[dbg.scala 186:113] - wire _T_174 = dmstatus_resumeack & _T_173; // @[dbg.scala 186:111] - wire dmstatus_resumeack_wren = _T_171 | _T_174; // @[dbg.scala 186:90] - wire _T_178 = _T_133 & io_dmi_reg_wdata[1]; // @[dbg.scala 188:63] - wire _T_179 = _T_178 & io_dmi_reg_en; // @[dbg.scala 188:85] - wire dmstatus_havereset_wren = _T_179 & io_dmi_reg_wr_en; // @[dbg.scala 188:101] - wire _T_182 = _T_133 & io_dmi_reg_wdata[28]; // @[dbg.scala 189:62] - wire _T_183 = _T_182 & io_dmi_reg_en; // @[dbg.scala 189:85] - wire dmstatus_havereset_rst = _T_183 & io_dmi_reg_wr_en; // @[dbg.scala 189:101] - wire _T_185 = ~reset; // @[dbg.scala 191:43] - wire _T_188 = dmstatus_unavail | dmstatus_halted; // @[dbg.scala 192:42] - reg _T_191; // @[Reg.scala 27:20] - wire _T_193 = ~io_dec_tlu_mpc_halted_only; // @[dbg.scala 198:37] - reg _T_195; // @[dbg.scala 198:12] - wire _T_197 = dmstatus_havereset_wren | dmstatus_havereset; // @[dbg.scala 202:16] - wire _T_198 = ~dmstatus_havereset_rst; // @[dbg.scala 202:72] - reg _T_200; // @[dbg.scala 202:12] + wire [27:0] _T_143 = {26'h0,dm_temp[0],dm_temp_0}; // @[Cat.scala 29:58] + wire [3:0] _T_145 = {dm_temp[3:2],1'h0,dm_temp[1]}; // @[Cat.scala 29:58] + reg dmcontrol_wren_Q; // @[dbg.scala 188:12] + wire [1:0] _T_147 = dmstatus_havereset ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_149 = dmstatus_resumeack ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_151 = dmstatus_unavail ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_153 = dmstatus_running ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_155 = dmstatus_halted ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_159 = {_T_153,_T_155,1'h1,7'h2}; // @[Cat.scala 29:58] + wire [19:0] _T_163 = {12'h0,_T_147,_T_149,2'h0,_T_151}; // @[Cat.scala 29:58] + wire _T_165 = dbg_state == 3'h6; // @[dbg.scala 193:44] + wire _T_166 = _T_165 & io_dec_tlu_resume_ack; // @[dbg.scala 193:66] + wire _T_168 = ~dmcontrol_reg[30]; // @[dbg.scala 193:113] + wire _T_169 = dmstatus_resumeack & _T_168; // @[dbg.scala 193:111] + wire dmstatus_resumeack_wren = _T_166 | _T_169; // @[dbg.scala 193:90] + wire _T_173 = _T_130 & io_dmi_reg_wdata[1]; // @[dbg.scala 195:63] + wire _T_174 = _T_173 & io_dmi_reg_en; // @[dbg.scala 195:85] + wire dmstatus_havereset_wren = _T_174 & io_dmi_reg_wr_en; // @[dbg.scala 195:101] + wire _T_177 = _T_130 & io_dmi_reg_wdata[28]; // @[dbg.scala 196:62] + wire _T_178 = _T_177 & io_dmi_reg_en; // @[dbg.scala 196:85] + wire dmstatus_havereset_rst = _T_178 & io_dmi_reg_wr_en; // @[dbg.scala 196:101] + wire _T_180 = ~reset; // @[dbg.scala 198:43] + wire _T_183 = dmstatus_unavail | dmstatus_halted; // @[dbg.scala 199:42] + reg _T_185; // @[Reg.scala 27:20] + wire _T_186 = ~io_dec_tlu_mpc_halted_only; // @[dbg.scala 205:37] + reg _T_188; // @[dbg.scala 205:12] + wire _T_189 = dmstatus_havereset_wren | dmstatus_havereset; // @[dbg.scala 209:16] + wire _T_190 = ~dmstatus_havereset_rst; // @[dbg.scala 209:72] + reg _T_192; // @[dbg.scala 209:12] wire [31:0] abstractcs_reg; - wire _T_202 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 208:50] - wire _T_203 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 208:106] - wire _T_204 = io_dmi_reg_addr == 7'h17; // @[dbg.scala 208:138] - wire _T_205 = _T_203 | _T_204; // @[dbg.scala 208:119] - wire _T_206 = io_dmi_reg_wr_en & _T_205; // @[dbg.scala 208:86] - wire _T_207 = io_dmi_reg_addr == 7'h4; // @[dbg.scala 208:171] - wire _T_208 = _T_206 | _T_207; // @[dbg.scala 208:152] - wire abstractcs_error_sel0 = _T_202 & _T_208; // @[dbg.scala 208:66] - wire _T_211 = _T_83 & _T_204; // @[dbg.scala 209:64] - wire _T_213 = io_dmi_reg_wdata[31:24] == 8'h0; // @[dbg.scala 209:126] - wire _T_215 = io_dmi_reg_wdata[31:24] == 8'h2; // @[dbg.scala 209:163] - wire _T_216 = _T_213 | _T_215; // @[dbg.scala 209:135] - wire _T_217 = ~_T_216; // @[dbg.scala 209:98] - wire abstractcs_error_sel1 = _T_211 & _T_217; // @[dbg.scala 209:96] - wire abstractcs_error_sel2 = io_core_dbg_cmd_done & io_core_dbg_cmd_fail; // @[dbg.scala 210:52] - wire _T_222 = ~dmstatus_reg[9]; // @[dbg.scala 211:98] - wire abstractcs_error_sel3 = _T_211 & _T_222; // @[dbg.scala 211:96] - wire _T_224 = _T_204 & io_dmi_reg_en; // @[dbg.scala 212:61] - wire _T_225 = _T_224 & io_dmi_reg_wr_en; // @[dbg.scala 212:77] - wire _T_227 = io_dmi_reg_wdata[22:20] != 3'h2; // @[dbg.scala 213:32] - wire _T_231 = |data1_reg[1:0]; // @[dbg.scala 213:111] - wire _T_232 = _T_215 & _T_231; // @[dbg.scala 213:92] - wire _T_233 = _T_227 | _T_232; // @[dbg.scala 213:51] - wire abstractcs_error_sel4 = _T_225 & _T_233; // @[dbg.scala 212:96] - wire _T_235 = _T_203 & io_dmi_reg_en; // @[dbg.scala 215:61] - wire abstractcs_error_sel5 = _T_235 & io_dmi_reg_wr_en; // @[dbg.scala 215:77] - wire _T_236 = abstractcs_error_sel0 | abstractcs_error_sel1; // @[dbg.scala 216:54] - wire _T_237 = _T_236 | abstractcs_error_sel2; // @[dbg.scala 216:78] - wire _T_238 = _T_237 | abstractcs_error_sel3; // @[dbg.scala 216:102] - wire _T_239 = _T_238 | abstractcs_error_sel4; // @[dbg.scala 216:126] - wire abstractcs_error_selor = _T_239 | abstractcs_error_sel5; // @[dbg.scala 216:150] - wire [2:0] _T_241 = abstractcs_error_sel0 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_242 = _T_241 & 3'h1; // @[dbg.scala 217:62] - wire [2:0] _T_244 = abstractcs_error_sel1 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_245 = _T_244 & 3'h2; // @[dbg.scala 218:37] - wire [2:0] _T_246 = _T_242 | _T_245; // @[dbg.scala 217:79] - wire [2:0] _T_248 = abstractcs_error_sel2 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_249 = _T_248 & 3'h3; // @[dbg.scala 219:37] - wire [2:0] _T_250 = _T_246 | _T_249; // @[dbg.scala 218:54] - wire [2:0] _T_252 = abstractcs_error_sel3 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_253 = _T_252 & 3'h4; // @[dbg.scala 220:37] - wire [2:0] _T_254 = _T_250 | _T_253; // @[dbg.scala 219:54] - wire [2:0] _T_256 = abstractcs_error_sel4 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_258 = _T_254 | _T_256; // @[dbg.scala 220:54] - wire [2:0] _T_260 = abstractcs_error_sel5 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_262 = ~io_dmi_reg_wdata[10:8]; // @[dbg.scala 222:40] - wire [2:0] _T_263 = _T_260 & _T_262; // @[dbg.scala 222:37] - wire [2:0] _T_265 = _T_263 & abstractcs_reg[10:8]; // @[dbg.scala 222:75] - wire [2:0] _T_266 = _T_258 | _T_265; // @[dbg.scala 221:54] - wire _T_267 = ~abstractcs_error_selor; // @[dbg.scala 223:15] - wire [2:0] _T_269 = _T_267 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_271 = _T_269 & abstractcs_reg[10:8]; // @[dbg.scala 223:50] + wire _T_194 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 215:50] + wire _T_195 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 215:106] + wire _T_196 = io_dmi_reg_addr == 7'h17; // @[dbg.scala 215:138] + wire _T_197 = _T_195 | _T_196; // @[dbg.scala 215:119] + wire _T_198 = io_dmi_reg_wr_en & _T_197; // @[dbg.scala 215:86] + wire _T_199 = io_dmi_reg_addr == 7'h4; // @[dbg.scala 215:171] + wire _T_200 = _T_198 | _T_199; // @[dbg.scala 215:152] + wire abstractcs_error_sel0 = _T_194 & _T_200; // @[dbg.scala 215:66] + wire _T_203 = _T_83 & _T_196; // @[dbg.scala 216:64] + wire _T_205 = io_dmi_reg_wdata[31:24] == 8'h0; // @[dbg.scala 216:126] + wire _T_207 = io_dmi_reg_wdata[31:24] == 8'h2; // @[dbg.scala 216:163] + wire _T_208 = _T_205 | _T_207; // @[dbg.scala 216:135] + wire _T_209 = ~_T_208; // @[dbg.scala 216:98] + wire abstractcs_error_sel1 = _T_203 & _T_209; // @[dbg.scala 216:96] + wire abstractcs_error_sel2 = io_core_dbg_cmd_done & io_core_dbg_cmd_fail; // @[dbg.scala 217:52] + wire _T_214 = ~dmstatus_reg[9]; // @[dbg.scala 218:98] + wire abstractcs_error_sel3 = _T_203 & _T_214; // @[dbg.scala 218:96] + wire _T_216 = _T_196 & io_dmi_reg_en; // @[dbg.scala 219:61] + wire _T_217 = _T_216 & io_dmi_reg_wr_en; // @[dbg.scala 219:77] + wire _T_219 = io_dmi_reg_wdata[22:20] != 3'h2; // @[dbg.scala 220:32] + wire _T_223 = |data1_reg[1:0]; // @[dbg.scala 220:111] + wire _T_224 = _T_207 & _T_223; // @[dbg.scala 220:92] + wire _T_225 = _T_219 | _T_224; // @[dbg.scala 220:51] + wire abstractcs_error_sel4 = _T_217 & _T_225; // @[dbg.scala 219:96] + wire _T_227 = _T_195 & io_dmi_reg_en; // @[dbg.scala 222:61] + wire abstractcs_error_sel5 = _T_227 & io_dmi_reg_wr_en; // @[dbg.scala 222:77] + wire _T_228 = abstractcs_error_sel0 | abstractcs_error_sel1; // @[dbg.scala 223:54] + wire _T_229 = _T_228 | abstractcs_error_sel2; // @[dbg.scala 223:78] + wire _T_230 = _T_229 | abstractcs_error_sel3; // @[dbg.scala 223:102] + wire _T_231 = _T_230 | abstractcs_error_sel4; // @[dbg.scala 223:126] + wire abstractcs_error_selor = _T_231 | abstractcs_error_sel5; // @[dbg.scala 223:150] + wire [2:0] _T_233 = abstractcs_error_sel0 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_234 = _T_233 & 3'h1; // @[dbg.scala 224:62] + wire [2:0] _T_236 = abstractcs_error_sel1 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_237 = _T_236 & 3'h2; // @[dbg.scala 225:37] + wire [2:0] _T_238 = _T_234 | _T_237; // @[dbg.scala 224:79] + wire [2:0] _T_240 = abstractcs_error_sel2 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_241 = _T_240 & 3'h3; // @[dbg.scala 226:37] + wire [2:0] _T_242 = _T_238 | _T_241; // @[dbg.scala 225:54] + wire [2:0] _T_244 = abstractcs_error_sel3 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_245 = _T_244 & 3'h4; // @[dbg.scala 227:37] + wire [2:0] _T_246 = _T_242 | _T_245; // @[dbg.scala 226:54] + wire [2:0] _T_248 = abstractcs_error_sel4 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_250 = _T_246 | _T_248; // @[dbg.scala 227:54] + wire [2:0] _T_252 = abstractcs_error_sel5 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_254 = ~io_dmi_reg_wdata[10:8]; // @[dbg.scala 229:40] + wire [2:0] _T_255 = _T_252 & _T_254; // @[dbg.scala 229:37] + wire [2:0] _T_257 = _T_255 & abstractcs_reg[10:8]; // @[dbg.scala 229:75] + wire [2:0] _T_258 = _T_250 | _T_257; // @[dbg.scala 228:54] + wire _T_259 = ~abstractcs_error_selor; // @[dbg.scala 230:15] + wire [2:0] _T_261 = _T_259 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_263 = _T_261 & abstractcs_reg[10:8]; // @[dbg.scala 230:50] reg abs_temp_12; // @[Reg.scala 27:20] - reg [2:0] abs_temp_10_8; // @[dbg.scala 230:12] - wire [10:0] _T_275 = {abs_temp_10_8,8'h2}; // @[Cat.scala 29:58] - wire [20:0] _T_277 = {19'h0,abs_temp_12,1'h0}; // @[Cat.scala 29:58] - wire _T_282 = dbg_state == 3'h2; // @[dbg.scala 235:100] - wire command_wren = _T_225 & _T_282; // @[dbg.scala 235:87] - wire [19:0] _T_286 = {3'h0,io_dmi_reg_wdata[16:0]}; // @[Cat.scala 29:58] - wire [11:0] _T_288 = {io_dmi_reg_wdata[31:24],1'h0,io_dmi_reg_wdata[22:20]}; // @[Cat.scala 29:58] + reg [2:0] abs_temp_10_8; // @[dbg.scala 237:12] + wire [10:0] _T_265 = {abs_temp_10_8,8'h2}; // @[Cat.scala 29:58] + wire [20:0] _T_267 = {19'h0,abs_temp_12,1'h0}; // @[Cat.scala 29:58] + wire _T_272 = dbg_state == 3'h2; // @[dbg.scala 242:100] + wire command_wren = _T_217 & _T_272; // @[dbg.scala 242:87] + wire [19:0] _T_276 = {3'h0,io_dmi_reg_wdata[16:0]}; // @[Cat.scala 29:58] + wire [11:0] _T_278 = {io_dmi_reg_wdata[31:24],1'h0,io_dmi_reg_wdata[22:20]}; // @[Cat.scala 29:58] wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] wire rvclkhdr_5_io_en; // @[lib.scala 368:23] wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] reg [31:0] command_reg; // @[lib.scala 374:16] - wire _T_292 = _T_83 & _T_207; // @[dbg.scala 241:58] - wire data0_reg_wren0 = _T_292 & _T_282; // @[dbg.scala 241:89] - wire _T_294 = dbg_state == 3'h4; // @[dbg.scala 242:59] - wire _T_295 = io_core_dbg_cmd_done & _T_294; // @[dbg.scala 242:46] - wire _T_297 = ~command_reg[16]; // @[dbg.scala 242:83] - wire data0_reg_wren1 = _T_295 & _T_297; // @[dbg.scala 242:81] - wire [31:0] _T_299 = data0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_300 = _T_299 & io_dmi_reg_wdata; // @[dbg.scala 245:45] - wire [31:0] _T_302 = data0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_303 = _T_302 & io_core_dbg_rddata; // @[dbg.scala 245:92] + wire _T_281 = _T_83 & _T_199; // @[dbg.scala 248:58] + wire data0_reg_wren0 = _T_281 & _T_272; // @[dbg.scala 248:89] + wire _T_283 = dbg_state == 3'h4; // @[dbg.scala 249:59] + wire _T_284 = io_core_dbg_cmd_done & _T_283; // @[dbg.scala 249:46] + wire _T_286 = ~command_reg[16]; // @[dbg.scala 249:83] + wire data0_reg_wren1 = _T_284 & _T_286; // @[dbg.scala 249:81] + wire [31:0] _T_288 = data0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_289 = _T_288 & io_dmi_reg_wdata; // @[dbg.scala 252:45] + wire [31:0] _T_291 = data0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_292 = _T_291 & io_core_dbg_rddata; // @[dbg.scala 252:92] wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] wire rvclkhdr_6_io_en; // @[lib.scala 368:23] wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] reg [31:0] data0_reg; // @[lib.scala 374:16] - wire _T_306 = io_dmi_reg_addr == 7'h5; // @[dbg.scala 250:77] - wire _T_307 = _T_83 & _T_306; // @[dbg.scala 250:58] - wire data1_reg_wren = _T_307 & _T_282; // @[dbg.scala 250:89] - wire [31:0] _T_310 = data1_reg_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire _T_294 = io_dmi_reg_addr == 7'h5; // @[dbg.scala 257:77] + wire _T_295 = _T_83 & _T_294; // @[dbg.scala 257:58] + wire data1_reg_wren = _T_295 & _T_272; // @[dbg.scala 257:89] + wire [31:0] _T_298 = data1_reg_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] wire rvclkhdr_7_io_en; // @[lib.scala 368:23] wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] - reg [31:0] _T_312; // @[lib.scala 374:16] + reg [31:0] _T_299; // @[lib.scala 374:16] wire [2:0] dbg_nxtstate; - wire _T_313 = 3'h0 == dbg_state; // @[Conditional.scala 37:30] - wire _T_315 = dmstatus_reg[9] | io_dec_tlu_mpc_halted_only; // @[dbg.scala 265:43] - wire [2:0] _T_316 = _T_315 ? 3'h2 : 3'h1; // @[dbg.scala 265:26] - wire _T_318 = ~io_dec_tlu_debug_mode; // @[dbg.scala 266:45] - wire _T_319 = dmcontrol_reg[31] & _T_318; // @[dbg.scala 266:43] - wire _T_321 = _T_319 | dmstatus_reg[9]; // @[dbg.scala 266:69] - wire _T_322 = _T_321 | io_dec_tlu_mpc_halted_only; // @[dbg.scala 266:87] - wire _T_325 = _T_322 & _T_11; // @[dbg.scala 266:117] - wire _T_329 = dmcontrol_reg[31] & _T_11; // @[dbg.scala 267:45] - wire _T_331 = 3'h1 == dbg_state; // @[Conditional.scala 37:30] - wire [2:0] _T_333 = dmcontrol_reg[1] ? 3'h0 : 3'h2; // @[dbg.scala 270:26] - wire _T_336 = dmstatus_reg[9] | dmcontrol_reg[1]; // @[dbg.scala 271:39] - wire _T_338 = dmcontrol_wren_Q & dmcontrol_reg[31]; // @[dbg.scala 272:44] - wire _T_341 = _T_338 & _T_11; // @[dbg.scala 272:64] - wire _T_343 = 3'h2 == dbg_state; // @[Conditional.scala 37:30] - wire _T_347 = dmstatus_reg[9] & _T_11; // @[dbg.scala 275:43] - wire _T_350 = ~dmcontrol_reg[31]; // @[dbg.scala 276:33] - wire _T_351 = dmcontrol_reg[30] & _T_350; // @[dbg.scala 276:31] - wire [2:0] _T_352 = _T_351 ? 3'h6 : 3'h3; // @[dbg.scala 276:12] - wire [2:0] _T_354 = dmcontrol_reg[31] ? 3'h1 : 3'h0; // @[dbg.scala 277:12] - wire [2:0] _T_355 = _T_347 ? _T_352 : _T_354; // @[dbg.scala 275:26] - wire _T_358 = dmstatus_reg[9] & dmcontrol_reg[30]; // @[dbg.scala 278:39] - wire _T_361 = _T_358 & _T_350; // @[dbg.scala 278:59] - wire _T_362 = _T_361 & dmcontrol_wren_Q; // @[dbg.scala 278:80] - wire _T_363 = _T_362 | command_wren; // @[dbg.scala 278:99] - wire _T_365 = _T_363 | dmcontrol_reg[1]; // @[dbg.scala 278:114] - wire _T_368 = ~_T_315; // @[dbg.scala 279:28] - wire _T_369 = _T_365 | _T_368; // @[dbg.scala 279:26] - wire _T_370 = dbg_nxtstate == 3'h3; // @[dbg.scala 280:60] - wire _T_371 = dbg_state_en & _T_370; // @[dbg.scala 280:44] - wire _T_372 = dbg_nxtstate == 3'h6; // @[dbg.scala 282:58] - wire _T_373 = dbg_state_en & _T_372; // @[dbg.scala 282:42] - wire _T_381 = 3'h3 == dbg_state; // @[Conditional.scala 37:30] - wire _T_384 = |abstractcs_reg[10:8]; // @[dbg.scala 286:85] - wire [2:0] _T_385 = _T_384 ? 3'h5 : 3'h4; // @[dbg.scala 286:62] - wire [2:0] _T_386 = dmcontrol_reg[1] ? 3'h0 : _T_385; // @[dbg.scala 286:26] - wire _T_389 = io_dbg_dec_dbg_ib_dbg_cmd_valid | _T_384; // @[dbg.scala 287:55] - wire _T_391 = _T_389 | dmcontrol_reg[1]; // @[dbg.scala 287:83] - wire _T_398 = 3'h4 == dbg_state; // @[Conditional.scala 37:30] - wire [2:0] _T_400 = dmcontrol_reg[1] ? 3'h0 : 3'h5; // @[dbg.scala 291:26] - wire _T_402 = io_core_dbg_cmd_done | dmcontrol_reg[1]; // @[dbg.scala 292:44] - wire _T_409 = 3'h5 == dbg_state; // @[Conditional.scala 37:30] - wire _T_418 = 3'h6 == dbg_state; // @[Conditional.scala 37:30] - wire _T_421 = dmstatus_reg[17] | dmcontrol_reg[1]; // @[dbg.scala 304:40] - wire _GEN_10 = _T_418 & _T_421; // @[Conditional.scala 39:67] - wire _GEN_11 = _T_418 & _T_341; // @[Conditional.scala 39:67] - wire [2:0] _GEN_12 = _T_409 ? _T_333 : 3'h0; // @[Conditional.scala 39:67] - wire _GEN_13 = _T_409 | _GEN_10; // @[Conditional.scala 39:67] - wire _GEN_14 = _T_409 & dbg_state_en; // @[Conditional.scala 39:67] - wire _GEN_16 = _T_409 ? _T_341 : _GEN_11; // @[Conditional.scala 39:67] - wire [2:0] _GEN_17 = _T_398 ? _T_400 : _GEN_12; // @[Conditional.scala 39:67] - wire _GEN_18 = _T_398 ? _T_402 : _GEN_13; // @[Conditional.scala 39:67] - wire _GEN_19 = _T_398 ? _T_341 : _GEN_16; // @[Conditional.scala 39:67] - wire _GEN_20 = _T_398 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] - wire [2:0] _GEN_22 = _T_381 ? _T_386 : _GEN_17; // @[Conditional.scala 39:67] - wire _GEN_23 = _T_381 ? _T_391 : _GEN_18; // @[Conditional.scala 39:67] - wire _GEN_24 = _T_381 ? _T_341 : _GEN_19; // @[Conditional.scala 39:67] - wire _GEN_25 = _T_381 ? 1'h0 : _GEN_20; // @[Conditional.scala 39:67] - wire [2:0] _GEN_27 = _T_343 ? _T_355 : _GEN_22; // @[Conditional.scala 39:67] - wire _GEN_28 = _T_343 ? _T_369 : _GEN_23; // @[Conditional.scala 39:67] - wire _GEN_29 = _T_343 ? _T_371 : _GEN_25; // @[Conditional.scala 39:67] - wire _GEN_31 = _T_343 & _T_373; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_343 ? _T_341 : _GEN_24; // @[Conditional.scala 39:67] - wire [2:0] _GEN_33 = _T_331 ? _T_333 : _GEN_27; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_331 ? _T_336 : _GEN_28; // @[Conditional.scala 39:67] - wire _GEN_35 = _T_331 ? _T_341 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_36 = _T_331 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_331 ? 1'h0 : _GEN_31; // @[Conditional.scala 39:67] - wire _T_482 = dbg_dm_rst_l & reset; // @[dbg.scala 315:86] - reg [2:0] _T_483; // @[Reg.scala 27:20] - wire _T_487 = command_reg[31:24] == 8'h2; // @[dbg.scala 324:62] - wire [31:0] _T_489 = {data1_reg[31:2],2'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_491 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] - wire _T_494 = dbg_state == 3'h3; // @[dbg.scala 326:50] - wire _T_497 = ~_T_384; // @[dbg.scala 326:75] - wire _T_498 = _T_494 & _T_497; // @[dbg.scala 326:73] - wire _T_506 = command_reg[15:12] == 4'h0; // @[dbg.scala 328:122] - wire [1:0] _T_507 = {1'h0,_T_506}; // @[Cat.scala 29:58] - wire _T_518 = 4'h0 == sb_state; // @[Conditional.scala 37:30] - wire _T_520 = sbdata0_reg_wren0 | sbreadondata_access; // @[dbg.scala 343:39] - wire _T_521 = _T_520 | sbreadonaddr_access; // @[dbg.scala 343:61] - wire _T_523 = |io_dmi_reg_wdata[14:12]; // @[dbg.scala 346:65] - wire _T_524 = sbcs_wren & _T_523; // @[dbg.scala 346:38] - wire [2:0] _T_526 = ~io_dmi_reg_wdata[14:12]; // @[dbg.scala 347:27] - wire [2:0] _T_528 = _T_526 & sbcs_reg[14:12]; // @[dbg.scala 347:53] - wire _T_529 = 4'h1 == sb_state; // @[Conditional.scala 37:30] - wire _T_530 = sbcs_unaligned | sbcs_illegal_size; // @[dbg.scala 350:41] - wire _T_532 = io_dbg_bus_clk_en | sbcs_unaligned; // @[dbg.scala 351:40] - wire _T_533 = _T_532 | sbcs_illegal_size; // @[dbg.scala 351:57] - wire _T_536 = 4'h2 == sb_state; // @[Conditional.scala 37:30] - wire _T_543 = 4'h3 == sb_state; // @[Conditional.scala 37:30] - wire _T_544 = sb_bus_cmd_read & io_dbg_bus_clk_en; // @[dbg.scala 363:38] - wire _T_545 = 4'h4 == sb_state; // @[Conditional.scala 37:30] - wire _T_546 = sb_bus_cmd_write_addr & sb_bus_cmd_write_data; // @[dbg.scala 366:48] - wire _T_549 = sb_bus_cmd_write_addr | sb_bus_cmd_write_data; // @[dbg.scala 367:45] - wire _T_550 = _T_549 & io_dbg_bus_clk_en; // @[dbg.scala 367:70] - wire _T_551 = 4'h5 == sb_state; // @[Conditional.scala 37:30] - wire _T_552 = sb_bus_cmd_write_addr & io_dbg_bus_clk_en; // @[dbg.scala 371:44] - wire _T_553 = 4'h6 == sb_state; // @[Conditional.scala 37:30] - wire _T_554 = sb_bus_cmd_write_data & io_dbg_bus_clk_en; // @[dbg.scala 375:44] - wire _T_555 = 4'h7 == sb_state; // @[Conditional.scala 37:30] - wire _T_556 = sb_bus_rsp_read & io_dbg_bus_clk_en; // @[dbg.scala 379:38] - wire _T_557 = sb_state_en & sb_bus_rsp_error; // @[dbg.scala 380:40] - wire _T_558 = 4'h8 == sb_state; // @[Conditional.scala 37:30] - wire _T_559 = sb_bus_rsp_write & io_dbg_bus_clk_en; // @[dbg.scala 385:39] - wire _T_561 = 4'h9 == sb_state; // @[Conditional.scala 37:30] - wire _GEN_50 = _T_561 & sbcs_reg[16]; // @[Conditional.scala 39:67] - wire _GEN_52 = _T_558 ? _T_559 : _T_561; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_558 & _T_557; // @[Conditional.scala 39:67] - wire _GEN_55 = _T_558 ? 1'h0 : _T_561; // @[Conditional.scala 39:67] - wire _GEN_57 = _T_558 ? 1'h0 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_555 ? _T_556 : _GEN_52; // @[Conditional.scala 39:67] - wire _GEN_60 = _T_555 ? _T_557 : _GEN_53; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_555 ? 1'h0 : _GEN_55; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_555 ? 1'h0 : _GEN_57; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_553 ? _T_554 : _GEN_59; // @[Conditional.scala 39:67] - wire _GEN_67 = _T_553 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_69 = _T_553 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_553 ? 1'h0 : _GEN_64; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_551 ? _T_552 : _GEN_66; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_551 ? 1'h0 : _GEN_67; // @[Conditional.scala 39:67] - wire _GEN_76 = _T_551 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] - wire _GEN_78 = _T_551 ? 1'h0 : _GEN_71; // @[Conditional.scala 39:67] - wire _GEN_80 = _T_545 ? _T_550 : _GEN_73; // @[Conditional.scala 39:67] - wire _GEN_81 = _T_545 ? 1'h0 : _GEN_74; // @[Conditional.scala 39:67] - wire _GEN_83 = _T_545 ? 1'h0 : _GEN_76; // @[Conditional.scala 39:67] - wire _GEN_85 = _T_545 ? 1'h0 : _GEN_78; // @[Conditional.scala 39:67] - wire _GEN_87 = _T_543 ? _T_544 : _GEN_80; // @[Conditional.scala 39:67] - wire _GEN_88 = _T_543 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] - wire _GEN_90 = _T_543 ? 1'h0 : _GEN_83; // @[Conditional.scala 39:67] - wire _GEN_92 = _T_543 ? 1'h0 : _GEN_85; // @[Conditional.scala 39:67] - wire _GEN_94 = _T_536 ? _T_533 : _GEN_87; // @[Conditional.scala 39:67] - wire _GEN_95 = _T_536 ? _T_530 : _GEN_88; // @[Conditional.scala 39:67] - wire _GEN_97 = _T_536 ? 1'h0 : _GEN_90; // @[Conditional.scala 39:67] - wire _GEN_99 = _T_536 ? 1'h0 : _GEN_92; // @[Conditional.scala 39:67] - wire _GEN_101 = _T_529 ? _T_533 : _GEN_94; // @[Conditional.scala 39:67] - wire _GEN_102 = _T_529 ? _T_530 : _GEN_95; // @[Conditional.scala 39:67] - wire _GEN_104 = _T_529 ? 1'h0 : _GEN_97; // @[Conditional.scala 39:67] - wire _GEN_106 = _T_529 ? 1'h0 : _GEN_99; // @[Conditional.scala 39:67] - reg [3:0] _T_564; // @[Reg.scala 27:20] - wire _T_571 = |io_sb_axi_r_bits_resp; // @[dbg.scala 406:69] - wire _T_572 = sb_bus_rsp_read & _T_571; // @[dbg.scala 406:39] - wire _T_574 = |io_sb_axi_b_bits_resp; // @[dbg.scala 406:122] - wire _T_575 = sb_bus_rsp_write & _T_574; // @[dbg.scala 406:92] - wire _T_577 = sb_state == 4'h4; // @[dbg.scala 407:36] - wire _T_578 = sb_state == 4'h5; // @[dbg.scala 407:71] - wire _T_584 = sb_state == 4'h6; // @[dbg.scala 418:70] - wire [63:0] _T_590 = _T_62 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_594 = {sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0]}; // @[Cat.scala 29:58] - wire [63:0] _T_595 = _T_590 & _T_594; // @[dbg.scala 419:65] - wire [63:0] _T_599 = _T_47 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_602 = {sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0]}; // @[Cat.scala 29:58] - wire [63:0] _T_603 = _T_599 & _T_602; // @[dbg.scala 419:138] - wire [63:0] _T_604 = _T_595 | _T_603; // @[dbg.scala 419:96] - wire [63:0] _T_608 = _T_51 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_610 = {sbdata0_reg,sbdata0_reg}; // @[Cat.scala 29:58] - wire [63:0] _T_611 = _T_608 & _T_610; // @[dbg.scala 420:45] - wire [63:0] _T_612 = _T_604 | _T_611; // @[dbg.scala 419:168] - wire [63:0] _T_616 = _T_57 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_619 = {sbdata1_reg,sbdata0_reg}; // @[Cat.scala 29:58] - wire [63:0] _T_620 = _T_616 & _T_619; // @[dbg.scala 420:119] - wire [7:0] _T_625 = _T_62 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [14:0] _T_627 = 15'h1 << sbaddress0_reg[2:0]; // @[dbg.scala 422:82] - wire [14:0] _GEN_115 = {{7'd0}, _T_625}; // @[dbg.scala 422:67] - wire [14:0] _T_628 = _GEN_115 & _T_627; // @[dbg.scala 422:67] - wire [7:0] _T_632 = _T_47 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_634 = {sbaddress0_reg[2:1],1'h0}; // @[Cat.scala 29:58] - wire [14:0] _T_635 = 15'h3 << _T_634; // @[dbg.scala 423:59] - wire [14:0] _GEN_116 = {{7'd0}, _T_632}; // @[dbg.scala 423:44] - wire [14:0] _T_636 = _GEN_116 & _T_635; // @[dbg.scala 423:44] - wire [14:0] _T_637 = _T_628 | _T_636; // @[dbg.scala 422:107] - wire [7:0] _T_641 = _T_51 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_643 = {sbaddress0_reg[2],2'h0}; // @[Cat.scala 29:58] - wire [14:0] _T_644 = 15'hf << _T_643; // @[dbg.scala 424:59] - wire [14:0] _GEN_117 = {{7'd0}, _T_641}; // @[dbg.scala 424:44] - wire [14:0] _T_645 = _GEN_117 & _T_644; // @[dbg.scala 424:44] - wire [14:0] _T_646 = _T_637 | _T_645; // @[dbg.scala 423:97] - wire [7:0] _T_650 = _T_57 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [14:0] _GEN_118 = {{7'd0}, _T_650}; // @[dbg.scala 424:100] - wire [14:0] _T_652 = _T_646 | _GEN_118; // @[dbg.scala 424:100] - wire [3:0] _GEN_119 = {{1'd0}, sbaddress0_reg[2:0]}; // @[dbg.scala 441:99] - wire [6:0] _T_663 = 4'h8 * _GEN_119; // @[dbg.scala 441:99] - wire [63:0] _T_664 = io_sb_axi_r_bits_data >> _T_663; // @[dbg.scala 441:92] - wire [63:0] _T_665 = _T_664 & 64'hff; // @[dbg.scala 441:123] - wire [63:0] _T_666 = _T_590 & _T_665; // @[dbg.scala 441:59] - wire [4:0] _GEN_120 = {{3'd0}, sbaddress0_reg[2:1]}; // @[dbg.scala 442:86] - wire [6:0] _T_673 = 5'h10 * _GEN_120; // @[dbg.scala 442:86] - wire [63:0] _T_674 = io_sb_axi_r_bits_data >> _T_673; // @[dbg.scala 442:78] - wire [63:0] _T_675 = _T_674 & 64'hffff; // @[dbg.scala 442:110] - wire [63:0] _T_676 = _T_599 & _T_675; // @[dbg.scala 442:45] - wire [63:0] _T_677 = _T_666 | _T_676; // @[dbg.scala 441:140] - wire [5:0] _GEN_121 = {{5'd0}, sbaddress0_reg[2]}; // @[dbg.scala 443:86] - wire [6:0] _T_684 = 6'h20 * _GEN_121; // @[dbg.scala 443:86] - wire [63:0] _T_685 = io_sb_axi_r_bits_data >> _T_684; // @[dbg.scala 443:78] - wire [63:0] _T_686 = _T_685 & 64'hffffffff; // @[dbg.scala 443:107] - wire [63:0] _T_687 = _T_608 & _T_686; // @[dbg.scala 443:45] - wire [63:0] _T_688 = _T_677 | _T_687; // @[dbg.scala 442:129] - wire [63:0] _T_694 = _T_616 & io_sb_axi_r_bits_data; // @[dbg.scala 444:45] + wire _T_300 = 3'h0 == dbg_state; // @[Conditional.scala 37:30] + wire _T_302 = dmstatus_reg[9] | io_dec_tlu_mpc_halted_only; // @[dbg.scala 272:43] + wire [2:0] _T_303 = _T_302 ? 3'h2 : 3'h1; // @[dbg.scala 272:26] + wire _T_305 = ~io_dec_tlu_debug_mode; // @[dbg.scala 273:45] + wire _T_306 = dmcontrol_reg[31] & _T_305; // @[dbg.scala 273:43] + wire _T_308 = _T_306 | dmstatus_reg[9]; // @[dbg.scala 273:69] + wire _T_309 = _T_308 | io_dec_tlu_mpc_halted_only; // @[dbg.scala 273:87] + wire _T_312 = _T_309 & _T_17; // @[dbg.scala 273:117] + wire _T_316 = dmcontrol_reg[31] & _T_17; // @[dbg.scala 274:45] + wire _T_318 = 3'h1 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_320 = dmcontrol_reg[1] ? 3'h0 : 3'h2; // @[dbg.scala 277:26] + wire _T_323 = dmstatus_reg[9] | dmcontrol_reg[1]; // @[dbg.scala 278:39] + wire _T_325 = dmcontrol_wren_Q & dmcontrol_reg[31]; // @[dbg.scala 279:44] + wire _T_328 = _T_325 & _T_17; // @[dbg.scala 279:64] + wire _T_330 = 3'h2 == dbg_state; // @[Conditional.scala 37:30] + wire _T_334 = dmstatus_reg[9] & _T_17; // @[dbg.scala 282:43] + wire _T_337 = ~dmcontrol_reg[31]; // @[dbg.scala 283:33] + wire _T_338 = dmcontrol_reg[30] & _T_337; // @[dbg.scala 283:31] + wire [2:0] _T_339 = _T_338 ? 3'h6 : 3'h3; // @[dbg.scala 283:12] + wire [2:0] _T_341 = dmcontrol_reg[31] ? 3'h1 : 3'h0; // @[dbg.scala 284:12] + wire [2:0] _T_342 = _T_334 ? _T_339 : _T_341; // @[dbg.scala 282:26] + wire _T_345 = dmstatus_reg[9] & dmcontrol_reg[30]; // @[dbg.scala 285:39] + wire _T_348 = _T_345 & _T_337; // @[dbg.scala 285:59] + wire _T_349 = _T_348 & dmcontrol_wren_Q; // @[dbg.scala 285:80] + wire _T_350 = _T_349 | command_wren; // @[dbg.scala 285:99] + wire _T_352 = _T_350 | dmcontrol_reg[1]; // @[dbg.scala 285:114] + wire _T_355 = ~_T_302; // @[dbg.scala 286:28] + wire _T_356 = _T_352 | _T_355; // @[dbg.scala 286:26] + wire _T_357 = dbg_nxtstate == 3'h3; // @[dbg.scala 287:60] + wire _T_358 = dbg_state_en & _T_357; // @[dbg.scala 287:44] + wire _T_359 = dbg_nxtstate == 3'h6; // @[dbg.scala 289:58] + wire _T_360 = dbg_state_en & _T_359; // @[dbg.scala 289:42] + wire _T_368 = 3'h3 == dbg_state; // @[Conditional.scala 37:30] + wire _T_371 = |abstractcs_reg[10:8]; // @[dbg.scala 293:85] + wire [2:0] _T_372 = _T_371 ? 3'h5 : 3'h4; // @[dbg.scala 293:62] + wire [2:0] _T_373 = dmcontrol_reg[1] ? 3'h0 : _T_372; // @[dbg.scala 293:26] + wire _T_376 = io_dbg_dec_dbg_ib_dbg_cmd_valid | _T_371; // @[dbg.scala 294:55] + wire _T_378 = _T_376 | dmcontrol_reg[1]; // @[dbg.scala 294:83] + wire _T_385 = 3'h4 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_387 = dmcontrol_reg[1] ? 3'h0 : 3'h5; // @[dbg.scala 298:26] + wire _T_389 = io_core_dbg_cmd_done | dmcontrol_reg[1]; // @[dbg.scala 299:44] + wire _T_396 = 3'h5 == dbg_state; // @[Conditional.scala 37:30] + wire _T_405 = 3'h6 == dbg_state; // @[Conditional.scala 37:30] + wire _T_408 = dmstatus_reg[17] | dmcontrol_reg[1]; // @[dbg.scala 311:40] + wire _GEN_10 = _T_405 & _T_408; // @[Conditional.scala 39:67] + wire _GEN_11 = _T_405 & _T_328; // @[Conditional.scala 39:67] + wire [2:0] _GEN_12 = _T_396 ? _T_320 : 3'h0; // @[Conditional.scala 39:67] + wire _GEN_13 = _T_396 | _GEN_10; // @[Conditional.scala 39:67] + wire _GEN_14 = _T_396 & dbg_state_en; // @[Conditional.scala 39:67] + wire _GEN_16 = _T_396 ? _T_328 : _GEN_11; // @[Conditional.scala 39:67] + wire [2:0] _GEN_17 = _T_385 ? _T_387 : _GEN_12; // @[Conditional.scala 39:67] + wire _GEN_18 = _T_385 ? _T_389 : _GEN_13; // @[Conditional.scala 39:67] + wire _GEN_19 = _T_385 ? _T_328 : _GEN_16; // @[Conditional.scala 39:67] + wire _GEN_20 = _T_385 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] + wire [2:0] _GEN_22 = _T_368 ? _T_373 : _GEN_17; // @[Conditional.scala 39:67] + wire _GEN_23 = _T_368 ? _T_378 : _GEN_18; // @[Conditional.scala 39:67] + wire _GEN_24 = _T_368 ? _T_328 : _GEN_19; // @[Conditional.scala 39:67] + wire _GEN_25 = _T_368 ? 1'h0 : _GEN_20; // @[Conditional.scala 39:67] + wire [2:0] _GEN_27 = _T_330 ? _T_342 : _GEN_22; // @[Conditional.scala 39:67] + wire _GEN_28 = _T_330 ? _T_356 : _GEN_23; // @[Conditional.scala 39:67] + wire _GEN_29 = _T_330 ? _T_358 : _GEN_25; // @[Conditional.scala 39:67] + wire _GEN_31 = _T_330 & _T_360; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_330 ? _T_328 : _GEN_24; // @[Conditional.scala 39:67] + wire [2:0] _GEN_33 = _T_318 ? _T_320 : _GEN_27; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_318 ? _T_323 : _GEN_28; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_318 ? _T_328 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_36 = _T_318 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_318 ? 1'h0 : _GEN_31; // @[Conditional.scala 39:67] + reg [2:0] _T_468; // @[Reg.scala 27:20] + wire _T_471 = command_reg[31:24] == 8'h2; // @[dbg.scala 331:62] + wire [31:0] _T_473 = {data1_reg[31:2],2'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_475 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] + wire _T_478 = dbg_state == 3'h3; // @[dbg.scala 333:50] + wire _T_481 = ~_T_371; // @[dbg.scala 333:75] + wire _T_482 = _T_478 & _T_481; // @[dbg.scala 333:73] + wire _T_490 = command_reg[15:12] == 4'h0; // @[dbg.scala 335:122] + wire [1:0] _T_491 = {1'h0,_T_490}; // @[Cat.scala 29:58] + wire _T_502 = 4'h0 == sb_state; // @[Conditional.scala 37:30] + wire _T_504 = sbdata0_reg_wren0 | sbreadondata_access; // @[dbg.scala 350:39] + wire _T_505 = _T_504 | sbreadonaddr_access; // @[dbg.scala 350:61] + wire _T_507 = |io_dmi_reg_wdata[14:12]; // @[dbg.scala 353:65] + wire _T_508 = sbcs_wren & _T_507; // @[dbg.scala 353:38] + wire [2:0] _T_510 = ~io_dmi_reg_wdata[14:12]; // @[dbg.scala 354:27] + wire [2:0] _T_512 = _T_510 & sbcs_reg[14:12]; // @[dbg.scala 354:53] + wire _T_513 = 4'h1 == sb_state; // @[Conditional.scala 37:30] + wire _T_514 = sbcs_unaligned | sbcs_illegal_size; // @[dbg.scala 357:41] + wire _T_516 = io_dbg_bus_clk_en | sbcs_unaligned; // @[dbg.scala 358:40] + wire _T_517 = _T_516 | sbcs_illegal_size; // @[dbg.scala 358:57] + wire _T_520 = 4'h2 == sb_state; // @[Conditional.scala 37:30] + wire _T_527 = 4'h3 == sb_state; // @[Conditional.scala 37:30] + wire _T_528 = sb_bus_cmd_read & io_dbg_bus_clk_en; // @[dbg.scala 370:38] + wire _T_529 = 4'h4 == sb_state; // @[Conditional.scala 37:30] + wire _T_530 = sb_bus_cmd_write_addr & sb_bus_cmd_write_data; // @[dbg.scala 373:48] + wire _T_533 = sb_bus_cmd_write_addr | sb_bus_cmd_write_data; // @[dbg.scala 374:45] + wire _T_534 = _T_533 & io_dbg_bus_clk_en; // @[dbg.scala 374:70] + wire _T_535 = 4'h5 == sb_state; // @[Conditional.scala 37:30] + wire _T_536 = sb_bus_cmd_write_addr & io_dbg_bus_clk_en; // @[dbg.scala 378:44] + wire _T_537 = 4'h6 == sb_state; // @[Conditional.scala 37:30] + wire _T_538 = sb_bus_cmd_write_data & io_dbg_bus_clk_en; // @[dbg.scala 382:44] + wire _T_539 = 4'h7 == sb_state; // @[Conditional.scala 37:30] + wire _T_540 = sb_bus_rsp_read & io_dbg_bus_clk_en; // @[dbg.scala 386:38] + wire _T_541 = sb_state_en & sb_bus_rsp_error; // @[dbg.scala 387:40] + wire _T_542 = 4'h8 == sb_state; // @[Conditional.scala 37:30] + wire _T_543 = sb_bus_rsp_write & io_dbg_bus_clk_en; // @[dbg.scala 392:39] + wire _T_545 = 4'h9 == sb_state; // @[Conditional.scala 37:30] + wire _GEN_50 = _T_545 & sbcs_reg[16]; // @[Conditional.scala 39:67] + wire _GEN_52 = _T_542 ? _T_543 : _T_545; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_542 & _T_541; // @[Conditional.scala 39:67] + wire _GEN_55 = _T_542 ? 1'h0 : _T_545; // @[Conditional.scala 39:67] + wire _GEN_57 = _T_542 ? 1'h0 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_539 ? _T_540 : _GEN_52; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_539 ? _T_541 : _GEN_53; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_539 ? 1'h0 : _GEN_55; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_539 ? 1'h0 : _GEN_57; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_537 ? _T_538 : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_537 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_537 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_537 ? 1'h0 : _GEN_64; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_535 ? _T_536 : _GEN_66; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_535 ? 1'h0 : _GEN_67; // @[Conditional.scala 39:67] + wire _GEN_76 = _T_535 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] + wire _GEN_78 = _T_535 ? 1'h0 : _GEN_71; // @[Conditional.scala 39:67] + wire _GEN_80 = _T_529 ? _T_534 : _GEN_73; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_529 ? 1'h0 : _GEN_74; // @[Conditional.scala 39:67] + wire _GEN_83 = _T_529 ? 1'h0 : _GEN_76; // @[Conditional.scala 39:67] + wire _GEN_85 = _T_529 ? 1'h0 : _GEN_78; // @[Conditional.scala 39:67] + wire _GEN_87 = _T_527 ? _T_528 : _GEN_80; // @[Conditional.scala 39:67] + wire _GEN_88 = _T_527 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] + wire _GEN_90 = _T_527 ? 1'h0 : _GEN_83; // @[Conditional.scala 39:67] + wire _GEN_92 = _T_527 ? 1'h0 : _GEN_85; // @[Conditional.scala 39:67] + wire _GEN_94 = _T_520 ? _T_517 : _GEN_87; // @[Conditional.scala 39:67] + wire _GEN_95 = _T_520 ? _T_514 : _GEN_88; // @[Conditional.scala 39:67] + wire _GEN_97 = _T_520 ? 1'h0 : _GEN_90; // @[Conditional.scala 39:67] + wire _GEN_99 = _T_520 ? 1'h0 : _GEN_92; // @[Conditional.scala 39:67] + wire _GEN_101 = _T_513 ? _T_517 : _GEN_94; // @[Conditional.scala 39:67] + wire _GEN_102 = _T_513 ? _T_514 : _GEN_95; // @[Conditional.scala 39:67] + wire _GEN_104 = _T_513 ? 1'h0 : _GEN_97; // @[Conditional.scala 39:67] + wire _GEN_106 = _T_513 ? 1'h0 : _GEN_99; // @[Conditional.scala 39:67] + reg [3:0] _T_547; // @[Reg.scala 27:20] + wire _T_554 = |io_sb_axi_r_bits_resp; // @[dbg.scala 413:69] + wire _T_555 = sb_bus_rsp_read & _T_554; // @[dbg.scala 413:39] + wire _T_557 = |io_sb_axi_b_bits_resp; // @[dbg.scala 413:122] + wire _T_558 = sb_bus_rsp_write & _T_557; // @[dbg.scala 413:92] + wire _T_560 = sb_state == 4'h4; // @[dbg.scala 414:36] + wire _T_561 = sb_state == 4'h5; // @[dbg.scala 414:71] + wire _T_567 = sb_state == 4'h6; // @[dbg.scala 425:70] + wire [63:0] _T_573 = _T_62 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_577 = {sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_578 = _T_573 & _T_577; // @[dbg.scala 426:65] + wire [63:0] _T_582 = _T_47 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_585 = {sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_586 = _T_582 & _T_585; // @[dbg.scala 426:138] + wire [63:0] _T_587 = _T_578 | _T_586; // @[dbg.scala 426:96] + wire [63:0] _T_591 = _T_51 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_593 = {sbdata0_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_594 = _T_591 & _T_593; // @[dbg.scala 427:45] + wire [63:0] _T_595 = _T_587 | _T_594; // @[dbg.scala 426:168] + wire [63:0] _T_599 = _T_57 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_602 = {sbdata1_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_603 = _T_599 & _T_602; // @[dbg.scala 427:119] + wire [7:0] _T_608 = _T_62 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _T_610 = 15'h1 << sbaddress0_reg[2:0]; // @[dbg.scala 429:82] + wire [14:0] _GEN_115 = {{7'd0}, _T_608}; // @[dbg.scala 429:67] + wire [14:0] _T_611 = _GEN_115 & _T_610; // @[dbg.scala 429:67] + wire [7:0] _T_615 = _T_47 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_617 = {sbaddress0_reg[2:1],1'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_618 = 15'h3 << _T_617; // @[dbg.scala 430:59] + wire [14:0] _GEN_116 = {{7'd0}, _T_615}; // @[dbg.scala 430:44] + wire [14:0] _T_619 = _GEN_116 & _T_618; // @[dbg.scala 430:44] + wire [14:0] _T_620 = _T_611 | _T_619; // @[dbg.scala 429:107] + wire [7:0] _T_624 = _T_51 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_626 = {sbaddress0_reg[2],2'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_627 = 15'hf << _T_626; // @[dbg.scala 431:59] + wire [14:0] _GEN_117 = {{7'd0}, _T_624}; // @[dbg.scala 431:44] + wire [14:0] _T_628 = _GEN_117 & _T_627; // @[dbg.scala 431:44] + wire [14:0] _T_629 = _T_620 | _T_628; // @[dbg.scala 430:97] + wire [7:0] _T_633 = _T_57 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _GEN_118 = {{7'd0}, _T_633}; // @[dbg.scala 431:100] + wire [14:0] _T_635 = _T_629 | _GEN_118; // @[dbg.scala 431:100] + wire [3:0] _GEN_119 = {{1'd0}, sbaddress0_reg[2:0]}; // @[dbg.scala 448:99] + wire [6:0] _T_646 = 4'h8 * _GEN_119; // @[dbg.scala 448:99] + wire [63:0] _T_647 = io_sb_axi_r_bits_data >> _T_646; // @[dbg.scala 448:92] + wire [63:0] _T_648 = _T_647 & 64'hff; // @[dbg.scala 448:123] + wire [63:0] _T_649 = _T_573 & _T_648; // @[dbg.scala 448:59] + wire [4:0] _GEN_120 = {{3'd0}, sbaddress0_reg[2:1]}; // @[dbg.scala 449:86] + wire [6:0] _T_656 = 5'h10 * _GEN_120; // @[dbg.scala 449:86] + wire [63:0] _T_657 = io_sb_axi_r_bits_data >> _T_656; // @[dbg.scala 449:78] + wire [63:0] _T_658 = _T_657 & 64'hffff; // @[dbg.scala 449:110] + wire [63:0] _T_659 = _T_582 & _T_658; // @[dbg.scala 449:45] + wire [63:0] _T_660 = _T_649 | _T_659; // @[dbg.scala 448:140] + wire [5:0] _GEN_121 = {{5'd0}, sbaddress0_reg[2]}; // @[dbg.scala 450:86] + wire [6:0] _T_667 = 6'h20 * _GEN_121; // @[dbg.scala 450:86] + wire [63:0] _T_668 = io_sb_axi_r_bits_data >> _T_667; // @[dbg.scala 450:78] + wire [63:0] _T_669 = _T_668 & 64'hffffffff; // @[dbg.scala 450:107] + wire [63:0] _T_670 = _T_591 & _T_669; // @[dbg.scala 450:45] + wire [63:0] _T_671 = _T_660 | _T_670; // @[dbg.scala 449:129] + wire [63:0] _T_677 = _T_599 & io_sb_axi_r_bits_data; // @[dbg.scala 451:45] rvclkhdr rvclkhdr ( // @[lib.scala 343:22] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -59978,60 +59978,60 @@ module dbg( .io_en(rvclkhdr_7_io_en), .io_scan_mode(rvclkhdr_7_io_scan_mode) ); - assign io_dbg_cmd_size = command_reg[21:20]; // @[dbg.scala 329:19] - assign io_dbg_core_rst_l = ~dmcontrol_reg[1]; // @[dbg.scala 100:21] - assign io_dbg_halt_req = _T_313 ? _T_329 : _GEN_35; // @[dbg.scala 261:19 dbg.scala 267:23 dbg.scala 272:23 dbg.scala 283:23 dbg.scala 288:23 dbg.scala 293:23 dbg.scala 300:23 dbg.scala 305:23] - assign io_dbg_resume_req = _T_313 ? 1'h0 : _GEN_38; // @[dbg.scala 262:21 dbg.scala 282:25] - assign io_sb_axi_aw_valid = _T_577 | _T_578; // @[dbg.scala 407:22] - assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 408:26] - assign io_sb_axi_aw_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 413:28] - assign io_sb_axi_aw_bits_size = sbcs_reg[19:17]; // @[dbg.scala 410:26] - assign io_sb_axi_w_valid = _T_577 | _T_584; // @[dbg.scala 418:21] - assign io_sb_axi_w_bits_data = _T_612 | _T_620; // @[dbg.scala 419:25] - assign io_sb_axi_w_bits_strb = _T_652[7:0]; // @[dbg.scala 422:25] - assign io_sb_axi_b_ready = 1'h1; // @[dbg.scala 439:21] - assign io_sb_axi_ar_valid = sb_state == 4'h3; // @[dbg.scala 428:22] - assign io_sb_axi_ar_bits_addr = sbaddress0_reg; // @[dbg.scala 429:26] - assign io_sb_axi_ar_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 434:28] - assign io_sb_axi_ar_bits_size = sbcs_reg[19:17]; // @[dbg.scala 431:26] - assign io_sb_axi_r_ready = 1'h1; // @[dbg.scala 440:21] - assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_498 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 326:35] - assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 327:35] - assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_487 ? 2'h2 : _T_507; // @[dbg.scala 328:34] - assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_487 ? _T_489 : _T_491; // @[dbg.scala 324:34] - assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg; // @[dbg.scala 325:38] - assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 449:39] - assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 450:39] - assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 451:39] - assign io_dbg_dma_dbg_ib_dbg_cmd_addr = io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[dbg.scala 447:39] - assign io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[dbg.scala 448:39] - assign io_dbg_dma_io_dbg_dma_bubble = _T_498 | _T_294; // @[dbg.scala 330:32] - assign dbg_state = _T_483; // @[dbg.scala 315:13] - assign dbg_state_en = _T_313 ? _T_325 : _GEN_34; // @[dbg.scala 258:16 dbg.scala 266:20 dbg.scala 271:20 dbg.scala 278:20 dbg.scala 287:20 dbg.scala 292:20 dbg.scala 297:20 dbg.scala 304:20] - assign sb_state = _T_564; // @[dbg.scala 397:12] - assign sb_state_en = _T_518 ? _T_521 : _GEN_101; // @[dbg.scala 343:19 dbg.scala 351:19 dbg.scala 357:19 dbg.scala 363:19 dbg.scala 367:19 dbg.scala 371:19 dbg.scala 375:19 dbg.scala 379:19 dbg.scala 385:19 dbg.scala 391:19] - assign dmcontrol_reg = {_T_149,_T_147}; // @[dbg.scala 178:17] - assign sbaddress0_reg = _T_121; // @[dbg.scala 159:18] - assign sbcs_sbbusy_wren = _T_518 ? sb_state_en : _GEN_104; // @[dbg.scala 335:20 dbg.scala 344:24 dbg.scala 392:24] - assign sbcs_sberror_wren = _T_518 ? _T_524 : _GEN_102; // @[dbg.scala 337:21 dbg.scala 346:25 dbg.scala 352:25 dbg.scala 358:25 dbg.scala 380:25 dbg.scala 386:25] - assign sb_bus_rdata = _T_688 | _T_694; // @[dbg.scala 441:16] - assign sbaddress0_reg_wren1 = _T_518 ? 1'h0 : _GEN_106; // @[dbg.scala 339:24 dbg.scala 394:28] - assign dmstatus_reg = {_T_168,_T_164}; // @[dbg.scala 184:16] - assign dmstatus_havereset = _T_200; // @[dbg.scala 201:22] - assign dmstatus_resumeack = _T_191; // @[dbg.scala 193:22] - assign dmstatus_unavail = dmcontrol_reg[1] | _T_185; // @[dbg.scala 191:20] - assign dmstatus_running = ~_T_188; // @[dbg.scala 192:20] - assign dmstatus_halted = _T_195; // @[dbg.scala 197:19] - assign abstractcs_busy_wren = _T_313 ? 1'h0 : _GEN_36; // @[dbg.scala 259:24 dbg.scala 280:28 dbg.scala 298:28] - assign sb_bus_cmd_read = io_sb_axi_ar_valid & io_sb_axi_ar_ready; // @[dbg.scala 401:19] - assign sb_bus_cmd_write_addr = io_sb_axi_aw_valid & io_sb_axi_aw_ready; // @[dbg.scala 402:25] - assign sb_bus_cmd_write_data = io_sb_axi_w_valid & io_sb_axi_w_ready; // @[dbg.scala 403:25] - assign sb_bus_rsp_read = io_sb_axi_r_valid & io_sb_axi_r_ready; // @[dbg.scala 404:19] - assign sb_bus_rsp_error = _T_572 | _T_575; // @[dbg.scala 406:20] - assign sb_bus_rsp_write = io_sb_axi_b_valid & io_sb_axi_b_ready; // @[dbg.scala 405:20] - assign sbcs_sbbusy_din = 4'h0 == sb_state; // @[dbg.scala 336:19 dbg.scala 345:23 dbg.scala 393:23] - assign data1_reg = _T_312; // @[dbg.scala 252:13] - assign sbcs_reg = {_T_44,_T_40}; // @[dbg.scala 125:12] + assign io_dbg_cmd_size = command_reg[21:20]; // @[dbg.scala 336:19] + assign io_dbg_core_rst_l = ~dmcontrol_reg[1]; // @[dbg.scala 107:21] + assign io_dbg_halt_req = _T_300 ? _T_316 : _GEN_35; // @[dbg.scala 268:19 dbg.scala 274:23 dbg.scala 279:23 dbg.scala 290:23 dbg.scala 295:23 dbg.scala 300:23 dbg.scala 307:23 dbg.scala 312:23] + assign io_dbg_resume_req = _T_300 ? 1'h0 : _GEN_38; // @[dbg.scala 269:21 dbg.scala 289:25] + assign io_sb_axi_aw_valid = _T_560 | _T_561; // @[dbg.scala 414:22] + assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 415:26] + assign io_sb_axi_aw_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 420:28] + assign io_sb_axi_aw_bits_size = sbcs_reg[19:17]; // @[dbg.scala 417:26] + assign io_sb_axi_w_valid = _T_560 | _T_567; // @[dbg.scala 425:21] + assign io_sb_axi_w_bits_data = _T_595 | _T_603; // @[dbg.scala 426:25] + assign io_sb_axi_w_bits_strb = _T_635[7:0]; // @[dbg.scala 429:25] + assign io_sb_axi_b_ready = 1'h1; // @[dbg.scala 446:21] + assign io_sb_axi_ar_valid = sb_state == 4'h3; // @[dbg.scala 435:22] + assign io_sb_axi_ar_bits_addr = sbaddress0_reg; // @[dbg.scala 436:26] + assign io_sb_axi_ar_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 441:28] + assign io_sb_axi_ar_bits_size = sbcs_reg[19:17]; // @[dbg.scala 438:26] + assign io_sb_axi_r_ready = 1'h1; // @[dbg.scala 447:21] + assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_482 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 333:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 334:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_471 ? 2'h2 : _T_491; // @[dbg.scala 335:34] + assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_471 ? _T_473 : _T_475; // @[dbg.scala 331:34] + assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg; // @[dbg.scala 332:38] + assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 456:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 457:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 458:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_addr = io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[dbg.scala 454:39] + assign io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[dbg.scala 455:39] + assign io_dbg_dma_io_dbg_dma_bubble = _T_482 | _T_283; // @[dbg.scala 337:32] + assign dbg_state = _T_468; // @[dbg.scala 322:13] + assign dbg_state_en = _T_300 ? _T_312 : _GEN_34; // @[dbg.scala 265:16 dbg.scala 273:20 dbg.scala 278:20 dbg.scala 285:20 dbg.scala 294:20 dbg.scala 299:20 dbg.scala 304:20 dbg.scala 311:20] + assign sb_state = _T_547; // @[dbg.scala 404:12] + assign sb_state_en = _T_502 ? _T_505 : _GEN_101; // @[dbg.scala 350:19 dbg.scala 358:19 dbg.scala 364:19 dbg.scala 370:19 dbg.scala 374:19 dbg.scala 378:19 dbg.scala 382:19 dbg.scala 386:19 dbg.scala 392:19 dbg.scala 398:19] + assign dmcontrol_reg = {_T_145,_T_143}; // @[dbg.scala 185:17] + assign sbaddress0_reg = _T_118; // @[dbg.scala 166:18] + assign sbcs_sbbusy_wren = _T_502 ? sb_state_en : _GEN_104; // @[dbg.scala 342:20 dbg.scala 351:24 dbg.scala 399:24] + assign sbcs_sberror_wren = _T_502 ? _T_508 : _GEN_102; // @[dbg.scala 344:21 dbg.scala 353:25 dbg.scala 359:25 dbg.scala 365:25 dbg.scala 387:25 dbg.scala 393:25] + assign sb_bus_rdata = _T_671 | _T_677; // @[dbg.scala 448:16] + assign sbaddress0_reg_wren1 = _T_502 ? 1'h0 : _GEN_106; // @[dbg.scala 346:24 dbg.scala 401:28] + assign dmstatus_reg = {_T_163,_T_159}; // @[dbg.scala 191:16] + assign dmstatus_havereset = _T_192; // @[dbg.scala 208:22] + assign dmstatus_resumeack = _T_185; // @[dbg.scala 200:22] + assign dmstatus_unavail = dmcontrol_reg[1] | _T_180; // @[dbg.scala 198:20] + assign dmstatus_running = ~_T_183; // @[dbg.scala 199:20] + assign dmstatus_halted = _T_188; // @[dbg.scala 204:19] + assign abstractcs_busy_wren = _T_300 ? 1'h0 : _GEN_36; // @[dbg.scala 266:24 dbg.scala 287:28 dbg.scala 305:28] + assign sb_bus_cmd_read = io_sb_axi_ar_valid & io_sb_axi_ar_ready; // @[dbg.scala 408:19] + assign sb_bus_cmd_write_addr = io_sb_axi_aw_valid & io_sb_axi_aw_ready; // @[dbg.scala 409:25] + assign sb_bus_cmd_write_data = io_sb_axi_w_valid & io_sb_axi_w_ready; // @[dbg.scala 410:25] + assign sb_bus_rsp_read = io_sb_axi_r_valid & io_sb_axi_r_ready; // @[dbg.scala 411:19] + assign sb_bus_rsp_error = _T_555 | _T_558; // @[dbg.scala 413:20] + assign sb_bus_rsp_write = io_sb_axi_b_valid & io_sb_axi_b_ready; // @[dbg.scala 412:20] + assign sbcs_sbbusy_din = 4'h0 == sb_state; // @[dbg.scala 343:19 dbg.scala 352:23 dbg.scala 400:23] + assign data1_reg = _T_299; // @[dbg.scala 259:13] + assign sbcs_reg = {_T_44,_T_40}; // @[dbg.scala 132:12] assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] assign rvclkhdr_io_en = _T_3 | io_clk_override; // @[lib.scala 345:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] @@ -60047,17 +60047,17 @@ module dbg( assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_4_io_en = sbaddress0_reg_wren0 | sbaddress0_reg_wren1; // @[lib.scala 371:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign abstractcs_reg = {_T_277,_T_275}; // @[dbg.scala 233:18] + assign abstractcs_reg = {_T_267,_T_265}; // @[dbg.scala 240:18] assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_5_io_en = _T_225 & _T_282; // @[lib.scala 371:17] + assign rvclkhdr_5_io_en = _T_217 & _T_272; // @[lib.scala 371:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_6_io_en = data0_reg_wren0 | data0_reg_wren1; // @[lib.scala 371:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_7_io_en = _T_307 & _T_282; // @[lib.scala 371:17] + assign rvclkhdr_7_io_en = _T_295 & _T_272; // @[lib.scala 371:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign dbg_nxtstate = _T_313 ? _T_316 : _GEN_33; // @[dbg.scala 257:16 dbg.scala 265:20 dbg.scala 270:20 dbg.scala 275:20 dbg.scala 286:20 dbg.scala 291:20 dbg.scala 296:20 dbg.scala 303:20] + assign dbg_nxtstate = _T_300 ? _T_303 : _GEN_33; // @[dbg.scala 264:16 dbg.scala 272:20 dbg.scala 277:20 dbg.scala 282:20 dbg.scala 293:20 dbg.scala 298:20 dbg.scala 303:20 dbg.scala 310:20] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -60108,7 +60108,7 @@ initial begin _RAND_6 = {1{`RANDOM}}; sbdata1_reg = _RAND_6[31:0]; _RAND_7 = {1{`RANDOM}}; - _T_121 = _RAND_7[31:0]; + _T_118 = _RAND_7[31:0]; _RAND_8 = {1{`RANDOM}}; dm_temp = _RAND_8[3:0]; _RAND_9 = {1{`RANDOM}}; @@ -60116,11 +60116,11 @@ initial begin _RAND_10 = {1{`RANDOM}}; dmcontrol_wren_Q = _RAND_10[0:0]; _RAND_11 = {1{`RANDOM}}; - _T_191 = _RAND_11[0:0]; + _T_185 = _RAND_11[0:0]; _RAND_12 = {1{`RANDOM}}; - _T_195 = _RAND_12[0:0]; + _T_188 = _RAND_12[0:0]; _RAND_13 = {1{`RANDOM}}; - _T_200 = _RAND_13[0:0]; + _T_192 = _RAND_13[0:0]; _RAND_14 = {1{`RANDOM}}; abs_temp_12 = _RAND_14[0:0]; _RAND_15 = {1{`RANDOM}}; @@ -60130,74 +60130,74 @@ initial begin _RAND_17 = {1{`RANDOM}}; data0_reg = _RAND_17[31:0]; _RAND_18 = {1{`RANDOM}}; - _T_312 = _RAND_18[31:0]; + _T_299 = _RAND_18[31:0]; _RAND_19 = {1{`RANDOM}}; - _T_483 = _RAND_19[2:0]; + _T_468 = _RAND_19[2:0]; _RAND_20 = {1{`RANDOM}}; - _T_564 = _RAND_20[3:0]; + _T_547 = _RAND_20[3:0]; `endif // RANDOMIZE_REG_INIT - if (_T_29) begin + if (dbg_dm_rst_l) begin temp_sbcs_22 = 1'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin temp_sbcs_21 = 1'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin temp_sbcs_20 = 1'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin temp_sbcs_19_15 = 5'h0; end - if (_T_36) begin + if (rst_not) begin temp_sbcs_14_12 = 3'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin sbdata0_reg = 32'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin sbdata1_reg = 32'h0; end - if (_T_29) begin - _T_121 = 32'h0; + if (dbg_dm_rst_l) begin + _T_118 = 32'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin dm_temp = 4'h0; end if (io_dbg_rst_l) begin dm_temp_0 = 1'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin dmcontrol_wren_Q = 1'h0; end - if (_T_29) begin - _T_191 = 1'h0; + if (dbg_dm_rst_l) begin + _T_185 = 1'h0; end - if (_T_29) begin - _T_195 = 1'h0; + if (dbg_dm_rst_l) begin + _T_188 = 1'h0; end - if (_T_29) begin - _T_200 = 1'h0; + if (dbg_dm_rst_l) begin + _T_192 = 1'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin abs_temp_12 = 1'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin abs_temp_10_8 = 3'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin command_reg = 32'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin data0_reg = 32'h0; end - if (_T_29) begin - _T_312 = 32'h0; + if (dbg_dm_rst_l) begin + _T_299 = 32'h0; end - if (_T_482) begin - _T_483 = 3'h0; + if (rst_temp) begin + _T_468 = 3'h0; end - if (_T_29) begin - _T_564 = 4'h0; + if (dbg_dm_rst_l) begin + _T_547 = 4'h0; end `endif // RANDOMIZE end // initial @@ -60205,95 +60205,95 @@ end // initial `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS - always @(posedge rvclkhdr_1_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin temp_sbcs_22 <= 1'h0; end else if (sbcs_sbbusyerror_wren) begin temp_sbcs_22 <= sbcs_sbbusyerror_din; end end - always @(posedge rvclkhdr_1_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin temp_sbcs_21 <= 1'h0; end else if (sbcs_sbbusy_wren) begin temp_sbcs_21 <= sbcs_sbbusy_din; end end - always @(posedge rvclkhdr_1_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin temp_sbcs_20 <= 1'h0; end else if (sbcs_wren) begin temp_sbcs_20 <= io_dmi_reg_wdata[20]; end end - always @(posedge rvclkhdr_1_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin temp_sbcs_19_15 <= 5'h0; end else if (sbcs_wren) begin temp_sbcs_19_15 <= io_dmi_reg_wdata[19:15]; end end - always @(posedge rvclkhdr_1_io_l1clk or posedge _T_36) begin - if (_T_36) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge rst_not) begin + if (rst_not) begin temp_sbcs_14_12 <= 3'h0; end else if (sbcs_sberror_wren) begin - if (_T_518) begin - temp_sbcs_14_12 <= _T_528; + if (_T_502) begin + temp_sbcs_14_12 <= _T_512; + end else if (_T_513) begin + if (sbcs_unaligned) begin + temp_sbcs_14_12 <= 3'h3; + end else begin + temp_sbcs_14_12 <= 3'h4; + end + end else if (_T_520) begin + if (sbcs_unaligned) begin + temp_sbcs_14_12 <= 3'h3; + end else begin + temp_sbcs_14_12 <= 3'h4; + end + end else if (_T_527) begin + temp_sbcs_14_12 <= 3'h0; end else if (_T_529) begin - if (sbcs_unaligned) begin - temp_sbcs_14_12 <= 3'h3; - end else begin - temp_sbcs_14_12 <= 3'h4; - end - end else if (_T_536) begin - if (sbcs_unaligned) begin - temp_sbcs_14_12 <= 3'h3; - end else begin - temp_sbcs_14_12 <= 3'h4; - end - end else if (_T_543) begin temp_sbcs_14_12 <= 3'h0; - end else if (_T_545) begin + end else if (_T_535) begin temp_sbcs_14_12 <= 3'h0; - end else if (_T_551) begin + end else if (_T_537) begin temp_sbcs_14_12 <= 3'h0; - end else if (_T_553) begin - temp_sbcs_14_12 <= 3'h0; - end else if (_T_555) begin + end else if (_T_539) begin temp_sbcs_14_12 <= 3'h2; - end else if (_T_558) begin + end else if (_T_542) begin temp_sbcs_14_12 <= 3'h2; end else begin temp_sbcs_14_12 <= 3'h0; end end end - always @(posedge rvclkhdr_2_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_2_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin sbdata0_reg <= 32'h0; end else begin sbdata0_reg <= _T_95 | _T_99; end end - always @(posedge rvclkhdr_3_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_3_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin sbdata1_reg <= 32'h0; end else begin sbdata1_reg <= _T_102 | _T_106; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_121 <= 32'h0; + always @(posedge rvclkhdr_4_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_118 <= 32'h0; end else begin - _T_121 <= _T_113 | _T_119; + _T_118 <= _T_111 | _T_117; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin dm_temp <= 4'h0; end else if (dmcontrol_wren) begin - dm_temp <= _T_140; + dm_temp <= _T_136; end end always @(posedge rvclkhdr_io_l1clk or posedge io_dbg_rst_l) begin @@ -60303,170 +60303,170 @@ end // initial dm_temp_0 <= io_dmi_reg_wdata[0]; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin dmcontrol_wren_Q <= 1'h0; end else begin - dmcontrol_wren_Q <= _T_134 & io_dmi_reg_wr_en; + dmcontrol_wren_Q <= _T_131 & io_dmi_reg_wr_en; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_191 <= 1'h0; + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_185 <= 1'h0; end else if (dmstatus_resumeack_wren) begin - _T_191 <= _T_171; + _T_185 <= _T_166; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_195 <= 1'h0; + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_188 <= 1'h0; end else begin - _T_195 <= io_dec_tlu_dbg_halted & _T_193; + _T_188 <= io_dec_tlu_dbg_halted & _T_186; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_200 <= 1'h0; + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_192 <= 1'h0; end else begin - _T_200 <= _T_197 & _T_198; + _T_192 <= _T_189 & _T_190; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin abs_temp_12 <= 1'h0; end else if (abstractcs_busy_wren) begin - if (_T_313) begin + if (_T_300) begin abs_temp_12 <= 1'h0; - end else if (_T_331) begin + end else if (_T_318) begin abs_temp_12 <= 1'h0; end else begin - abs_temp_12 <= _T_343; + abs_temp_12 <= _T_330; end end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin abs_temp_10_8 <= 3'h0; end else begin - abs_temp_10_8 <= _T_266 | _T_271; + abs_temp_10_8 <= _T_258 | _T_263; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin command_reg <= 32'h0; end else begin - command_reg <= {_T_288,_T_286}; + command_reg <= {_T_278,_T_276}; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin data0_reg <= 32'h0; end else begin - data0_reg <= _T_300 | _T_303; + data0_reg <= _T_289 | _T_292; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_312 <= 32'h0; + always @(posedge rvclkhdr_7_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_299 <= 32'h0; end else begin - _T_312 <= _T_310 & io_dmi_reg_wdata; + _T_299 <= _T_298 & io_dmi_reg_wdata; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_482) begin - if (_T_482) begin - _T_483 <= 3'h0; + always @(posedge rvclkhdr_io_l1clk or posedge rst_temp) begin + if (rst_temp) begin + _T_468 <= 3'h0; end else if (dbg_state_en) begin - if (_T_313) begin - if (_T_315) begin - _T_483 <= 3'h2; + if (_T_300) begin + if (_T_302) begin + _T_468 <= 3'h2; end else begin - _T_483 <= 3'h1; + _T_468 <= 3'h1; end - end else if (_T_331) begin + end else if (_T_318) begin if (dmcontrol_reg[1]) begin - _T_483 <= 3'h0; + _T_468 <= 3'h0; end else begin - _T_483 <= 3'h2; + _T_468 <= 3'h2; end - end else if (_T_343) begin - if (_T_347) begin - if (_T_351) begin - _T_483 <= 3'h6; + end else if (_T_330) begin + if (_T_334) begin + if (_T_338) begin + _T_468 <= 3'h6; end else begin - _T_483 <= 3'h3; + _T_468 <= 3'h3; end end else if (dmcontrol_reg[31]) begin - _T_483 <= 3'h1; + _T_468 <= 3'h1; end else begin - _T_483 <= 3'h0; + _T_468 <= 3'h0; end - end else if (_T_381) begin + end else if (_T_368) begin if (dmcontrol_reg[1]) begin - _T_483 <= 3'h0; - end else if (_T_384) begin - _T_483 <= 3'h5; + _T_468 <= 3'h0; + end else if (_T_371) begin + _T_468 <= 3'h5; end else begin - _T_483 <= 3'h4; + _T_468 <= 3'h4; end - end else if (_T_398) begin + end else if (_T_385) begin if (dmcontrol_reg[1]) begin - _T_483 <= 3'h0; + _T_468 <= 3'h0; end else begin - _T_483 <= 3'h5; + _T_468 <= 3'h5; end - end else if (_T_409) begin + end else if (_T_396) begin if (dmcontrol_reg[1]) begin - _T_483 <= 3'h0; + _T_468 <= 3'h0; end else begin - _T_483 <= 3'h2; + _T_468 <= 3'h2; end end else begin - _T_483 <= 3'h0; + _T_468 <= 3'h0; end end end - always @(posedge rvclkhdr_1_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_564 <= 4'h0; + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_547 <= 4'h0; end else if (sb_state_en) begin - if (_T_518) begin + if (_T_502) begin if (sbdata0_reg_wren0) begin - _T_564 <= 4'h2; + _T_547 <= 4'h2; end else begin - _T_564 <= 4'h1; + _T_547 <= 4'h1; end + end else if (_T_513) begin + if (_T_514) begin + _T_547 <= 4'h9; + end else begin + _T_547 <= 4'h3; + end + end else if (_T_520) begin + if (_T_514) begin + _T_547 <= 4'h9; + end else begin + _T_547 <= 4'h4; + end + end else if (_T_527) begin + _T_547 <= 4'h7; end else if (_T_529) begin if (_T_530) begin - _T_564 <= 4'h9; - end else begin - _T_564 <= 4'h3; - end - end else if (_T_536) begin - if (_T_530) begin - _T_564 <= 4'h9; - end else begin - _T_564 <= 4'h4; - end - end else if (_T_543) begin - _T_564 <= 4'h7; - end else if (_T_545) begin - if (_T_546) begin - _T_564 <= 4'h8; + _T_547 <= 4'h8; end else if (sb_bus_cmd_write_data) begin - _T_564 <= 4'h5; + _T_547 <= 4'h5; end else begin - _T_564 <= 4'h6; + _T_547 <= 4'h6; end - end else if (_T_551) begin - _T_564 <= 4'h8; - end else if (_T_553) begin - _T_564 <= 4'h8; - end else if (_T_555) begin - _T_564 <= 4'h9; - end else if (_T_558) begin - _T_564 <= 4'h9; + end else if (_T_535) begin + _T_547 <= 4'h8; + end else if (_T_537) begin + _T_547 <= 4'h8; + end else if (_T_539) begin + _T_547 <= 4'h9; + end else if (_T_542) begin + _T_547 <= 4'h9; end else begin - _T_564 <= 4'h0; + _T_547 <= 4'h0; end end end diff --git a/src/main/scala/dbg/dbg.scala b/src/main/scala/dbg/dbg.scala index 3b1687b4..40b7e7a0 100644 --- a/src/main/scala/dbg/dbg.scala +++ b/src/main/scala/dbg/dbg.scala @@ -456,7 +456,4 @@ class dbg extends Module with lib with RequireAsyncReset { io.dbg_dma.dbg_ib.dbg_cmd_valid := io.dbg_dec.dbg_ib.dbg_cmd_valid io.dbg_dma.dbg_ib.dbg_cmd_write := io.dbg_dec.dbg_ib.dbg_cmd_write io.dbg_dma.dbg_ib.dbg_cmd_type := io.dbg_dec.dbg_ib.dbg_cmd_type -} -object db_obj extends App { - println((new chisel3.stage.ChiselStage).emitVerilog(new dbg())) } \ No newline at end of file diff --git a/target/scala-2.12/classes/dbg/db_obj$.class b/target/scala-2.12/classes/dbg/db_obj$.class deleted file mode 100644 index 8110ad6b88c9045a23f17f19b4b820a6ae14f38c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3835 zcmbtX30D(W7`-nnfe-~jP?Xj!))3UFxYbyzfL3fk+aRsAEuADUI6BP4$;7R#wVQU| z?7sYjp3_CqBj@x7=zr?zeKQj>NNRIV$;o8in{U1E`|dZ~|NGuw0CwURfwp{hI+@Q- zS=qC(FoZzIS?z+BG&FNMc|3bo=A1AZ1X@cu&CrsEip4ORxTMxrcS~SnRY5l|Sm$JN zOgd+*{87!!8?qEjTh_TU)mj7|f3#>A5rJk;ULZVneB@;Ma7(Me)SY1~FPTN!%P?md29u`k zie_0?Ju+9M2RP3k#9|nq^qId$E zRD5xPWs9EE>WrqF0zL5>FetB0>N)+a3;L-k8^soERi2VA?8l;LM@K8s-VwzzbgH|Z zgdqdOQ%sBS<+F5cBylu(LpM;&M@@f?ee27EISy7}g9UqdSt|oyN-%yo6Vn^sDSl ztynZj2wn57Fn{`#eGOVC@yH5v%NgC7BpQar zWYrx!kP32SIt#@Ji;Hy9e7>w1icwal|Fz6m<7Ay3?v=^*)J`>mM)az>U8;D2a5uZX zOh#NovVAb#Lf>nqvYl9Zh$Ly+OZXNBf2G^SAwQh( zvKnaJ!mj$z+FdJ|+t^iaGJAr_>~WLn!d@Sr>gnA=s-Ac})Sn~*`|;E~$PG{fvN^Hl zHU{gDOC6PeZYr*2IDn^V=%I7Fja2<6tA%_pV7jAM4R!zg)-r1K*D{BZQ+IG!Wi0|V z`+$KQnXmt=XyONm|AA-cFh+&(^gZN&v4L3>#(6oruW?}Wmfpr$n6t2}p(>t*cz{iQ z7wn{+2v(s4hyFU)b9-3FA#}4J%RHk7K8xT2F0wc1&#(88`oF@DeAw+}pf_;`mlA*C z3R~`KVh-0%apT=tykB*`xcz!PgB=lE#|_`0YHn4^;lw?B2`D7kMfjfA2p_bfjlJ88 zAGi)7j34>i$Ga)C-~=+5z)4KvMSdsn8Rawhi4q3|`Agx=A&(Ufgc5Pjn$Hu-AOQd&w|3e=#ql`xgy6odqbN|Bs`l(tv2wzsW=}?NN5PpF1#eX_bR(IFsf>DQtldCG zQwK!YnuH<|UY4gi9!c+cFwvO^^+BG7I#%f;2PHz4SsoUJ1lv`)mKmYx&vADN6)_$s zQ^Im*#~+IK!b?PY=DiMvGSuA$Y&ca|s1fROeGVFgjhV7nX*5=Gs$DMNuMoXYD0OyD z8dyN9h9=sC)qf?wP#5KThRgJ_=-ZB!YyIC10_}CnAeYJ_DNrEy8zw zF`nh^zSQR`dLuG1lI$NIP`vvuHSp1CDk44cIueSL`7r4Xw#$_39VvRH6$<~?5Q1m%k9NT-p zQK4VEdtaIDJ2pQtR>Iw4B^y@>S1y}ogxp@}Bi!SL3cJcc3EP}lsKDj8!0*vA=aSJ+ IxX&2=0`!iUs{jB1 diff --git a/target/scala-2.12/classes/dbg/db_obj.class b/target/scala-2.12/classes/dbg/db_obj.class deleted file mode 100644 index 96d88f99561f32c6fe91431cd83d6832660712aa..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 763 zcmZuv-*3`T6h5byQp$kQjctMh2V)L2v9jz%Q4_XF;=(c!S+W>2Z`&&tOKZwS$GiWI z5BjhNAN&LSql~BEHWO`f^WAgq_nn{p`Rn@+0N8~sgrs8)OvgG4tf2;okR9@m-1N9V zFpsUFu%!kJK_}L|vCTb>Q0mMsW+>iz^ltVdch4R8TuwsKL{P1%6k~+XaXfv{<;ZlU z*yxrSVZGJGHmXWr=UCWzbC!I$U1>2zGjg9sh=y9$yA@9W(25!a_jXmtt?iO|pwP7snwUQY%t*eW#QJZebwM=Pag%zoz*oeD6 z!fNeR=eoC^3|)WFte?<34+m83!u24k8l(`kqvL~q=V=uo-TCXSnSu-~>X3$P3Uo*& z>9!Qfg~zADdFHzkAy@k|r1r$Or5pJ7XQ|A8+>b7YIq{`C66RiTE}TBaXg--2X9dnQ z1vyxW^4vhkh;w02qL4kwLrTSJ^}`et;ATWvr*`%ON6;RRgnSn`&w0p4LW+<&)UTFA zYd;VlLSb_$95Q;rB(z1_^+=e1F)!uB9lMq%TD~7h9_6D5iOXF>0~CnSKLo&N2s8$= zOJwB;kudQMj5`;w{57J$EjlXA2(m<_UP$^Ma(+(UAhH6hP$s;RuFa&>B}I><%S4Gj gjq+ST@ypC$P$5)6g-yZ*qQ>cHV@N=i983lH4cA+keEWzy%(g0xBrr27&_O3J8izxqyNTu9%ohYKoc(?#p|6EOW_H z6Ew|ID@(!MvOaBd-%3g?TQqaG?>Bcqv48IQ-I+5pXU?2+=FHqX`-XY;4fFQ6Q#8fa z=9HbL+fL%S%9eZDPHSxs@!Vuva>h>EZNX>N^Hby5?VO!<+OFdHm2L8Q_4K%4r#rTH z@O)y6xo9T`+gBIuwty=hwwEpylErrSk{!zGb%+-0`rr zzFlaGzw2T9x`Gb=Tfg7?yO8p1Gw#^&^!mqc8-CBjw)>w#gLb{E>6N>MG}boao}Cui zo)}NteT5r7u+vi8=f<IgrP4 zCo0t7tqQMtwH{sf{e{ECU}AnpzZS+B@ej;>~qvT((8EY~^ktxb zpCY?QWm%&dm1wU*H$Bm!r@0bcdy1x6D$8ottVEj>+Tx8CZE97blM0QhgR)%DO7!q4 zTH=M-oZ~v)kntRE)g-Al*;mv>i>tM%&;XO`fbAkvU9eqb6e$u`52Tz+6}iQ|eGGC# zlLBA7-DXd#K{jg=?}xX0{H-R#{f)QBirnXA0a*9wi|*V!kctd&G>{4<5oZLEP0^n# z=+ye)JPuCZ22@0DyrBU)aOc=y=y!KRNPRvS^E6O?2vFBXD02+0M5`2X4MSOE!%B2W zp>?XPU!zL&2M{Ijq{iqyf!)GD68UXKI&-UVj5W6@_)mv}-!B3vuL=0m_)ZgWr189_ z;OG*G^6&_7Tu`VCh`MufBsenoA_(_yf$|wq;4qL^GoU|JS@Bbp&>UskTA}Q_r)WeA zl%0u2*^{Tp))Hl7Vo=twRVDfyh*opQXpFd;&w}vE*5G(G1{_Udfh?`Tv5wzpjj9*p zP!Jc3s^1hk0YvX`pEy)`w?)B&I8>D=w73mWVmwfTwqV-CTiSwYWC9A(<594ge~8DB z#XKqjWb2AV)b4GE+VzR3{g4~CL&3Eq6dZ4do~E@2%1J`iF20_Gs!bhGFuy&j+H?d8 z=zywE_`MFO%I$=Ll#Zx+sL&;amUIRh-w8~g^P^5+`m_rQ)^tWyw`8E^T~JlZd%B=% zataEvl2Ndq%abA90iKeA^1ErUA)iz#(rCLv3(`^6v1=tdt59lpl)aRukq1{xM^DFi zVLEy`#zEaczTn*k+1<4}4I4>AxLp=`@v%=Slydp6PpJ(J*G293plGzlGZfC#_;X;c zcO0hOHH%#MfvU>aRneaTPtbV0!jm=rK;dZ`|E};%jl(_%F4TB9FdzS%ym^B{&U=A= zROmH@{PzNtDwL_vV};&OD0Uyv4+>3EsMbF8XwyA*SJ^gW*eQJQuvU@o(F-y(>Mc|cOC@yB84Ij z0ez{^XoadD0@|!lM<6<@+ssn=g=NyKx*L2Z`s^~Y3{{-fx zN6>MTBPhMCOGhgFx5k?kzNhh3VD54h+<`}d_A4|1h#u+sRSG}W`1DZa z5qJ8IQh}F!M>+Hv-#m@MK4b6iX#gD7n1cO#8bx1meya54g;CNSxU4>Krys}@xc?6{ z59H?`sK9j6Z05iIB`d#viY$2R!Z{bH9&c}qRwuda6h%gyG`sC~I=I8>f4iK%-BmrY z)ak)?A%yh!6i-J%c%JmwgQuH;4{*jw^5hn$DW0xtah9E?Ms$-u2*`c=1^f2kv{0vdPRDkD%`n*AP8^JVy;skYj@88l0y( zCbPMol0FoL`BxB=UOi7WOcrwp2i_qc-h7^-$in$ivY`aEL`r|I-ci<2FNuGk(?2hg zJMh2@lmYU=1!@X%`2saYACt5qE?*;eyiH(BQ%L{s80id_%!|~8YO>`D^w#YvS%7EO zhD>G%X{u?Cc-9m(IUP{b{f1Ffq20?fr3-2%U!vR2X7N2)Bn*%zT&7i+1A1*~@~WJe zmzr1u7(N!TVlZ!B`YIOF5Z+s9$I6i=$mPx3?@=9Y`Xfb9ZO*w0rPtggp(ze+WMje+VZE&H<47K;AzlYac|Rq{0XU>?R=R4b>Nc!O&0VYy)a;g#$@%BX$# z#8s^L{BrUJmm3Et(vDSYXVcFR$XZ1^ zRzuJgzfdg{!>cNkW>u?IA*@x&?+X?OF8T#aZ8di{RN{H1@viDqb~x>=v{ka22lL5v z>EnrtZ4Omy{LJ3Qw9t5*!NRfEAc-HF+hQ14ZX|Hx7~t$l(%s}|_I+j`c&n$|xLzk` zi0O45iuPa87~G}pq?<%UGS)EL4L0M?w(AsX3PAWMyM+b+_Bw1O&>YM8krLrAP>&dy z57h)Jd&VS{sRi=v8`PD8^jZb|*C>LtQ3SDJ6hY4!MUXa%Abw^PL45yLTGg_i_>_F8 zn0%!-)sy|nDhHC)bd3B=_sHrHM1Bsp$y&{o{Hx8SfNCF5pyL!saO^jv7r~ry6LBxt zoW_3zD#3$S#A3eR+(bGa%parxV|g7o!BM(_tHQ^F)na>c+%0nE?BA)GDa72lqJ0SG z_`*HcjF28EjaKTl@`(!w1|jA_+T=o%%ZE@1@4H2HdBz`Dlu)gY(EsWqRO=&D>m&3z zeS~U#gjUf9cJ)6g-#HkM~^UJ zox+rG$rP?@!v8B*xRxti%N72dT;W=-@G5eJbJX9Et1+B6jQr7S$={GGLI;?LN|7SC z;0^^-ByYF_rYJsi2maZNZ{ESq*IWzI{J(-U*McaR$ zj216Oix=~pcrjYMm@4AMaKHluxmF^GqQw#PI_d$9qxNd=+C^P>`9m5>St}kvhAiIh zD!n-25kmd|6z^6?$cfOd23g z(?D?#LD~<=!B414`j;lsP)?Atp~s-AoenjoG*nH=b9oaPgcN{!i)Mj6A5 zsvO3dKZ7JVBs?8!bmds1^;mg&tUNVVXBwl28KZ|8g<(cxm^=(KhWj|kemF-MYjV^< zMw-Swr_pg7P)+u#mXCEE$CIncL8b}jNUibv)nqVE9sZ6ooF*6oPSD-Vca#<+f5v9^ zucxfWdmUvM3Xlj{_3l5BEl%ilf;fockxr0cvTl0TNk$?`d={*y=*poYQ%WGdO2zPG1+yYSweJn#EQ(EX8Zu9gJP!H6G=L^_$JB-DEHK zndoJfSWK^p3n=RCirxxUZyj;MGg2v|O_ATc%gZVJ&&5xSX+Zsze5H*~X* z`?^DKi?{&9wAfsSAB~jtX$gPnE*sEN-MgCy0@+fo?IHav3(?0S(S;U^F|R=vjZlU>C)RpNlYeR|1z95`3ZX=OUn4?-_CN5Xgpc?_QF%Iwt&76|-}%Z|_(Tn>%ruR`^pOz+JDA6q8z|WWc(>K4zLEujmLy83 zVT`#0x04v?&)U+(lxK!vs}xu3D9@av+WGK2KWu4Z)w)!OF;-=F4N+udZ7=;wZVu+L z<`l(UZ}&idST?L~NdW9(j?VZ`ERat8LjcAdq*7ROpsZ<{gPk9VV2BiRIIt5^z0oLz znHB`f1dx4!Qr$8A5r_bT+t0_;P>RB*xs97@g*4We=LShHSoPW^0YnrdE&ydmDK-NM*R0Ej=f?U{-mo<>JflIq%_6E=IugIEG zpcYJ~`Q|Bn^1hnId@WD+9O=M$!P3jL04X7kbpCvCx~wI|0cV zIs%N%l5W++d<#06IS+YrMf>i${qzv&3C<6CVxhK$z+M;VHCL@vzsBz48!B^5iz=<$ zFs^l>G7g@q(xMejr3Wp7A^CG~Ls^Fw>w{sxhSI|SHo}N=8%i@usU>E)y%$ob#aK@m zgOz`32vsf7a(XwyAWNScNT?>sQPZK|8SOEj_06HVt!f#qGJu_0z-PAVBa_jruP!k5yNxXn z^gmL|mP8*T()sY%Csd7xv_!Q3SnrV=TFNG-kD+PfkoRXxY!9F8_HHE|i1x8hG+xV; z;xkNT2q;E;*&Z#u@m5gb|7HAFG#0kZ{F1KD4noRbX6~n>&!8At57(MVK74f;T^j>= z_bcc25aP8KNE5wt!v2S>ok-8@Til6@(g@j+k+3M-+Aart-`wj&M#}*^G{| zU6JE_M3EExpsmcM?>Q$P=6kAQA>v`fr?d?lfLERa5IHt3(7`25sh_mX5_w>^0tlC7eHFSh=oa6s> zlo=q{V=_$Vu;~~ki0Q_d&O_S})}L>5l74hvWdYovvy4SWXI0T0pu3uYl|Sl?B;q`L z)(A!C`H#+6t@HX=QMZO`-)E_VMVwdrhmpG=JfEwOr3+-a$p7mCSuS#5GGw`^WwG+I zWQiNwRE%;c8Hx8LI4tI3<$sb9v@aR4-t(=-yIe<99pn;JtGH^oj(V<`b5dkCx~xnr zh09ZAI1loLd9Ld!ojD~{hH$^GvK#MHXmA?VDKS{O@%1zr%q_aY4zDT;ZbU!naA0g^ zkzEloHg}b6Oyx+^)s^M5bBrig;RaDL4NCToQ5Z?zaz3_Hl zP0z}GdLgRcM=aM#5lYqdq!B*u>y6}GFPym^>iBNy4SRZ|{odH4CiRwWO^;xZIvaUp zxC%e)EhnifaYMW%FUUj$Wi48WLeFILQd|%Yx1^+`^XJJgBIHZGWuAT!;oiiL6>;LA zKA2c_TpG{3L$!EdA7l>BJhl%4y$i2U#FanmBa?Ai>)02>oxAsyXH0R>f7C1JIJKX2 zr8p&@50C4INyow4jQxINKiLj%WBBa>h{-PfVN-EBzY0YILm#k6S033PF=x#HM4zMJ zp*A|w!W7)WMwxQ3sSU!K(Me$@I%%V>?e61F1DtA8H#+$x6P?t{mi1-zN1Tu?V{k%5 z^5xHKvt&Cyttwns^5bj-y1@g$x*`XYcjY}fvKMFfmo6MQ5SdTBach;3g>AiHAcl%Z zAW}mC#6IoLkuNFU1hrH6vccRo8-gcP5jQQ%_3e{9%X$tp+1$ zO*QvX{^iG`2V>(;Rhxz{&!38vF;yELF6G>TLx#Y|x+)*^;V*|{LA&zmA=re|RFOZ| z7>adG)6Nb{OTz;E)mh#0rRh6Z0`{7Ww6@AjPh-IV+Tshe`hmZ+PeLN+4#a5#0hDpGgjp~GbgK2{rFAq=Uz z^Qqyon<)c%qY>r}xihp5AZ>;Y-fKr7C}mWB7cped(ANP79M$=ex^m2by5aGdYzESU zr(3Maf=&jG#Edeya3n0KCvQ=2z4*J4Se6&~fg&$*z$lPD+-Ve2jlMilz4ha_M#-!A z6ghLWoPyN0;s9%We^|-&^HBJPlDp!ggexD;lauj<644|CWY`!v1z(=j9Sa-B{Z;Jz z6571=Tt{zl$xE^izN2HmFmgK&(`s|aip5&30G8G)9W3lC}hIZ9TI?!sw8e?o@ z*;cEythkz6%|r8X0$qb7*-+}0d_?{=aB`&|iSMR{6RLY~g_VIBH`Hr5f1K>gJ6^_a zczGNaY8{8YEVJotzA^{#@vGtZzVSAH_%fDyJ=Yp9bJVxi*Krp&Z#?9CSH;2#TYQ%v zkCy|v;Y9Q_ZUW4Hqh`D~0ljYGw^gI~iNNnM%>iCB5w}d6)oIX|FXqFw-IQ!7I0fCQ z8+ZrW40nFIsMRcZNBV8t52(xa&Afb)9Ev0#y4T;gI29iUB}yV*L1aPpuMW~LOh#T< z%-Je)HG=lqA|%4a=JEQRck>nKsn|SCg~>n;o-92biY;QTs`TMLlVuIGg+JoEhl+H? z+BOl(s`0vcaNQDQGnMB}Pbxt+6OCiUl^-hx=6>pnQTLzl1*n)8qY@2rbc6UAIAE5j zrLgk$5bXATQ>B+fiA5CYSkQYaHl7lGX{v02Mw4`aE5SaZzJ;*y(V|#u)#X)ONo>_i zVH}pHy@~_1akxmvi33ppcl{2u6(^dqxkyXiFOW6(MCnZtDrav`+V&R2*!p6!}3Zlah@V>dmsWAO%|Bmd6+YuZM z#~6d~tc9`(&zOgxzyCSg-w)dlV7K`)4d=uvL(IoEw%^F7^_SEAdWii!81hk3u?$ogqCydy9`l z!^J0|UB#ZzKH{^`A)v#=zJ?j1tkFWTzj1AGAnZ+Xu!)~I)TDx=7&5unY)Z;A1u;AUb9K$`e7@oRA_shPOle!BR( z{Ty+p{W8$y;$DaQ;(jM5@vu`{@u+jCc-%QcJn0+<8c)<^J;`JTG9}j_hh!hn=H!$- zfT}0YAm`+bd0H;v5-rtw)V z=#{MY^lDZQnvs=5bF#+Lf~*Ore$&EQS6b_mhBf+0Ed_K@qeerPhiGqi->&23H_a&5FR_g~sHY#_ZiY#(hN{v{QU zaHissr>SIAF%^&co=Wm+QE^@vZOt1&TgQy2_g{LOw!ZWwZObQmKR=AN=br?ANL$C% zq8;O2rVn2Zq>o;nN;_XZN4v%krrqNY(8m+%Q^qF~O6b#xuC!<3B>HUPDf)a;H0_vm^WWJw2RYwJoNrDNk|2jWiAY=_i6r7a5=q<=b>CN{b;PaSFjbUNM~W8x z;af_gsFs#$>nuuX6+O_R?%!vh%^T5wp84$TH9I>qJG<{Y>$Z8;ZS#(pb2Q1?@>~&3 zv!2FxrFHQ6B3fg8itk42(hEiOku~U|`tCEnX+IXxC)OMIp0G~7q`q#Ki#XPoT&*8p zF68|I0PU_6vU?!Fg)4>RX6^q|5xH9*8Q+Chi^yyZxK>0}t$XnGwkH2vM1Iz*`1)JN zUoWCStJ94l3bL-nH^kcHmm&(aeuZzOwcoEr)Xe%fz6sXCn?;mm_4%!cvaP%D9cb-v ztB7)~m+&2B9eulq##tTi6k%zr@SSFj_`Qf`T94v8=aW8vY__8TR(Zb&U&eQswZY%2 z^C9Eg;~$0Z{8MDD|F@fU(Sx}Lt^IJWwG`#OWwg^HUA_j|>XDmu!oPEAq4mYTMfh4D zt8eg=B3fcSV0^ngRrn^p%dFF$71465$MYguXWe9cV_qoy?TaGosTXe6F)!!R7VDFj zMYLu462g}Q38a`m)g)CKrRyNe7n2*$Hql%I)UqoB9|LfTL|r?38@f=AMmW%1+QknY zFiZ(Aa0Dr3|4LNE^BvLU^GfJqpq`a&==X9|-~^suCA6#NY(rbiQM`+dcLs>gaHcCp zKg0Jmnd@ehyxk45Q<1ZrU^d8)nvC)=O7>L@@-7JPaK~&dJu$L@PAfFh1H8drHuSU{ zEv*V(m?vt3s@c%Ka+Fpbyg$59d!rmpt^r&}zkdQI4!l!Ry-uyx?Xw zbU>lIir1yN4c%1e<7VJZYXNkjIgm#b(1;d5n}BF7hec!3ccQ@fHX2n8qk#s+plUt; z5re9pF<>ldiK^cf3XDb7M&1^Ss%o)dq_#rUR)wxB6yFMHQXCp>T@jDP?cn8#?Bvka zAiKB(ghN`RQBE5)Kd8_{All6{+M?>WHemR-L)G-QK)ZqHe;nH$Rh!#^@l$(LwQLVG zE&)~h`B?(01}A{=UI$b?Rj6@Cprsvvz663ZcTPm3eI3ELpNOioM4-7zs5-*#$&l*^ zf1t=wj_PEPlOXJtj8>yk&>qN@?NX_bzv%?DvK)n_f%hl{?T)0OokDwiccwnMG=N)Y zQdRzHAJwBld~YAMq#>Hsc0X9T8qZWXPvZj$kI?vu!Xq_q{u%ICjmIfGQRAHoPu2KO zh4VG8e*k#4#zPe@)OaH>FF8Qf_-BQ_R>KEzy3}CK&5ZsjtB`9=Op|=$BECDK2 zsIx-P6;21D9%?3CvE1F~GYDbx`P> zLbDX|I)awp>6YmVf3NXkV4idYElw!zSVNS{!6N>!m7cp`W2c8jk_ppukjLvV>SL-;dG8XPF{N}9j7XiM)8#= zngAHEKP@IB?WA72*(ALjJa$cT%=Nx-1|q$ zM*K;C29*!{ja>NWA1M%w921lmc!_G5+|0Gq0@Rr|`Wj-=v`ge}ayQrIfCpsZ;!6}s z?mRkD21`(n2~RgMOS?fHzy;MIlNmyq%;xY{T~U+M4mF)`8#QGLzFHuiP&4@_ z`n$55I79C8IEv%1(kk*)qc$~p+7{-i78VGdVh_tE^WwLsVLuJwy_9x*IKl+Eym-gI zRD;8Qrf{et=LYm%m0LGQ)0ru-%lwDr21fd0gMaO33NckRXJ}DhJcJ^u^3}hMx`>|W z^XDCM0&C87YE#)$%!TbVK=Jf-Y)K8hC8;;43a~Lz4W(soR@wsVp0D)f?{AQosV3H8 z?1lX=R0Sod=3i`AO=S;d&1)*Vw(z$%$c`8MLeL{Hjc67PVPrlUtPd-e_XSg*UO&7ISAq zBVN}+_f(p)%kiMiTFGJ_z^7BC#S0Z5+f}UbHdixNh0bG)CLH}6B=Kf*ET)0sMyQZ6 z0yul3bTxUKy?#3e_=y{v_69VtB)Y9k07m&px5*fr1cS0K_5YU;VzA?TnEAj%26nu=o> zHSYCRQ61UvcbIfdZuJ78 zG20whX7<^f{{l9h&6{4(+^T&=24#rzlqvp2BH&9|ggDJ@UeW|)>v2*ByY;U)=m29$ z1JshdmN%4@vIR$ztVx6UTayd{nL#q$ zb%2;p1F`5qu&}{=iDZ8orsix)!;EQ$*`}$?pFtAb57cfNbLHCR%GGn_>ACXMTpeh* zo@Th7W*DZ)#WZ=CW;pkNd1g+?4_5q=YtNiJ{U-YeDuLTjy)VP>R53E>|94k zFj05C=qMwQBEAaNll1%(G5;i9Pzf!k>XuI{q2;s+EvM<0Q_*r7Z>x-!`MRaI6IM0z zwN=ez9~W#zfp!PuR4Cv)7wq4gyv9X#bIr#nGsO}r5SPK~?u^l9tI^ui9DQUPedg$4 z=2jR6uOVufLOslE3^NDA%*8N;{K5spEa2X*(Az@J2Qe)&*WhQlvJNfg6Rt9lmgv!4 z+z`l?aCJB7>#+c1EEFASkr+XX1zy59!A3&htEdwi_+sBHqwbo(^K`Vx$BA;4P!!67D`S!!#VL zM?w(9AJ#$&W|;9F9~pz{YnjL&m&QwIVYs;+x0aabZguHo$}>->sG>Y`8?|H$&-cZN zHbQMnnHVEfUKb3Ok*|%sLoRma5#}UAUwgCsVAwFarT#FBSvuYScA<3Sv;NWt3?n-+ z2goX>SvdNU1meOrivtpn<_!lIcA6g`<3LIRWH`uQ0f;cOm5PF;n60}bxp7gwkivTN zyxOuV%zABY^qRvz)kd#5y4M_|7vJ}X26UI%D#iEV59>hHh3b5XqIqgaWSvSxpFrse zMr5FD1A-*jmzM>~>cFL)ZEgOXB&$e)S~N1vGf&~ukJS?9X<<6&AZ2+YNLDq?M>2@( zoF8AAAw8v-uaz}3L)xzh!p>g_f;Q%B{~whpUF^j?53zs?=0n!9{+)II8Fi%>TJG$M zefqdAtaZNLa@9+XYn(ma^<<7|q0Pn(+geu-+r7|8idKY4H(Cfg^5dXjSp%;j#-*@N zFaq5ouzh%5urz~BO|i@!-H<^o!hYgl^5N^j(A8osXtfZ`vgEZ{-VMPlOUh^AykIQA zCsfv=r4=Sw`f8G;6((6~o8)pRCV5*=Vy-XSo0h>%BBw*%iS?z$WW{v>Nn$-j4O|yO zP}@%B*FNmf0GqJf);1Sc3DXK&+ZDR)a$DOc4dg=gN~7*UhPxCulrg4t<}S)l)ccKl z7>=sfAy%$exrH|`4aA-v3zHpbgSt~#xPCYkv;l6dw%$&xH>YZ8-U^oi^Z{=S zmw9;X!7Vcr#IR9h@vgu|RvXs{uDU-07l1A1p@sw4i7kj}hPJCjA~qJQvnK=uL)7L2 zkr1=kCT6h`(}&|5!GVeqVw3~fiDGk>?!USb`hTbcy0J)~#_0VaB*Y>^xc?3K^V-Hx z@K&{pDk?cPfx~RomnEY|Z_O}HyG>0H@^`5nYeRbw=PW$x1)fm`Hbt!8qff|#8$%}6v9(y z+2NpD8~f+OH{)SrXVsBhn}@cB`=8a1D29=rRbjKt!S1$}jZJ6qWP(R7UvAe%dZIK# zt9(iu*%%!^Y9pK3o%IkKv=g3Xb6W^{R$bW2AE3^vGtrw1+9LRz!#Qj?ifkwQar@S? z4!_k-#_)#LGD$t@m`&$lN6INUs;x}sh3#Rv7x+|rnGS+eCf#%a$Bto#Sal22MJOHO z`SI-p=}Q+?BEW$iWHc%|sEWn_ot4Nw{C^!V{6+Yz3PoI_Jy>gpNf$eDQQt0Vx;yuN zl|guji|QaTQW(VP3wjarI>KTv@u`lG=Mo1bLY_-n9v@zoh#+`bd*abVWZ;+Kworo) zKTJgYzHEejuhpS@oQGAM<}y^OTDd#ty~gI8B$-B6lpQD0Ps%VuP%`ew>pH;>lagV2 zR}DUINyK7|vn!$#f?Wx!_-T@Cz{^y;vsHxhsAQSO#hqkJ({*I*>h1E?l}21wVF%GM z1<~=kenPB?U$4$5%lcf9BE7)utHU^cQ%gZKa_@xv#4Qz(@P^r4Z}=!A6H?sJuO$6b zWerrd(VcE+M(7OP-bt0)=udtp4J*B?lQJVnICX}b-qp5ZVZ*#LG^?H7`%zt? z>$_@@5D?>)#35bAo9-bjs%7!ublC%?6jieD#dPe~Jw81Ib9U?^-^AOrkpUW>_D2_l z*oSDU&Jlp<3R65(n})>Yp*p{_@Qm%z4KqGc>+|7W-4MhdA$0332we3RX#|W%`rP=j z8*W*TbvXCv4%2y}z1=vHCU%#xrYEpHoq;^jp7*r7oM`;AsItVufIJu8>#?P0C3l<*J2TP8EYZ-_8rarPYN+bCFeu%hd(NEO7f~i!#aVBT%S58~RbPbYXdPf-5UyxV8FGLr8WGJHYiM(tHq`&89>^pjn?1A5P7x$N4O^N#V1tTH#!mqrehoD`v0Z3I7&ApV1`SS1qIPMeGVd2dSrXo>H z)TW1rI9K4h1L0;#$_*`iVkkB>iPsFo(VMJTe(XL7dz-9%ysjN3L;LqSsF%HD{pM=C z2`BTqL9!c~4b@(v-ow$rZ!qLd<_?2#Vd{iq86HuOI&tY>#Hdbub1>vjc};$J+YmTZ zit@>(sGFioxSWz0!&4ml4^EOrL z!awA~Te|TRMY^+p9!L*P$U}P3lP9QBFJ7G|Z_qL>7%rzEmo2-r8b3Fz;5u)B$x80> z-yoQef{9-x5mD-b3>ksaO059nlE0EGk3_F`UmNOO-a1nDqE+f}FmgIK(<*cOvh7-> zW81hu@yi2d<(PLz9{4#c84EFOqu0K|0<0t!Ta}XfE8H#i2_!wD@zZ{EA zT*sc{WRCiEbv9n$=8uDX>s34~v&QxOd>n?@!0)T-G2>zSALyo6#v?n(7>{vUO~5#t znC76xdlT@sv{~H(z4^*$>BKHdHZab?c+|VQ9c_l2mT#-Q2g=B}jaLEnq`sM#Pn3g@ z*F*REH!V)a4}ub$@JX_TO8C|NxyNK=gIhRTWvoWvUR#I+c#CZ33}RMp00vr z00&K$UUpkN#9CEp;a-!aJNm*Seb@*foYDL!?mAn z6C0NaID?9kTX+eo(IrQBX*Cm9%VM<|KD?tY4u0RMvZ`INhbYwXp!-xDKgB$9s%(Nz z6Lsh+#%ZE{|6u1^R2f4W+IE^IJM+LP(4=vZo<0p1Y2#9nh?@rD0ABd*Xe%x;hvp$y zPMslLxw&e+t`94UcY=em4oI*y=i$i!@M%85N^AYt34tO{BGbR zYQy|@D)XvQ{;z@yw0je#+vY6{x0@Heg*JP%UCUW;fxQ)6 zU@woKh3fxl0dCKN3*gTJvt<_lFbhNMnT;X#E9>t~pK)JB4)E?dc-=gxW@`#!{5)kG zSW$B^_(8@)l!e#Lm43iREI5e$#4gq|9YiQFwlkto2BI`oAGQazqrbBB5}Sn@J6i$^ z^=FrPG6feyxSBDz15cd?Q!7y!iH=9WCG(7HVQTp#4(yEQ5!?+&=$T4%GGv(cL7p)m zrd?{A%h>BuJ=X%vRa$Yb1vuJDjdWW7cv`CGDn-6+TaW`gEyP@<<~%)D>8rWyN<2h? zvWO|B8 zKTzb$xzm@*z0;SPW*6iSs61Q@tUO%|atarNo#Mq1r^8~X(+^^pvsL7}+!uMSkHm2I zY?0@_TZ}L-5F^bjMwvH&ZW3e7yTmy2LC`~DqWK#!$$SCyl9+1#O-wWY1Nunhdzi#b z4|mWi;!Te)#B7gaVpf%9Vzy_YnB}=EU(E5^B4&G?5rtJNi}_WZ#JpD8_Yz;aswgp`l+k0e?5Wp4?5)>Hd|I!U*jH~L=n!!r zI9-&4EE1)m)y1Lu?~2bG`ijF1yNDxUe&T2ti!Z`9iDO~AK#z;#VHd=SuwOu*i<9}` zF5*;p4RJC&LVOoKKztv*Mx1G67H6Am7w4MR7w4Pp78jcTPh5;D6c?g)i%Ze_#pRd} z#r2r2;!4az@l(sz;!4Xrakb?h;H%aXrpn{2Ui9Zp7Ud*W;^; zU*qeDoAKeGjl}KvRwDmSd=fy4_%r^bxZ9?YxYu@uxZie;_`B^g(Bb5)Az90&TGV$C~y052f^8MX7!Ak#O}rKt(5%c+G(U4D z_0F6{%QCHG&0Gt*msa%aP2BG(y_2NcHk{q zHz<+bAGDR$4{l5w23zTa!7pj!kSy9Xq=YsPJwaQB;S|h0PsMp3(w4k4RQ!e~ZF!?U zZGB@HZ5=U=wvBwBwvIeO+eZ^^8(p718hsl0DQz9&Njt`jrJZ8~XxG@O^vT#C>Ho$J zpxxsR)1L8lD1Gnvt@OVM&h+VoiL`IRIodz5Iej+qZ8|XV4jr8M7ag2@gi5Baq=QqB vQ0eq{sAT$KIyB=;DxL8+9n5#8Bl%W3I@3a5%-lf73hL9Bdy}i#ed_!FbOG3~