diff --git a/exu_div_new_2bit_fullshortq.fir b/exu_div_new_2bit_fullshortq.fir index b8963c2d..d52b34b8 100644 --- a/exu_div_new_2bit_fullshortq.fir +++ b/exu_div_new_2bit_fullshortq.fir @@ -1015,8 +1015,6 @@ circuit exu_div_new_2bit_fullshortq : count_ff <= UInt<7>("h00") wire smallnum : UInt<4> smallnum <= UInt<4>("h00") - wire smallnum_case : UInt<1> - smallnum_case <= UInt<1>("h00") wire a_ff : UInt<32> a_ff <= UInt<32>("h00") wire b_ff1 : UInt<33> @@ -1039,8 +1037,8 @@ circuit exu_div_new_2bit_fullshortq : by_zero_case_ff <= UInt<1>("h00") wire ar_shifted : UInt<64> ar_shifted <= UInt<64>("h00") - wire shortq_shift_ff : UInt<4> - shortq_shift_ff <= UInt<4>("h00") + wire shortq_shift_ff : UInt<5> + shortq_shift_ff <= UInt<5>("h00") node _T = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 488:35] node valid_ff_in = and(io.valid_in, _T) @[exu_div_ctl.scala 488:33] node _T_1 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 489:35] @@ -1070,943 +1068,958 @@ circuit exu_div_new_2bit_fullshortq : node _T_21 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 493:47] node _T_22 = eq(_T_21, UInt<1>("h00")) @[exu_div_ctl.scala 493:54] node by_zero_case = and(valid_ff, _T_22) @[exu_div_ctl.scala 493:40] - node _T_23 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 496:11] - node _T_24 = eq(_T_23, UInt<1>("h00")) @[exu_div_ctl.scala 496:18] - node _T_25 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 496:29] - node _T_26 = and(_T_24, _T_25) @[exu_div_ctl.scala 496:27] - node _T_27 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 496:45] - node _T_28 = and(_T_26, _T_27) @[exu_div_ctl.scala 496:43] - node _T_29 = and(_T_28, valid_ff) @[exu_div_ctl.scala 496:53] - node _T_30 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 496:66] - node _T_31 = and(_T_29, _T_30) @[exu_div_ctl.scala 496:64] - node _T_32 = orr(count_ff) @[exu_div_ctl.scala 497:42] - node running_state = or(_T_32, shortq_enable_ff) @[exu_div_ctl.scala 497:45] - node _T_33 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 498:43] - node _T_34 = or(_T_33, io.cancel) @[exu_div_ctl.scala 498:54] - node _T_35 = or(_T_34, running_state) @[exu_div_ctl.scala 498:66] - node misc_enable = or(_T_35, finish_ff) @[exu_div_ctl.scala 498:82] - node _T_36 = or(smallnum_case, by_zero_case) @[exu_div_ctl.scala 499:45] - node _T_37 = eq(count_ff, UInt<6>("h020")) @[exu_div_ctl.scala 499:72] - node finish_raw = or(_T_36, _T_37) @[exu_div_ctl.scala 499:60] - node _T_38 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 500:43] - node finish = and(finish_raw, _T_38) @[exu_div_ctl.scala 500:41] - node _T_39 = or(valid_ff, running_state) @[exu_div_ctl.scala 501:40] - node _T_40 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 501:59] - node _T_41 = and(_T_39, _T_40) @[exu_div_ctl.scala 501:57] - node _T_42 = eq(finish_ff, UInt<1>("h00")) @[exu_div_ctl.scala 501:69] - node _T_43 = and(_T_41, _T_42) @[exu_div_ctl.scala 501:67] - node _T_44 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 501:82] - node _T_45 = and(_T_43, _T_44) @[exu_div_ctl.scala 501:80] - node _T_46 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 501:95] - node count_enable = and(_T_45, _T_46) @[exu_div_ctl.scala 501:93] - node _T_47 = bits(count_enable, 0, 0) @[Bitwise.scala 72:15] - node _T_48 = mux(_T_47, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] - node _T_49 = cat(UInt<5>("h00"), UInt<2>("h02")) @[Cat.scala 29:58] - node _T_50 = add(count_ff, _T_49) @[exu_div_ctl.scala 502:63] - node _T_51 = tail(_T_50, 1) @[exu_div_ctl.scala 502:63] - node _T_52 = cat(UInt<2>("h00"), shortq_shift_ff) @[Cat.scala 29:58] - node _T_53 = cat(_T_52, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_54 = add(_T_51, _T_53) @[exu_div_ctl.scala 502:83] - node _T_55 = tail(_T_54, 1) @[exu_div_ctl.scala 502:83] - node count_in = and(_T_48, _T_55) @[exu_div_ctl.scala 502:51] + node _T_23 = bits(a_ff, 31, 4) @[exu_div_ctl.scala 495:30] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[exu_div_ctl.scala 495:37] + node _T_25 = bits(b_ff, 31, 4) @[exu_div_ctl.scala 495:53] + node _T_26 = eq(_T_25, UInt<1>("h00")) @[exu_div_ctl.scala 495:60] + node _T_27 = and(_T_24, _T_26) @[exu_div_ctl.scala 495:46] + node _T_28 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 495:71] + node _T_29 = and(_T_27, _T_28) @[exu_div_ctl.scala 495:69] + node _T_30 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 495:87] + node _T_31 = and(_T_29, _T_30) @[exu_div_ctl.scala 495:85] + node _T_32 = and(_T_31, valid_ff) @[exu_div_ctl.scala 495:95] + node _T_33 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 495:108] + node _T_34 = and(_T_32, _T_33) @[exu_div_ctl.scala 495:106] + node _T_35 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 496:11] + node _T_36 = eq(_T_35, UInt<1>("h00")) @[exu_div_ctl.scala 496:18] + node _T_37 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 496:29] + node _T_38 = and(_T_36, _T_37) @[exu_div_ctl.scala 496:27] + node _T_39 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 496:45] + node _T_40 = and(_T_38, _T_39) @[exu_div_ctl.scala 496:43] + node _T_41 = and(_T_40, valid_ff) @[exu_div_ctl.scala 496:53] + node _T_42 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 496:66] + node _T_43 = and(_T_41, _T_42) @[exu_div_ctl.scala 496:64] + node smallnum_case = or(_T_34, _T_43) @[exu_div_ctl.scala 495:120] + node _T_44 = orr(count_ff) @[exu_div_ctl.scala 497:42] + node running_state = or(_T_44, shortq_enable_ff) @[exu_div_ctl.scala 497:45] + node _T_45 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 498:43] + node _T_46 = or(_T_45, io.cancel) @[exu_div_ctl.scala 498:54] + node _T_47 = or(_T_46, running_state) @[exu_div_ctl.scala 498:66] + node misc_enable = or(_T_47, finish_ff) @[exu_div_ctl.scala 498:82] + node _T_48 = or(smallnum_case, by_zero_case) @[exu_div_ctl.scala 499:45] + node _T_49 = eq(count_ff, UInt<6>("h020")) @[exu_div_ctl.scala 499:72] + node finish_raw = or(_T_48, _T_49) @[exu_div_ctl.scala 499:60] + node _T_50 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 500:43] + node finish = and(finish_raw, _T_50) @[exu_div_ctl.scala 500:41] + node _T_51 = or(valid_ff, running_state) @[exu_div_ctl.scala 501:40] + node _T_52 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 501:59] + node _T_53 = and(_T_51, _T_52) @[exu_div_ctl.scala 501:57] + node _T_54 = eq(finish_ff, UInt<1>("h00")) @[exu_div_ctl.scala 501:69] + node _T_55 = and(_T_53, _T_54) @[exu_div_ctl.scala 501:67] + node _T_56 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 501:82] + node _T_57 = and(_T_55, _T_56) @[exu_div_ctl.scala 501:80] + node _T_58 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 501:95] + node count_enable = and(_T_57, _T_58) @[exu_div_ctl.scala 501:93] + node _T_59 = bits(count_enable, 0, 0) @[Bitwise.scala 72:15] + node _T_60 = mux(_T_59, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_61 = cat(UInt<5>("h00"), UInt<2>("h02")) @[Cat.scala 29:58] + node _T_62 = add(count_ff, _T_61) @[exu_div_ctl.scala 502:63] + node _T_63 = tail(_T_62, 1) @[exu_div_ctl.scala 502:63] + node _T_64 = bits(shortq_shift_ff, 4, 1) @[exu_div_ctl.scala 502:113] + node _T_65 = cat(UInt<2>("h00"), _T_64) @[Cat.scala 29:58] + node _T_66 = cat(_T_65, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_67 = add(_T_63, _T_66) @[exu_div_ctl.scala 502:83] + node _T_68 = tail(_T_67, 1) @[exu_div_ctl.scala 502:83] + node count_in = and(_T_60, _T_68) @[exu_div_ctl.scala 502:51] node a_enable = or(io.valid_in, running_state) @[exu_div_ctl.scala 503:43] - node _T_56 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 504:47] - node a_shift = and(running_state, _T_56) @[exu_div_ctl.scala 504:45] - node _T_57 = bits(dividend_sign_ff, 0, 0) @[Bitwise.scala 72:15] - node _T_58 = mux(_T_57, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_59 = cat(_T_58, a_ff) @[Cat.scala 29:58] - node _T_60 = cat(shortq_shift_ff, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_61 = dshl(_T_59, _T_60) @[exu_div_ctl.scala 505:68] - ar_shifted <= _T_61 @[exu_div_ctl.scala 505:28] - node _T_62 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 506:61] - node _T_63 = eq(_T_62, UInt<1>("h00")) @[exu_div_ctl.scala 506:42] - node b_twos_comp = and(valid_ff, _T_63) @[exu_div_ctl.scala 506:40] - node _T_64 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 507:62] - node _T_65 = eq(_T_64, UInt<1>("h00")) @[exu_div_ctl.scala 507:43] - node twos_comp_b_sel = and(valid_ff, _T_65) @[exu_div_ctl.scala 507:41] - node _T_66 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 508:30] - node _T_67 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 508:42] - node _T_68 = and(_T_66, _T_67) @[exu_div_ctl.scala 508:40] - node _T_69 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 508:71] - node _T_70 = and(_T_68, _T_69) @[exu_div_ctl.scala 508:50] - node _T_71 = eq(by_zero_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 508:92] - node twos_comp_q_sel = and(_T_70, _T_71) @[exu_div_ctl.scala 508:90] + node _T_69 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 504:47] + node a_shift = and(running_state, _T_69) @[exu_div_ctl.scala 504:45] + node _T_70 = bits(dividend_sign_ff, 0, 0) @[Bitwise.scala 72:15] + node _T_71 = mux(_T_70, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_72 = cat(_T_71, a_ff) @[Cat.scala 29:58] + node _T_73 = bits(shortq_shift_ff, 4, 1) @[exu_div_ctl.scala 505:90] + node _T_74 = cat(_T_73, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_75 = dshl(_T_72, _T_74) @[exu_div_ctl.scala 505:68] + ar_shifted <= _T_75 @[exu_div_ctl.scala 505:28] + node _T_76 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 506:61] + node _T_77 = eq(_T_76, UInt<1>("h00")) @[exu_div_ctl.scala 506:42] + node b_twos_comp = and(valid_ff, _T_77) @[exu_div_ctl.scala 506:40] + node _T_78 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 507:62] + node _T_79 = eq(_T_78, UInt<1>("h00")) @[exu_div_ctl.scala 507:43] + node twos_comp_b_sel = and(valid_ff, _T_79) @[exu_div_ctl.scala 507:41] + node _T_80 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 508:30] + node _T_81 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 508:42] + node _T_82 = and(_T_80, _T_81) @[exu_div_ctl.scala 508:40] + node _T_83 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 508:71] + node _T_84 = and(_T_82, _T_83) @[exu_div_ctl.scala 508:50] + node _T_85 = eq(by_zero_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 508:92] + node twos_comp_q_sel = and(_T_84, _T_85) @[exu_div_ctl.scala 508:90] node b_enable = or(io.valid_in, b_twos_comp) @[exu_div_ctl.scala 509:43] - node _T_72 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 510:43] - node rq_enable = or(_T_72, running_state) @[exu_div_ctl.scala 510:54] - node _T_73 = and(valid_ff, dividend_sign_ff) @[exu_div_ctl.scala 511:40] - node _T_74 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 511:61] - node r_sign_sel = and(_T_73, _T_74) @[exu_div_ctl.scala 511:59] - node _T_75 = eq(quotient_new, UInt<1>("h00")) @[exu_div_ctl.scala 512:61] - node _T_76 = and(running_state, _T_75) @[exu_div_ctl.scala 512:45] - node _T_77 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 512:72] - node r_restore_sel = and(_T_76, _T_77) @[exu_div_ctl.scala 512:70] - node _T_78 = eq(quotient_new, UInt<1>("h01")) @[exu_div_ctl.scala 513:61] - node _T_79 = and(running_state, _T_78) @[exu_div_ctl.scala 513:45] - node _T_80 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 513:72] - node r_adder1_sel = and(_T_79, _T_80) @[exu_div_ctl.scala 513:70] - node _T_81 = eq(quotient_new, UInt<2>("h02")) @[exu_div_ctl.scala 514:61] - node _T_82 = and(running_state, _T_81) @[exu_div_ctl.scala 514:45] - node _T_83 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 514:72] - node r_adder2_sel = and(_T_82, _T_83) @[exu_div_ctl.scala 514:70] - node _T_84 = eq(quotient_new, UInt<2>("h03")) @[exu_div_ctl.scala 515:61] - node _T_85 = and(running_state, _T_84) @[exu_div_ctl.scala 515:45] - node _T_86 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 515:72] - node r_adder3_sel = and(_T_85, _T_86) @[exu_div_ctl.scala 515:70] - node _T_87 = bits(r_ff, 30, 0) @[exu_div_ctl.scala 516:28] - node _T_88 = bits(a_ff, 31, 30) @[exu_div_ctl.scala 516:39] - node _T_89 = cat(_T_87, _T_88) @[Cat.scala 29:58] - node _T_90 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 516:54] - node _T_91 = add(_T_89, _T_90) @[exu_div_ctl.scala 516:48] - node adder1_out = tail(_T_91, 1) @[exu_div_ctl.scala 516:48] - node _T_92 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 517:28] - node _T_93 = bits(a_ff, 31, 30) @[exu_div_ctl.scala 517:39] - node _T_94 = cat(_T_92, _T_93) @[Cat.scala 29:58] - node _T_95 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 517:58] - node _T_96 = cat(_T_95, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_97 = add(_T_94, _T_96) @[exu_div_ctl.scala 517:48] - node adder2_out = tail(_T_97, 1) @[exu_div_ctl.scala 517:48] - node _T_98 = bits(r_ff, 31, 31) @[exu_div_ctl.scala 518:28] - node _T_99 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 518:37] - node _T_100 = bits(a_ff, 31, 30) @[exu_div_ctl.scala 518:48] - node _T_101 = cat(_T_98, _T_99) @[Cat.scala 29:58] - node _T_102 = cat(_T_101, _T_100) @[Cat.scala 29:58] - node _T_103 = bits(b_ff, 33, 0) @[exu_div_ctl.scala 518:67] - node _T_104 = cat(_T_103, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_105 = add(_T_102, _T_104) @[exu_div_ctl.scala 518:57] - node _T_106 = tail(_T_105, 1) @[exu_div_ctl.scala 518:57] - node _T_107 = add(_T_106, b_ff) @[exu_div_ctl.scala 518:79] - node adder3_out = tail(_T_107, 1) @[exu_div_ctl.scala 518:79] - node _T_108 = bits(adder3_out, 34, 34) @[exu_div_ctl.scala 519:35] - node _T_109 = eq(_T_108, UInt<1>("h00")) @[exu_div_ctl.scala 519:24] - node _T_110 = xor(_T_109, dividend_sign_ff) @[exu_div_ctl.scala 519:40] - node _T_111 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 519:68] - node _T_112 = eq(_T_111, UInt<1>("h00")) @[exu_div_ctl.scala 519:75] - node _T_113 = eq(adder3_out, UInt<1>("h00")) @[exu_div_ctl.scala 519:98] - node _T_114 = and(_T_112, _T_113) @[exu_div_ctl.scala 519:84] - node _T_115 = or(_T_110, _T_114) @[exu_div_ctl.scala 519:60] - node _T_116 = bits(adder2_out, 33, 33) @[exu_div_ctl.scala 520:17] - node _T_117 = eq(_T_116, UInt<1>("h00")) @[exu_div_ctl.scala 520:6] - node _T_118 = xor(_T_117, dividend_sign_ff) @[exu_div_ctl.scala 520:22] - node _T_119 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 520:50] - node _T_120 = eq(_T_119, UInt<1>("h00")) @[exu_div_ctl.scala 520:57] - node _T_121 = eq(adder2_out, UInt<1>("h00")) @[exu_div_ctl.scala 520:80] - node _T_122 = and(_T_120, _T_121) @[exu_div_ctl.scala 520:66] - node _T_123 = or(_T_118, _T_122) @[exu_div_ctl.scala 520:42] - node _T_124 = bits(adder1_out, 32, 32) @[exu_div_ctl.scala 521:17] - node _T_125 = eq(_T_124, UInt<1>("h00")) @[exu_div_ctl.scala 521:6] - node _T_126 = xor(_T_125, dividend_sign_ff) @[exu_div_ctl.scala 521:22] - node _T_127 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 521:50] - node _T_128 = eq(_T_127, UInt<1>("h00")) @[exu_div_ctl.scala 521:57] - node _T_129 = eq(adder1_out, UInt<1>("h00")) @[exu_div_ctl.scala 521:80] - node _T_130 = and(_T_128, _T_129) @[exu_div_ctl.scala 521:66] - node _T_131 = or(_T_126, _T_130) @[exu_div_ctl.scala 521:42] - node _T_132 = cat(_T_131, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_133 = cat(_T_115, _T_123) @[Cat.scala 29:58] - node _T_134 = cat(_T_133, _T_132) @[Cat.scala 29:58] - quotient_raw <= _T_134 @[exu_div_ctl.scala 519:16] - node _T_135 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 522:37] - node _T_136 = bits(quotient_raw, 2, 2) @[exu_div_ctl.scala 522:56] - node _T_137 = or(_T_135, _T_136) @[exu_div_ctl.scala 522:41] - node _T_138 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 522:76] - node _T_139 = bits(quotient_raw, 2, 2) @[exu_div_ctl.scala 522:95] - node _T_140 = eq(_T_139, UInt<1>("h00")) @[exu_div_ctl.scala 522:82] - node _T_141 = bits(quotient_raw, 1, 1) @[exu_div_ctl.scala 522:113] - node _T_142 = and(_T_140, _T_141) @[exu_div_ctl.scala 522:99] - node _T_143 = or(_T_138, _T_142) @[exu_div_ctl.scala 522:80] - node _T_144 = cat(_T_137, _T_143) @[Cat.scala 29:58] - quotient_new <= _T_144 @[exu_div_ctl.scala 522:16] - node _T_145 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 525:48] - node _T_146 = mux(twos_comp_q_sel, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_147 = mux(twos_comp_b_sel, _T_145, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_148 = or(_T_146, _T_147) @[Mux.scala 27:72] + node _T_86 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 510:43] + node rq_enable = or(_T_86, running_state) @[exu_div_ctl.scala 510:54] + node _T_87 = and(valid_ff, dividend_sign_ff) @[exu_div_ctl.scala 511:40] + node _T_88 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 511:61] + node r_sign_sel = and(_T_87, _T_88) @[exu_div_ctl.scala 511:59] + node _T_89 = eq(quotient_new, UInt<1>("h00")) @[exu_div_ctl.scala 512:61] + node _T_90 = and(running_state, _T_89) @[exu_div_ctl.scala 512:45] + node _T_91 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 512:72] + node r_restore_sel = and(_T_90, _T_91) @[exu_div_ctl.scala 512:70] + node _T_92 = eq(quotient_new, UInt<1>("h01")) @[exu_div_ctl.scala 513:61] + node _T_93 = and(running_state, _T_92) @[exu_div_ctl.scala 513:45] + node _T_94 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 513:72] + node r_adder1_sel = and(_T_93, _T_94) @[exu_div_ctl.scala 513:70] + node _T_95 = eq(quotient_new, UInt<2>("h02")) @[exu_div_ctl.scala 514:61] + node _T_96 = and(running_state, _T_95) @[exu_div_ctl.scala 514:45] + node _T_97 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 514:72] + node r_adder2_sel = and(_T_96, _T_97) @[exu_div_ctl.scala 514:70] + node _T_98 = eq(quotient_new, UInt<2>("h03")) @[exu_div_ctl.scala 515:61] + node _T_99 = and(running_state, _T_98) @[exu_div_ctl.scala 515:45] + node _T_100 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 515:72] + node r_adder3_sel = and(_T_99, _T_100) @[exu_div_ctl.scala 515:70] + node _T_101 = bits(r_ff, 30, 0) @[exu_div_ctl.scala 516:28] + node _T_102 = bits(a_ff, 31, 30) @[exu_div_ctl.scala 516:39] + node _T_103 = cat(_T_101, _T_102) @[Cat.scala 29:58] + node _T_104 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 516:54] + node _T_105 = add(_T_103, _T_104) @[exu_div_ctl.scala 516:48] + node adder1_out = tail(_T_105, 1) @[exu_div_ctl.scala 516:48] + node _T_106 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 517:28] + node _T_107 = bits(a_ff, 31, 30) @[exu_div_ctl.scala 517:39] + node _T_108 = cat(_T_106, _T_107) @[Cat.scala 29:58] + node _T_109 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 517:58] + node _T_110 = cat(_T_109, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_111 = add(_T_108, _T_110) @[exu_div_ctl.scala 517:48] + node adder2_out = tail(_T_111, 1) @[exu_div_ctl.scala 517:48] + node _T_112 = bits(r_ff, 31, 31) @[exu_div_ctl.scala 518:28] + node _T_113 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 518:37] + node _T_114 = bits(a_ff, 31, 30) @[exu_div_ctl.scala 518:48] + node _T_115 = cat(_T_112, _T_113) @[Cat.scala 29:58] + node _T_116 = cat(_T_115, _T_114) @[Cat.scala 29:58] + node _T_117 = bits(b_ff, 33, 0) @[exu_div_ctl.scala 518:67] + node _T_118 = cat(_T_117, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_119 = add(_T_116, _T_118) @[exu_div_ctl.scala 518:57] + node _T_120 = tail(_T_119, 1) @[exu_div_ctl.scala 518:57] + node _T_121 = add(_T_120, b_ff) @[exu_div_ctl.scala 518:79] + node adder3_out = tail(_T_121, 1) @[exu_div_ctl.scala 518:79] + node _T_122 = bits(adder3_out, 34, 34) @[exu_div_ctl.scala 519:35] + node _T_123 = eq(_T_122, UInt<1>("h00")) @[exu_div_ctl.scala 519:24] + node _T_124 = xor(_T_123, dividend_sign_ff) @[exu_div_ctl.scala 519:40] + node _T_125 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 519:68] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[exu_div_ctl.scala 519:75] + node _T_127 = eq(adder3_out, UInt<1>("h00")) @[exu_div_ctl.scala 519:98] + node _T_128 = and(_T_126, _T_127) @[exu_div_ctl.scala 519:84] + node _T_129 = or(_T_124, _T_128) @[exu_div_ctl.scala 519:60] + node _T_130 = bits(adder2_out, 33, 33) @[exu_div_ctl.scala 520:17] + node _T_131 = eq(_T_130, UInt<1>("h00")) @[exu_div_ctl.scala 520:6] + node _T_132 = xor(_T_131, dividend_sign_ff) @[exu_div_ctl.scala 520:22] + node _T_133 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 520:50] + node _T_134 = eq(_T_133, UInt<1>("h00")) @[exu_div_ctl.scala 520:57] + node _T_135 = eq(adder2_out, UInt<1>("h00")) @[exu_div_ctl.scala 520:80] + node _T_136 = and(_T_134, _T_135) @[exu_div_ctl.scala 520:66] + node _T_137 = or(_T_132, _T_136) @[exu_div_ctl.scala 520:42] + node _T_138 = bits(adder1_out, 32, 32) @[exu_div_ctl.scala 521:17] + node _T_139 = eq(_T_138, UInt<1>("h00")) @[exu_div_ctl.scala 521:6] + node _T_140 = xor(_T_139, dividend_sign_ff) @[exu_div_ctl.scala 521:22] + node _T_141 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 521:50] + node _T_142 = eq(_T_141, UInt<1>("h00")) @[exu_div_ctl.scala 521:57] + node _T_143 = eq(adder1_out, UInt<1>("h00")) @[exu_div_ctl.scala 521:80] + node _T_144 = and(_T_142, _T_143) @[exu_div_ctl.scala 521:66] + node _T_145 = or(_T_140, _T_144) @[exu_div_ctl.scala 521:42] + node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_147 = cat(_T_129, _T_137) @[Cat.scala 29:58] + node _T_148 = cat(_T_147, _T_146) @[Cat.scala 29:58] + quotient_raw <= _T_148 @[exu_div_ctl.scala 519:16] + node _T_149 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 522:37] + node _T_150 = bits(quotient_raw, 2, 2) @[exu_div_ctl.scala 522:56] + node _T_151 = or(_T_149, _T_150) @[exu_div_ctl.scala 522:41] + node _T_152 = bits(quotient_raw, 3, 3) @[exu_div_ctl.scala 522:76] + node _T_153 = bits(quotient_raw, 2, 2) @[exu_div_ctl.scala 522:95] + node _T_154 = eq(_T_153, UInt<1>("h00")) @[exu_div_ctl.scala 522:82] + node _T_155 = bits(quotient_raw, 1, 1) @[exu_div_ctl.scala 522:113] + node _T_156 = and(_T_154, _T_155) @[exu_div_ctl.scala 522:99] + node _T_157 = or(_T_152, _T_156) @[exu_div_ctl.scala 522:80] + node _T_158 = cat(_T_151, _T_157) @[Cat.scala 29:58] + quotient_new <= _T_158 @[exu_div_ctl.scala 522:16] + node _T_159 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 525:48] + node _T_160 = mux(twos_comp_q_sel, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_161 = mux(twos_comp_b_sel, _T_159, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_162 = or(_T_160, _T_161) @[Mux.scala 27:72] wire twos_comp_in : UInt<32> @[Mux.scala 27:72] - twos_comp_in <= _T_148 @[Mux.scala 27:72] - wire _T_149 : UInt<1>[31] @[lib.scala 426:20] - node _T_150 = bits(twos_comp_in, 0, 0) @[lib.scala 428:27] - node _T_151 = orr(_T_150) @[lib.scala 428:35] - node _T_152 = bits(twos_comp_in, 1, 1) @[lib.scala 428:44] - node _T_153 = not(_T_152) @[lib.scala 428:40] - node _T_154 = bits(twos_comp_in, 1, 1) @[lib.scala 428:51] - node _T_155 = mux(_T_151, _T_153, _T_154) @[lib.scala 428:23] - _T_149[0] <= _T_155 @[lib.scala 428:17] - node _T_156 = bits(twos_comp_in, 1, 0) @[lib.scala 428:27] - node _T_157 = orr(_T_156) @[lib.scala 428:35] - node _T_158 = bits(twos_comp_in, 2, 2) @[lib.scala 428:44] - node _T_159 = not(_T_158) @[lib.scala 428:40] - node _T_160 = bits(twos_comp_in, 2, 2) @[lib.scala 428:51] - node _T_161 = mux(_T_157, _T_159, _T_160) @[lib.scala 428:23] - _T_149[1] <= _T_161 @[lib.scala 428:17] - node _T_162 = bits(twos_comp_in, 2, 0) @[lib.scala 428:27] - node _T_163 = orr(_T_162) @[lib.scala 428:35] - node _T_164 = bits(twos_comp_in, 3, 3) @[lib.scala 428:44] - node _T_165 = not(_T_164) @[lib.scala 428:40] - node _T_166 = bits(twos_comp_in, 3, 3) @[lib.scala 428:51] - node _T_167 = mux(_T_163, _T_165, _T_166) @[lib.scala 428:23] - _T_149[2] <= _T_167 @[lib.scala 428:17] - node _T_168 = bits(twos_comp_in, 3, 0) @[lib.scala 428:27] - node _T_169 = orr(_T_168) @[lib.scala 428:35] - node _T_170 = bits(twos_comp_in, 4, 4) @[lib.scala 428:44] - node _T_171 = not(_T_170) @[lib.scala 428:40] - node _T_172 = bits(twos_comp_in, 4, 4) @[lib.scala 428:51] - node _T_173 = mux(_T_169, _T_171, _T_172) @[lib.scala 428:23] - _T_149[3] <= _T_173 @[lib.scala 428:17] - node _T_174 = bits(twos_comp_in, 4, 0) @[lib.scala 428:27] - node _T_175 = orr(_T_174) @[lib.scala 428:35] - node _T_176 = bits(twos_comp_in, 5, 5) @[lib.scala 428:44] - node _T_177 = not(_T_176) @[lib.scala 428:40] - node _T_178 = bits(twos_comp_in, 5, 5) @[lib.scala 428:51] - node _T_179 = mux(_T_175, _T_177, _T_178) @[lib.scala 428:23] - _T_149[4] <= _T_179 @[lib.scala 428:17] - node _T_180 = bits(twos_comp_in, 5, 0) @[lib.scala 428:27] - node _T_181 = orr(_T_180) @[lib.scala 428:35] - node _T_182 = bits(twos_comp_in, 6, 6) @[lib.scala 428:44] - node _T_183 = not(_T_182) @[lib.scala 428:40] - node _T_184 = bits(twos_comp_in, 6, 6) @[lib.scala 428:51] - node _T_185 = mux(_T_181, _T_183, _T_184) @[lib.scala 428:23] - _T_149[5] <= _T_185 @[lib.scala 428:17] - node _T_186 = bits(twos_comp_in, 6, 0) @[lib.scala 428:27] - node _T_187 = orr(_T_186) @[lib.scala 428:35] - node _T_188 = bits(twos_comp_in, 7, 7) @[lib.scala 428:44] - node _T_189 = not(_T_188) @[lib.scala 428:40] - node _T_190 = bits(twos_comp_in, 7, 7) @[lib.scala 428:51] - node _T_191 = mux(_T_187, _T_189, _T_190) @[lib.scala 428:23] - _T_149[6] <= _T_191 @[lib.scala 428:17] - node _T_192 = bits(twos_comp_in, 7, 0) @[lib.scala 428:27] - node _T_193 = orr(_T_192) @[lib.scala 428:35] - node _T_194 = bits(twos_comp_in, 8, 8) @[lib.scala 428:44] - node _T_195 = not(_T_194) @[lib.scala 428:40] - node _T_196 = bits(twos_comp_in, 8, 8) @[lib.scala 428:51] - node _T_197 = mux(_T_193, _T_195, _T_196) @[lib.scala 428:23] - _T_149[7] <= _T_197 @[lib.scala 428:17] - node _T_198 = bits(twos_comp_in, 8, 0) @[lib.scala 428:27] - node _T_199 = orr(_T_198) @[lib.scala 428:35] - node _T_200 = bits(twos_comp_in, 9, 9) @[lib.scala 428:44] - node _T_201 = not(_T_200) @[lib.scala 428:40] - node _T_202 = bits(twos_comp_in, 9, 9) @[lib.scala 428:51] - node _T_203 = mux(_T_199, _T_201, _T_202) @[lib.scala 428:23] - _T_149[8] <= _T_203 @[lib.scala 428:17] - node _T_204 = bits(twos_comp_in, 9, 0) @[lib.scala 428:27] - node _T_205 = orr(_T_204) @[lib.scala 428:35] - node _T_206 = bits(twos_comp_in, 10, 10) @[lib.scala 428:44] - node _T_207 = not(_T_206) @[lib.scala 428:40] - node _T_208 = bits(twos_comp_in, 10, 10) @[lib.scala 428:51] - node _T_209 = mux(_T_205, _T_207, _T_208) @[lib.scala 428:23] - _T_149[9] <= _T_209 @[lib.scala 428:17] - node _T_210 = bits(twos_comp_in, 10, 0) @[lib.scala 428:27] - node _T_211 = orr(_T_210) @[lib.scala 428:35] - node _T_212 = bits(twos_comp_in, 11, 11) @[lib.scala 428:44] - node _T_213 = not(_T_212) @[lib.scala 428:40] - node _T_214 = bits(twos_comp_in, 11, 11) @[lib.scala 428:51] - node _T_215 = mux(_T_211, _T_213, _T_214) @[lib.scala 428:23] - _T_149[10] <= _T_215 @[lib.scala 428:17] - node _T_216 = bits(twos_comp_in, 11, 0) @[lib.scala 428:27] - node _T_217 = orr(_T_216) @[lib.scala 428:35] - node _T_218 = bits(twos_comp_in, 12, 12) @[lib.scala 428:44] - node _T_219 = not(_T_218) @[lib.scala 428:40] - node _T_220 = bits(twos_comp_in, 12, 12) @[lib.scala 428:51] - node _T_221 = mux(_T_217, _T_219, _T_220) @[lib.scala 428:23] - _T_149[11] <= _T_221 @[lib.scala 428:17] - node _T_222 = bits(twos_comp_in, 12, 0) @[lib.scala 428:27] - node _T_223 = orr(_T_222) @[lib.scala 428:35] - node _T_224 = bits(twos_comp_in, 13, 13) @[lib.scala 428:44] - node _T_225 = not(_T_224) @[lib.scala 428:40] - node _T_226 = bits(twos_comp_in, 13, 13) @[lib.scala 428:51] - node _T_227 = mux(_T_223, _T_225, _T_226) @[lib.scala 428:23] - _T_149[12] <= _T_227 @[lib.scala 428:17] - node _T_228 = bits(twos_comp_in, 13, 0) @[lib.scala 428:27] - node _T_229 = orr(_T_228) @[lib.scala 428:35] - node _T_230 = bits(twos_comp_in, 14, 14) @[lib.scala 428:44] - node _T_231 = not(_T_230) @[lib.scala 428:40] - node _T_232 = bits(twos_comp_in, 14, 14) @[lib.scala 428:51] - node _T_233 = mux(_T_229, _T_231, _T_232) @[lib.scala 428:23] - _T_149[13] <= _T_233 @[lib.scala 428:17] - node _T_234 = bits(twos_comp_in, 14, 0) @[lib.scala 428:27] - node _T_235 = orr(_T_234) @[lib.scala 428:35] - node _T_236 = bits(twos_comp_in, 15, 15) @[lib.scala 428:44] - node _T_237 = not(_T_236) @[lib.scala 428:40] - node _T_238 = bits(twos_comp_in, 15, 15) @[lib.scala 428:51] - node _T_239 = mux(_T_235, _T_237, _T_238) @[lib.scala 428:23] - _T_149[14] <= _T_239 @[lib.scala 428:17] - node _T_240 = bits(twos_comp_in, 15, 0) @[lib.scala 428:27] - node _T_241 = orr(_T_240) @[lib.scala 428:35] - node _T_242 = bits(twos_comp_in, 16, 16) @[lib.scala 428:44] - node _T_243 = not(_T_242) @[lib.scala 428:40] - node _T_244 = bits(twos_comp_in, 16, 16) @[lib.scala 428:51] - node _T_245 = mux(_T_241, _T_243, _T_244) @[lib.scala 428:23] - _T_149[15] <= _T_245 @[lib.scala 428:17] - node _T_246 = bits(twos_comp_in, 16, 0) @[lib.scala 428:27] - node _T_247 = orr(_T_246) @[lib.scala 428:35] - node _T_248 = bits(twos_comp_in, 17, 17) @[lib.scala 428:44] - node _T_249 = not(_T_248) @[lib.scala 428:40] - node _T_250 = bits(twos_comp_in, 17, 17) @[lib.scala 428:51] - node _T_251 = mux(_T_247, _T_249, _T_250) @[lib.scala 428:23] - _T_149[16] <= _T_251 @[lib.scala 428:17] - node _T_252 = bits(twos_comp_in, 17, 0) @[lib.scala 428:27] - node _T_253 = orr(_T_252) @[lib.scala 428:35] - node _T_254 = bits(twos_comp_in, 18, 18) @[lib.scala 428:44] - node _T_255 = not(_T_254) @[lib.scala 428:40] - node _T_256 = bits(twos_comp_in, 18, 18) @[lib.scala 428:51] - node _T_257 = mux(_T_253, _T_255, _T_256) @[lib.scala 428:23] - _T_149[17] <= _T_257 @[lib.scala 428:17] - node _T_258 = bits(twos_comp_in, 18, 0) @[lib.scala 428:27] - node _T_259 = orr(_T_258) @[lib.scala 428:35] - node _T_260 = bits(twos_comp_in, 19, 19) @[lib.scala 428:44] - node _T_261 = not(_T_260) @[lib.scala 428:40] - node _T_262 = bits(twos_comp_in, 19, 19) @[lib.scala 428:51] - node _T_263 = mux(_T_259, _T_261, _T_262) @[lib.scala 428:23] - _T_149[18] <= _T_263 @[lib.scala 428:17] - node _T_264 = bits(twos_comp_in, 19, 0) @[lib.scala 428:27] - node _T_265 = orr(_T_264) @[lib.scala 428:35] - node _T_266 = bits(twos_comp_in, 20, 20) @[lib.scala 428:44] - node _T_267 = not(_T_266) @[lib.scala 428:40] - node _T_268 = bits(twos_comp_in, 20, 20) @[lib.scala 428:51] - node _T_269 = mux(_T_265, _T_267, _T_268) @[lib.scala 428:23] - _T_149[19] <= _T_269 @[lib.scala 428:17] - node _T_270 = bits(twos_comp_in, 20, 0) @[lib.scala 428:27] - node _T_271 = orr(_T_270) @[lib.scala 428:35] - node _T_272 = bits(twos_comp_in, 21, 21) @[lib.scala 428:44] - node _T_273 = not(_T_272) @[lib.scala 428:40] - node _T_274 = bits(twos_comp_in, 21, 21) @[lib.scala 428:51] - node _T_275 = mux(_T_271, _T_273, _T_274) @[lib.scala 428:23] - _T_149[20] <= _T_275 @[lib.scala 428:17] - node _T_276 = bits(twos_comp_in, 21, 0) @[lib.scala 428:27] - node _T_277 = orr(_T_276) @[lib.scala 428:35] - node _T_278 = bits(twos_comp_in, 22, 22) @[lib.scala 428:44] - node _T_279 = not(_T_278) @[lib.scala 428:40] - node _T_280 = bits(twos_comp_in, 22, 22) @[lib.scala 428:51] - node _T_281 = mux(_T_277, _T_279, _T_280) @[lib.scala 428:23] - _T_149[21] <= _T_281 @[lib.scala 428:17] - node _T_282 = bits(twos_comp_in, 22, 0) @[lib.scala 428:27] - node _T_283 = orr(_T_282) @[lib.scala 428:35] - node _T_284 = bits(twos_comp_in, 23, 23) @[lib.scala 428:44] - node _T_285 = not(_T_284) @[lib.scala 428:40] - node _T_286 = bits(twos_comp_in, 23, 23) @[lib.scala 428:51] - node _T_287 = mux(_T_283, _T_285, _T_286) @[lib.scala 428:23] - _T_149[22] <= _T_287 @[lib.scala 428:17] - node _T_288 = bits(twos_comp_in, 23, 0) @[lib.scala 428:27] - node _T_289 = orr(_T_288) @[lib.scala 428:35] - node _T_290 = bits(twos_comp_in, 24, 24) @[lib.scala 428:44] - node _T_291 = not(_T_290) @[lib.scala 428:40] - node _T_292 = bits(twos_comp_in, 24, 24) @[lib.scala 428:51] - node _T_293 = mux(_T_289, _T_291, _T_292) @[lib.scala 428:23] - _T_149[23] <= _T_293 @[lib.scala 428:17] - node _T_294 = bits(twos_comp_in, 24, 0) @[lib.scala 428:27] - node _T_295 = orr(_T_294) @[lib.scala 428:35] - node _T_296 = bits(twos_comp_in, 25, 25) @[lib.scala 428:44] - node _T_297 = not(_T_296) @[lib.scala 428:40] - node _T_298 = bits(twos_comp_in, 25, 25) @[lib.scala 428:51] - node _T_299 = mux(_T_295, _T_297, _T_298) @[lib.scala 428:23] - _T_149[24] <= _T_299 @[lib.scala 428:17] - node _T_300 = bits(twos_comp_in, 25, 0) @[lib.scala 428:27] - node _T_301 = orr(_T_300) @[lib.scala 428:35] - node _T_302 = bits(twos_comp_in, 26, 26) @[lib.scala 428:44] - node _T_303 = not(_T_302) @[lib.scala 428:40] - node _T_304 = bits(twos_comp_in, 26, 26) @[lib.scala 428:51] - node _T_305 = mux(_T_301, _T_303, _T_304) @[lib.scala 428:23] - _T_149[25] <= _T_305 @[lib.scala 428:17] - node _T_306 = bits(twos_comp_in, 26, 0) @[lib.scala 428:27] - node _T_307 = orr(_T_306) @[lib.scala 428:35] - node _T_308 = bits(twos_comp_in, 27, 27) @[lib.scala 428:44] - node _T_309 = not(_T_308) @[lib.scala 428:40] - node _T_310 = bits(twos_comp_in, 27, 27) @[lib.scala 428:51] - node _T_311 = mux(_T_307, _T_309, _T_310) @[lib.scala 428:23] - _T_149[26] <= _T_311 @[lib.scala 428:17] - node _T_312 = bits(twos_comp_in, 27, 0) @[lib.scala 428:27] - node _T_313 = orr(_T_312) @[lib.scala 428:35] - node _T_314 = bits(twos_comp_in, 28, 28) @[lib.scala 428:44] - node _T_315 = not(_T_314) @[lib.scala 428:40] - node _T_316 = bits(twos_comp_in, 28, 28) @[lib.scala 428:51] - node _T_317 = mux(_T_313, _T_315, _T_316) @[lib.scala 428:23] - _T_149[27] <= _T_317 @[lib.scala 428:17] - node _T_318 = bits(twos_comp_in, 28, 0) @[lib.scala 428:27] - node _T_319 = orr(_T_318) @[lib.scala 428:35] - node _T_320 = bits(twos_comp_in, 29, 29) @[lib.scala 428:44] - node _T_321 = not(_T_320) @[lib.scala 428:40] - node _T_322 = bits(twos_comp_in, 29, 29) @[lib.scala 428:51] - node _T_323 = mux(_T_319, _T_321, _T_322) @[lib.scala 428:23] - _T_149[28] <= _T_323 @[lib.scala 428:17] - node _T_324 = bits(twos_comp_in, 29, 0) @[lib.scala 428:27] - node _T_325 = orr(_T_324) @[lib.scala 428:35] - node _T_326 = bits(twos_comp_in, 30, 30) @[lib.scala 428:44] - node _T_327 = not(_T_326) @[lib.scala 428:40] - node _T_328 = bits(twos_comp_in, 30, 30) @[lib.scala 428:51] - node _T_329 = mux(_T_325, _T_327, _T_328) @[lib.scala 428:23] - _T_149[29] <= _T_329 @[lib.scala 428:17] - node _T_330 = bits(twos_comp_in, 30, 0) @[lib.scala 428:27] - node _T_331 = orr(_T_330) @[lib.scala 428:35] - node _T_332 = bits(twos_comp_in, 31, 31) @[lib.scala 428:44] - node _T_333 = not(_T_332) @[lib.scala 428:40] - node _T_334 = bits(twos_comp_in, 31, 31) @[lib.scala 428:51] - node _T_335 = mux(_T_331, _T_333, _T_334) @[lib.scala 428:23] - _T_149[30] <= _T_335 @[lib.scala 428:17] - node _T_336 = cat(_T_149[2], _T_149[1]) @[lib.scala 430:14] - node _T_337 = cat(_T_336, _T_149[0]) @[lib.scala 430:14] - node _T_338 = cat(_T_149[4], _T_149[3]) @[lib.scala 430:14] - node _T_339 = cat(_T_149[6], _T_149[5]) @[lib.scala 430:14] - node _T_340 = cat(_T_339, _T_338) @[lib.scala 430:14] - node _T_341 = cat(_T_340, _T_337) @[lib.scala 430:14] - node _T_342 = cat(_T_149[8], _T_149[7]) @[lib.scala 430:14] - node _T_343 = cat(_T_149[10], _T_149[9]) @[lib.scala 430:14] - node _T_344 = cat(_T_343, _T_342) @[lib.scala 430:14] - node _T_345 = cat(_T_149[12], _T_149[11]) @[lib.scala 430:14] - node _T_346 = cat(_T_149[14], _T_149[13]) @[lib.scala 430:14] - node _T_347 = cat(_T_346, _T_345) @[lib.scala 430:14] - node _T_348 = cat(_T_347, _T_344) @[lib.scala 430:14] - node _T_349 = cat(_T_348, _T_341) @[lib.scala 430:14] - node _T_350 = cat(_T_149[16], _T_149[15]) @[lib.scala 430:14] - node _T_351 = cat(_T_149[18], _T_149[17]) @[lib.scala 430:14] - node _T_352 = cat(_T_351, _T_350) @[lib.scala 430:14] - node _T_353 = cat(_T_149[20], _T_149[19]) @[lib.scala 430:14] - node _T_354 = cat(_T_149[22], _T_149[21]) @[lib.scala 430:14] - node _T_355 = cat(_T_354, _T_353) @[lib.scala 430:14] - node _T_356 = cat(_T_355, _T_352) @[lib.scala 430:14] - node _T_357 = cat(_T_149[24], _T_149[23]) @[lib.scala 430:14] - node _T_358 = cat(_T_149[26], _T_149[25]) @[lib.scala 430:14] - node _T_359 = cat(_T_358, _T_357) @[lib.scala 430:14] - node _T_360 = cat(_T_149[28], _T_149[27]) @[lib.scala 430:14] - node _T_361 = cat(_T_149[30], _T_149[29]) @[lib.scala 430:14] - node _T_362 = cat(_T_361, _T_360) @[lib.scala 430:14] - node _T_363 = cat(_T_362, _T_359) @[lib.scala 430:14] - node _T_364 = cat(_T_363, _T_356) @[lib.scala 430:14] - node _T_365 = cat(_T_364, _T_349) @[lib.scala 430:14] - node _T_366 = bits(twos_comp_in, 0, 0) @[lib.scala 430:24] - node twos_comp_out = cat(_T_365, _T_366) @[Cat.scala 29:58] - node _T_367 = eq(a_shift, UInt<1>("h00")) @[exu_div_ctl.scala 530:6] - node _T_368 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 530:17] - node _T_369 = and(_T_367, _T_368) @[exu_div_ctl.scala 530:15] - node _T_370 = bits(_T_369, 0, 0) @[exu_div_ctl.scala 530:36] - node _T_371 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 531:52] - node _T_372 = cat(_T_371, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_373 = bits(ar_shifted, 31, 0) @[exu_div_ctl.scala 532:54] - node _T_374 = mux(_T_370, io.dividend_in, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_375 = mux(a_shift, _T_372, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_376 = mux(shortq_enable_ff, _T_373, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_377 = or(_T_374, _T_375) @[Mux.scala 27:72] - node _T_378 = or(_T_377, _T_376) @[Mux.scala 27:72] + twos_comp_in <= _T_162 @[Mux.scala 27:72] + wire _T_163 : UInt<1>[31] @[lib.scala 426:20] + node _T_164 = bits(twos_comp_in, 0, 0) @[lib.scala 428:27] + node _T_165 = orr(_T_164) @[lib.scala 428:35] + node _T_166 = bits(twos_comp_in, 1, 1) @[lib.scala 428:44] + node _T_167 = not(_T_166) @[lib.scala 428:40] + node _T_168 = bits(twos_comp_in, 1, 1) @[lib.scala 428:51] + node _T_169 = mux(_T_165, _T_167, _T_168) @[lib.scala 428:23] + _T_163[0] <= _T_169 @[lib.scala 428:17] + node _T_170 = bits(twos_comp_in, 1, 0) @[lib.scala 428:27] + node _T_171 = orr(_T_170) @[lib.scala 428:35] + node _T_172 = bits(twos_comp_in, 2, 2) @[lib.scala 428:44] + node _T_173 = not(_T_172) @[lib.scala 428:40] + node _T_174 = bits(twos_comp_in, 2, 2) @[lib.scala 428:51] + node _T_175 = mux(_T_171, _T_173, _T_174) @[lib.scala 428:23] + _T_163[1] <= _T_175 @[lib.scala 428:17] + node _T_176 = bits(twos_comp_in, 2, 0) @[lib.scala 428:27] + node _T_177 = orr(_T_176) @[lib.scala 428:35] + node _T_178 = bits(twos_comp_in, 3, 3) @[lib.scala 428:44] + node _T_179 = not(_T_178) @[lib.scala 428:40] + node _T_180 = bits(twos_comp_in, 3, 3) @[lib.scala 428:51] + node _T_181 = mux(_T_177, _T_179, _T_180) @[lib.scala 428:23] + _T_163[2] <= _T_181 @[lib.scala 428:17] + node _T_182 = bits(twos_comp_in, 3, 0) @[lib.scala 428:27] + node _T_183 = orr(_T_182) @[lib.scala 428:35] + node _T_184 = bits(twos_comp_in, 4, 4) @[lib.scala 428:44] + node _T_185 = not(_T_184) @[lib.scala 428:40] + node _T_186 = bits(twos_comp_in, 4, 4) @[lib.scala 428:51] + node _T_187 = mux(_T_183, _T_185, _T_186) @[lib.scala 428:23] + _T_163[3] <= _T_187 @[lib.scala 428:17] + node _T_188 = bits(twos_comp_in, 4, 0) @[lib.scala 428:27] + node _T_189 = orr(_T_188) @[lib.scala 428:35] + node _T_190 = bits(twos_comp_in, 5, 5) @[lib.scala 428:44] + node _T_191 = not(_T_190) @[lib.scala 428:40] + node _T_192 = bits(twos_comp_in, 5, 5) @[lib.scala 428:51] + node _T_193 = mux(_T_189, _T_191, _T_192) @[lib.scala 428:23] + _T_163[4] <= _T_193 @[lib.scala 428:17] + node _T_194 = bits(twos_comp_in, 5, 0) @[lib.scala 428:27] + node _T_195 = orr(_T_194) @[lib.scala 428:35] + node _T_196 = bits(twos_comp_in, 6, 6) @[lib.scala 428:44] + node _T_197 = not(_T_196) @[lib.scala 428:40] + node _T_198 = bits(twos_comp_in, 6, 6) @[lib.scala 428:51] + node _T_199 = mux(_T_195, _T_197, _T_198) @[lib.scala 428:23] + _T_163[5] <= _T_199 @[lib.scala 428:17] + node _T_200 = bits(twos_comp_in, 6, 0) @[lib.scala 428:27] + node _T_201 = orr(_T_200) @[lib.scala 428:35] + node _T_202 = bits(twos_comp_in, 7, 7) @[lib.scala 428:44] + node _T_203 = not(_T_202) @[lib.scala 428:40] + node _T_204 = bits(twos_comp_in, 7, 7) @[lib.scala 428:51] + node _T_205 = mux(_T_201, _T_203, _T_204) @[lib.scala 428:23] + _T_163[6] <= _T_205 @[lib.scala 428:17] + node _T_206 = bits(twos_comp_in, 7, 0) @[lib.scala 428:27] + node _T_207 = orr(_T_206) @[lib.scala 428:35] + node _T_208 = bits(twos_comp_in, 8, 8) @[lib.scala 428:44] + node _T_209 = not(_T_208) @[lib.scala 428:40] + node _T_210 = bits(twos_comp_in, 8, 8) @[lib.scala 428:51] + node _T_211 = mux(_T_207, _T_209, _T_210) @[lib.scala 428:23] + _T_163[7] <= _T_211 @[lib.scala 428:17] + node _T_212 = bits(twos_comp_in, 8, 0) @[lib.scala 428:27] + node _T_213 = orr(_T_212) @[lib.scala 428:35] + node _T_214 = bits(twos_comp_in, 9, 9) @[lib.scala 428:44] + node _T_215 = not(_T_214) @[lib.scala 428:40] + node _T_216 = bits(twos_comp_in, 9, 9) @[lib.scala 428:51] + node _T_217 = mux(_T_213, _T_215, _T_216) @[lib.scala 428:23] + _T_163[8] <= _T_217 @[lib.scala 428:17] + node _T_218 = bits(twos_comp_in, 9, 0) @[lib.scala 428:27] + node _T_219 = orr(_T_218) @[lib.scala 428:35] + node _T_220 = bits(twos_comp_in, 10, 10) @[lib.scala 428:44] + node _T_221 = not(_T_220) @[lib.scala 428:40] + node _T_222 = bits(twos_comp_in, 10, 10) @[lib.scala 428:51] + node _T_223 = mux(_T_219, _T_221, _T_222) @[lib.scala 428:23] + _T_163[9] <= _T_223 @[lib.scala 428:17] + node _T_224 = bits(twos_comp_in, 10, 0) @[lib.scala 428:27] + node _T_225 = orr(_T_224) @[lib.scala 428:35] + node _T_226 = bits(twos_comp_in, 11, 11) @[lib.scala 428:44] + node _T_227 = not(_T_226) @[lib.scala 428:40] + node _T_228 = bits(twos_comp_in, 11, 11) @[lib.scala 428:51] + node _T_229 = mux(_T_225, _T_227, _T_228) @[lib.scala 428:23] + _T_163[10] <= _T_229 @[lib.scala 428:17] + node _T_230 = bits(twos_comp_in, 11, 0) @[lib.scala 428:27] + node _T_231 = orr(_T_230) @[lib.scala 428:35] + node _T_232 = bits(twos_comp_in, 12, 12) @[lib.scala 428:44] + node _T_233 = not(_T_232) @[lib.scala 428:40] + node _T_234 = bits(twos_comp_in, 12, 12) @[lib.scala 428:51] + node _T_235 = mux(_T_231, _T_233, _T_234) @[lib.scala 428:23] + _T_163[11] <= _T_235 @[lib.scala 428:17] + node _T_236 = bits(twos_comp_in, 12, 0) @[lib.scala 428:27] + node _T_237 = orr(_T_236) @[lib.scala 428:35] + node _T_238 = bits(twos_comp_in, 13, 13) @[lib.scala 428:44] + node _T_239 = not(_T_238) @[lib.scala 428:40] + node _T_240 = bits(twos_comp_in, 13, 13) @[lib.scala 428:51] + node _T_241 = mux(_T_237, _T_239, _T_240) @[lib.scala 428:23] + _T_163[12] <= _T_241 @[lib.scala 428:17] + node _T_242 = bits(twos_comp_in, 13, 0) @[lib.scala 428:27] + node _T_243 = orr(_T_242) @[lib.scala 428:35] + node _T_244 = bits(twos_comp_in, 14, 14) @[lib.scala 428:44] + node _T_245 = not(_T_244) @[lib.scala 428:40] + node _T_246 = bits(twos_comp_in, 14, 14) @[lib.scala 428:51] + node _T_247 = mux(_T_243, _T_245, _T_246) @[lib.scala 428:23] + _T_163[13] <= _T_247 @[lib.scala 428:17] + node _T_248 = bits(twos_comp_in, 14, 0) @[lib.scala 428:27] + node _T_249 = orr(_T_248) @[lib.scala 428:35] + node _T_250 = bits(twos_comp_in, 15, 15) @[lib.scala 428:44] + node _T_251 = not(_T_250) @[lib.scala 428:40] + node _T_252 = bits(twos_comp_in, 15, 15) @[lib.scala 428:51] + node _T_253 = mux(_T_249, _T_251, _T_252) @[lib.scala 428:23] + _T_163[14] <= _T_253 @[lib.scala 428:17] + node _T_254 = bits(twos_comp_in, 15, 0) @[lib.scala 428:27] + node _T_255 = orr(_T_254) @[lib.scala 428:35] + node _T_256 = bits(twos_comp_in, 16, 16) @[lib.scala 428:44] + node _T_257 = not(_T_256) @[lib.scala 428:40] + node _T_258 = bits(twos_comp_in, 16, 16) @[lib.scala 428:51] + node _T_259 = mux(_T_255, _T_257, _T_258) @[lib.scala 428:23] + _T_163[15] <= _T_259 @[lib.scala 428:17] + node _T_260 = bits(twos_comp_in, 16, 0) @[lib.scala 428:27] + node _T_261 = orr(_T_260) @[lib.scala 428:35] + node _T_262 = bits(twos_comp_in, 17, 17) @[lib.scala 428:44] + node _T_263 = not(_T_262) @[lib.scala 428:40] + node _T_264 = bits(twos_comp_in, 17, 17) @[lib.scala 428:51] + node _T_265 = mux(_T_261, _T_263, _T_264) @[lib.scala 428:23] + _T_163[16] <= _T_265 @[lib.scala 428:17] + node _T_266 = bits(twos_comp_in, 17, 0) @[lib.scala 428:27] + node _T_267 = orr(_T_266) @[lib.scala 428:35] + node _T_268 = bits(twos_comp_in, 18, 18) @[lib.scala 428:44] + node _T_269 = not(_T_268) @[lib.scala 428:40] + node _T_270 = bits(twos_comp_in, 18, 18) @[lib.scala 428:51] + node _T_271 = mux(_T_267, _T_269, _T_270) @[lib.scala 428:23] + _T_163[17] <= _T_271 @[lib.scala 428:17] + node _T_272 = bits(twos_comp_in, 18, 0) @[lib.scala 428:27] + node _T_273 = orr(_T_272) @[lib.scala 428:35] + node _T_274 = bits(twos_comp_in, 19, 19) @[lib.scala 428:44] + node _T_275 = not(_T_274) @[lib.scala 428:40] + node _T_276 = bits(twos_comp_in, 19, 19) @[lib.scala 428:51] + node _T_277 = mux(_T_273, _T_275, _T_276) @[lib.scala 428:23] + _T_163[18] <= _T_277 @[lib.scala 428:17] + node _T_278 = bits(twos_comp_in, 19, 0) @[lib.scala 428:27] + node _T_279 = orr(_T_278) @[lib.scala 428:35] + node _T_280 = bits(twos_comp_in, 20, 20) @[lib.scala 428:44] + node _T_281 = not(_T_280) @[lib.scala 428:40] + node _T_282 = bits(twos_comp_in, 20, 20) @[lib.scala 428:51] + node _T_283 = mux(_T_279, _T_281, _T_282) @[lib.scala 428:23] + _T_163[19] <= _T_283 @[lib.scala 428:17] + node _T_284 = bits(twos_comp_in, 20, 0) @[lib.scala 428:27] + node _T_285 = orr(_T_284) @[lib.scala 428:35] + node _T_286 = bits(twos_comp_in, 21, 21) @[lib.scala 428:44] + node _T_287 = not(_T_286) @[lib.scala 428:40] + node _T_288 = bits(twos_comp_in, 21, 21) @[lib.scala 428:51] + node _T_289 = mux(_T_285, _T_287, _T_288) @[lib.scala 428:23] + _T_163[20] <= _T_289 @[lib.scala 428:17] + node _T_290 = bits(twos_comp_in, 21, 0) @[lib.scala 428:27] + node _T_291 = orr(_T_290) @[lib.scala 428:35] + node _T_292 = bits(twos_comp_in, 22, 22) @[lib.scala 428:44] + node _T_293 = not(_T_292) @[lib.scala 428:40] + node _T_294 = bits(twos_comp_in, 22, 22) @[lib.scala 428:51] + node _T_295 = mux(_T_291, _T_293, _T_294) @[lib.scala 428:23] + _T_163[21] <= _T_295 @[lib.scala 428:17] + node _T_296 = bits(twos_comp_in, 22, 0) @[lib.scala 428:27] + node _T_297 = orr(_T_296) @[lib.scala 428:35] + node _T_298 = bits(twos_comp_in, 23, 23) @[lib.scala 428:44] + node _T_299 = not(_T_298) @[lib.scala 428:40] + node _T_300 = bits(twos_comp_in, 23, 23) @[lib.scala 428:51] + node _T_301 = mux(_T_297, _T_299, _T_300) @[lib.scala 428:23] + _T_163[22] <= _T_301 @[lib.scala 428:17] + node _T_302 = bits(twos_comp_in, 23, 0) @[lib.scala 428:27] + node _T_303 = orr(_T_302) @[lib.scala 428:35] + node _T_304 = bits(twos_comp_in, 24, 24) @[lib.scala 428:44] + node _T_305 = not(_T_304) @[lib.scala 428:40] + node _T_306 = bits(twos_comp_in, 24, 24) @[lib.scala 428:51] + node _T_307 = mux(_T_303, _T_305, _T_306) @[lib.scala 428:23] + _T_163[23] <= _T_307 @[lib.scala 428:17] + node _T_308 = bits(twos_comp_in, 24, 0) @[lib.scala 428:27] + node _T_309 = orr(_T_308) @[lib.scala 428:35] + node _T_310 = bits(twos_comp_in, 25, 25) @[lib.scala 428:44] + node _T_311 = not(_T_310) @[lib.scala 428:40] + node _T_312 = bits(twos_comp_in, 25, 25) @[lib.scala 428:51] + node _T_313 = mux(_T_309, _T_311, _T_312) @[lib.scala 428:23] + _T_163[24] <= _T_313 @[lib.scala 428:17] + node _T_314 = bits(twos_comp_in, 25, 0) @[lib.scala 428:27] + node _T_315 = orr(_T_314) @[lib.scala 428:35] + node _T_316 = bits(twos_comp_in, 26, 26) @[lib.scala 428:44] + node _T_317 = not(_T_316) @[lib.scala 428:40] + node _T_318 = bits(twos_comp_in, 26, 26) @[lib.scala 428:51] + node _T_319 = mux(_T_315, _T_317, _T_318) @[lib.scala 428:23] + _T_163[25] <= _T_319 @[lib.scala 428:17] + node _T_320 = bits(twos_comp_in, 26, 0) @[lib.scala 428:27] + node _T_321 = orr(_T_320) @[lib.scala 428:35] + node _T_322 = bits(twos_comp_in, 27, 27) @[lib.scala 428:44] + node _T_323 = not(_T_322) @[lib.scala 428:40] + node _T_324 = bits(twos_comp_in, 27, 27) @[lib.scala 428:51] + node _T_325 = mux(_T_321, _T_323, _T_324) @[lib.scala 428:23] + _T_163[26] <= _T_325 @[lib.scala 428:17] + node _T_326 = bits(twos_comp_in, 27, 0) @[lib.scala 428:27] + node _T_327 = orr(_T_326) @[lib.scala 428:35] + node _T_328 = bits(twos_comp_in, 28, 28) @[lib.scala 428:44] + node _T_329 = not(_T_328) @[lib.scala 428:40] + node _T_330 = bits(twos_comp_in, 28, 28) @[lib.scala 428:51] + node _T_331 = mux(_T_327, _T_329, _T_330) @[lib.scala 428:23] + _T_163[27] <= _T_331 @[lib.scala 428:17] + node _T_332 = bits(twos_comp_in, 28, 0) @[lib.scala 428:27] + node _T_333 = orr(_T_332) @[lib.scala 428:35] + node _T_334 = bits(twos_comp_in, 29, 29) @[lib.scala 428:44] + node _T_335 = not(_T_334) @[lib.scala 428:40] + node _T_336 = bits(twos_comp_in, 29, 29) @[lib.scala 428:51] + node _T_337 = mux(_T_333, _T_335, _T_336) @[lib.scala 428:23] + _T_163[28] <= _T_337 @[lib.scala 428:17] + node _T_338 = bits(twos_comp_in, 29, 0) @[lib.scala 428:27] + node _T_339 = orr(_T_338) @[lib.scala 428:35] + node _T_340 = bits(twos_comp_in, 30, 30) @[lib.scala 428:44] + node _T_341 = not(_T_340) @[lib.scala 428:40] + node _T_342 = bits(twos_comp_in, 30, 30) @[lib.scala 428:51] + node _T_343 = mux(_T_339, _T_341, _T_342) @[lib.scala 428:23] + _T_163[29] <= _T_343 @[lib.scala 428:17] + node _T_344 = bits(twos_comp_in, 30, 0) @[lib.scala 428:27] + node _T_345 = orr(_T_344) @[lib.scala 428:35] + node _T_346 = bits(twos_comp_in, 31, 31) @[lib.scala 428:44] + node _T_347 = not(_T_346) @[lib.scala 428:40] + node _T_348 = bits(twos_comp_in, 31, 31) @[lib.scala 428:51] + node _T_349 = mux(_T_345, _T_347, _T_348) @[lib.scala 428:23] + _T_163[30] <= _T_349 @[lib.scala 428:17] + node _T_350 = cat(_T_163[2], _T_163[1]) @[lib.scala 430:14] + node _T_351 = cat(_T_350, _T_163[0]) @[lib.scala 430:14] + node _T_352 = cat(_T_163[4], _T_163[3]) @[lib.scala 430:14] + node _T_353 = cat(_T_163[6], _T_163[5]) @[lib.scala 430:14] + node _T_354 = cat(_T_353, _T_352) @[lib.scala 430:14] + node _T_355 = cat(_T_354, _T_351) @[lib.scala 430:14] + node _T_356 = cat(_T_163[8], _T_163[7]) @[lib.scala 430:14] + node _T_357 = cat(_T_163[10], _T_163[9]) @[lib.scala 430:14] + node _T_358 = cat(_T_357, _T_356) @[lib.scala 430:14] + node _T_359 = cat(_T_163[12], _T_163[11]) @[lib.scala 430:14] + node _T_360 = cat(_T_163[14], _T_163[13]) @[lib.scala 430:14] + node _T_361 = cat(_T_360, _T_359) @[lib.scala 430:14] + node _T_362 = cat(_T_361, _T_358) @[lib.scala 430:14] + node _T_363 = cat(_T_362, _T_355) @[lib.scala 430:14] + node _T_364 = cat(_T_163[16], _T_163[15]) @[lib.scala 430:14] + node _T_365 = cat(_T_163[18], _T_163[17]) @[lib.scala 430:14] + node _T_366 = cat(_T_365, _T_364) @[lib.scala 430:14] + node _T_367 = cat(_T_163[20], _T_163[19]) @[lib.scala 430:14] + node _T_368 = cat(_T_163[22], _T_163[21]) @[lib.scala 430:14] + node _T_369 = cat(_T_368, _T_367) @[lib.scala 430:14] + node _T_370 = cat(_T_369, _T_366) @[lib.scala 430:14] + node _T_371 = cat(_T_163[24], _T_163[23]) @[lib.scala 430:14] + node _T_372 = cat(_T_163[26], _T_163[25]) @[lib.scala 430:14] + node _T_373 = cat(_T_372, _T_371) @[lib.scala 430:14] + node _T_374 = cat(_T_163[28], _T_163[27]) @[lib.scala 430:14] + node _T_375 = cat(_T_163[30], _T_163[29]) @[lib.scala 430:14] + node _T_376 = cat(_T_375, _T_374) @[lib.scala 430:14] + node _T_377 = cat(_T_376, _T_373) @[lib.scala 430:14] + node _T_378 = cat(_T_377, _T_370) @[lib.scala 430:14] + node _T_379 = cat(_T_378, _T_363) @[lib.scala 430:14] + node _T_380 = bits(twos_comp_in, 0, 0) @[lib.scala 430:24] + node twos_comp_out = cat(_T_379, _T_380) @[Cat.scala 29:58] + node _T_381 = eq(a_shift, UInt<1>("h00")) @[exu_div_ctl.scala 530:6] + node _T_382 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 530:17] + node _T_383 = and(_T_381, _T_382) @[exu_div_ctl.scala 530:15] + node _T_384 = bits(_T_383, 0, 0) @[exu_div_ctl.scala 530:36] + node _T_385 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 531:52] + node _T_386 = cat(_T_385, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_387 = bits(ar_shifted, 31, 0) @[exu_div_ctl.scala 532:54] + node _T_388 = mux(_T_384, io.dividend_in, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_389 = mux(a_shift, _T_386, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_390 = mux(shortq_enable_ff, _T_387, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_391 = or(_T_388, _T_389) @[Mux.scala 27:72] + node _T_392 = or(_T_391, _T_390) @[Mux.scala 27:72] wire a_in : UInt<32> @[Mux.scala 27:72] - a_in <= _T_378 @[Mux.scala 27:72] - node _T_379 = eq(b_twos_comp, UInt<1>("h00")) @[exu_div_ctl.scala 536:5] - node _T_380 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 536:78] - node _T_381 = and(io.signed_in, _T_380) @[exu_div_ctl.scala 536:63] - node _T_382 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 536:96] - node _T_383 = cat(_T_381, _T_382) @[Cat.scala 29:58] - node _T_384 = eq(divisor_sign_ff, UInt<1>("h00")) @[exu_div_ctl.scala 537:49] - node _T_385 = bits(twos_comp_out, 31, 0) @[exu_div_ctl.scala 537:79] - node _T_386 = cat(_T_384, _T_385) @[Cat.scala 29:58] - node _T_387 = mux(_T_379, _T_383, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_388 = mux(b_twos_comp, _T_386, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_389 = or(_T_387, _T_388) @[Mux.scala 27:72] + a_in <= _T_392 @[Mux.scala 27:72] + node _T_393 = eq(b_twos_comp, UInt<1>("h00")) @[exu_div_ctl.scala 536:5] + node _T_394 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 536:78] + node _T_395 = and(io.signed_in, _T_394) @[exu_div_ctl.scala 536:63] + node _T_396 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 536:96] + node _T_397 = cat(_T_395, _T_396) @[Cat.scala 29:58] + node _T_398 = eq(divisor_sign_ff, UInt<1>("h00")) @[exu_div_ctl.scala 537:49] + node _T_399 = bits(twos_comp_out, 31, 0) @[exu_div_ctl.scala 537:79] + node _T_400 = cat(_T_398, _T_399) @[Cat.scala 29:58] + node _T_401 = mux(_T_393, _T_397, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_402 = mux(b_twos_comp, _T_400, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_403 = or(_T_401, _T_402) @[Mux.scala 27:72] wire b_in : UInt<33> @[Mux.scala 27:72] - b_in <= _T_389 @[Mux.scala 27:72] - node _T_390 = bits(r_ff, 29, 0) @[exu_div_ctl.scala 541:54] - node _T_391 = bits(a_ff, 31, 30) @[exu_div_ctl.scala 541:65] - node _T_392 = cat(_T_390, _T_391) @[Cat.scala 29:58] - node _T_393 = bits(adder1_out, 31, 0) @[exu_div_ctl.scala 542:57] - node _T_394 = bits(adder2_out, 31, 0) @[exu_div_ctl.scala 543:57] - node _T_395 = bits(adder3_out, 31, 0) @[exu_div_ctl.scala 544:57] - node _T_396 = bits(ar_shifted, 63, 32) @[exu_div_ctl.scala 545:56] - node _T_397 = mux(r_sign_sel, UInt<32>("h0ffffffff"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_398 = mux(r_restore_sel, _T_392, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_399 = mux(r_adder1_sel, _T_393, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_400 = mux(r_adder2_sel, _T_394, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_401 = mux(r_adder3_sel, _T_395, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_402 = mux(shortq_enable_ff, _T_396, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_403 = mux(by_zero_case, a_ff, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_404 = or(_T_397, _T_398) @[Mux.scala 27:72] - node _T_405 = or(_T_404, _T_399) @[Mux.scala 27:72] - node _T_406 = or(_T_405, _T_400) @[Mux.scala 27:72] - node _T_407 = or(_T_406, _T_401) @[Mux.scala 27:72] - node _T_408 = or(_T_407, _T_402) @[Mux.scala 27:72] - node _T_409 = or(_T_408, _T_403) @[Mux.scala 27:72] + b_in <= _T_403 @[Mux.scala 27:72] + node _T_404 = bits(r_ff, 29, 0) @[exu_div_ctl.scala 541:54] + node _T_405 = bits(a_ff, 31, 30) @[exu_div_ctl.scala 541:65] + node _T_406 = cat(_T_404, _T_405) @[Cat.scala 29:58] + node _T_407 = bits(adder1_out, 31, 0) @[exu_div_ctl.scala 542:57] + node _T_408 = bits(adder2_out, 31, 0) @[exu_div_ctl.scala 543:57] + node _T_409 = bits(adder3_out, 31, 0) @[exu_div_ctl.scala 544:57] + node _T_410 = bits(ar_shifted, 63, 32) @[exu_div_ctl.scala 545:56] + node _T_411 = mux(r_sign_sel, UInt<32>("h0ffffffff"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_412 = mux(r_restore_sel, _T_406, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_413 = mux(r_adder1_sel, _T_407, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_414 = mux(r_adder2_sel, _T_408, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_415 = mux(r_adder3_sel, _T_409, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_416 = mux(shortq_enable_ff, _T_410, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_417 = mux(by_zero_case, a_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_418 = or(_T_411, _T_412) @[Mux.scala 27:72] + node _T_419 = or(_T_418, _T_413) @[Mux.scala 27:72] + node _T_420 = or(_T_419, _T_414) @[Mux.scala 27:72] + node _T_421 = or(_T_420, _T_415) @[Mux.scala 27:72] + node _T_422 = or(_T_421, _T_416) @[Mux.scala 27:72] + node _T_423 = or(_T_422, _T_417) @[Mux.scala 27:72] wire r_in : UInt<32> @[Mux.scala 27:72] - r_in <= _T_409 @[Mux.scala 27:72] - node _T_410 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 549:5] - node _T_411 = bits(q_ff, 29, 0) @[exu_div_ctl.scala 549:55] - node _T_412 = cat(_T_411, quotient_new) @[Cat.scala 29:58] - node _T_413 = cat(UInt<28>("h00"), smallnum) @[Cat.scala 29:58] - node _T_414 = mux(UInt<1>("h01"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_415 = mux(_T_410, _T_412, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_416 = mux(smallnum_case, _T_413, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_417 = mux(by_zero_case, _T_414, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_418 = or(_T_415, _T_416) @[Mux.scala 27:72] - node _T_419 = or(_T_418, _T_417) @[Mux.scala 27:72] + r_in <= _T_423 @[Mux.scala 27:72] + node _T_424 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 549:5] + node _T_425 = bits(q_ff, 29, 0) @[exu_div_ctl.scala 549:55] + node _T_426 = cat(_T_425, quotient_new) @[Cat.scala 29:58] + node _T_427 = cat(UInt<28>("h00"), smallnum) @[Cat.scala 29:58] + node _T_428 = mux(UInt<1>("h01"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_429 = mux(_T_424, _T_426, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_430 = mux(smallnum_case, _T_427, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_431 = mux(by_zero_case, _T_428, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_432 = or(_T_429, _T_430) @[Mux.scala 27:72] + node _T_433 = or(_T_432, _T_431) @[Mux.scala 27:72] wire q_in : UInt<32> @[Mux.scala 27:72] - q_in <= _T_419 @[Mux.scala 27:72] - node _T_420 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 553:31] - node _T_421 = and(finish_ff, _T_420) @[exu_div_ctl.scala 553:29] - io.valid_out <= _T_421 @[exu_div_ctl.scala 553:16] - node _T_422 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 555:6] - node _T_423 = eq(twos_comp_q_sel, UInt<1>("h00")) @[exu_div_ctl.scala 555:16] - node _T_424 = and(_T_422, _T_423) @[exu_div_ctl.scala 555:14] - node _T_425 = bits(_T_424, 0, 0) @[exu_div_ctl.scala 555:40] - node _T_426 = mux(_T_425, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_427 = mux(rem_ff, r_ff, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_428 = mux(twos_comp_q_sel, twos_comp_out, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_429 = or(_T_426, _T_427) @[Mux.scala 27:72] - node _T_430 = or(_T_429, _T_428) @[Mux.scala 27:72] - wire _T_431 : UInt<32> @[Mux.scala 27:72] - _T_431 <= _T_430 @[Mux.scala 27:72] - io.data_out <= _T_431 @[exu_div_ctl.scala 554:15] - node _T_432 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_433 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_434 = eq(_T_433, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_435 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_436 = eq(_T_435, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_437 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_438 = eq(_T_437, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_439 = and(_T_434, _T_436) @[exu_div_ctl.scala 561:95] - node _T_440 = and(_T_439, _T_438) @[exu_div_ctl.scala 561:95] - node _T_441 = and(_T_432, _T_440) @[exu_div_ctl.scala 562:11] - node _T_442 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_443 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_444 = eq(_T_443, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_445 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_447 = and(_T_444, _T_446) @[exu_div_ctl.scala 561:95] - node _T_448 = and(_T_442, _T_447) @[exu_div_ctl.scala 562:11] - node _T_449 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 567:38] - node _T_450 = eq(_T_449, UInt<1>("h00")) @[exu_div_ctl.scala 567:33] - node _T_451 = and(_T_448, _T_450) @[exu_div_ctl.scala 567:31] - node _T_452 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_453 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_454 = eq(_T_453, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_455 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_456 = eq(_T_455, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_457 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + q_in <= _T_433 @[Mux.scala 27:72] + node _T_434 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 553:31] + node _T_435 = and(finish_ff, _T_434) @[exu_div_ctl.scala 553:29] + io.valid_out <= _T_435 @[exu_div_ctl.scala 553:16] + node _T_436 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 555:6] + node _T_437 = eq(twos_comp_q_sel, UInt<1>("h00")) @[exu_div_ctl.scala 555:16] + node _T_438 = and(_T_436, _T_437) @[exu_div_ctl.scala 555:14] + node _T_439 = bits(_T_438, 0, 0) @[exu_div_ctl.scala 555:40] + node _T_440 = mux(_T_439, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_441 = mux(rem_ff, r_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_442 = mux(twos_comp_q_sel, twos_comp_out, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_443 = or(_T_440, _T_441) @[Mux.scala 27:72] + node _T_444 = or(_T_443, _T_442) @[Mux.scala 27:72] + wire _T_445 : UInt<32> @[Mux.scala 27:72] + _T_445 <= _T_444 @[Mux.scala 27:72] + io.data_out <= _T_445 @[exu_div_ctl.scala 554:15] + node _T_446 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_447 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_448 = eq(_T_447, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_449 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_450 = eq(_T_449, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_451 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_452 = eq(_T_451, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_453 = and(_T_448, _T_450) @[exu_div_ctl.scala 561:95] + node _T_454 = and(_T_453, _T_452) @[exu_div_ctl.scala 561:95] + node _T_455 = and(_T_446, _T_454) @[exu_div_ctl.scala 562:11] + node _T_456 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_457 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] node _T_458 = eq(_T_457, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_459 = and(_T_454, _T_456) @[exu_div_ctl.scala 561:95] - node _T_460 = and(_T_459, _T_458) @[exu_div_ctl.scala 561:95] - node _T_461 = and(_T_452, _T_460) @[exu_div_ctl.scala 562:11] - node _T_462 = or(_T_451, _T_461) @[exu_div_ctl.scala 567:42] - node _T_463 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_464 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_465 = and(_T_463, _T_464) @[exu_div_ctl.scala 560:95] - node _T_466 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_468 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_469 = eq(_T_468, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_470 = and(_T_467, _T_469) @[exu_div_ctl.scala 561:95] - node _T_471 = and(_T_465, _T_470) @[exu_div_ctl.scala 562:11] - node _T_472 = or(_T_462, _T_471) @[exu_div_ctl.scala 567:75] - node _T_473 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_474 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_475 = eq(_T_474, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_476 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_477 = eq(_T_476, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_478 = and(_T_475, _T_477) @[exu_div_ctl.scala 561:95] - node _T_479 = and(_T_473, _T_478) @[exu_div_ctl.scala 562:11] - node _T_480 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 569:38] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[exu_div_ctl.scala 569:33] - node _T_482 = and(_T_479, _T_481) @[exu_div_ctl.scala 569:31] - node _T_483 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_484 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_485 = eq(_T_484, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_486 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_487 = eq(_T_486, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_488 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_459 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_460 = eq(_T_459, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_461 = and(_T_458, _T_460) @[exu_div_ctl.scala 561:95] + node _T_462 = and(_T_456, _T_461) @[exu_div_ctl.scala 562:11] + node _T_463 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 567:38] + node _T_464 = eq(_T_463, UInt<1>("h00")) @[exu_div_ctl.scala 567:33] + node _T_465 = and(_T_462, _T_464) @[exu_div_ctl.scala 567:31] + node _T_466 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_467 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_468 = eq(_T_467, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_469 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_471 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_472 = eq(_T_471, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_473 = and(_T_468, _T_470) @[exu_div_ctl.scala 561:95] + node _T_474 = and(_T_473, _T_472) @[exu_div_ctl.scala 561:95] + node _T_475 = and(_T_466, _T_474) @[exu_div_ctl.scala 562:11] + node _T_476 = or(_T_465, _T_475) @[exu_div_ctl.scala 567:42] + node _T_477 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_478 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_479 = and(_T_477, _T_478) @[exu_div_ctl.scala 560:95] + node _T_480 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_482 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_483 = eq(_T_482, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_484 = and(_T_481, _T_483) @[exu_div_ctl.scala 561:95] + node _T_485 = and(_T_479, _T_484) @[exu_div_ctl.scala 562:11] + node _T_486 = or(_T_476, _T_485) @[exu_div_ctl.scala 567:75] + node _T_487 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_488 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] node _T_489 = eq(_T_488, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_490 = and(_T_485, _T_487) @[exu_div_ctl.scala 561:95] - node _T_491 = and(_T_490, _T_489) @[exu_div_ctl.scala 561:95] - node _T_492 = and(_T_483, _T_491) @[exu_div_ctl.scala 562:11] - node _T_493 = or(_T_482, _T_492) @[exu_div_ctl.scala 569:42] - node _T_494 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_495 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_496 = eq(_T_495, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_497 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_498 = eq(_T_497, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_499 = and(_T_496, _T_498) @[exu_div_ctl.scala 561:95] - node _T_500 = and(_T_494, _T_499) @[exu_div_ctl.scala 562:11] - node _T_501 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 569:113] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[exu_div_ctl.scala 569:108] - node _T_503 = and(_T_500, _T_502) @[exu_div_ctl.scala 569:106] - node _T_504 = or(_T_493, _T_503) @[exu_div_ctl.scala 569:78] - node _T_505 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_506 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] - node _T_507 = eq(_T_506, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_508 = and(_T_505, _T_507) @[exu_div_ctl.scala 560:95] + node _T_490 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_491 = eq(_T_490, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_492 = and(_T_489, _T_491) @[exu_div_ctl.scala 561:95] + node _T_493 = and(_T_487, _T_492) @[exu_div_ctl.scala 562:11] + node _T_494 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 569:38] + node _T_495 = eq(_T_494, UInt<1>("h00")) @[exu_div_ctl.scala 569:33] + node _T_496 = and(_T_493, _T_495) @[exu_div_ctl.scala 569:31] + node _T_497 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_498 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_499 = eq(_T_498, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_500 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_501 = eq(_T_500, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_502 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_503 = eq(_T_502, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_504 = and(_T_499, _T_501) @[exu_div_ctl.scala 561:95] + node _T_505 = and(_T_504, _T_503) @[exu_div_ctl.scala 561:95] + node _T_506 = and(_T_497, _T_505) @[exu_div_ctl.scala 562:11] + node _T_507 = or(_T_496, _T_506) @[exu_div_ctl.scala 569:42] + node _T_508 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] node _T_509 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] node _T_510 = eq(_T_509, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_511 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_511 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] node _T_512 = eq(_T_511, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_513 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] - node _T_514 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] - node _T_515 = and(_T_510, _T_512) @[exu_div_ctl.scala 561:95] - node _T_516 = and(_T_515, _T_513) @[exu_div_ctl.scala 561:95] - node _T_517 = and(_T_516, _T_514) @[exu_div_ctl.scala 561:95] - node _T_518 = and(_T_508, _T_517) @[exu_div_ctl.scala 562:11] - node _T_519 = or(_T_504, _T_518) @[exu_div_ctl.scala 569:117] - node _T_520 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] + node _T_513 = and(_T_510, _T_512) @[exu_div_ctl.scala 561:95] + node _T_514 = and(_T_508, _T_513) @[exu_div_ctl.scala 562:11] + node _T_515 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 569:113] + node _T_516 = eq(_T_515, UInt<1>("h00")) @[exu_div_ctl.scala 569:108] + node _T_517 = and(_T_514, _T_516) @[exu_div_ctl.scala 569:106] + node _T_518 = or(_T_507, _T_517) @[exu_div_ctl.scala 569:78] + node _T_519 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_520 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] node _T_521 = eq(_T_520, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_522 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_523 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_524 = and(_T_521, _T_522) @[exu_div_ctl.scala 560:95] - node _T_525 = and(_T_524, _T_523) @[exu_div_ctl.scala 560:95] - node _T_526 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_527 = eq(_T_526, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_528 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_529 = eq(_T_528, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_530 = and(_T_527, _T_529) @[exu_div_ctl.scala 561:95] - node _T_531 = and(_T_525, _T_530) @[exu_div_ctl.scala 562:11] - node _T_532 = or(_T_519, _T_531) @[exu_div_ctl.scala 570:44] - node _T_533 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_534 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_535 = and(_T_533, _T_534) @[exu_div_ctl.scala 560:95] - node _T_536 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_537 = eq(_T_536, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_538 = and(_T_535, _T_537) @[exu_div_ctl.scala 562:11] - node _T_539 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 570:114] - node _T_540 = eq(_T_539, UInt<1>("h00")) @[exu_div_ctl.scala 570:109] - node _T_541 = and(_T_538, _T_540) @[exu_div_ctl.scala 570:107] - node _T_542 = or(_T_532, _T_541) @[exu_div_ctl.scala 570:80] - node _T_543 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_544 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_545 = and(_T_543, _T_544) @[exu_div_ctl.scala 560:95] - node _T_546 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_547 = eq(_T_546, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_548 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] - node _T_549 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_550 = eq(_T_549, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_551 = and(_T_547, _T_548) @[exu_div_ctl.scala 561:95] - node _T_552 = and(_T_551, _T_550) @[exu_div_ctl.scala 561:95] - node _T_553 = and(_T_545, _T_552) @[exu_div_ctl.scala 562:11] - node _T_554 = or(_T_542, _T_553) @[exu_div_ctl.scala 570:119] - node _T_555 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_556 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_557 = and(_T_555, _T_556) @[exu_div_ctl.scala 560:95] - node _T_558 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_559 = eq(_T_558, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_560 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_522 = and(_T_519, _T_521) @[exu_div_ctl.scala 560:95] + node _T_523 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_524 = eq(_T_523, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_525 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_526 = eq(_T_525, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_527 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] + node _T_528 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] + node _T_529 = and(_T_524, _T_526) @[exu_div_ctl.scala 561:95] + node _T_530 = and(_T_529, _T_527) @[exu_div_ctl.scala 561:95] + node _T_531 = and(_T_530, _T_528) @[exu_div_ctl.scala 561:95] + node _T_532 = and(_T_522, _T_531) @[exu_div_ctl.scala 562:11] + node _T_533 = or(_T_518, _T_532) @[exu_div_ctl.scala 569:117] + node _T_534 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] + node _T_535 = eq(_T_534, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_536 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_537 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_538 = and(_T_535, _T_536) @[exu_div_ctl.scala 560:95] + node _T_539 = and(_T_538, _T_537) @[exu_div_ctl.scala 560:95] + node _T_540 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_541 = eq(_T_540, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_542 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_543 = eq(_T_542, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_544 = and(_T_541, _T_543) @[exu_div_ctl.scala 561:95] + node _T_545 = and(_T_539, _T_544) @[exu_div_ctl.scala 562:11] + node _T_546 = or(_T_533, _T_545) @[exu_div_ctl.scala 570:44] + node _T_547 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_548 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_549 = and(_T_547, _T_548) @[exu_div_ctl.scala 560:95] + node _T_550 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_551 = eq(_T_550, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_552 = and(_T_549, _T_551) @[exu_div_ctl.scala 562:11] + node _T_553 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 570:114] + node _T_554 = eq(_T_553, UInt<1>("h00")) @[exu_div_ctl.scala 570:109] + node _T_555 = and(_T_552, _T_554) @[exu_div_ctl.scala 570:107] + node _T_556 = or(_T_546, _T_555) @[exu_div_ctl.scala 570:80] + node _T_557 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_558 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_559 = and(_T_557, _T_558) @[exu_div_ctl.scala 560:95] + node _T_560 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] node _T_561 = eq(_T_560, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_562 = and(_T_559, _T_561) @[exu_div_ctl.scala 561:95] - node _T_563 = and(_T_557, _T_562) @[exu_div_ctl.scala 562:11] - node _T_564 = or(_T_554, _T_563) @[exu_div_ctl.scala 571:44] - node _T_565 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_566 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_567 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_568 = and(_T_565, _T_566) @[exu_div_ctl.scala 560:95] - node _T_569 = and(_T_568, _T_567) @[exu_div_ctl.scala 560:95] - node _T_570 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_571 = eq(_T_570, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_572 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] - node _T_573 = and(_T_571, _T_572) @[exu_div_ctl.scala 561:95] - node _T_574 = and(_T_569, _T_573) @[exu_div_ctl.scala 562:11] - node _T_575 = or(_T_564, _T_574) @[exu_div_ctl.scala 571:79] - node _T_576 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_577 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_578 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] - node _T_579 = and(_T_576, _T_577) @[exu_div_ctl.scala 560:95] - node _T_580 = and(_T_579, _T_578) @[exu_div_ctl.scala 560:95] - node _T_581 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_582 = eq(_T_581, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_583 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_584 = eq(_T_583, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_585 = and(_T_582, _T_584) @[exu_div_ctl.scala 561:95] - node _T_586 = and(_T_580, _T_585) @[exu_div_ctl.scala 562:11] - node _T_587 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_588 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] - node _T_589 = eq(_T_588, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_590 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] - node _T_591 = and(_T_587, _T_589) @[exu_div_ctl.scala 560:95] - node _T_592 = and(_T_591, _T_590) @[exu_div_ctl.scala 560:95] - node _T_593 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_594 = eq(_T_593, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_595 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] - node _T_596 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] - node _T_597 = and(_T_594, _T_595) @[exu_div_ctl.scala 561:95] - node _T_598 = and(_T_597, _T_596) @[exu_div_ctl.scala 561:95] - node _T_599 = and(_T_592, _T_598) @[exu_div_ctl.scala 562:11] - node _T_600 = or(_T_586, _T_599) @[exu_div_ctl.scala 573:45] - node _T_601 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_602 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_603 = eq(_T_602, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_604 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_605 = eq(_T_604, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_606 = and(_T_603, _T_605) @[exu_div_ctl.scala 561:95] - node _T_607 = and(_T_601, _T_606) @[exu_div_ctl.scala 562:11] - node _T_608 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 573:121] - node _T_609 = eq(_T_608, UInt<1>("h00")) @[exu_div_ctl.scala 573:116] - node _T_610 = and(_T_607, _T_609) @[exu_div_ctl.scala 573:114] - node _T_611 = or(_T_600, _T_610) @[exu_div_ctl.scala 573:86] - node _T_612 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_613 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_614 = eq(_T_613, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_615 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_616 = eq(_T_615, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_617 = and(_T_614, _T_616) @[exu_div_ctl.scala 561:95] - node _T_618 = and(_T_612, _T_617) @[exu_div_ctl.scala 562:11] - node _T_619 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 574:40] - node _T_620 = eq(_T_619, UInt<1>("h00")) @[exu_div_ctl.scala 574:35] - node _T_621 = and(_T_618, _T_620) @[exu_div_ctl.scala 574:33] - node _T_622 = or(_T_611, _T_621) @[exu_div_ctl.scala 573:129] - node _T_623 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] - node _T_624 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_625 = eq(_T_624, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_626 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_627 = eq(_T_626, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_628 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_629 = eq(_T_628, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_630 = and(_T_625, _T_627) @[exu_div_ctl.scala 561:95] - node _T_631 = and(_T_630, _T_629) @[exu_div_ctl.scala 561:95] - node _T_632 = and(_T_623, _T_631) @[exu_div_ctl.scala 562:11] - node _T_633 = or(_T_622, _T_632) @[exu_div_ctl.scala 574:47] - node _T_634 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] - node _T_635 = eq(_T_634, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_636 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_637 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:75] - node _T_638 = eq(_T_637, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_639 = and(_T_635, _T_636) @[exu_div_ctl.scala 560:95] - node _T_640 = and(_T_639, _T_638) @[exu_div_ctl.scala 560:95] - node _T_641 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_642 = eq(_T_641, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_643 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_644 = eq(_T_643, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_645 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] - node _T_646 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] - node _T_647 = and(_T_642, _T_644) @[exu_div_ctl.scala 561:95] - node _T_648 = and(_T_647, _T_645) @[exu_div_ctl.scala 561:95] - node _T_649 = and(_T_648, _T_646) @[exu_div_ctl.scala 561:95] - node _T_650 = and(_T_640, _T_649) @[exu_div_ctl.scala 562:11] - node _T_651 = or(_T_633, _T_650) @[exu_div_ctl.scala 574:88] - node _T_652 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] - node _T_653 = eq(_T_652, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_654 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_655 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_656 = and(_T_653, _T_654) @[exu_div_ctl.scala 560:95] - node _T_657 = and(_T_656, _T_655) @[exu_div_ctl.scala 560:95] - node _T_658 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_659 = eq(_T_658, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_660 = and(_T_657, _T_659) @[exu_div_ctl.scala 562:11] - node _T_661 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 575:43] - node _T_662 = eq(_T_661, UInt<1>("h00")) @[exu_div_ctl.scala 575:38] - node _T_663 = and(_T_660, _T_662) @[exu_div_ctl.scala 575:36] - node _T_664 = or(_T_651, _T_663) @[exu_div_ctl.scala 574:131] - node _T_665 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_666 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_667 = eq(_T_666, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_668 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_669 = eq(_T_668, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_670 = and(_T_667, _T_669) @[exu_div_ctl.scala 561:95] - node _T_671 = and(_T_665, _T_670) @[exu_div_ctl.scala 562:11] - node _T_672 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 575:83] - node _T_673 = eq(_T_672, UInt<1>("h00")) @[exu_div_ctl.scala 575:78] - node _T_674 = and(_T_671, _T_673) @[exu_div_ctl.scala 575:76] - node _T_675 = or(_T_664, _T_674) @[exu_div_ctl.scala 575:47] - node _T_676 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_677 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] - node _T_678 = eq(_T_677, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_679 = and(_T_676, _T_678) @[exu_div_ctl.scala 560:95] - node _T_680 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_562 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] + node _T_563 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_564 = eq(_T_563, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_565 = and(_T_561, _T_562) @[exu_div_ctl.scala 561:95] + node _T_566 = and(_T_565, _T_564) @[exu_div_ctl.scala 561:95] + node _T_567 = and(_T_559, _T_566) @[exu_div_ctl.scala 562:11] + node _T_568 = or(_T_556, _T_567) @[exu_div_ctl.scala 570:119] + node _T_569 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_570 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_571 = and(_T_569, _T_570) @[exu_div_ctl.scala 560:95] + node _T_572 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_573 = eq(_T_572, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_574 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_575 = eq(_T_574, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_576 = and(_T_573, _T_575) @[exu_div_ctl.scala 561:95] + node _T_577 = and(_T_571, _T_576) @[exu_div_ctl.scala 562:11] + node _T_578 = or(_T_568, _T_577) @[exu_div_ctl.scala 571:44] + node _T_579 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_580 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_581 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_582 = and(_T_579, _T_580) @[exu_div_ctl.scala 560:95] + node _T_583 = and(_T_582, _T_581) @[exu_div_ctl.scala 560:95] + node _T_584 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_585 = eq(_T_584, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_586 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] + node _T_587 = and(_T_585, _T_586) @[exu_div_ctl.scala 561:95] + node _T_588 = and(_T_583, _T_587) @[exu_div_ctl.scala 562:11] + node _T_589 = or(_T_578, _T_588) @[exu_div_ctl.scala 571:79] + node _T_590 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_591 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_592 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_593 = and(_T_590, _T_591) @[exu_div_ctl.scala 560:95] + node _T_594 = and(_T_593, _T_592) @[exu_div_ctl.scala 560:95] + node _T_595 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_596 = eq(_T_595, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_597 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_598 = eq(_T_597, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_599 = and(_T_596, _T_598) @[exu_div_ctl.scala 561:95] + node _T_600 = and(_T_594, _T_599) @[exu_div_ctl.scala 562:11] + node _T_601 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_602 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] + node _T_603 = eq(_T_602, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_604 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_605 = and(_T_601, _T_603) @[exu_div_ctl.scala 560:95] + node _T_606 = and(_T_605, _T_604) @[exu_div_ctl.scala 560:95] + node _T_607 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_608 = eq(_T_607, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_609 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] + node _T_610 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] + node _T_611 = and(_T_608, _T_609) @[exu_div_ctl.scala 561:95] + node _T_612 = and(_T_611, _T_610) @[exu_div_ctl.scala 561:95] + node _T_613 = and(_T_606, _T_612) @[exu_div_ctl.scala 562:11] + node _T_614 = or(_T_600, _T_613) @[exu_div_ctl.scala 573:45] + node _T_615 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_616 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_617 = eq(_T_616, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_618 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_619 = eq(_T_618, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_620 = and(_T_617, _T_619) @[exu_div_ctl.scala 561:95] + node _T_621 = and(_T_615, _T_620) @[exu_div_ctl.scala 562:11] + node _T_622 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 573:121] + node _T_623 = eq(_T_622, UInt<1>("h00")) @[exu_div_ctl.scala 573:116] + node _T_624 = and(_T_621, _T_623) @[exu_div_ctl.scala 573:114] + node _T_625 = or(_T_614, _T_624) @[exu_div_ctl.scala 573:86] + node _T_626 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_627 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_628 = eq(_T_627, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_629 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_630 = eq(_T_629, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_631 = and(_T_628, _T_630) @[exu_div_ctl.scala 561:95] + node _T_632 = and(_T_626, _T_631) @[exu_div_ctl.scala 562:11] + node _T_633 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 574:40] + node _T_634 = eq(_T_633, UInt<1>("h00")) @[exu_div_ctl.scala 574:35] + node _T_635 = and(_T_632, _T_634) @[exu_div_ctl.scala 574:33] + node _T_636 = or(_T_625, _T_635) @[exu_div_ctl.scala 573:129] + node _T_637 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_638 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_639 = eq(_T_638, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_640 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_641 = eq(_T_640, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_642 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_643 = eq(_T_642, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_644 = and(_T_639, _T_641) @[exu_div_ctl.scala 561:95] + node _T_645 = and(_T_644, _T_643) @[exu_div_ctl.scala 561:95] + node _T_646 = and(_T_637, _T_645) @[exu_div_ctl.scala 562:11] + node _T_647 = or(_T_636, _T_646) @[exu_div_ctl.scala 574:47] + node _T_648 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] + node _T_649 = eq(_T_648, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_650 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_651 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:75] + node _T_652 = eq(_T_651, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_653 = and(_T_649, _T_650) @[exu_div_ctl.scala 560:95] + node _T_654 = and(_T_653, _T_652) @[exu_div_ctl.scala 560:95] + node _T_655 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_656 = eq(_T_655, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_657 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_658 = eq(_T_657, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_659 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] + node _T_660 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] + node _T_661 = and(_T_656, _T_658) @[exu_div_ctl.scala 561:95] + node _T_662 = and(_T_661, _T_659) @[exu_div_ctl.scala 561:95] + node _T_663 = and(_T_662, _T_660) @[exu_div_ctl.scala 561:95] + node _T_664 = and(_T_654, _T_663) @[exu_div_ctl.scala 562:11] + node _T_665 = or(_T_647, _T_664) @[exu_div_ctl.scala 574:88] + node _T_666 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] + node _T_667 = eq(_T_666, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_668 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_669 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_670 = and(_T_667, _T_668) @[exu_div_ctl.scala 560:95] + node _T_671 = and(_T_670, _T_669) @[exu_div_ctl.scala 560:95] + node _T_672 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_673 = eq(_T_672, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_674 = and(_T_671, _T_673) @[exu_div_ctl.scala 562:11] + node _T_675 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 575:43] + node _T_676 = eq(_T_675, UInt<1>("h00")) @[exu_div_ctl.scala 575:38] + node _T_677 = and(_T_674, _T_676) @[exu_div_ctl.scala 575:36] + node _T_678 = or(_T_665, _T_677) @[exu_div_ctl.scala 574:131] + node _T_679 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_680 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] node _T_681 = eq(_T_680, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_682 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] - node _T_683 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] - node _T_684 = and(_T_681, _T_682) @[exu_div_ctl.scala 561:95] - node _T_685 = and(_T_684, _T_683) @[exu_div_ctl.scala 561:95] - node _T_686 = and(_T_679, _T_685) @[exu_div_ctl.scala 562:11] - node _T_687 = or(_T_675, _T_686) @[exu_div_ctl.scala 575:88] - node _T_688 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] - node _T_689 = eq(_T_688, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_690 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_691 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_692 = and(_T_689, _T_690) @[exu_div_ctl.scala 560:95] - node _T_693 = and(_T_692, _T_691) @[exu_div_ctl.scala 560:95] + node _T_682 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_683 = eq(_T_682, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_684 = and(_T_681, _T_683) @[exu_div_ctl.scala 561:95] + node _T_685 = and(_T_679, _T_684) @[exu_div_ctl.scala 562:11] + node _T_686 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 575:83] + node _T_687 = eq(_T_686, UInt<1>("h00")) @[exu_div_ctl.scala 575:78] + node _T_688 = and(_T_685, _T_687) @[exu_div_ctl.scala 575:76] + node _T_689 = or(_T_678, _T_688) @[exu_div_ctl.scala 575:47] + node _T_690 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_691 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] + node _T_692 = eq(_T_691, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_693 = and(_T_690, _T_692) @[exu_div_ctl.scala 560:95] node _T_694 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] node _T_695 = eq(_T_694, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] node _T_696 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] - node _T_697 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_698 = eq(_T_697, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_699 = and(_T_695, _T_696) @[exu_div_ctl.scala 561:95] - node _T_700 = and(_T_699, _T_698) @[exu_div_ctl.scala 561:95] - node _T_701 = and(_T_693, _T_700) @[exu_div_ctl.scala 562:11] - node _T_702 = or(_T_687, _T_701) @[exu_div_ctl.scala 575:131] - node _T_703 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] - node _T_704 = eq(_T_703, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_705 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_706 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] - node _T_707 = and(_T_704, _T_705) @[exu_div_ctl.scala 560:95] - node _T_708 = and(_T_707, _T_706) @[exu_div_ctl.scala 560:95] - node _T_709 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_710 = eq(_T_709, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_697 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] + node _T_698 = and(_T_695, _T_696) @[exu_div_ctl.scala 561:95] + node _T_699 = and(_T_698, _T_697) @[exu_div_ctl.scala 561:95] + node _T_700 = and(_T_693, _T_699) @[exu_div_ctl.scala 562:11] + node _T_701 = or(_T_689, _T_700) @[exu_div_ctl.scala 575:88] + node _T_702 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] + node _T_703 = eq(_T_702, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_704 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_705 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_706 = and(_T_703, _T_704) @[exu_div_ctl.scala 560:95] + node _T_707 = and(_T_706, _T_705) @[exu_div_ctl.scala 560:95] + node _T_708 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_709 = eq(_T_708, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_710 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] node _T_711 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] node _T_712 = eq(_T_711, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_713 = and(_T_710, _T_712) @[exu_div_ctl.scala 561:95] - node _T_714 = and(_T_708, _T_713) @[exu_div_ctl.scala 562:11] - node _T_715 = or(_T_702, _T_714) @[exu_div_ctl.scala 576:47] - node _T_716 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_717 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] + node _T_713 = and(_T_709, _T_710) @[exu_div_ctl.scala 561:95] + node _T_714 = and(_T_713, _T_712) @[exu_div_ctl.scala 561:95] + node _T_715 = and(_T_707, _T_714) @[exu_div_ctl.scala 562:11] + node _T_716 = or(_T_701, _T_715) @[exu_div_ctl.scala 575:131] + node _T_717 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] node _T_718 = eq(_T_717, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_719 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:75] - node _T_720 = eq(_T_719, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_721 = and(_T_716, _T_718) @[exu_div_ctl.scala 560:95] + node _T_719 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_720 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_721 = and(_T_718, _T_719) @[exu_div_ctl.scala 560:95] node _T_722 = and(_T_721, _T_720) @[exu_div_ctl.scala 560:95] node _T_723 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] node _T_724 = eq(_T_723, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_725 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] - node _T_726 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] - node _T_727 = and(_T_724, _T_725) @[exu_div_ctl.scala 561:95] - node _T_728 = and(_T_727, _T_726) @[exu_div_ctl.scala 561:95] - node _T_729 = and(_T_722, _T_728) @[exu_div_ctl.scala 562:11] - node _T_730 = or(_T_715, _T_729) @[exu_div_ctl.scala 576:88] + node _T_725 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_726 = eq(_T_725, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_727 = and(_T_724, _T_726) @[exu_div_ctl.scala 561:95] + node _T_728 = and(_T_722, _T_727) @[exu_div_ctl.scala 562:11] + node _T_729 = or(_T_716, _T_728) @[exu_div_ctl.scala 576:47] + node _T_730 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] node _T_731 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] node _T_732 = eq(_T_731, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_733 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_734 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] - node _T_735 = and(_T_732, _T_733) @[exu_div_ctl.scala 560:95] + node _T_733 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:75] + node _T_734 = eq(_T_733, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_735 = and(_T_730, _T_732) @[exu_div_ctl.scala 560:95] node _T_736 = and(_T_735, _T_734) @[exu_div_ctl.scala 560:95] node _T_737 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] node _T_738 = eq(_T_737, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_739 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_740 = eq(_T_739, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_741 = and(_T_738, _T_740) @[exu_div_ctl.scala 561:95] - node _T_742 = and(_T_736, _T_741) @[exu_div_ctl.scala 562:11] - node _T_743 = or(_T_730, _T_742) @[exu_div_ctl.scala 576:131] - node _T_744 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_745 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_746 = and(_T_744, _T_745) @[exu_div_ctl.scala 560:95] - node _T_747 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_748 = eq(_T_747, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_749 = and(_T_746, _T_748) @[exu_div_ctl.scala 562:11] - node _T_750 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 577:82] - node _T_751 = eq(_T_750, UInt<1>("h00")) @[exu_div_ctl.scala 577:77] - node _T_752 = and(_T_749, _T_751) @[exu_div_ctl.scala 577:75] - node _T_753 = or(_T_743, _T_752) @[exu_div_ctl.scala 577:47] - node _T_754 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] - node _T_755 = eq(_T_754, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_756 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_757 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_758 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] - node _T_759 = and(_T_755, _T_756) @[exu_div_ctl.scala 560:95] - node _T_760 = and(_T_759, _T_757) @[exu_div_ctl.scala 560:95] - node _T_761 = and(_T_760, _T_758) @[exu_div_ctl.scala 560:95] - node _T_762 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_763 = eq(_T_762, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_764 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] - node _T_765 = and(_T_763, _T_764) @[exu_div_ctl.scala 561:95] - node _T_766 = and(_T_761, _T_765) @[exu_div_ctl.scala 562:11] - node _T_767 = or(_T_753, _T_766) @[exu_div_ctl.scala 577:88] - node _T_768 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_769 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_770 = and(_T_768, _T_769) @[exu_div_ctl.scala 560:95] - node _T_771 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] - node _T_772 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_773 = eq(_T_772, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_774 = and(_T_771, _T_773) @[exu_div_ctl.scala 561:95] - node _T_775 = and(_T_770, _T_774) @[exu_div_ctl.scala 562:11] - node _T_776 = or(_T_767, _T_775) @[exu_div_ctl.scala 577:131] - node _T_777 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_778 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_779 = and(_T_777, _T_778) @[exu_div_ctl.scala 560:95] - node _T_780 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] - node _T_781 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_782 = eq(_T_781, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_783 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_784 = eq(_T_783, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_785 = and(_T_780, _T_782) @[exu_div_ctl.scala 561:95] - node _T_786 = and(_T_785, _T_784) @[exu_div_ctl.scala 561:95] - node _T_787 = and(_T_779, _T_786) @[exu_div_ctl.scala 562:11] - node _T_788 = or(_T_776, _T_787) @[exu_div_ctl.scala 578:47] - node _T_789 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_790 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] - node _T_791 = and(_T_789, _T_790) @[exu_div_ctl.scala 560:95] - node _T_792 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_793 = eq(_T_792, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_794 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_795 = eq(_T_794, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_796 = and(_T_793, _T_795) @[exu_div_ctl.scala 561:95] - node _T_797 = and(_T_791, _T_796) @[exu_div_ctl.scala 562:11] - node _T_798 = or(_T_788, _T_797) @[exu_div_ctl.scala 578:88] - node _T_799 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_800 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:75] - node _T_801 = eq(_T_800, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_802 = and(_T_799, _T_801) @[exu_div_ctl.scala 560:95] - node _T_803 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_804 = eq(_T_803, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_805 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] - node _T_806 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] - node _T_807 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] - node _T_808 = and(_T_804, _T_805) @[exu_div_ctl.scala 561:95] - node _T_809 = and(_T_808, _T_806) @[exu_div_ctl.scala 561:95] - node _T_810 = and(_T_809, _T_807) @[exu_div_ctl.scala 561:95] - node _T_811 = and(_T_802, _T_810) @[exu_div_ctl.scala 562:11] - node _T_812 = or(_T_798, _T_811) @[exu_div_ctl.scala 578:131] + node _T_739 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] + node _T_740 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] + node _T_741 = and(_T_738, _T_739) @[exu_div_ctl.scala 561:95] + node _T_742 = and(_T_741, _T_740) @[exu_div_ctl.scala 561:95] + node _T_743 = and(_T_736, _T_742) @[exu_div_ctl.scala 562:11] + node _T_744 = or(_T_729, _T_743) @[exu_div_ctl.scala 576:88] + node _T_745 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] + node _T_746 = eq(_T_745, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_747 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_748 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_749 = and(_T_746, _T_747) @[exu_div_ctl.scala 560:95] + node _T_750 = and(_T_749, _T_748) @[exu_div_ctl.scala 560:95] + node _T_751 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_752 = eq(_T_751, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_753 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_754 = eq(_T_753, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_755 = and(_T_752, _T_754) @[exu_div_ctl.scala 561:95] + node _T_756 = and(_T_750, _T_755) @[exu_div_ctl.scala 562:11] + node _T_757 = or(_T_744, _T_756) @[exu_div_ctl.scala 576:131] + node _T_758 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_759 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_760 = and(_T_758, _T_759) @[exu_div_ctl.scala 560:95] + node _T_761 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_762 = eq(_T_761, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_763 = and(_T_760, _T_762) @[exu_div_ctl.scala 562:11] + node _T_764 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 577:82] + node _T_765 = eq(_T_764, UInt<1>("h00")) @[exu_div_ctl.scala 577:77] + node _T_766 = and(_T_763, _T_765) @[exu_div_ctl.scala 577:75] + node _T_767 = or(_T_757, _T_766) @[exu_div_ctl.scala 577:47] + node _T_768 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] + node _T_769 = eq(_T_768, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_770 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_771 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_772 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_773 = and(_T_769, _T_770) @[exu_div_ctl.scala 560:95] + node _T_774 = and(_T_773, _T_771) @[exu_div_ctl.scala 560:95] + node _T_775 = and(_T_774, _T_772) @[exu_div_ctl.scala 560:95] + node _T_776 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_778 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] + node _T_779 = and(_T_777, _T_778) @[exu_div_ctl.scala 561:95] + node _T_780 = and(_T_775, _T_779) @[exu_div_ctl.scala 562:11] + node _T_781 = or(_T_767, _T_780) @[exu_div_ctl.scala 577:88] + node _T_782 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_783 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_784 = and(_T_782, _T_783) @[exu_div_ctl.scala 560:95] + node _T_785 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] + node _T_786 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_787 = eq(_T_786, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_788 = and(_T_785, _T_787) @[exu_div_ctl.scala 561:95] + node _T_789 = and(_T_784, _T_788) @[exu_div_ctl.scala 562:11] + node _T_790 = or(_T_781, _T_789) @[exu_div_ctl.scala 577:131] + node _T_791 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_792 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_793 = and(_T_791, _T_792) @[exu_div_ctl.scala 560:95] + node _T_794 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] + node _T_795 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_796 = eq(_T_795, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_797 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_799 = and(_T_794, _T_796) @[exu_div_ctl.scala 561:95] + node _T_800 = and(_T_799, _T_798) @[exu_div_ctl.scala 561:95] + node _T_801 = and(_T_793, _T_800) @[exu_div_ctl.scala 562:11] + node _T_802 = or(_T_790, _T_801) @[exu_div_ctl.scala 578:47] + node _T_803 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_804 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_805 = and(_T_803, _T_804) @[exu_div_ctl.scala 560:95] + node _T_806 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_807 = eq(_T_806, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_808 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_809 = eq(_T_808, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_810 = and(_T_807, _T_809) @[exu_div_ctl.scala 561:95] + node _T_811 = and(_T_805, _T_810) @[exu_div_ctl.scala 562:11] + node _T_812 = or(_T_802, _T_811) @[exu_div_ctl.scala 578:88] node _T_813 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_814 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_815 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_816 = and(_T_813, _T_814) @[exu_div_ctl.scala 560:95] - node _T_817 = and(_T_816, _T_815) @[exu_div_ctl.scala 560:95] - node _T_818 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] - node _T_819 = and(_T_817, _T_818) @[exu_div_ctl.scala 562:11] - node _T_820 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 579:84] - node _T_821 = eq(_T_820, UInt<1>("h00")) @[exu_div_ctl.scala 579:79] - node _T_822 = and(_T_819, _T_821) @[exu_div_ctl.scala 579:77] - node _T_823 = or(_T_812, _T_822) @[exu_div_ctl.scala 579:47] - node _T_824 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_825 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_826 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_827 = and(_T_824, _T_825) @[exu_div_ctl.scala 560:95] - node _T_828 = and(_T_827, _T_826) @[exu_div_ctl.scala 560:95] - node _T_829 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] - node _T_830 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_831 = eq(_T_830, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_832 = and(_T_829, _T_831) @[exu_div_ctl.scala 561:95] - node _T_833 = and(_T_828, _T_832) @[exu_div_ctl.scala 562:11] - node _T_834 = or(_T_823, _T_833) @[exu_div_ctl.scala 579:88] - node _T_835 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_836 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_837 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] - node _T_838 = and(_T_835, _T_836) @[exu_div_ctl.scala 560:95] - node _T_839 = and(_T_838, _T_837) @[exu_div_ctl.scala 560:95] - node _T_840 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] - node _T_841 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] - node _T_842 = eq(_T_841, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_843 = and(_T_840, _T_842) @[exu_div_ctl.scala 561:95] - node _T_844 = and(_T_839, _T_843) @[exu_div_ctl.scala 562:11] - node _T_845 = or(_T_834, _T_844) @[exu_div_ctl.scala 579:131] - node _T_846 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_847 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] - node _T_848 = eq(_T_847, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] - node _T_849 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_850 = and(_T_846, _T_848) @[exu_div_ctl.scala 560:95] - node _T_851 = and(_T_850, _T_849) @[exu_div_ctl.scala 560:95] - node _T_852 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] - node _T_853 = eq(_T_852, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_854 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] - node _T_855 = and(_T_853, _T_854) @[exu_div_ctl.scala 561:95] - node _T_856 = and(_T_851, _T_855) @[exu_div_ctl.scala 562:11] - node _T_857 = or(_T_845, _T_856) @[exu_div_ctl.scala 580:47] - node _T_858 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_859 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_860 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] - node _T_861 = and(_T_858, _T_859) @[exu_div_ctl.scala 560:95] - node _T_862 = and(_T_861, _T_860) @[exu_div_ctl.scala 560:95] - node _T_863 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_864 = eq(_T_863, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_865 = and(_T_862, _T_864) @[exu_div_ctl.scala 562:11] - node _T_866 = or(_T_857, _T_865) @[exu_div_ctl.scala 580:88] - node _T_867 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_868 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] - node _T_869 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_870 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] - node _T_871 = and(_T_867, _T_868) @[exu_div_ctl.scala 560:95] - node _T_872 = and(_T_871, _T_869) @[exu_div_ctl.scala 560:95] - node _T_873 = and(_T_872, _T_870) @[exu_div_ctl.scala 560:95] - node _T_874 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] - node _T_875 = and(_T_873, _T_874) @[exu_div_ctl.scala 562:11] - node _T_876 = or(_T_866, _T_875) @[exu_div_ctl.scala 580:131] - node _T_877 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] - node _T_878 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] - node _T_879 = and(_T_877, _T_878) @[exu_div_ctl.scala 560:95] - node _T_880 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] - node _T_881 = eq(_T_880, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] - node _T_882 = and(_T_879, _T_881) @[exu_div_ctl.scala 562:11] - node _T_883 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 581:81] - node _T_884 = eq(_T_883, UInt<1>("h00")) @[exu_div_ctl.scala 581:76] - node _T_885 = and(_T_882, _T_884) @[exu_div_ctl.scala 581:74] - node _T_886 = or(_T_876, _T_885) @[exu_div_ctl.scala 581:47] - node _T_887 = cat(_T_575, _T_886) @[Cat.scala 29:58] - node _T_888 = cat(_T_441, _T_472) @[Cat.scala 29:58] - node _T_889 = cat(_T_888, _T_887) @[Cat.scala 29:58] - smallnum <= _T_889 @[exu_div_ctl.scala 564:12] + node _T_814 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:75] + node _T_815 = eq(_T_814, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_816 = and(_T_813, _T_815) @[exu_div_ctl.scala 560:95] + node _T_817 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_818 = eq(_T_817, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_819 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] + node _T_820 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] + node _T_821 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] + node _T_822 = and(_T_818, _T_819) @[exu_div_ctl.scala 561:95] + node _T_823 = and(_T_822, _T_820) @[exu_div_ctl.scala 561:95] + node _T_824 = and(_T_823, _T_821) @[exu_div_ctl.scala 561:95] + node _T_825 = and(_T_816, _T_824) @[exu_div_ctl.scala 562:11] + node _T_826 = or(_T_812, _T_825) @[exu_div_ctl.scala 578:131] + node _T_827 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_828 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_829 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_830 = and(_T_827, _T_828) @[exu_div_ctl.scala 560:95] + node _T_831 = and(_T_830, _T_829) @[exu_div_ctl.scala 560:95] + node _T_832 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] + node _T_833 = and(_T_831, _T_832) @[exu_div_ctl.scala 562:11] + node _T_834 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 579:84] + node _T_835 = eq(_T_834, UInt<1>("h00")) @[exu_div_ctl.scala 579:79] + node _T_836 = and(_T_833, _T_835) @[exu_div_ctl.scala 579:77] + node _T_837 = or(_T_826, _T_836) @[exu_div_ctl.scala 579:47] + node _T_838 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_839 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_840 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_841 = and(_T_838, _T_839) @[exu_div_ctl.scala 560:95] + node _T_842 = and(_T_841, _T_840) @[exu_div_ctl.scala 560:95] + node _T_843 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] + node _T_844 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_845 = eq(_T_844, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_846 = and(_T_843, _T_845) @[exu_div_ctl.scala 561:95] + node _T_847 = and(_T_842, _T_846) @[exu_div_ctl.scala 562:11] + node _T_848 = or(_T_837, _T_847) @[exu_div_ctl.scala 579:88] + node _T_849 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_850 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_851 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_852 = and(_T_849, _T_850) @[exu_div_ctl.scala 560:95] + node _T_853 = and(_T_852, _T_851) @[exu_div_ctl.scala 560:95] + node _T_854 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] + node _T_855 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_856 = eq(_T_855, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_857 = and(_T_854, _T_856) @[exu_div_ctl.scala 561:95] + node _T_858 = and(_T_853, _T_857) @[exu_div_ctl.scala 562:11] + node _T_859 = or(_T_848, _T_858) @[exu_div_ctl.scala 579:131] + node _T_860 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_861 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] + node _T_862 = eq(_T_861, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_863 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_864 = and(_T_860, _T_862) @[exu_div_ctl.scala 560:95] + node _T_865 = and(_T_864, _T_863) @[exu_div_ctl.scala 560:95] + node _T_866 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_868 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] + node _T_869 = and(_T_867, _T_868) @[exu_div_ctl.scala 561:95] + node _T_870 = and(_T_865, _T_869) @[exu_div_ctl.scala 562:11] + node _T_871 = or(_T_859, _T_870) @[exu_div_ctl.scala 580:47] + node _T_872 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_873 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_874 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_875 = and(_T_872, _T_873) @[exu_div_ctl.scala 560:95] + node _T_876 = and(_T_875, _T_874) @[exu_div_ctl.scala 560:95] + node _T_877 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_878 = eq(_T_877, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_879 = and(_T_876, _T_878) @[exu_div_ctl.scala 562:11] + node _T_880 = or(_T_871, _T_879) @[exu_div_ctl.scala 580:88] + node _T_881 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_882 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_883 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_884 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_885 = and(_T_881, _T_882) @[exu_div_ctl.scala 560:95] + node _T_886 = and(_T_885, _T_883) @[exu_div_ctl.scala 560:95] + node _T_887 = and(_T_886, _T_884) @[exu_div_ctl.scala 560:95] + node _T_888 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] + node _T_889 = and(_T_887, _T_888) @[exu_div_ctl.scala 562:11] + node _T_890 = or(_T_880, _T_889) @[exu_div_ctl.scala 580:131] + node _T_891 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_892 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_893 = and(_T_891, _T_892) @[exu_div_ctl.scala 560:95] + node _T_894 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_896 = and(_T_893, _T_895) @[exu_div_ctl.scala 562:11] + node _T_897 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 581:81] + node _T_898 = eq(_T_897, UInt<1>("h00")) @[exu_div_ctl.scala 581:76] + node _T_899 = and(_T_896, _T_898) @[exu_div_ctl.scala 581:74] + node _T_900 = or(_T_890, _T_899) @[exu_div_ctl.scala 581:47] + node _T_901 = cat(_T_589, _T_900) @[Cat.scala 29:58] + node _T_902 = cat(_T_455, _T_486) @[Cat.scala 29:58] + node _T_903 = cat(_T_902, _T_901) @[Cat.scala 29:58] + smallnum <= _T_903 @[exu_div_ctl.scala 564:12] node shortq_dividend = cat(dividend_sign_ff, a_ff) @[Cat.scala 29:58] inst a_enc of exu_div_cls @[exu_div_ctl.scala 584:21] a_enc.clock <= clock @@ -2015,161 +2028,162 @@ circuit exu_div_new_2bit_fullshortq : inst b_enc of exu_div_cls_1 @[exu_div_ctl.scala 587:21] b_enc.clock <= clock b_enc.reset <= reset - node _T_890 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 588:27] - b_enc.io.operand <= _T_890 @[exu_div_ctl.scala 588:20] + node _T_904 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 588:27] + b_enc.io.operand <= _T_904 @[exu_div_ctl.scala 588:20] node dw_a_enc = cat(UInt<1>("h00"), a_enc.io.cls) @[Cat.scala 29:58] node dw_b_enc = cat(UInt<1>("h00"), b_enc.io.cls) @[Cat.scala 29:58] - node _T_891 = cat(UInt<1>("h00"), dw_b_enc) @[Cat.scala 29:58] - node _T_892 = cat(UInt<1>("h00"), dw_a_enc) @[Cat.scala 29:58] - node _T_893 = sub(_T_891, _T_892) @[exu_div_ctl.scala 592:41] - node _T_894 = tail(_T_893, 1) @[exu_div_ctl.scala 592:41] - node _T_895 = add(_T_894, UInt<7>("h01")) @[exu_div_ctl.scala 592:61] - node dw_shortq_raw = tail(_T_895, 1) @[exu_div_ctl.scala 592:61] - node _T_896 = bits(dw_shortq_raw, 6, 6) @[exu_div_ctl.scala 593:33] - node _T_897 = bits(_T_896, 0, 0) @[exu_div_ctl.scala 593:43] - node _T_898 = bits(dw_shortq_raw, 5, 0) @[exu_div_ctl.scala 593:63] - node shortq = mux(_T_897, UInt<1>("h00"), _T_898) @[exu_div_ctl.scala 593:19] - node _T_899 = bits(shortq, 5, 5) @[exu_div_ctl.scala 594:38] - node _T_900 = eq(_T_899, UInt<1>("h00")) @[exu_div_ctl.scala 594:31] - node _T_901 = and(valid_ff, _T_900) @[exu_div_ctl.scala 594:29] - node _T_902 = bits(shortq, 4, 1) @[exu_div_ctl.scala 594:52] - node _T_903 = eq(_T_902, UInt<4>("h0f")) @[exu_div_ctl.scala 594:58] - node _T_904 = eq(_T_903, UInt<1>("h00")) @[exu_div_ctl.scala 594:44] - node _T_905 = and(_T_901, _T_904) @[exu_div_ctl.scala 594:42] - node _T_906 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 594:76] - node _T_907 = and(_T_905, _T_906) @[exu_div_ctl.scala 594:74] - shortq_enable <= _T_907 @[exu_div_ctl.scala 594:17] - node _T_908 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 595:26] - node _T_909 = bits(shortq, 4, 0) @[exu_div_ctl.scala 595:65] - node _T_910 = sub(UInt<5>("h01f"), _T_909) @[exu_div_ctl.scala 595:57] - node _T_911 = tail(_T_910, 1) @[exu_div_ctl.scala 595:57] - node shortq_shift = mux(_T_908, UInt<1>("h00"), _T_911) @[exu_div_ctl.scala 595:25] - node _T_912 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 596:20] - node _T_913 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 596:30] - node _T_914 = cat(_T_912, _T_913) @[Cat.scala 29:58] - node _T_915 = cat(_T_914, b_ff1) @[Cat.scala 29:58] - b_ff <= _T_915 @[exu_div_ctl.scala 596:8] + node _T_905 = cat(UInt<1>("h00"), dw_b_enc) @[Cat.scala 29:58] + node _T_906 = cat(UInt<1>("h00"), dw_a_enc) @[Cat.scala 29:58] + node _T_907 = sub(_T_905, _T_906) @[exu_div_ctl.scala 592:41] + node _T_908 = tail(_T_907, 1) @[exu_div_ctl.scala 592:41] + node _T_909 = add(_T_908, UInt<7>("h01")) @[exu_div_ctl.scala 592:61] + node dw_shortq_raw = tail(_T_909, 1) @[exu_div_ctl.scala 592:61] + node _T_910 = bits(dw_shortq_raw, 6, 6) @[exu_div_ctl.scala 593:33] + node _T_911 = bits(_T_910, 0, 0) @[exu_div_ctl.scala 593:43] + node _T_912 = bits(dw_shortq_raw, 5, 0) @[exu_div_ctl.scala 593:63] + node shortq = mux(_T_911, UInt<1>("h00"), _T_912) @[exu_div_ctl.scala 593:19] + node _T_913 = bits(shortq, 5, 5) @[exu_div_ctl.scala 594:38] + node _T_914 = eq(_T_913, UInt<1>("h00")) @[exu_div_ctl.scala 594:31] + node _T_915 = and(valid_ff, _T_914) @[exu_div_ctl.scala 594:29] + node _T_916 = bits(shortq, 4, 1) @[exu_div_ctl.scala 594:52] + node _T_917 = eq(_T_916, UInt<4>("h0f")) @[exu_div_ctl.scala 594:58] + node _T_918 = eq(_T_917, UInt<1>("h00")) @[exu_div_ctl.scala 594:44] + node _T_919 = and(_T_915, _T_918) @[exu_div_ctl.scala 594:42] + node _T_920 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 594:76] + node _T_921 = and(_T_919, _T_920) @[exu_div_ctl.scala 594:74] + shortq_enable <= _T_921 @[exu_div_ctl.scala 594:17] + node _T_922 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 595:26] + node _T_923 = bits(shortq, 4, 0) @[exu_div_ctl.scala 595:65] + node _T_924 = sub(UInt<5>("h01f"), _T_923) @[exu_div_ctl.scala 595:57] + node _T_925 = tail(_T_924, 1) @[exu_div_ctl.scala 595:57] + node shortq_shift = mux(_T_922, UInt<1>("h00"), _T_925) @[exu_div_ctl.scala 595:25] + node _T_926 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 596:20] + node _T_927 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 596:30] + node _T_928 = cat(_T_926, _T_927) @[Cat.scala 29:58] + node _T_929 = cat(_T_928, b_ff1) @[Cat.scala 29:58] + b_ff <= _T_929 @[exu_div_ctl.scala 596:8] inst rvclkhdr of rvclkhdr @[lib.scala 390:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[lib.scala 392:18] rvclkhdr.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_916 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_930 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_916 <= valid_ff_in @[Reg.scala 28:23] + _T_930 <= valid_ff_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - valid_ff <= _T_916 @[exu_div_ctl.scala 597:12] + valid_ff <= _T_930 @[exu_div_ctl.scala 597:12] inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 390:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[lib.scala 392:18] rvclkhdr_1.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_917 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_931 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_917 <= control_in @[Reg.scala 28:23] + _T_931 <= control_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - control_ff <= _T_917 @[exu_div_ctl.scala 598:16] + control_ff <= _T_931 @[exu_div_ctl.scala 598:16] inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 390:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[lib.scala 392:18] rvclkhdr_2.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_918 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_932 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_918 <= by_zero_case @[Reg.scala 28:23] + _T_932 <= by_zero_case @[Reg.scala 28:23] skip @[Reg.scala 28:19] - by_zero_case_ff <= _T_918 @[exu_div_ctl.scala 599:19] + by_zero_case_ff <= _T_932 @[exu_div_ctl.scala 599:19] inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[lib.scala 392:18] rvclkhdr_3.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_919 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_933 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_919 <= shortq_enable @[Reg.scala 28:23] + _T_933 <= shortq_enable @[Reg.scala 28:23] skip @[Reg.scala 28:19] - shortq_enable_ff <= _T_919 @[exu_div_ctl.scala 600:20] - node _T_920 = bits(shortq_shift, 4, 1) @[exu_div_ctl.scala 601:41] + shortq_enable_ff <= _T_933 @[exu_div_ctl.scala 600:20] + node _T_934 = bits(shortq_shift, 4, 1) @[exu_div_ctl.scala 601:45] inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[lib.scala 392:18] rvclkhdr_4.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_921 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_935 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_921 <= _T_920 @[Reg.scala 28:23] + _T_935 <= _T_934 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - shortq_shift_ff <= _T_921 @[exu_div_ctl.scala 601:19] + node _T_936 = cat(_T_935, UInt<1>("h00")) @[Cat.scala 29:58] + shortq_shift_ff <= _T_936 @[exu_div_ctl.scala 601:19] inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[lib.scala 392:18] rvclkhdr_5.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_922 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_937 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_922 <= finish @[Reg.scala 28:23] + _T_937 <= finish @[Reg.scala 28:23] skip @[Reg.scala 28:19] - finish_ff <= _T_922 @[exu_div_ctl.scala 602:13] + finish_ff <= _T_937 @[exu_div_ctl.scala 602:13] inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[lib.scala 392:18] rvclkhdr_6.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_923 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_938 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_923 <= count_in @[Reg.scala 28:23] + _T_938 <= count_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - count_ff <= _T_923 @[exu_div_ctl.scala 603:12] + count_ff <= _T_938 @[exu_div_ctl.scala 603:12] inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[lib.scala 392:18] rvclkhdr_7.io.en <= a_enable @[lib.scala 393:17] rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_924 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_939 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when a_enable : @[Reg.scala 28:19] - _T_924 <= a_in @[Reg.scala 28:23] + _T_939 <= a_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - a_ff <= _T_924 @[exu_div_ctl.scala 605:8] - node _T_925 = bits(b_in, 32, 0) @[exu_div_ctl.scala 606:23] + a_ff <= _T_939 @[exu_div_ctl.scala 605:8] + node _T_940 = bits(b_in, 32, 0) @[exu_div_ctl.scala 606:23] inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[lib.scala 392:18] rvclkhdr_8.io.en <= b_enable @[lib.scala 393:17] rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_926 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_941 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when b_enable : @[Reg.scala 28:19] - _T_926 <= _T_925 @[Reg.scala 28:23] + _T_941 <= _T_940 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - b_ff1 <= _T_926 @[exu_div_ctl.scala 606:9] + b_ff1 <= _T_941 @[exu_div_ctl.scala 606:9] inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 390:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[lib.scala 392:18] rvclkhdr_9.io.en <= rq_enable @[lib.scala 393:17] rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_927 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_942 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when rq_enable : @[Reg.scala 28:19] - _T_927 <= r_in @[Reg.scala 28:23] + _T_942 <= r_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - r_ff <= _T_927 @[exu_div_ctl.scala 607:8] + r_ff <= _T_942 @[exu_div_ctl.scala 607:8] inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 390:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[lib.scala 392:18] rvclkhdr_10.io.en <= rq_enable @[lib.scala 393:17] rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_928 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_943 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when rq_enable : @[Reg.scala 28:19] - _T_928 <= q_in @[Reg.scala 28:23] + _T_943 <= q_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - q_ff <= _T_928 @[exu_div_ctl.scala 608:8] + q_ff <= _T_943 @[exu_div_ctl.scala 608:8] diff --git a/exu_div_new_2bit_fullshortq.v b/exu_div_new_2bit_fullshortq.v index e87f2676..f5ca0d55 100644 --- a/exu_div_new_2bit_fullshortq.v +++ b/exu_div_new_2bit_fullshortq.v @@ -284,255 +284,402 @@ module exu_div_new_2bit_fullshortq( reg valid_ff; // @[Reg.scala 27:20] wire by_zero_case = valid_ff & _T_22; // @[exu_div_ctl.scala 493:40] reg [31:0] a_ff; // @[Reg.scala 27:20] - wire _T_25 = ~by_zero_case; // @[exu_div_ctl.scala 496:29] - wire _T_27 = ~control_ff[0]; // @[exu_div_ctl.scala 496:45] + wire _T_24 = a_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 495:37] + wire _T_26 = b_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 495:60] + wire _T_27 = _T_24 & _T_26; // @[exu_div_ctl.scala 495:46] + wire _T_28 = ~by_zero_case; // @[exu_div_ctl.scala 495:71] + wire _T_29 = _T_27 & _T_28; // @[exu_div_ctl.scala 495:69] + wire _T_30 = ~control_ff[0]; // @[exu_div_ctl.scala 495:87] + wire _T_31 = _T_29 & _T_30; // @[exu_div_ctl.scala 495:85] + wire _T_32 = _T_31 & valid_ff; // @[exu_div_ctl.scala 495:95] + wire _T_34 = _T_32 & _T; // @[exu_div_ctl.scala 495:106] + wire _T_36 = a_ff == 32'h0; // @[exu_div_ctl.scala 496:18] + wire _T_38 = _T_36 & _T_28; // @[exu_div_ctl.scala 496:27] + wire _T_40 = _T_38 & _T_30; // @[exu_div_ctl.scala 496:43] + wire _T_41 = _T_40 & valid_ff; // @[exu_div_ctl.scala 496:53] + wire _T_43 = _T_41 & _T; // @[exu_div_ctl.scala 496:64] + wire smallnum_case = _T_34 | _T_43; // @[exu_div_ctl.scala 495:120] reg [6:0] count_ff; // @[Reg.scala 27:20] - wire _T_32 = |count_ff; // @[exu_div_ctl.scala 497:42] + wire _T_44 = |count_ff; // @[exu_div_ctl.scala 497:42] reg shortq_enable_ff; // @[Reg.scala 27:20] - wire running_state = _T_32 | shortq_enable_ff; // @[exu_div_ctl.scala 497:45] - wire _T_33 = io_valid_in | valid_ff; // @[exu_div_ctl.scala 498:43] - wire _T_34 = _T_33 | io_cancel; // @[exu_div_ctl.scala 498:54] - wire _T_35 = _T_34 | running_state; // @[exu_div_ctl.scala 498:66] + wire running_state = _T_44 | shortq_enable_ff; // @[exu_div_ctl.scala 497:45] + wire _T_45 = io_valid_in | valid_ff; // @[exu_div_ctl.scala 498:43] + wire _T_46 = _T_45 | io_cancel; // @[exu_div_ctl.scala 498:54] + wire _T_47 = _T_46 | running_state; // @[exu_div_ctl.scala 498:66] reg finish_ff; // @[Reg.scala 27:20] - wire misc_enable = _T_35 | finish_ff; // @[exu_div_ctl.scala 498:82] - wire _T_37 = count_ff == 7'h20; // @[exu_div_ctl.scala 499:72] - wire finish_raw = by_zero_case | _T_37; // @[exu_div_ctl.scala 499:60] + wire misc_enable = _T_47 | finish_ff; // @[exu_div_ctl.scala 498:82] + wire _T_48 = smallnum_case | by_zero_case; // @[exu_div_ctl.scala 499:45] + wire _T_49 = count_ff == 7'h20; // @[exu_div_ctl.scala 499:72] + wire finish_raw = _T_48 | _T_49; // @[exu_div_ctl.scala 499:60] wire finish = finish_raw & _T; // @[exu_div_ctl.scala 500:41] - wire _T_39 = valid_ff | running_state; // @[exu_div_ctl.scala 501:40] - wire _T_40 = ~finish; // @[exu_div_ctl.scala 501:59] - wire _T_41 = _T_39 & _T_40; // @[exu_div_ctl.scala 501:57] - wire _T_42 = ~finish_ff; // @[exu_div_ctl.scala 501:69] - wire _T_43 = _T_41 & _T_42; // @[exu_div_ctl.scala 501:67] - wire _T_45 = _T_43 & _T; // @[exu_div_ctl.scala 501:80] - wire [6:0] _T_891 = {1'h0,1'h0,b_enc_io_cls}; // @[Cat.scala 29:58] - wire [6:0] _T_892 = {1'h0,1'h0,a_enc_io_cls}; // @[Cat.scala 29:58] - wire [6:0] _T_894 = _T_891 - _T_892; // @[exu_div_ctl.scala 592:41] - wire [6:0] dw_shortq_raw = _T_894 + 7'h1; // @[exu_div_ctl.scala 592:61] + wire _T_51 = valid_ff | running_state; // @[exu_div_ctl.scala 501:40] + wire _T_52 = ~finish; // @[exu_div_ctl.scala 501:59] + wire _T_53 = _T_51 & _T_52; // @[exu_div_ctl.scala 501:57] + wire _T_54 = ~finish_ff; // @[exu_div_ctl.scala 501:69] + wire _T_55 = _T_53 & _T_54; // @[exu_div_ctl.scala 501:67] + wire _T_57 = _T_55 & _T; // @[exu_div_ctl.scala 501:80] + wire [6:0] _T_905 = {1'h0,1'h0,b_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_906 = {1'h0,1'h0,a_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_908 = _T_905 - _T_906; // @[exu_div_ctl.scala 592:41] + wire [6:0] dw_shortq_raw = _T_908 + 7'h1; // @[exu_div_ctl.scala 592:61] wire [5:0] shortq = dw_shortq_raw[6] ? 6'h0 : dw_shortq_raw[5:0]; // @[exu_div_ctl.scala 593:19] - wire _T_900 = ~shortq[5]; // @[exu_div_ctl.scala 594:31] - wire _T_901 = valid_ff & _T_900; // @[exu_div_ctl.scala 594:29] - wire _T_903 = shortq[4:1] == 4'hf; // @[exu_div_ctl.scala 594:58] - wire _T_904 = ~_T_903; // @[exu_div_ctl.scala 594:44] - wire _T_905 = _T_901 & _T_904; // @[exu_div_ctl.scala 594:42] - wire shortq_enable = _T_905 & _T; // @[exu_div_ctl.scala 594:74] - wire _T_46 = ~shortq_enable; // @[exu_div_ctl.scala 501:95] - wire count_enable = _T_45 & _T_46; // @[exu_div_ctl.scala 501:93] - wire [6:0] _T_48 = count_enable ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] - wire [6:0] _T_51 = count_ff + 7'h2; // @[exu_div_ctl.scala 502:63] - reg [3:0] shortq_shift_ff; // @[Reg.scala 27:20] - wire [6:0] _T_53 = {2'h0,shortq_shift_ff,1'h0}; // @[Cat.scala 29:58] - wire [6:0] _T_55 = _T_51 + _T_53; // @[exu_div_ctl.scala 502:83] - wire [6:0] count_in = _T_48 & _T_55; // @[exu_div_ctl.scala 502:51] + wire _T_914 = ~shortq[5]; // @[exu_div_ctl.scala 594:31] + wire _T_915 = valid_ff & _T_914; // @[exu_div_ctl.scala 594:29] + wire _T_917 = shortq[4:1] == 4'hf; // @[exu_div_ctl.scala 594:58] + wire _T_918 = ~_T_917; // @[exu_div_ctl.scala 594:44] + wire _T_919 = _T_915 & _T_918; // @[exu_div_ctl.scala 594:42] + wire shortq_enable = _T_919 & _T; // @[exu_div_ctl.scala 594:74] + wire _T_58 = ~shortq_enable; // @[exu_div_ctl.scala 501:95] + wire count_enable = _T_57 & _T_58; // @[exu_div_ctl.scala 501:93] + wire [6:0] _T_60 = count_enable ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] + wire [6:0] _T_63 = count_ff + 7'h2; // @[exu_div_ctl.scala 502:63] + reg [3:0] _T_935; // @[Reg.scala 27:20] + wire [4:0] shortq_shift_ff = {_T_935,1'h0}; // @[Cat.scala 29:58] + wire [6:0] _T_66 = {2'h0,shortq_shift_ff[4:1],1'h0}; // @[Cat.scala 29:58] + wire [6:0] _T_68 = _T_63 + _T_66; // @[exu_div_ctl.scala 502:83] + wire [6:0] count_in = _T_60 & _T_68; // @[exu_div_ctl.scala 502:51] wire a_enable = io_valid_in | running_state; // @[exu_div_ctl.scala 503:43] - wire _T_56 = ~shortq_enable_ff; // @[exu_div_ctl.scala 504:47] - wire a_shift = running_state & _T_56; // @[exu_div_ctl.scala 504:45] - wire [31:0] _T_58 = control_ff[2] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_59 = {_T_58,a_ff}; // @[Cat.scala 29:58] - wire [4:0] _T_60 = {shortq_shift_ff,1'h0}; // @[Cat.scala 29:58] - wire [94:0] _GEN_11 = {{31'd0}, _T_59}; // @[exu_div_ctl.scala 505:68] - wire [94:0] _T_61 = _GEN_11 << _T_60; // @[exu_div_ctl.scala 505:68] - wire _T_62 = control_ff[2] ^ control_ff[1]; // @[exu_div_ctl.scala 506:61] - wire _T_63 = ~_T_62; // @[exu_div_ctl.scala 506:42] - wire b_twos_comp = valid_ff & _T_63; // @[exu_div_ctl.scala 506:40] - wire _T_66 = ~valid_ff; // @[exu_div_ctl.scala 508:30] - wire _T_68 = _T_66 & _T_27; // @[exu_div_ctl.scala 508:40] - wire _T_70 = _T_68 & _T_62; // @[exu_div_ctl.scala 508:50] + wire _T_69 = ~shortq_enable_ff; // @[exu_div_ctl.scala 504:47] + wire a_shift = running_state & _T_69; // @[exu_div_ctl.scala 504:45] + wire [31:0] _T_71 = control_ff[2] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_72 = {_T_71,a_ff}; // @[Cat.scala 29:58] + wire [4:0] _T_74 = {shortq_shift_ff[4:1],1'h0}; // @[Cat.scala 29:58] + wire [94:0] _GEN_11 = {{31'd0}, _T_72}; // @[exu_div_ctl.scala 505:68] + wire [94:0] _T_75 = _GEN_11 << _T_74; // @[exu_div_ctl.scala 505:68] + wire _T_76 = control_ff[2] ^ control_ff[1]; // @[exu_div_ctl.scala 506:61] + wire _T_77 = ~_T_76; // @[exu_div_ctl.scala 506:42] + wire b_twos_comp = valid_ff & _T_77; // @[exu_div_ctl.scala 506:40] + wire _T_80 = ~valid_ff; // @[exu_div_ctl.scala 508:30] + wire _T_82 = _T_80 & _T_30; // @[exu_div_ctl.scala 508:40] + wire _T_84 = _T_82 & _T_76; // @[exu_div_ctl.scala 508:50] reg by_zero_case_ff; // @[Reg.scala 27:20] - wire _T_71 = ~by_zero_case_ff; // @[exu_div_ctl.scala 508:92] - wire twos_comp_q_sel = _T_70 & _T_71; // @[exu_div_ctl.scala 508:90] + wire _T_85 = ~by_zero_case_ff; // @[exu_div_ctl.scala 508:92] + wire twos_comp_q_sel = _T_84 & _T_85; // @[exu_div_ctl.scala 508:90] wire b_enable = io_valid_in | b_twos_comp; // @[exu_div_ctl.scala 509:43] - wire rq_enable = _T_33 | running_state; // @[exu_div_ctl.scala 510:54] - wire _T_73 = valid_ff & control_ff[2]; // @[exu_div_ctl.scala 511:40] - wire r_sign_sel = _T_73 & _T_25; // @[exu_div_ctl.scala 511:59] + wire rq_enable = _T_45 | running_state; // @[exu_div_ctl.scala 510:54] + wire _T_87 = valid_ff & control_ff[2]; // @[exu_div_ctl.scala 511:40] + wire r_sign_sel = _T_87 & _T_28; // @[exu_div_ctl.scala 511:59] reg [31:0] r_ff; // @[Reg.scala 27:20] - wire [34:0] _T_102 = {r_ff[31],r_ff,a_ff[31:30]}; // @[Cat.scala 29:58] - wire [34:0] _T_104 = {b_ff[33:0],1'h0}; // @[Cat.scala 29:58] - wire [34:0] _T_106 = _T_102 + _T_104; // @[exu_div_ctl.scala 518:57] - wire [34:0] adder3_out = _T_106 + b_ff; // @[exu_div_ctl.scala 518:79] - wire _T_109 = ~adder3_out[34]; // @[exu_div_ctl.scala 519:24] - wire _T_110 = _T_109 ^ control_ff[2]; // @[exu_div_ctl.scala 519:40] - wire _T_112 = a_ff[29:0] == 30'h0; // @[exu_div_ctl.scala 519:75] - wire _T_113 = adder3_out == 35'h0; // @[exu_div_ctl.scala 519:98] - wire _T_114 = _T_112 & _T_113; // @[exu_div_ctl.scala 519:84] - wire _T_115 = _T_110 | _T_114; // @[exu_div_ctl.scala 519:60] - wire [33:0] _T_94 = {r_ff,a_ff[31:30]}; // @[Cat.scala 29:58] - wire [33:0] _T_96 = {b_ff[32:0],1'h0}; // @[Cat.scala 29:58] - wire [33:0] adder2_out = _T_94 + _T_96; // @[exu_div_ctl.scala 517:48] - wire _T_117 = ~adder2_out[33]; // @[exu_div_ctl.scala 520:6] - wire _T_118 = _T_117 ^ control_ff[2]; // @[exu_div_ctl.scala 520:22] - wire _T_121 = adder2_out == 34'h0; // @[exu_div_ctl.scala 520:80] - wire _T_122 = _T_112 & _T_121; // @[exu_div_ctl.scala 520:66] - wire _T_123 = _T_118 | _T_122; // @[exu_div_ctl.scala 520:42] - wire [32:0] _T_89 = {r_ff[30:0],a_ff[31:30]}; // @[Cat.scala 29:58] - wire [32:0] adder1_out = _T_89 + b_ff[32:0]; // @[exu_div_ctl.scala 516:48] - wire _T_125 = ~adder1_out[32]; // @[exu_div_ctl.scala 521:6] - wire _T_126 = _T_125 ^ control_ff[2]; // @[exu_div_ctl.scala 521:22] - wire _T_129 = adder1_out == 33'h0; // @[exu_div_ctl.scala 521:80] - wire _T_130 = _T_112 & _T_129; // @[exu_div_ctl.scala 521:66] - wire _T_131 = _T_126 | _T_130; // @[exu_div_ctl.scala 521:42] - wire [3:0] quotient_raw = {_T_115,_T_123,_T_131,1'h0}; // @[Cat.scala 29:58] - wire _T_137 = quotient_raw[3] | quotient_raw[2]; // @[exu_div_ctl.scala 522:41] - wire _T_140 = ~quotient_raw[2]; // @[exu_div_ctl.scala 522:82] - wire _T_142 = _T_140 & quotient_raw[1]; // @[exu_div_ctl.scala 522:99] - wire _T_143 = quotient_raw[3] | _T_142; // @[exu_div_ctl.scala 522:80] - wire [1:0] quotient_new = {_T_137,_T_143}; // @[Cat.scala 29:58] - wire _T_75 = quotient_new == 2'h0; // @[exu_div_ctl.scala 512:61] - wire _T_76 = running_state & _T_75; // @[exu_div_ctl.scala 512:45] - wire r_restore_sel = _T_76 & _T_56; // @[exu_div_ctl.scala 512:70] - wire _T_78 = quotient_new == 2'h1; // @[exu_div_ctl.scala 513:61] - wire _T_79 = running_state & _T_78; // @[exu_div_ctl.scala 513:45] - wire r_adder1_sel = _T_79 & _T_56; // @[exu_div_ctl.scala 513:70] - wire _T_81 = quotient_new == 2'h2; // @[exu_div_ctl.scala 514:61] - wire _T_82 = running_state & _T_81; // @[exu_div_ctl.scala 514:45] - wire r_adder2_sel = _T_82 & _T_56; // @[exu_div_ctl.scala 514:70] - wire _T_84 = quotient_new == 2'h3; // @[exu_div_ctl.scala 515:61] - wire _T_85 = running_state & _T_84; // @[exu_div_ctl.scala 515:45] - wire r_adder3_sel = _T_85 & _T_56; // @[exu_div_ctl.scala 515:70] + wire [34:0] _T_116 = {r_ff[31],r_ff,a_ff[31:30]}; // @[Cat.scala 29:58] + wire [34:0] _T_118 = {b_ff[33:0],1'h0}; // @[Cat.scala 29:58] + wire [34:0] _T_120 = _T_116 + _T_118; // @[exu_div_ctl.scala 518:57] + wire [34:0] adder3_out = _T_120 + b_ff; // @[exu_div_ctl.scala 518:79] + wire _T_123 = ~adder3_out[34]; // @[exu_div_ctl.scala 519:24] + wire _T_124 = _T_123 ^ control_ff[2]; // @[exu_div_ctl.scala 519:40] + wire _T_126 = a_ff[29:0] == 30'h0; // @[exu_div_ctl.scala 519:75] + wire _T_127 = adder3_out == 35'h0; // @[exu_div_ctl.scala 519:98] + wire _T_128 = _T_126 & _T_127; // @[exu_div_ctl.scala 519:84] + wire _T_129 = _T_124 | _T_128; // @[exu_div_ctl.scala 519:60] + wire [33:0] _T_108 = {r_ff,a_ff[31:30]}; // @[Cat.scala 29:58] + wire [33:0] _T_110 = {b_ff[32:0],1'h0}; // @[Cat.scala 29:58] + wire [33:0] adder2_out = _T_108 + _T_110; // @[exu_div_ctl.scala 517:48] + wire _T_131 = ~adder2_out[33]; // @[exu_div_ctl.scala 520:6] + wire _T_132 = _T_131 ^ control_ff[2]; // @[exu_div_ctl.scala 520:22] + wire _T_135 = adder2_out == 34'h0; // @[exu_div_ctl.scala 520:80] + wire _T_136 = _T_126 & _T_135; // @[exu_div_ctl.scala 520:66] + wire _T_137 = _T_132 | _T_136; // @[exu_div_ctl.scala 520:42] + wire [32:0] _T_103 = {r_ff[30:0],a_ff[31:30]}; // @[Cat.scala 29:58] + wire [32:0] adder1_out = _T_103 + b_ff[32:0]; // @[exu_div_ctl.scala 516:48] + wire _T_139 = ~adder1_out[32]; // @[exu_div_ctl.scala 521:6] + wire _T_140 = _T_139 ^ control_ff[2]; // @[exu_div_ctl.scala 521:22] + wire _T_143 = adder1_out == 33'h0; // @[exu_div_ctl.scala 521:80] + wire _T_144 = _T_126 & _T_143; // @[exu_div_ctl.scala 521:66] + wire _T_145 = _T_140 | _T_144; // @[exu_div_ctl.scala 521:42] + wire [3:0] quotient_raw = {_T_129,_T_137,_T_145,1'h0}; // @[Cat.scala 29:58] + wire _T_151 = quotient_raw[3] | quotient_raw[2]; // @[exu_div_ctl.scala 522:41] + wire _T_154 = ~quotient_raw[2]; // @[exu_div_ctl.scala 522:82] + wire _T_156 = _T_154 & quotient_raw[1]; // @[exu_div_ctl.scala 522:99] + wire _T_157 = quotient_raw[3] | _T_156; // @[exu_div_ctl.scala 522:80] + wire [1:0] quotient_new = {_T_151,_T_157}; // @[Cat.scala 29:58] + wire _T_89 = quotient_new == 2'h0; // @[exu_div_ctl.scala 512:61] + wire _T_90 = running_state & _T_89; // @[exu_div_ctl.scala 512:45] + wire r_restore_sel = _T_90 & _T_69; // @[exu_div_ctl.scala 512:70] + wire _T_92 = quotient_new == 2'h1; // @[exu_div_ctl.scala 513:61] + wire _T_93 = running_state & _T_92; // @[exu_div_ctl.scala 513:45] + wire r_adder1_sel = _T_93 & _T_69; // @[exu_div_ctl.scala 513:70] + wire _T_95 = quotient_new == 2'h2; // @[exu_div_ctl.scala 514:61] + wire _T_96 = running_state & _T_95; // @[exu_div_ctl.scala 514:45] + wire r_adder2_sel = _T_96 & _T_69; // @[exu_div_ctl.scala 514:70] + wire _T_98 = quotient_new == 2'h3; // @[exu_div_ctl.scala 515:61] + wire _T_99 = running_state & _T_98; // @[exu_div_ctl.scala 515:45] + wire r_adder3_sel = _T_99 & _T_69; // @[exu_div_ctl.scala 515:70] reg [31:0] q_ff; // @[Reg.scala 27:20] - wire [31:0] _T_146 = twos_comp_q_sel ? q_ff : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_147 = b_twos_comp ? b_ff[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] twos_comp_in = _T_146 | _T_147; // @[Mux.scala 27:72] - wire _T_151 = |twos_comp_in[0]; // @[lib.scala 428:35] - wire _T_153 = ~twos_comp_in[1]; // @[lib.scala 428:40] - wire _T_155 = _T_151 ? _T_153 : twos_comp_in[1]; // @[lib.scala 428:23] - wire _T_157 = |twos_comp_in[1:0]; // @[lib.scala 428:35] - wire _T_159 = ~twos_comp_in[2]; // @[lib.scala 428:40] - wire _T_161 = _T_157 ? _T_159 : twos_comp_in[2]; // @[lib.scala 428:23] - wire _T_163 = |twos_comp_in[2:0]; // @[lib.scala 428:35] - wire _T_165 = ~twos_comp_in[3]; // @[lib.scala 428:40] - wire _T_167 = _T_163 ? _T_165 : twos_comp_in[3]; // @[lib.scala 428:23] - wire _T_169 = |twos_comp_in[3:0]; // @[lib.scala 428:35] - wire _T_171 = ~twos_comp_in[4]; // @[lib.scala 428:40] - wire _T_173 = _T_169 ? _T_171 : twos_comp_in[4]; // @[lib.scala 428:23] - wire _T_175 = |twos_comp_in[4:0]; // @[lib.scala 428:35] - wire _T_177 = ~twos_comp_in[5]; // @[lib.scala 428:40] - wire _T_179 = _T_175 ? _T_177 : twos_comp_in[5]; // @[lib.scala 428:23] - wire _T_181 = |twos_comp_in[5:0]; // @[lib.scala 428:35] - wire _T_183 = ~twos_comp_in[6]; // @[lib.scala 428:40] - wire _T_185 = _T_181 ? _T_183 : twos_comp_in[6]; // @[lib.scala 428:23] - wire _T_187 = |twos_comp_in[6:0]; // @[lib.scala 428:35] - wire _T_189 = ~twos_comp_in[7]; // @[lib.scala 428:40] - wire _T_191 = _T_187 ? _T_189 : twos_comp_in[7]; // @[lib.scala 428:23] - wire _T_193 = |twos_comp_in[7:0]; // @[lib.scala 428:35] - wire _T_195 = ~twos_comp_in[8]; // @[lib.scala 428:40] - wire _T_197 = _T_193 ? _T_195 : twos_comp_in[8]; // @[lib.scala 428:23] - wire _T_199 = |twos_comp_in[8:0]; // @[lib.scala 428:35] - wire _T_201 = ~twos_comp_in[9]; // @[lib.scala 428:40] - wire _T_203 = _T_199 ? _T_201 : twos_comp_in[9]; // @[lib.scala 428:23] - wire _T_205 = |twos_comp_in[9:0]; // @[lib.scala 428:35] - wire _T_207 = ~twos_comp_in[10]; // @[lib.scala 428:40] - wire _T_209 = _T_205 ? _T_207 : twos_comp_in[10]; // @[lib.scala 428:23] - wire _T_211 = |twos_comp_in[10:0]; // @[lib.scala 428:35] - wire _T_213 = ~twos_comp_in[11]; // @[lib.scala 428:40] - wire _T_215 = _T_211 ? _T_213 : twos_comp_in[11]; // @[lib.scala 428:23] - wire _T_217 = |twos_comp_in[11:0]; // @[lib.scala 428:35] - wire _T_219 = ~twos_comp_in[12]; // @[lib.scala 428:40] - wire _T_221 = _T_217 ? _T_219 : twos_comp_in[12]; // @[lib.scala 428:23] - wire _T_223 = |twos_comp_in[12:0]; // @[lib.scala 428:35] - wire _T_225 = ~twos_comp_in[13]; // @[lib.scala 428:40] - wire _T_227 = _T_223 ? _T_225 : twos_comp_in[13]; // @[lib.scala 428:23] - wire _T_229 = |twos_comp_in[13:0]; // @[lib.scala 428:35] - wire _T_231 = ~twos_comp_in[14]; // @[lib.scala 428:40] - wire _T_233 = _T_229 ? _T_231 : twos_comp_in[14]; // @[lib.scala 428:23] - wire _T_235 = |twos_comp_in[14:0]; // @[lib.scala 428:35] - wire _T_237 = ~twos_comp_in[15]; // @[lib.scala 428:40] - wire _T_239 = _T_235 ? _T_237 : twos_comp_in[15]; // @[lib.scala 428:23] - wire _T_241 = |twos_comp_in[15:0]; // @[lib.scala 428:35] - wire _T_243 = ~twos_comp_in[16]; // @[lib.scala 428:40] - wire _T_245 = _T_241 ? _T_243 : twos_comp_in[16]; // @[lib.scala 428:23] - wire _T_247 = |twos_comp_in[16:0]; // @[lib.scala 428:35] - wire _T_249 = ~twos_comp_in[17]; // @[lib.scala 428:40] - wire _T_251 = _T_247 ? _T_249 : twos_comp_in[17]; // @[lib.scala 428:23] - wire _T_253 = |twos_comp_in[17:0]; // @[lib.scala 428:35] - wire _T_255 = ~twos_comp_in[18]; // @[lib.scala 428:40] - wire _T_257 = _T_253 ? _T_255 : twos_comp_in[18]; // @[lib.scala 428:23] - wire _T_259 = |twos_comp_in[18:0]; // @[lib.scala 428:35] - wire _T_261 = ~twos_comp_in[19]; // @[lib.scala 428:40] - wire _T_263 = _T_259 ? _T_261 : twos_comp_in[19]; // @[lib.scala 428:23] - wire _T_265 = |twos_comp_in[19:0]; // @[lib.scala 428:35] - wire _T_267 = ~twos_comp_in[20]; // @[lib.scala 428:40] - wire _T_269 = _T_265 ? _T_267 : twos_comp_in[20]; // @[lib.scala 428:23] - wire _T_271 = |twos_comp_in[20:0]; // @[lib.scala 428:35] - wire _T_273 = ~twos_comp_in[21]; // @[lib.scala 428:40] - wire _T_275 = _T_271 ? _T_273 : twos_comp_in[21]; // @[lib.scala 428:23] - wire _T_277 = |twos_comp_in[21:0]; // @[lib.scala 428:35] - wire _T_279 = ~twos_comp_in[22]; // @[lib.scala 428:40] - wire _T_281 = _T_277 ? _T_279 : twos_comp_in[22]; // @[lib.scala 428:23] - wire _T_283 = |twos_comp_in[22:0]; // @[lib.scala 428:35] - wire _T_285 = ~twos_comp_in[23]; // @[lib.scala 428:40] - wire _T_287 = _T_283 ? _T_285 : twos_comp_in[23]; // @[lib.scala 428:23] - wire _T_289 = |twos_comp_in[23:0]; // @[lib.scala 428:35] - wire _T_291 = ~twos_comp_in[24]; // @[lib.scala 428:40] - wire _T_293 = _T_289 ? _T_291 : twos_comp_in[24]; // @[lib.scala 428:23] - wire _T_295 = |twos_comp_in[24:0]; // @[lib.scala 428:35] - wire _T_297 = ~twos_comp_in[25]; // @[lib.scala 428:40] - wire _T_299 = _T_295 ? _T_297 : twos_comp_in[25]; // @[lib.scala 428:23] - wire _T_301 = |twos_comp_in[25:0]; // @[lib.scala 428:35] - wire _T_303 = ~twos_comp_in[26]; // @[lib.scala 428:40] - wire _T_305 = _T_301 ? _T_303 : twos_comp_in[26]; // @[lib.scala 428:23] - wire _T_307 = |twos_comp_in[26:0]; // @[lib.scala 428:35] - wire _T_309 = ~twos_comp_in[27]; // @[lib.scala 428:40] - wire _T_311 = _T_307 ? _T_309 : twos_comp_in[27]; // @[lib.scala 428:23] - wire _T_313 = |twos_comp_in[27:0]; // @[lib.scala 428:35] - wire _T_315 = ~twos_comp_in[28]; // @[lib.scala 428:40] - wire _T_317 = _T_313 ? _T_315 : twos_comp_in[28]; // @[lib.scala 428:23] - wire _T_319 = |twos_comp_in[28:0]; // @[lib.scala 428:35] - wire _T_321 = ~twos_comp_in[29]; // @[lib.scala 428:40] - wire _T_323 = _T_319 ? _T_321 : twos_comp_in[29]; // @[lib.scala 428:23] - wire _T_325 = |twos_comp_in[29:0]; // @[lib.scala 428:35] - wire _T_327 = ~twos_comp_in[30]; // @[lib.scala 428:40] - wire _T_329 = _T_325 ? _T_327 : twos_comp_in[30]; // @[lib.scala 428:23] - wire _T_331 = |twos_comp_in[30:0]; // @[lib.scala 428:35] - wire _T_333 = ~twos_comp_in[31]; // @[lib.scala 428:40] - wire _T_335 = _T_331 ? _T_333 : twos_comp_in[31]; // @[lib.scala 428:23] - wire [6:0] _T_341 = {_T_191,_T_185,_T_179,_T_173,_T_167,_T_161,_T_155}; // @[lib.scala 430:14] - wire [14:0] _T_349 = {_T_239,_T_233,_T_227,_T_221,_T_215,_T_209,_T_203,_T_197,_T_341}; // @[lib.scala 430:14] - wire [7:0] _T_356 = {_T_287,_T_281,_T_275,_T_269,_T_263,_T_257,_T_251,_T_245}; // @[lib.scala 430:14] - wire [30:0] _T_365 = {_T_335,_T_329,_T_323,_T_317,_T_311,_T_305,_T_299,_T_293,_T_356,_T_349}; // @[lib.scala 430:14] - wire [31:0] twos_comp_out = {_T_365,twos_comp_in[0]}; // @[Cat.scala 29:58] - wire _T_367 = ~a_shift; // @[exu_div_ctl.scala 530:6] - wire _T_369 = _T_367 & _T_56; // @[exu_div_ctl.scala 530:15] - wire [31:0] _T_372 = {a_ff[29:0],2'h0}; // @[Cat.scala 29:58] - wire [63:0] ar_shifted = _T_61[63:0]; // @[exu_div_ctl.scala 505:28] - wire [31:0] _T_374 = _T_369 ? io_dividend_in : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_375 = a_shift ? _T_372 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_376 = shortq_enable_ff ? ar_shifted[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_377 = _T_374 | _T_375; // @[Mux.scala 27:72] - wire [31:0] a_in = _T_377 | _T_376; // @[Mux.scala 27:72] - wire _T_379 = ~b_twos_comp; // @[exu_div_ctl.scala 536:5] - wire _T_381 = io_signed_in & io_divisor_in[31]; // @[exu_div_ctl.scala 536:63] - wire [32:0] _T_383 = {_T_381,io_divisor_in}; // @[Cat.scala 29:58] - wire _T_384 = ~control_ff[1]; // @[exu_div_ctl.scala 537:49] - wire [32:0] _T_386 = {_T_384,_T_365,twos_comp_in[0]}; // @[Cat.scala 29:58] - wire [32:0] _T_387 = _T_379 ? _T_383 : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_388 = b_twos_comp ? _T_386 : 33'h0; // @[Mux.scala 27:72] - wire [32:0] b_in = _T_387 | _T_388; // @[Mux.scala 27:72] - wire [31:0] _T_392 = {r_ff[29:0],a_ff[31:30]}; // @[Cat.scala 29:58] - wire [31:0] _T_397 = r_sign_sel ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_398 = r_restore_sel ? _T_392 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_399 = r_adder1_sel ? adder1_out[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_400 = r_adder2_sel ? adder2_out[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_401 = r_adder3_sel ? adder3_out[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_402 = shortq_enable_ff ? ar_shifted[63:32] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_403 = by_zero_case ? a_ff : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_404 = _T_397 | _T_398; // @[Mux.scala 27:72] - wire [31:0] _T_405 = _T_404 | _T_399; // @[Mux.scala 27:72] - wire [31:0] _T_406 = _T_405 | _T_400; // @[Mux.scala 27:72] - wire [31:0] _T_407 = _T_406 | _T_401; // @[Mux.scala 27:72] - wire [31:0] _T_408 = _T_407 | _T_402; // @[Mux.scala 27:72] - wire [31:0] r_in = _T_408 | _T_403; // @[Mux.scala 27:72] - wire [31:0] _T_412 = {q_ff[29:0],_T_137,_T_143}; // @[Cat.scala 29:58] - wire [31:0] _T_415 = _T_66 ? _T_412 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_417 = by_zero_case ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] - wire [31:0] q_in = _T_415 | _T_417; // @[Mux.scala 27:72] - wire _T_423 = ~twos_comp_q_sel; // @[exu_div_ctl.scala 555:16] - wire _T_424 = _T_27 & _T_423; // @[exu_div_ctl.scala 555:14] - wire [31:0] _T_426 = _T_424 ? q_ff : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_427 = control_ff[0] ? r_ff : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_428 = twos_comp_q_sel ? twos_comp_out : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_429 = _T_426 | _T_427; // @[Mux.scala 27:72] - wire [4:0] _T_911 = 5'h1f - shortq[4:0]; // @[exu_div_ctl.scala 595:57] - wire [4:0] shortq_shift = _T_46 ? 5'h0 : _T_911; // @[exu_div_ctl.scala 595:25] + wire [31:0] _T_160 = twos_comp_q_sel ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_161 = b_twos_comp ? b_ff[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] twos_comp_in = _T_160 | _T_161; // @[Mux.scala 27:72] + wire _T_165 = |twos_comp_in[0]; // @[lib.scala 428:35] + wire _T_167 = ~twos_comp_in[1]; // @[lib.scala 428:40] + wire _T_169 = _T_165 ? _T_167 : twos_comp_in[1]; // @[lib.scala 428:23] + wire _T_171 = |twos_comp_in[1:0]; // @[lib.scala 428:35] + wire _T_173 = ~twos_comp_in[2]; // @[lib.scala 428:40] + wire _T_175 = _T_171 ? _T_173 : twos_comp_in[2]; // @[lib.scala 428:23] + wire _T_177 = |twos_comp_in[2:0]; // @[lib.scala 428:35] + wire _T_179 = ~twos_comp_in[3]; // @[lib.scala 428:40] + wire _T_181 = _T_177 ? _T_179 : twos_comp_in[3]; // @[lib.scala 428:23] + wire _T_183 = |twos_comp_in[3:0]; // @[lib.scala 428:35] + wire _T_185 = ~twos_comp_in[4]; // @[lib.scala 428:40] + wire _T_187 = _T_183 ? _T_185 : twos_comp_in[4]; // @[lib.scala 428:23] + wire _T_189 = |twos_comp_in[4:0]; // @[lib.scala 428:35] + wire _T_191 = ~twos_comp_in[5]; // @[lib.scala 428:40] + wire _T_193 = _T_189 ? _T_191 : twos_comp_in[5]; // @[lib.scala 428:23] + wire _T_195 = |twos_comp_in[5:0]; // @[lib.scala 428:35] + wire _T_197 = ~twos_comp_in[6]; // @[lib.scala 428:40] + wire _T_199 = _T_195 ? _T_197 : twos_comp_in[6]; // @[lib.scala 428:23] + wire _T_201 = |twos_comp_in[6:0]; // @[lib.scala 428:35] + wire _T_203 = ~twos_comp_in[7]; // @[lib.scala 428:40] + wire _T_205 = _T_201 ? _T_203 : twos_comp_in[7]; // @[lib.scala 428:23] + wire _T_207 = |twos_comp_in[7:0]; // @[lib.scala 428:35] + wire _T_209 = ~twos_comp_in[8]; // @[lib.scala 428:40] + wire _T_211 = _T_207 ? _T_209 : twos_comp_in[8]; // @[lib.scala 428:23] + wire _T_213 = |twos_comp_in[8:0]; // @[lib.scala 428:35] + wire _T_215 = ~twos_comp_in[9]; // @[lib.scala 428:40] + wire _T_217 = _T_213 ? _T_215 : twos_comp_in[9]; // @[lib.scala 428:23] + wire _T_219 = |twos_comp_in[9:0]; // @[lib.scala 428:35] + wire _T_221 = ~twos_comp_in[10]; // @[lib.scala 428:40] + wire _T_223 = _T_219 ? _T_221 : twos_comp_in[10]; // @[lib.scala 428:23] + wire _T_225 = |twos_comp_in[10:0]; // @[lib.scala 428:35] + wire _T_227 = ~twos_comp_in[11]; // @[lib.scala 428:40] + wire _T_229 = _T_225 ? _T_227 : twos_comp_in[11]; // @[lib.scala 428:23] + wire _T_231 = |twos_comp_in[11:0]; // @[lib.scala 428:35] + wire _T_233 = ~twos_comp_in[12]; // @[lib.scala 428:40] + wire _T_235 = _T_231 ? _T_233 : twos_comp_in[12]; // @[lib.scala 428:23] + wire _T_237 = |twos_comp_in[12:0]; // @[lib.scala 428:35] + wire _T_239 = ~twos_comp_in[13]; // @[lib.scala 428:40] + wire _T_241 = _T_237 ? _T_239 : twos_comp_in[13]; // @[lib.scala 428:23] + wire _T_243 = |twos_comp_in[13:0]; // @[lib.scala 428:35] + wire _T_245 = ~twos_comp_in[14]; // @[lib.scala 428:40] + wire _T_247 = _T_243 ? _T_245 : twos_comp_in[14]; // @[lib.scala 428:23] + wire _T_249 = |twos_comp_in[14:0]; // @[lib.scala 428:35] + wire _T_251 = ~twos_comp_in[15]; // @[lib.scala 428:40] + wire _T_253 = _T_249 ? _T_251 : twos_comp_in[15]; // @[lib.scala 428:23] + wire _T_255 = |twos_comp_in[15:0]; // @[lib.scala 428:35] + wire _T_257 = ~twos_comp_in[16]; // @[lib.scala 428:40] + wire _T_259 = _T_255 ? _T_257 : twos_comp_in[16]; // @[lib.scala 428:23] + wire _T_261 = |twos_comp_in[16:0]; // @[lib.scala 428:35] + wire _T_263 = ~twos_comp_in[17]; // @[lib.scala 428:40] + wire _T_265 = _T_261 ? _T_263 : twos_comp_in[17]; // @[lib.scala 428:23] + wire _T_267 = |twos_comp_in[17:0]; // @[lib.scala 428:35] + wire _T_269 = ~twos_comp_in[18]; // @[lib.scala 428:40] + wire _T_271 = _T_267 ? _T_269 : twos_comp_in[18]; // @[lib.scala 428:23] + wire _T_273 = |twos_comp_in[18:0]; // @[lib.scala 428:35] + wire _T_275 = ~twos_comp_in[19]; // @[lib.scala 428:40] + wire _T_277 = _T_273 ? _T_275 : twos_comp_in[19]; // @[lib.scala 428:23] + wire _T_279 = |twos_comp_in[19:0]; // @[lib.scala 428:35] + wire _T_281 = ~twos_comp_in[20]; // @[lib.scala 428:40] + wire _T_283 = _T_279 ? _T_281 : twos_comp_in[20]; // @[lib.scala 428:23] + wire _T_285 = |twos_comp_in[20:0]; // @[lib.scala 428:35] + wire _T_287 = ~twos_comp_in[21]; // @[lib.scala 428:40] + wire _T_289 = _T_285 ? _T_287 : twos_comp_in[21]; // @[lib.scala 428:23] + wire _T_291 = |twos_comp_in[21:0]; // @[lib.scala 428:35] + wire _T_293 = ~twos_comp_in[22]; // @[lib.scala 428:40] + wire _T_295 = _T_291 ? _T_293 : twos_comp_in[22]; // @[lib.scala 428:23] + wire _T_297 = |twos_comp_in[22:0]; // @[lib.scala 428:35] + wire _T_299 = ~twos_comp_in[23]; // @[lib.scala 428:40] + wire _T_301 = _T_297 ? _T_299 : twos_comp_in[23]; // @[lib.scala 428:23] + wire _T_303 = |twos_comp_in[23:0]; // @[lib.scala 428:35] + wire _T_305 = ~twos_comp_in[24]; // @[lib.scala 428:40] + wire _T_307 = _T_303 ? _T_305 : twos_comp_in[24]; // @[lib.scala 428:23] + wire _T_309 = |twos_comp_in[24:0]; // @[lib.scala 428:35] + wire _T_311 = ~twos_comp_in[25]; // @[lib.scala 428:40] + wire _T_313 = _T_309 ? _T_311 : twos_comp_in[25]; // @[lib.scala 428:23] + wire _T_315 = |twos_comp_in[25:0]; // @[lib.scala 428:35] + wire _T_317 = ~twos_comp_in[26]; // @[lib.scala 428:40] + wire _T_319 = _T_315 ? _T_317 : twos_comp_in[26]; // @[lib.scala 428:23] + wire _T_321 = |twos_comp_in[26:0]; // @[lib.scala 428:35] + wire _T_323 = ~twos_comp_in[27]; // @[lib.scala 428:40] + wire _T_325 = _T_321 ? _T_323 : twos_comp_in[27]; // @[lib.scala 428:23] + wire _T_327 = |twos_comp_in[27:0]; // @[lib.scala 428:35] + wire _T_329 = ~twos_comp_in[28]; // @[lib.scala 428:40] + wire _T_331 = _T_327 ? _T_329 : twos_comp_in[28]; // @[lib.scala 428:23] + wire _T_333 = |twos_comp_in[28:0]; // @[lib.scala 428:35] + wire _T_335 = ~twos_comp_in[29]; // @[lib.scala 428:40] + wire _T_337 = _T_333 ? _T_335 : twos_comp_in[29]; // @[lib.scala 428:23] + wire _T_339 = |twos_comp_in[29:0]; // @[lib.scala 428:35] + wire _T_341 = ~twos_comp_in[30]; // @[lib.scala 428:40] + wire _T_343 = _T_339 ? _T_341 : twos_comp_in[30]; // @[lib.scala 428:23] + wire _T_345 = |twos_comp_in[30:0]; // @[lib.scala 428:35] + wire _T_347 = ~twos_comp_in[31]; // @[lib.scala 428:40] + wire _T_349 = _T_345 ? _T_347 : twos_comp_in[31]; // @[lib.scala 428:23] + wire [6:0] _T_355 = {_T_205,_T_199,_T_193,_T_187,_T_181,_T_175,_T_169}; // @[lib.scala 430:14] + wire [14:0] _T_363 = {_T_253,_T_247,_T_241,_T_235,_T_229,_T_223,_T_217,_T_211,_T_355}; // @[lib.scala 430:14] + wire [7:0] _T_370 = {_T_301,_T_295,_T_289,_T_283,_T_277,_T_271,_T_265,_T_259}; // @[lib.scala 430:14] + wire [30:0] _T_379 = {_T_349,_T_343,_T_337,_T_331,_T_325,_T_319,_T_313,_T_307,_T_370,_T_363}; // @[lib.scala 430:14] + wire [31:0] twos_comp_out = {_T_379,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire _T_381 = ~a_shift; // @[exu_div_ctl.scala 530:6] + wire _T_383 = _T_381 & _T_69; // @[exu_div_ctl.scala 530:15] + wire [31:0] _T_386 = {a_ff[29:0],2'h0}; // @[Cat.scala 29:58] + wire [63:0] ar_shifted = _T_75[63:0]; // @[exu_div_ctl.scala 505:28] + wire [31:0] _T_388 = _T_383 ? io_dividend_in : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_389 = a_shift ? _T_386 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_390 = shortq_enable_ff ? ar_shifted[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_391 = _T_388 | _T_389; // @[Mux.scala 27:72] + wire [31:0] a_in = _T_391 | _T_390; // @[Mux.scala 27:72] + wire _T_393 = ~b_twos_comp; // @[exu_div_ctl.scala 536:5] + wire _T_395 = io_signed_in & io_divisor_in[31]; // @[exu_div_ctl.scala 536:63] + wire [32:0] _T_397 = {_T_395,io_divisor_in}; // @[Cat.scala 29:58] + wire _T_398 = ~control_ff[1]; // @[exu_div_ctl.scala 537:49] + wire [32:0] _T_400 = {_T_398,_T_379,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire [32:0] _T_401 = _T_393 ? _T_397 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_402 = b_twos_comp ? _T_400 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] b_in = _T_401 | _T_402; // @[Mux.scala 27:72] + wire [31:0] _T_406 = {r_ff[29:0],a_ff[31:30]}; // @[Cat.scala 29:58] + wire [31:0] _T_411 = r_sign_sel ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_412 = r_restore_sel ? _T_406 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_413 = r_adder1_sel ? adder1_out[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_414 = r_adder2_sel ? adder2_out[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_415 = r_adder3_sel ? adder3_out[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_416 = shortq_enable_ff ? ar_shifted[63:32] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_417 = by_zero_case ? a_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_418 = _T_411 | _T_412; // @[Mux.scala 27:72] + wire [31:0] _T_419 = _T_418 | _T_413; // @[Mux.scala 27:72] + wire [31:0] _T_420 = _T_419 | _T_414; // @[Mux.scala 27:72] + wire [31:0] _T_421 = _T_420 | _T_415; // @[Mux.scala 27:72] + wire [31:0] _T_422 = _T_421 | _T_416; // @[Mux.scala 27:72] + wire [31:0] r_in = _T_422 | _T_417; // @[Mux.scala 27:72] + wire [31:0] _T_426 = {q_ff[29:0],_T_151,_T_157}; // @[Cat.scala 29:58] + wire _T_448 = ~b_ff[3]; // @[exu_div_ctl.scala 561:70] + wire _T_450 = ~b_ff[2]; // @[exu_div_ctl.scala 561:70] + wire _T_453 = _T_448 & _T_450; // @[exu_div_ctl.scala 561:95] + wire _T_452 = ~b_ff[1]; // @[exu_div_ctl.scala 561:70] + wire _T_454 = _T_453 & _T_452; // @[exu_div_ctl.scala 561:95] + wire _T_455 = a_ff[3] & _T_454; // @[exu_div_ctl.scala 562:11] + wire _T_462 = a_ff[3] & _T_453; // @[exu_div_ctl.scala 562:11] + wire _T_464 = ~b_ff[0]; // @[exu_div_ctl.scala 567:33] + wire _T_465 = _T_462 & _T_464; // @[exu_div_ctl.scala 567:31] + wire _T_475 = a_ff[2] & _T_454; // @[exu_div_ctl.scala 562:11] + wire _T_476 = _T_465 | _T_475; // @[exu_div_ctl.scala 567:42] + wire _T_479 = a_ff[3] & a_ff[2]; // @[exu_div_ctl.scala 560:95] + wire _T_485 = _T_479 & _T_453; // @[exu_div_ctl.scala 562:11] + wire _T_486 = _T_476 | _T_485; // @[exu_div_ctl.scala 567:75] + wire _T_493 = a_ff[2] & _T_453; // @[exu_div_ctl.scala 562:11] + wire _T_496 = _T_493 & _T_464; // @[exu_div_ctl.scala 569:31] + wire _T_506 = a_ff[1] & _T_454; // @[exu_div_ctl.scala 562:11] + wire _T_507 = _T_496 | _T_506; // @[exu_div_ctl.scala 569:42] + wire _T_513 = _T_448 & _T_452; // @[exu_div_ctl.scala 561:95] + wire _T_514 = a_ff[3] & _T_513; // @[exu_div_ctl.scala 562:11] + wire _T_517 = _T_514 & _T_464; // @[exu_div_ctl.scala 569:106] + wire _T_518 = _T_507 | _T_517; // @[exu_div_ctl.scala 569:78] + wire _T_521 = ~a_ff[2]; // @[exu_div_ctl.scala 560:70] + wire _T_522 = a_ff[3] & _T_521; // @[exu_div_ctl.scala 560:95] + wire _T_530 = _T_453 & b_ff[1]; // @[exu_div_ctl.scala 561:95] + wire _T_531 = _T_530 & b_ff[0]; // @[exu_div_ctl.scala 561:95] + wire _T_532 = _T_522 & _T_531; // @[exu_div_ctl.scala 562:11] + wire _T_533 = _T_518 | _T_532; // @[exu_div_ctl.scala 569:117] + wire _T_535 = ~a_ff[3]; // @[exu_div_ctl.scala 560:70] + wire _T_538 = _T_535 & a_ff[2]; // @[exu_div_ctl.scala 560:95] + wire _T_539 = _T_538 & a_ff[1]; // @[exu_div_ctl.scala 560:95] + wire _T_545 = _T_539 & _T_453; // @[exu_div_ctl.scala 562:11] + wire _T_546 = _T_533 | _T_545; // @[exu_div_ctl.scala 570:44] + wire _T_552 = _T_479 & _T_448; // @[exu_div_ctl.scala 562:11] + wire _T_555 = _T_552 & _T_464; // @[exu_div_ctl.scala 570:107] + wire _T_556 = _T_546 | _T_555; // @[exu_div_ctl.scala 570:80] + wire _T_565 = _T_448 & b_ff[2]; // @[exu_div_ctl.scala 561:95] + wire _T_566 = _T_565 & _T_452; // @[exu_div_ctl.scala 561:95] + wire _T_567 = _T_479 & _T_566; // @[exu_div_ctl.scala 562:11] + wire _T_568 = _T_556 | _T_567; // @[exu_div_ctl.scala 570:119] + wire _T_571 = a_ff[3] & a_ff[1]; // @[exu_div_ctl.scala 560:95] + wire _T_577 = _T_571 & _T_513; // @[exu_div_ctl.scala 562:11] + wire _T_578 = _T_568 | _T_577; // @[exu_div_ctl.scala 571:44] + wire _T_583 = _T_479 & a_ff[1]; // @[exu_div_ctl.scala 560:95] + wire _T_588 = _T_583 & _T_565; // @[exu_div_ctl.scala 562:11] + wire _T_589 = _T_578 | _T_588; // @[exu_div_ctl.scala 571:79] + wire _T_593 = a_ff[2] & a_ff[1]; // @[exu_div_ctl.scala 560:95] + wire _T_594 = _T_593 & a_ff[0]; // @[exu_div_ctl.scala 560:95] + wire _T_600 = _T_594 & _T_513; // @[exu_div_ctl.scala 562:11] + wire _T_606 = _T_522 & a_ff[0]; // @[exu_div_ctl.scala 560:95] + wire _T_611 = _T_448 & b_ff[1]; // @[exu_div_ctl.scala 561:95] + wire _T_612 = _T_611 & b_ff[0]; // @[exu_div_ctl.scala 561:95] + wire _T_613 = _T_606 & _T_612; // @[exu_div_ctl.scala 562:11] + wire _T_614 = _T_600 | _T_613; // @[exu_div_ctl.scala 573:45] + wire _T_621 = a_ff[2] & _T_513; // @[exu_div_ctl.scala 562:11] + wire _T_624 = _T_621 & _T_464; // @[exu_div_ctl.scala 573:114] + wire _T_625 = _T_614 | _T_624; // @[exu_div_ctl.scala 573:86] + wire _T_632 = a_ff[1] & _T_453; // @[exu_div_ctl.scala 562:11] + wire _T_635 = _T_632 & _T_464; // @[exu_div_ctl.scala 574:33] + wire _T_636 = _T_625 | _T_635; // @[exu_div_ctl.scala 573:129] + wire _T_646 = a_ff[0] & _T_454; // @[exu_div_ctl.scala 562:11] + wire _T_647 = _T_636 | _T_646; // @[exu_div_ctl.scala 574:47] + wire _T_652 = ~a_ff[1]; // @[exu_div_ctl.scala 560:70] + wire _T_654 = _T_538 & _T_652; // @[exu_div_ctl.scala 560:95] + wire _T_664 = _T_654 & _T_531; // @[exu_div_ctl.scala 562:11] + wire _T_665 = _T_647 | _T_664; // @[exu_div_ctl.scala 574:88] + wire _T_674 = _T_539 & _T_448; // @[exu_div_ctl.scala 562:11] + wire _T_677 = _T_674 & _T_464; // @[exu_div_ctl.scala 575:36] + wire _T_678 = _T_665 | _T_677; // @[exu_div_ctl.scala 574:131] + wire _T_684 = _T_450 & _T_452; // @[exu_div_ctl.scala 561:95] + wire _T_685 = a_ff[3] & _T_684; // @[exu_div_ctl.scala 562:11] + wire _T_688 = _T_685 & _T_464; // @[exu_div_ctl.scala 575:76] + wire _T_689 = _T_678 | _T_688; // @[exu_div_ctl.scala 575:47] + wire _T_699 = _T_565 & b_ff[1]; // @[exu_div_ctl.scala 561:95] + wire _T_700 = _T_522 & _T_699; // @[exu_div_ctl.scala 562:11] + wire _T_701 = _T_689 | _T_700; // @[exu_div_ctl.scala 575:88] + wire _T_715 = _T_539 & _T_566; // @[exu_div_ctl.scala 562:11] + wire _T_716 = _T_701 | _T_715; // @[exu_div_ctl.scala 575:131] + wire _T_722 = _T_538 & a_ff[0]; // @[exu_div_ctl.scala 560:95] + wire _T_728 = _T_722 & _T_513; // @[exu_div_ctl.scala 562:11] + wire _T_729 = _T_716 | _T_728; // @[exu_div_ctl.scala 576:47] + wire _T_736 = _T_522 & _T_652; // @[exu_div_ctl.scala 560:95] + wire _T_742 = _T_565 & b_ff[0]; // @[exu_div_ctl.scala 561:95] + wire _T_743 = _T_736 & _T_742; // @[exu_div_ctl.scala 562:11] + wire _T_744 = _T_729 | _T_743; // @[exu_div_ctl.scala 576:88] + wire _T_749 = _T_521 & a_ff[1]; // @[exu_div_ctl.scala 560:95] + wire _T_750 = _T_749 & a_ff[0]; // @[exu_div_ctl.scala 560:95] + wire _T_756 = _T_750 & _T_453; // @[exu_div_ctl.scala 562:11] + wire _T_757 = _T_744 | _T_756; // @[exu_div_ctl.scala 576:131] + wire _T_763 = _T_479 & _T_452; // @[exu_div_ctl.scala 562:11] + wire _T_766 = _T_763 & _T_464; // @[exu_div_ctl.scala 577:75] + wire _T_767 = _T_757 | _T_766; // @[exu_div_ctl.scala 577:47] + wire _T_775 = _T_539 & a_ff[0]; // @[exu_div_ctl.scala 560:95] + wire _T_780 = _T_775 & _T_565; // @[exu_div_ctl.scala 562:11] + wire _T_781 = _T_767 | _T_780; // @[exu_div_ctl.scala 577:88] + wire _T_788 = b_ff[3] & _T_450; // @[exu_div_ctl.scala 561:95] + wire _T_789 = _T_479 & _T_788; // @[exu_div_ctl.scala 562:11] + wire _T_790 = _T_781 | _T_789; // @[exu_div_ctl.scala 577:131] + wire _T_800 = _T_788 & _T_452; // @[exu_div_ctl.scala 561:95] + wire _T_801 = _T_571 & _T_800; // @[exu_div_ctl.scala 562:11] + wire _T_802 = _T_790 | _T_801; // @[exu_div_ctl.scala 578:47] + wire _T_805 = a_ff[3] & a_ff[0]; // @[exu_div_ctl.scala 560:95] + wire _T_811 = _T_805 & _T_684; // @[exu_div_ctl.scala 562:11] + wire _T_812 = _T_802 | _T_811; // @[exu_div_ctl.scala 578:88] + wire _T_816 = a_ff[3] & _T_652; // @[exu_div_ctl.scala 560:95] + wire _T_824 = _T_699 & b_ff[0]; // @[exu_div_ctl.scala 561:95] + wire _T_825 = _T_816 & _T_824; // @[exu_div_ctl.scala 562:11] + wire _T_826 = _T_812 | _T_825; // @[exu_div_ctl.scala 578:131] + wire _T_833 = _T_583 & b_ff[3]; // @[exu_div_ctl.scala 562:11] + wire _T_836 = _T_833 & _T_464; // @[exu_div_ctl.scala 579:77] + wire _T_837 = _T_826 | _T_836; // @[exu_div_ctl.scala 579:47] + wire _T_846 = b_ff[3] & _T_452; // @[exu_div_ctl.scala 561:95] + wire _T_847 = _T_583 & _T_846; // @[exu_div_ctl.scala 562:11] + wire _T_848 = _T_837 | _T_847; // @[exu_div_ctl.scala 579:88] + wire _T_853 = _T_479 & a_ff[0]; // @[exu_div_ctl.scala 560:95] + wire _T_858 = _T_853 & _T_846; // @[exu_div_ctl.scala 562:11] + wire _T_859 = _T_848 | _T_858; // @[exu_div_ctl.scala 579:131] + wire _T_865 = _T_522 & a_ff[1]; // @[exu_div_ctl.scala 560:95] + wire _T_870 = _T_865 & _T_611; // @[exu_div_ctl.scala 562:11] + wire _T_871 = _T_859 | _T_870; // @[exu_div_ctl.scala 580:47] + wire _T_876 = _T_571 & a_ff[0]; // @[exu_div_ctl.scala 560:95] + wire _T_879 = _T_876 & _T_450; // @[exu_div_ctl.scala 562:11] + wire _T_880 = _T_871 | _T_879; // @[exu_div_ctl.scala 580:88] + wire _T_887 = _T_583 & a_ff[0]; // @[exu_div_ctl.scala 560:95] + wire _T_889 = _T_887 & b_ff[3]; // @[exu_div_ctl.scala 562:11] + wire _T_890 = _T_880 | _T_889; // @[exu_div_ctl.scala 580:131] + wire _T_896 = _T_571 & _T_450; // @[exu_div_ctl.scala 562:11] + wire _T_899 = _T_896 & _T_464; // @[exu_div_ctl.scala 581:74] + wire _T_900 = _T_890 | _T_899; // @[exu_div_ctl.scala 581:47] + wire [31:0] _T_427 = {28'h0,_T_455,_T_486,_T_589,_T_900}; // @[Cat.scala 29:58] + wire [31:0] _T_429 = _T_80 ? _T_426 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_430 = smallnum_case ? _T_427 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_431 = by_zero_case ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_432 = _T_429 | _T_430; // @[Mux.scala 27:72] + wire [31:0] q_in = _T_432 | _T_431; // @[Mux.scala 27:72] + wire _T_437 = ~twos_comp_q_sel; // @[exu_div_ctl.scala 555:16] + wire _T_438 = _T_30 & _T_437; // @[exu_div_ctl.scala 555:14] + wire [31:0] _T_440 = _T_438 ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_441 = control_ff[0] ? r_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_442 = twos_comp_q_sel ? twos_comp_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_443 = _T_440 | _T_441; // @[Mux.scala 27:72] + wire [4:0] _T_925 = 5'h1f - shortq[4:0]; // @[exu_div_ctl.scala 595:57] + wire [4:0] shortq_shift = _T_58 ? 5'h0 : _T_925; // @[exu_div_ctl.scala 595:25] exu_div_cls a_enc ( // @[exu_div_ctl.scala 584:21] .io_operand(a_enc_io_operand), .io_cls(a_enc_io_cls) @@ -585,32 +732,32 @@ module exu_div_new_2bit_fullshortq( .io_clk(rvclkhdr_10_io_clk), .io_en(rvclkhdr_10_io_en) ); - assign io_data_out = _T_429 | _T_428; // @[exu_div_ctl.scala 554:15] + assign io_data_out = _T_443 | _T_442; // @[exu_div_ctl.scala 554:15] assign io_valid_out = finish_ff & _T; // @[exu_div_ctl.scala 553:16] assign a_enc_io_operand = {control_ff[2],a_ff}; // @[exu_div_ctl.scala 585:20] assign b_enc_io_operand = b_ff[32:0]; // @[exu_div_ctl.scala 588:20] assign rvclkhdr_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] assign rvclkhdr_1_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_1_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_1_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] assign rvclkhdr_2_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_2_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_2_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] assign rvclkhdr_3_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_3_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_3_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] assign rvclkhdr_4_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_4_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_4_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] assign rvclkhdr_5_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_5_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_5_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] assign rvclkhdr_6_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_6_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_6_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] assign rvclkhdr_7_io_clk = clock; // @[lib.scala 392:18] assign rvclkhdr_7_io_en = io_valid_in | running_state; // @[lib.scala 393:17] assign rvclkhdr_8_io_clk = clock; // @[lib.scala 392:18] assign rvclkhdr_8_io_en = io_valid_in | b_twos_comp; // @[lib.scala 393:17] assign rvclkhdr_9_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_9_io_en = _T_33 | running_state; // @[lib.scala 393:17] + assign rvclkhdr_9_io_en = _T_45 | running_state; // @[lib.scala 393:17] assign rvclkhdr_10_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_10_io_en = _T_33 | running_state; // @[lib.scala 393:17] + assign rvclkhdr_10_io_en = _T_45 | running_state; // @[lib.scala 393:17] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -661,7 +808,7 @@ initial begin _RAND_6 = {1{`RANDOM}}; finish_ff = _RAND_6[0:0]; _RAND_7 = {1{`RANDOM}}; - shortq_shift_ff = _RAND_7[3:0]; + _T_935 = _RAND_7[3:0]; _RAND_8 = {1{`RANDOM}}; by_zero_case_ff = _RAND_8[0:0]; _RAND_9 = {1{`RANDOM}}; @@ -691,7 +838,7 @@ initial begin finish_ff = 1'h0; end if (reset) begin - shortq_shift_ff = 4'h0; + _T_935 = 4'h0; end if (reset) begin by_zero_case_ff = 1'h0; @@ -759,9 +906,9 @@ end // initial end always @(posedge clock or posedge reset) begin if (reset) begin - shortq_shift_ff <= 4'h0; + _T_935 <= 4'h0; end else if (misc_enable) begin - shortq_shift_ff <= shortq_shift[4:1]; + _T_935 <= shortq_shift[4:1]; end end always @(posedge clock or posedge reset) begin diff --git a/src/main/scala/exu/exu_div_ctl.scala b/src/main/scala/exu/exu_div_ctl.scala index 5d145e9e..de3148fe 100644 --- a/src/main/scala/exu/exu_div_ctl.scala +++ b/src/main/scala/exu/exu_div_ctl.scala @@ -447,7 +447,7 @@ class exu_div_new_2bit_fullshortq extends Module with RequireAsyncReset with lib // val count_in = WireInit(0.U(7.W)) val count_ff = WireInit(0.U(7.W)) val smallnum = WireInit(0.U(4.W)) - val smallnum_case = WireInit(Bool(),init=false.B) +// val smallnum_case = WireInit(Bool(),init=false.B) // val a_enable = WireInit(Bool(),init=false.B) // val a_shift = WireInit(Bool(),init=false.B) // val b_enable = WireInit(Bool(),init=false.B) @@ -483,7 +483,7 @@ class exu_div_new_2bit_fullshortq extends Module with RequireAsyncReset with lib val ar_shifted = WireInit(0.U(64.W)) // val shortq = WireInit(0.U(6.W)) // val shortq_shift = WireInit(0.U(5.W)) - val shortq_shift_ff = WireInit(0.U(4.W)) + val shortq_shift_ff = WireInit(0.U(5.W)) // val shortq_dividend = WireInit(0.U(33.W)) val valid_ff_in = io.valid_in & !io.cancel val control_in = Cat((!io.valid_in & control_ff(2)) | (io.valid_in & io.signed_in & io.dividend_in(31)), (!io.valid_in & control_ff(1)) | (io.valid_in & io.signed_in & io.divisor_in(31)), (!io.valid_in & control_ff(0)) | (io.valid_in & io.rem_in)) @@ -492,17 +492,17 @@ class exu_div_new_2bit_fullshortq extends Module with RequireAsyncReset with lib val rem_ff = control_ff(0) val by_zero_case = valid_ff & (b_ff(31,0) === 0.U) -// val smallnum_case = ((a_ff(31,4) === 0.U) & (b_ff(31,4) === 0.U) & !by_zero_case & !rem_ff & valid_ff & !io.cancel) | + val smallnum_case = ((a_ff(31,4) === 0.U) & (b_ff(31,4) === 0.U) & !by_zero_case & !rem_ff & valid_ff & !io.cancel) | ((a_ff(31,0) === 0.U) & !by_zero_case & !rem_ff & valid_ff & !io.cancel) val running_state = count_ff.orR() | shortq_enable_ff val misc_enable = io.valid_in | valid_ff | io.cancel | running_state | finish_ff val finish_raw = smallnum_case | by_zero_case | (count_ff === 32.U) val finish = finish_raw & !io.cancel val count_enable = (valid_ff | running_state) & !finish & !finish_ff & !io.cancel & !shortq_enable - val count_in = Fill(7,count_enable) & (count_ff + Cat(0.U(5.W),2.U) + Cat(0.U(2.W),shortq_shift_ff,0.U)) + val count_in = Fill(7,count_enable) & (count_ff + Cat(0.U(5.W),2.U) + Cat(0.U(2.W),shortq_shift_ff(4,1),0.U)) val a_enable = io.valid_in | running_state val a_shift = running_state & !shortq_enable_ff - ar_shifted := Cat (Fill(32,dividend_sign_ff),a_ff) << Cat(shortq_shift_ff,0.U) + ar_shifted := Cat (Fill(32,dividend_sign_ff),a_ff) << Cat(shortq_shift_ff(4,1),0.U) val b_twos_comp = valid_ff & !(dividend_sign_ff ^ divisor_sign_ff) val twos_comp_b_sel = valid_ff & !(dividend_sign_ff ^ divisor_sign_ff) val twos_comp_q_sel = !valid_ff & !rem_ff & (dividend_sign_ff ^ divisor_sign_ff) & !by_zero_case_ff @@ -598,7 +598,7 @@ class exu_div_new_2bit_fullshortq extends Module with RequireAsyncReset with lib control_ff := rvdffe(control_in, misc_enable,clock,io.scan_mode) by_zero_case_ff := rvdffe(by_zero_case,misc_enable,clock,io.scan_mode) shortq_enable_ff := rvdffe(shortq_enable, misc_enable,clock,io.scan_mode) - shortq_shift_ff := rvdffe(shortq_shift(4,1), misc_enable,clock,io.scan_mode) + shortq_shift_ff := Cat(rvdffe(shortq_shift(4,1), misc_enable,clock,io.scan_mode),0.U) finish_ff := rvdffe(finish, misc_enable,clock,io.scan_mode) count_ff := rvdffe(count_in, misc_enable,clock,io.scan_mode) diff --git a/target/scala-2.12/classes/exu/exu_div_cls.class b/target/scala-2.12/classes/exu/exu_div_cls.class index 0b6472bf..e4b9c0f3 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_cls.class and b/target/scala-2.12/classes/exu/exu_div_cls.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq.class index 518d90ad..053dfebd 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq.class and b/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class index 4026b68c..e810fbd8 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class and b/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class index c6a899bf..d1b4e18e 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class and b/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class differ