From 9a6d820227303dce53a713a05e89c54894bf8b99 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Wed, 16 Dec 2020 17:29:40 +0500 Subject: [PATCH] LSU & EXU added --- quasar_wrapper.fir | 8444 ++++++++--------- quasar_wrapper.v | 3386 +++---- src/main/scala/exu/exu.scala | 6 +- src/main/scala/exu/exu_alu_ctl.scala | 1 - src/main/scala/lsu/lsu_bus_buffer.scala | 1 + src/main/scala/lsu/lsu_bus_intf.scala | 2 - src/main/scala/lsu/lsu_clkdomain.scala | 1 - .../scala-2.12/classes/exu/exu_alu_ctl.class | Bin 138936 -> 138936 bytes target/scala-2.12/classes/exu/exu_main$.class | Bin 0 -> 3844 bytes .../exu/exu_main$delayedInit$body.class | Bin 0 -> 730 bytes target/scala-2.12/classes/exu/exu_main.class | Bin 0 -> 773 bytes .../scala-2.12/classes/lsu/bus_buffer$.class | Bin 3889 -> 3889 bytes .../lsu/bus_buffer$delayedInit$body.class | Bin 753 -> 753 bytes .../classes/lsu/lsu_bus_buffer.class | Bin 548354 -> 548355 bytes .../classes/lsu/lsu_bus_intf$$anon$1.class | Bin 7108 -> 7108 bytes .../scala-2.12/classes/lsu/lsu_bus_intf.class | Bin 170209 -> 170207 bytes .../classes/lsu/lsu_clkdomain$$anon$1.class | Bin 5553 -> 5553 bytes .../classes/lsu/lsu_clkdomain.class | Bin 91507 -> 91507 bytes 18 files changed, 5921 insertions(+), 5920 deletions(-) create mode 100644 target/scala-2.12/classes/exu/exu_main$.class create mode 100644 target/scala-2.12/classes/exu/exu_main$delayedInit$body.class create mode 100644 target/scala-2.12/classes/exu/exu_main.class diff --git a/quasar_wrapper.fir b/quasar_wrapper.fir index 7b820bcb..6e0955e5 100644 --- a/quasar_wrapper.fir +++ b/quasar_wrapper.fir @@ -83881,53 +83881,53 @@ circuit quasar_wrapper : node _T_302 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu_alu_ctl.scala 111:141] node _T_303 = and(_T_301, _T_302) @[exu_alu_ctl.scala 111:139] io.flush_upper_out <= _T_303 @[exu_alu_ctl.scala 111:26] - node _T_304 = or(io.i0_ap.jal, cond_mispredict) @[exu_alu_ctl.scala 113:45] - node _T_305 = or(_T_304, target_mispredict) @[exu_alu_ctl.scala 113:63] - node _T_306 = and(_T_305, io.dec_alu.dec_i0_alu_decode_d) @[exu_alu_ctl.scala 113:84] - node _T_307 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 113:119] - node _T_308 = and(_T_306, _T_307) @[exu_alu_ctl.scala 113:117] - node _T_309 = or(_T_308, io.dec_tlu_flush_lower_r) @[exu_alu_ctl.scala 113:139] - io.flush_final_out <= _T_309 @[exu_alu_ctl.scala 113:26] + node _T_304 = or(io.i0_ap.jal, cond_mispredict) @[exu_alu_ctl.scala 112:45] + node _T_305 = or(_T_304, target_mispredict) @[exu_alu_ctl.scala 112:63] + node _T_306 = and(_T_305, io.dec_alu.dec_i0_alu_decode_d) @[exu_alu_ctl.scala 112:84] + node _T_307 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 112:119] + node _T_308 = and(_T_306, _T_307) @[exu_alu_ctl.scala 112:117] + node _T_309 = or(_T_308, io.dec_tlu_flush_lower_r) @[exu_alu_ctl.scala 112:139] + io.flush_final_out <= _T_309 @[exu_alu_ctl.scala 112:26] wire newhist : UInt<2> newhist <= UInt<1>("h00") - node _T_310 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 117:40] - node _T_311 = bits(io.pp_in.bits.hist, 0, 0) @[exu_alu_ctl.scala 117:65] - node _T_312 = and(_T_310, _T_311) @[exu_alu_ctl.scala 117:44] - node _T_313 = bits(io.pp_in.bits.hist, 0, 0) @[exu_alu_ctl.scala 117:92] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[exu_alu_ctl.scala 117:73] - node _T_315 = and(_T_314, actual_taken) @[exu_alu_ctl.scala 117:96] - node _T_316 = or(_T_312, _T_315) @[exu_alu_ctl.scala 117:70] - node _T_317 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 118:25] - node _T_318 = eq(_T_317, UInt<1>("h00")) @[exu_alu_ctl.scala 118:6] - node _T_319 = eq(actual_taken, UInt<1>("h00")) @[exu_alu_ctl.scala 118:31] - node _T_320 = and(_T_318, _T_319) @[exu_alu_ctl.scala 118:29] - node _T_321 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 118:68] - node _T_322 = and(_T_321, actual_taken) @[exu_alu_ctl.scala 118:72] - node _T_323 = or(_T_320, _T_322) @[exu_alu_ctl.scala 118:47] + node _T_310 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 116:40] + node _T_311 = bits(io.pp_in.bits.hist, 0, 0) @[exu_alu_ctl.scala 116:65] + node _T_312 = and(_T_310, _T_311) @[exu_alu_ctl.scala 116:44] + node _T_313 = bits(io.pp_in.bits.hist, 0, 0) @[exu_alu_ctl.scala 116:92] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[exu_alu_ctl.scala 116:73] + node _T_315 = and(_T_314, actual_taken) @[exu_alu_ctl.scala 116:96] + node _T_316 = or(_T_312, _T_315) @[exu_alu_ctl.scala 116:70] + node _T_317 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 117:25] + node _T_318 = eq(_T_317, UInt<1>("h00")) @[exu_alu_ctl.scala 117:6] + node _T_319 = eq(actual_taken, UInt<1>("h00")) @[exu_alu_ctl.scala 117:31] + node _T_320 = and(_T_318, _T_319) @[exu_alu_ctl.scala 117:29] + node _T_321 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 117:68] + node _T_322 = and(_T_321, actual_taken) @[exu_alu_ctl.scala 117:72] + node _T_323 = or(_T_320, _T_322) @[exu_alu_ctl.scala 117:47] node _T_324 = cat(_T_316, _T_323) @[Cat.scala 29:58] - newhist <= _T_324 @[exu_alu_ctl.scala 117:14] - io.predict_p_out.bits.way <= io.pp_in.bits.way @[exu_alu_ctl.scala 120:30] - io.predict_p_out.bits.pja <= io.pp_in.bits.pja @[exu_alu_ctl.scala 120:30] - io.predict_p_out.bits.pret <= io.pp_in.bits.pret @[exu_alu_ctl.scala 120:30] - io.predict_p_out.bits.pcall <= io.pp_in.bits.pcall @[exu_alu_ctl.scala 120:30] - io.predict_p_out.bits.prett <= io.pp_in.bits.prett @[exu_alu_ctl.scala 120:30] - io.predict_p_out.bits.br_start_error <= io.pp_in.bits.br_start_error @[exu_alu_ctl.scala 120:30] - io.predict_p_out.bits.br_error <= io.pp_in.bits.br_error @[exu_alu_ctl.scala 120:30] - io.predict_p_out.bits.toffset <= io.pp_in.bits.toffset @[exu_alu_ctl.scala 120:30] - io.predict_p_out.bits.hist <= io.pp_in.bits.hist @[exu_alu_ctl.scala 120:30] - io.predict_p_out.bits.pc4 <= io.pp_in.bits.pc4 @[exu_alu_ctl.scala 120:30] - io.predict_p_out.bits.boffset <= io.pp_in.bits.boffset @[exu_alu_ctl.scala 120:30] - io.predict_p_out.bits.ataken <= io.pp_in.bits.ataken @[exu_alu_ctl.scala 120:30] - io.predict_p_out.bits.misp <= io.pp_in.bits.misp @[exu_alu_ctl.scala 120:30] - io.predict_p_out.valid <= io.pp_in.valid @[exu_alu_ctl.scala 120:30] - node _T_325 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 121:38] - node _T_326 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu_alu_ctl.scala 121:58] - node _T_327 = and(_T_325, _T_326) @[exu_alu_ctl.scala 121:56] - node _T_328 = or(cond_mispredict, target_mispredict) @[exu_alu_ctl.scala 121:103] - node _T_329 = and(_T_327, _T_328) @[exu_alu_ctl.scala 121:84] - io.predict_p_out.bits.misp <= _T_329 @[exu_alu_ctl.scala 121:35] - io.predict_p_out.bits.ataken <= actual_taken @[exu_alu_ctl.scala 122:35] - io.predict_p_out.bits.hist <= newhist @[exu_alu_ctl.scala 123:35] + newhist <= _T_324 @[exu_alu_ctl.scala 116:14] + io.predict_p_out.bits.way <= io.pp_in.bits.way @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.pja <= io.pp_in.bits.pja @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.pret <= io.pp_in.bits.pret @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.pcall <= io.pp_in.bits.pcall @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.prett <= io.pp_in.bits.prett @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.br_start_error <= io.pp_in.bits.br_start_error @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.br_error <= io.pp_in.bits.br_error @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.toffset <= io.pp_in.bits.toffset @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.hist <= io.pp_in.bits.hist @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.pc4 <= io.pp_in.bits.pc4 @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.boffset <= io.pp_in.bits.boffset @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.ataken <= io.pp_in.bits.ataken @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.misp <= io.pp_in.bits.misp @[exu_alu_ctl.scala 119:30] + io.predict_p_out.valid <= io.pp_in.valid @[exu_alu_ctl.scala 119:30] + node _T_325 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 120:38] + node _T_326 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu_alu_ctl.scala 120:58] + node _T_327 = and(_T_325, _T_326) @[exu_alu_ctl.scala 120:56] + node _T_328 = or(cond_mispredict, target_mispredict) @[exu_alu_ctl.scala 120:103] + node _T_329 = and(_T_327, _T_328) @[exu_alu_ctl.scala 120:84] + io.predict_p_out.bits.misp <= _T_329 @[exu_alu_ctl.scala 120:35] + io.predict_p_out.bits.ataken <= actual_taken @[exu_alu_ctl.scala 121:35] + io.predict_p_out.bits.hist <= newhist @[exu_alu_ctl.scala 122:35] extmodule gated_latch_783 : output Q : Clock @@ -94505,152 +94505,152 @@ circuit quasar_wrapper : input reset : AsyncReset output io : {flip free_clk : Clock, flip clk_override : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip dma_dccm_req : UInt<1>, flip ldst_stbuf_reqvld_r : UInt<1>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_reqvld_flushed_any : UInt<1>, flip lsu_busreq_r : UInt<1>, flip lsu_bus_buffer_pend_any : UInt<1>, flip lsu_bus_buffer_empty_any : UInt<1>, flip lsu_stbuf_empty_any : UInt<1>, flip lsu_bus_clk_en : UInt<1>, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_c1_m_clk : Clock, lsu_c1_r_clk : Clock, lsu_c2_m_clk : Clock, lsu_c2_r_clk : Clock, lsu_store_c1_m_clk : Clock, lsu_store_c1_r_clk : Clock, lsu_stbuf_c1_clk : Clock, lsu_bus_obuf_c1_clk : Clock, lsu_bus_ibuf_c1_clk : Clock, lsu_bus_buf_c1_clk : Clock, lsu_busm_clk : Clock, lsu_free_c2_clk : Clock, flip scan_mode : UInt<1>} - wire lsu_c1_d_clken_q : UInt<1> @[lsu_clkdomain.scala 58:36] - wire lsu_c1_m_clken_q : UInt<1> @[lsu_clkdomain.scala 59:36] - wire lsu_c1_r_clken_q : UInt<1> @[lsu_clkdomain.scala 60:36] - wire lsu_free_c1_clken_q : UInt<1> @[lsu_clkdomain.scala 61:36] - node _T = or(io.lsu_p.valid, io.dma_dccm_req) @[lsu_clkdomain.scala 63:51] - node lsu_c1_d_clken = or(_T, io.clk_override) @[lsu_clkdomain.scala 63:70] - node _T_1 = or(io.lsu_pkt_d.valid, lsu_c1_d_clken_q) @[lsu_clkdomain.scala 64:51] - node lsu_c1_m_clken = or(_T_1, io.clk_override) @[lsu_clkdomain.scala 64:70] - node _T_2 = or(io.lsu_pkt_m.valid, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 65:51] - node lsu_c1_r_clken = or(_T_2, io.clk_override) @[lsu_clkdomain.scala 65:70] - node _T_3 = or(lsu_c1_m_clken, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 67:47] - node lsu_c2_m_clken = or(_T_3, io.clk_override) @[lsu_clkdomain.scala 67:66] - node _T_4 = or(lsu_c1_r_clken, lsu_c1_r_clken_q) @[lsu_clkdomain.scala 68:47] - node lsu_c2_r_clken = or(_T_4, io.clk_override) @[lsu_clkdomain.scala 68:66] - node _T_5 = and(lsu_c1_m_clken, io.lsu_pkt_d.bits.store) @[lsu_clkdomain.scala 70:49] - node lsu_store_c1_m_clken = or(_T_5, io.clk_override) @[lsu_clkdomain.scala 70:76] - node _T_6 = and(lsu_c1_r_clken, io.lsu_pkt_m.bits.store) @[lsu_clkdomain.scala 71:49] - node lsu_store_c1_r_clken = or(_T_6, io.clk_override) @[lsu_clkdomain.scala 71:76] - node _T_7 = or(io.ldst_stbuf_reqvld_r, io.stbuf_reqvld_any) @[lsu_clkdomain.scala 72:55] - node _T_8 = or(_T_7, io.stbuf_reqvld_flushed_any) @[lsu_clkdomain.scala 72:77] - node lsu_stbuf_c1_clken = or(_T_8, io.clk_override) @[lsu_clkdomain.scala 72:107] - node lsu_bus_ibuf_c1_clken = or(io.lsu_busreq_r, io.clk_override) @[lsu_clkdomain.scala 73:49] - node _T_9 = or(io.lsu_bus_buffer_pend_any, io.lsu_busreq_r) @[lsu_clkdomain.scala 74:61] - node _T_10 = or(_T_9, io.clk_override) @[lsu_clkdomain.scala 74:79] - node lsu_bus_obuf_c1_clken = and(_T_10, io.lsu_bus_clk_en) @[lsu_clkdomain.scala 74:98] - node _T_11 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 75:32] - node _T_12 = or(_T_11, io.lsu_busreq_r) @[lsu_clkdomain.scala 75:61] - node lsu_bus_buf_c1_clken = or(_T_12, io.clk_override) @[lsu_clkdomain.scala 75:79] - node _T_13 = or(io.lsu_p.valid, io.lsu_pkt_d.valid) @[lsu_clkdomain.scala 77:48] - node _T_14 = or(_T_13, io.lsu_pkt_m.valid) @[lsu_clkdomain.scala 77:69] - node _T_15 = or(_T_14, io.lsu_pkt_r.valid) @[lsu_clkdomain.scala 77:90] - node _T_16 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 77:114] - node _T_17 = or(_T_15, _T_16) @[lsu_clkdomain.scala 77:112] - node _T_18 = eq(io.lsu_stbuf_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 77:145] - node _T_19 = or(_T_17, _T_18) @[lsu_clkdomain.scala 77:143] - node lsu_free_c1_clken = or(_T_19, io.clk_override) @[lsu_clkdomain.scala 77:169] - node _T_20 = or(lsu_free_c1_clken, lsu_free_c1_clken_q) @[lsu_clkdomain.scala 78:50] - node lsu_free_c2_clken = or(_T_20, io.clk_override) @[lsu_clkdomain.scala 78:72] - reg _T_21 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 81:60] - _T_21 <= lsu_free_c1_clken @[lsu_clkdomain.scala 81:60] - lsu_free_c1_clken_q <= _T_21 @[lsu_clkdomain.scala 81:26] - reg _T_22 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 82:67] - _T_22 <= lsu_c1_d_clken @[lsu_clkdomain.scala 82:67] - lsu_c1_d_clken_q <= _T_22 @[lsu_clkdomain.scala 82:26] - reg _T_23 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 83:67] - _T_23 <= lsu_c1_m_clken @[lsu_clkdomain.scala 83:67] - lsu_c1_m_clken_q <= _T_23 @[lsu_clkdomain.scala 83:26] - reg _T_24 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 84:67] - _T_24 <= lsu_c1_r_clken @[lsu_clkdomain.scala 84:67] - lsu_c1_r_clken_q <= _T_24 @[lsu_clkdomain.scala 84:26] - node _T_25 = bits(lsu_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 86:59] + wire lsu_c1_d_clken_q : UInt<1> @[lsu_clkdomain.scala 57:36] + wire lsu_c1_m_clken_q : UInt<1> @[lsu_clkdomain.scala 58:36] + wire lsu_c1_r_clken_q : UInt<1> @[lsu_clkdomain.scala 59:36] + wire lsu_free_c1_clken_q : UInt<1> @[lsu_clkdomain.scala 60:36] + node _T = or(io.lsu_p.valid, io.dma_dccm_req) @[lsu_clkdomain.scala 62:51] + node lsu_c1_d_clken = or(_T, io.clk_override) @[lsu_clkdomain.scala 62:70] + node _T_1 = or(io.lsu_pkt_d.valid, lsu_c1_d_clken_q) @[lsu_clkdomain.scala 63:51] + node lsu_c1_m_clken = or(_T_1, io.clk_override) @[lsu_clkdomain.scala 63:70] + node _T_2 = or(io.lsu_pkt_m.valid, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 64:51] + node lsu_c1_r_clken = or(_T_2, io.clk_override) @[lsu_clkdomain.scala 64:70] + node _T_3 = or(lsu_c1_m_clken, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 66:47] + node lsu_c2_m_clken = or(_T_3, io.clk_override) @[lsu_clkdomain.scala 66:66] + node _T_4 = or(lsu_c1_r_clken, lsu_c1_r_clken_q) @[lsu_clkdomain.scala 67:47] + node lsu_c2_r_clken = or(_T_4, io.clk_override) @[lsu_clkdomain.scala 67:66] + node _T_5 = and(lsu_c1_m_clken, io.lsu_pkt_d.bits.store) @[lsu_clkdomain.scala 69:49] + node lsu_store_c1_m_clken = or(_T_5, io.clk_override) @[lsu_clkdomain.scala 69:76] + node _T_6 = and(lsu_c1_r_clken, io.lsu_pkt_m.bits.store) @[lsu_clkdomain.scala 70:49] + node lsu_store_c1_r_clken = or(_T_6, io.clk_override) @[lsu_clkdomain.scala 70:76] + node _T_7 = or(io.ldst_stbuf_reqvld_r, io.stbuf_reqvld_any) @[lsu_clkdomain.scala 71:55] + node _T_8 = or(_T_7, io.stbuf_reqvld_flushed_any) @[lsu_clkdomain.scala 71:77] + node lsu_stbuf_c1_clken = or(_T_8, io.clk_override) @[lsu_clkdomain.scala 71:107] + node lsu_bus_ibuf_c1_clken = or(io.lsu_busreq_r, io.clk_override) @[lsu_clkdomain.scala 72:49] + node _T_9 = or(io.lsu_bus_buffer_pend_any, io.lsu_busreq_r) @[lsu_clkdomain.scala 73:61] + node _T_10 = or(_T_9, io.clk_override) @[lsu_clkdomain.scala 73:79] + node lsu_bus_obuf_c1_clken = and(_T_10, io.lsu_bus_clk_en) @[lsu_clkdomain.scala 73:98] + node _T_11 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 74:32] + node _T_12 = or(_T_11, io.lsu_busreq_r) @[lsu_clkdomain.scala 74:61] + node lsu_bus_buf_c1_clken = or(_T_12, io.clk_override) @[lsu_clkdomain.scala 74:79] + node _T_13 = or(io.lsu_p.valid, io.lsu_pkt_d.valid) @[lsu_clkdomain.scala 76:48] + node _T_14 = or(_T_13, io.lsu_pkt_m.valid) @[lsu_clkdomain.scala 76:69] + node _T_15 = or(_T_14, io.lsu_pkt_r.valid) @[lsu_clkdomain.scala 76:90] + node _T_16 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 76:114] + node _T_17 = or(_T_15, _T_16) @[lsu_clkdomain.scala 76:112] + node _T_18 = eq(io.lsu_stbuf_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 76:145] + node _T_19 = or(_T_17, _T_18) @[lsu_clkdomain.scala 76:143] + node lsu_free_c1_clken = or(_T_19, io.clk_override) @[lsu_clkdomain.scala 76:169] + node _T_20 = or(lsu_free_c1_clken, lsu_free_c1_clken_q) @[lsu_clkdomain.scala 77:50] + node lsu_free_c2_clken = or(_T_20, io.clk_override) @[lsu_clkdomain.scala 77:72] + reg _T_21 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 80:60] + _T_21 <= lsu_free_c1_clken @[lsu_clkdomain.scala 80:60] + lsu_free_c1_clken_q <= _T_21 @[lsu_clkdomain.scala 80:26] + reg _T_22 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 81:67] + _T_22 <= lsu_c1_d_clken @[lsu_clkdomain.scala 81:67] + lsu_c1_d_clken_q <= _T_22 @[lsu_clkdomain.scala 81:26] + reg _T_23 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 82:67] + _T_23 <= lsu_c1_m_clken @[lsu_clkdomain.scala 82:67] + lsu_c1_m_clken_q <= _T_23 @[lsu_clkdomain.scala 82:26] + reg _T_24 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 83:67] + _T_24 <= lsu_c1_r_clken @[lsu_clkdomain.scala 83:67] + lsu_c1_r_clken_q <= _T_24 @[lsu_clkdomain.scala 83:26] + node _T_25 = bits(lsu_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 85:59] inst rvclkhdr of rvclkhdr_802 @[el2_lib.scala 483:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr.io.en <= _T_25 @[el2_lib.scala 485:16] rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - io.lsu_c1_m_clk <= rvclkhdr.io.l1clk @[lsu_clkdomain.scala 86:26] - node _T_26 = bits(lsu_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 87:59] + io.lsu_c1_m_clk <= rvclkhdr.io.l1clk @[lsu_clkdomain.scala 85:26] + node _T_26 = bits(lsu_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 86:59] inst rvclkhdr_1 of rvclkhdr_803 @[el2_lib.scala 483:22] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_1.io.en <= _T_26 @[el2_lib.scala 485:16] rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - io.lsu_c1_r_clk <= rvclkhdr_1.io.l1clk @[lsu_clkdomain.scala 87:26] - node _T_27 = bits(lsu_c2_m_clken, 0, 0) @[lsu_clkdomain.scala 88:59] + io.lsu_c1_r_clk <= rvclkhdr_1.io.l1clk @[lsu_clkdomain.scala 86:26] + node _T_27 = bits(lsu_c2_m_clken, 0, 0) @[lsu_clkdomain.scala 87:59] inst rvclkhdr_2 of rvclkhdr_804 @[el2_lib.scala 483:22] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_2.io.en <= _T_27 @[el2_lib.scala 485:16] rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - io.lsu_c2_m_clk <= rvclkhdr_2.io.l1clk @[lsu_clkdomain.scala 88:26] - node _T_28 = bits(lsu_c2_r_clken, 0, 0) @[lsu_clkdomain.scala 89:59] + io.lsu_c2_m_clk <= rvclkhdr_2.io.l1clk @[lsu_clkdomain.scala 87:26] + node _T_28 = bits(lsu_c2_r_clken, 0, 0) @[lsu_clkdomain.scala 88:59] inst rvclkhdr_3 of rvclkhdr_805 @[el2_lib.scala 483:22] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_3.io.en <= _T_28 @[el2_lib.scala 485:16] rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - io.lsu_c2_r_clk <= rvclkhdr_3.io.l1clk @[lsu_clkdomain.scala 89:26] - node _T_29 = bits(lsu_store_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 90:65] + io.lsu_c2_r_clk <= rvclkhdr_3.io.l1clk @[lsu_clkdomain.scala 88:26] + node _T_29 = bits(lsu_store_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 89:65] inst rvclkhdr_4 of rvclkhdr_806 @[el2_lib.scala 483:22] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_4.io.en <= _T_29 @[el2_lib.scala 485:16] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - io.lsu_store_c1_m_clk <= rvclkhdr_4.io.l1clk @[lsu_clkdomain.scala 90:26] - node _T_30 = bits(lsu_store_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 91:65] + io.lsu_store_c1_m_clk <= rvclkhdr_4.io.l1clk @[lsu_clkdomain.scala 89:26] + node _T_30 = bits(lsu_store_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 90:65] inst rvclkhdr_5 of rvclkhdr_807 @[el2_lib.scala 483:22] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_5.io.en <= _T_30 @[el2_lib.scala 485:16] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - io.lsu_store_c1_r_clk <= rvclkhdr_5.io.l1clk @[lsu_clkdomain.scala 91:26] - node _T_31 = bits(lsu_stbuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 92:63] + io.lsu_store_c1_r_clk <= rvclkhdr_5.io.l1clk @[lsu_clkdomain.scala 90:26] + node _T_31 = bits(lsu_stbuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 91:63] inst rvclkhdr_6 of rvclkhdr_808 @[el2_lib.scala 483:22] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_6.io.en <= _T_31 @[el2_lib.scala 485:16] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - io.lsu_stbuf_c1_clk <= rvclkhdr_6.io.l1clk @[lsu_clkdomain.scala 92:26] - node _T_32 = bits(lsu_bus_ibuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 93:66] + io.lsu_stbuf_c1_clk <= rvclkhdr_6.io.l1clk @[lsu_clkdomain.scala 91:26] + node _T_32 = bits(lsu_bus_ibuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 92:66] inst rvclkhdr_7 of rvclkhdr_809 @[el2_lib.scala 483:22] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_7.io.en <= _T_32 @[el2_lib.scala 485:16] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - io.lsu_bus_ibuf_c1_clk <= rvclkhdr_7.io.l1clk @[lsu_clkdomain.scala 93:26] - node _T_33 = bits(lsu_bus_obuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 94:66] + io.lsu_bus_ibuf_c1_clk <= rvclkhdr_7.io.l1clk @[lsu_clkdomain.scala 92:26] + node _T_33 = bits(lsu_bus_obuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 93:66] inst rvclkhdr_8 of rvclkhdr_810 @[el2_lib.scala 483:22] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_8.io.en <= _T_33 @[el2_lib.scala 485:16] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - io.lsu_bus_obuf_c1_clk <= rvclkhdr_8.io.l1clk @[lsu_clkdomain.scala 94:26] - node _T_34 = bits(lsu_bus_buf_c1_clken, 0, 0) @[lsu_clkdomain.scala 95:65] + io.lsu_bus_obuf_c1_clk <= rvclkhdr_8.io.l1clk @[lsu_clkdomain.scala 93:26] + node _T_34 = bits(lsu_bus_buf_c1_clken, 0, 0) @[lsu_clkdomain.scala 94:65] inst rvclkhdr_9 of rvclkhdr_811 @[el2_lib.scala 483:22] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_9.io.en <= _T_34 @[el2_lib.scala 485:16] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - io.lsu_bus_buf_c1_clk <= rvclkhdr_9.io.l1clk @[lsu_clkdomain.scala 95:26] - node _T_35 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_clkdomain.scala 96:62] + io.lsu_bus_buf_c1_clk <= rvclkhdr_9.io.l1clk @[lsu_clkdomain.scala 94:26] + node _T_35 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_clkdomain.scala 95:62] inst rvclkhdr_10 of rvclkhdr_812 @[el2_lib.scala 483:22] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_10.io.en <= _T_35 @[el2_lib.scala 485:16] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - io.lsu_busm_clk <= rvclkhdr_10.io.l1clk @[lsu_clkdomain.scala 96:26] - node _T_36 = bits(lsu_free_c2_clken, 0, 0) @[lsu_clkdomain.scala 97:62] + io.lsu_busm_clk <= rvclkhdr_10.io.l1clk @[lsu_clkdomain.scala 95:26] + node _T_36 = bits(lsu_free_c2_clken, 0, 0) @[lsu_clkdomain.scala 96:62] inst rvclkhdr_11 of rvclkhdr_813 @[el2_lib.scala 483:22] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_11.io.en <= _T_36 @[el2_lib.scala 485:16] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - io.lsu_free_c2_clk <= rvclkhdr_11.io.l1clk @[lsu_clkdomain.scala 97:26] + io.lsu_free_c2_clk <= rvclkhdr_11.io.l1clk @[lsu_clkdomain.scala 96:26] extmodule gated_latch_814 : output Q : Clock @@ -95922,19 +95922,19 @@ circuit quasar_wrapper : node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] - node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 186:50] - node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 186:55] - node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:19] - node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 187:24] - node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 187:50] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 187:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 188:24] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 188:50] node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] - node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 188:24] - node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 188:50] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 189:19] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 189:24] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 189:50] node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 189:19] - node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 189:24] - node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 189:50] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 190:19] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 190:24] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 190:50] node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] @@ -95945,19 +95945,19 @@ circuit quasar_wrapper : node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] - node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:49] - node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 191:54] - node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:19] - node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 192:24] - node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 192:64] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 192:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 193:24] + node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 193:64] node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] - node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] - node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 193:24] - node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 193:63] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 194:19] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 194:24] + node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 194:63] node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] - node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 194:19] - node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 194:24] - node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 194:62] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 195:19] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 195:24] + node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 195:62] node _T_810 = cat(UInt<8>("h00"), _T_809) @[Cat.scala 29:58] node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] @@ -95968,19 +95968,19 @@ circuit quasar_wrapper : node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] store_data_hi_r <= _T_817 @[Mux.scala 27:72] - node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:49] - node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 196:54] - node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:19] - node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 197:24] - node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 197:52] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 197:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 198:24] + node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 198:52] node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] - node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] - node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 198:24] - node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 198:52] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 199:19] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 199:24] + node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 199:52] node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 199:19] - node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 199:24] - node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 199:52] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 200:19] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 200:24] + node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 200:52] node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] @@ -95991,13 +95991,13 @@ circuit quasar_wrapper : node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] store_data_lo_r <= _T_838 @[Mux.scala 27:72] - node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 202:36] - node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 202:57] - node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 202:40] - node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 203:72] - node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 203:79] - node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 204:45] - node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 204:31] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 203:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 203:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 203:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 204:72] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 204:79] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 205:45] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 205:31] node _T_845 = mux(io.lsu_pkt_r.bits.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] node _T_846 = mux(io.lsu_pkt_r.bits.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] node _T_847 = mux(io.lsu_pkt_r.bits.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -96005,26 +96005,26 @@ circuit quasar_wrapper : node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_849 @[Mux.scala 27:72] - node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 206:60] - node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 206:34] - node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 206:84] - node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 206:82] - node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 207:36] - node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 207:56] - node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 207:54] + node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 207:60] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 207:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 207:84] + node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 207:82] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 208:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 208:56] + node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 208:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 209:36] - node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 209:34] - node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 209:49] - node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 210:44] - node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 210:42] - node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 210:61] - node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 210:112] - node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 210:137] - node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 210:120] - node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 210:100] - node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 210:74] + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 210:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 210:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 210:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 211:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 211:42] + node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 211:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 211:112] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 211:137] + node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 211:120] + node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 211:100] + node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 211:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -96033,175 +96033,175 @@ circuit quasar_wrapper : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 215:62] - node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 215:48] - node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 215:98] - node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 215:82] - node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 215:80] - node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 216:5] - node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 216:16] - node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 216:35] - node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 216:55] - node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 216:53] - node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 216:67] - node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 215:32] - ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 215:18] + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 216:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 216:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 216:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 216:82] + node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 216:80] + node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 217:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 217:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 217:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 217:55] + node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 217:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 217:67] + node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 216:32] + ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 216:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 221:39] - node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 221:69] - node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 221:24] + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 222:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 222:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 222:24] node ibuf_sz_in = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 224:25] - node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 225:42] - node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 225:70] - node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 225:95] - node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 225:77] - node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 226:41] - node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 226:65] - node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 226:8] - node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 225:27] - node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] - node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 230:25] - node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 230:45] - node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 230:76] - node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 230:8] - node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 231:40] - node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 231:77] - node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 231:8] - node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 229:46] - node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] - node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 230:25] - node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 230:45] - node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 230:76] - node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 230:8] - node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 231:40] - node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 231:77] - node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 231:8] - node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 229:46] - node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] - node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 230:25] - node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 230:45] - node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 230:76] - node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 230:8] - node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 231:40] - node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 231:77] - node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 231:8] - node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 229:46] - node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] - node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 230:25] - node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 230:45] - node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 230:76] - node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 230:8] - node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 231:40] - node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 231:77] - node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 231:8] - node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 229:46] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 225:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 226:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 226:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 226:95] + node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 226:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 227:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 227:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 227:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 226:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 231:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 231:45] + node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 231:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 231:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 232:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 232:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 232:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 230:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 231:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 231:45] + node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 231:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 231:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 232:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 232:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 232:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 230:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 231:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 231:45] + node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 231:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 231:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 232:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 232:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 232:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 230:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 231:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 231:45] + node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 231:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 231:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 232:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 232:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 232:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 230:46] node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] - node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 232:59] - node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 232:79] - node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 232:93] - node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 232:93] - node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 232:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 232:26] - node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 234:36] - node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 234:54] - node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 234:80] - node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 234:93] - node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 234:122] - node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 234:142] - node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 234:129] - node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 234:106] - node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:152] - node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 234:150] - node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:175] - node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 234:173] - ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 234:17] - node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:20] - ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 235:17] - node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] - node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 236:63] - node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 236:92] - node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 236:114] - node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 236:96] - node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 236:130] - node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 236:48] - node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] - node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 236:63] - node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 236:92] - node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 236:114] - node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 236:96] - node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 236:130] - node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 236:48] - node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] - node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 236:63] - node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 236:92] - node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 236:114] - node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 236:96] - node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 236:130] - node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 236:48] - node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] - node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 236:63] - node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 236:92] - node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 236:114] - node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 236:96] - node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 236:130] - node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 236:48] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 233:59] + node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 233:79] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 233:93] + node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 233:93] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 233:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 233:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 235:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 235:54] + node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 235:80] + node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 235:93] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 235:122] + node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 235:142] + node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 235:129] + node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 235:106] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:152] + node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 235:150] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:175] + node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 235:173] + ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 235:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:20] + ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 236:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 237:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 237:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 237:114] + node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 237:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 237:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 237:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 237:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 237:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 237:114] + node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 237:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 237:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 237:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 237:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 237:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 237:114] + node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 237:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 237:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 237:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 237:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 237:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 237:114] + node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 237:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 237:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 237:48] node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] - node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] - node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 237:60] - node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 237:98] - node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 237:118] - node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 237:143] - node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 237:81] - node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 237:169] - node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 237:45] - node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] - node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 237:60] - node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 237:98] - node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 237:118] - node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 237:143] - node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 237:81] - node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 237:169] - node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 237:45] - node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] - node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 237:60] - node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 237:98] - node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 237:118] - node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 237:143] - node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 237:81] - node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 237:169] - node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 237:45] - node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] - node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 237:60] - node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 237:98] - node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 237:118] - node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 237:143] - node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 237:81] - node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 237:169] - node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 237:45] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 238:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 238:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 238:118] + node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 238:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 238:81] + node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 238:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 238:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 238:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 238:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 238:118] + node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 238:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 238:81] + node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 238:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 238:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 238:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 238:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 238:118] + node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 238:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 238:81] + node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 238:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 238:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 238:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 238:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 238:118] + node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 238:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 238:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 238:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 238:45] node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] - node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 239:58] - node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 239:93] - node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 239:91] - reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 239:54] - _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 239:54] - ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 239:14] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 240:58] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:93] + node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 240:91] + reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 240:54] + _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 240:54] + ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 240:14] reg _T_1009 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1009 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 240:12] + ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 241:12] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] @@ -96222,7 +96222,7 @@ circuit quasar_wrapper : when ibuf_wr_en : @[Reg.scala 28:19] _T_1010 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 245:19] + ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 246:19] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.bits.unsign @[Reg.scala 28:23] @@ -96231,7 +96231,7 @@ circuit quasar_wrapper : when ibuf_wr_en : @[Reg.scala 28:19] _T_1011 <= io.lsu_pkt_r.bits.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 247:14] + ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 248:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -96244,12 +96244,12 @@ circuit quasar_wrapper : rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_1012 <= ibuf_addr_in @[el2_lib.scala 514:16] - ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 249:13] + ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 250:13] reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 250:15] + ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 251:15] inst rvclkhdr_1 of rvclkhdr_815 @[el2_lib.scala 508:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -96258,38 +96258,38 @@ circuit quasar_wrapper : rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_1014 <= ibuf_data_in @[el2_lib.scala 514:16] - ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 251:13] - reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 252:55] - _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 252:55] - ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 252:14] + ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 252:13] + reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 253:55] + _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 253:55] + ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 253:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 256:25] - buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] - buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] - buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] - buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 257:25] + buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 258:15] + buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 258:15] + buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 258:15] + buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 258:15] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:43] - node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:72] - node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 262:51] - node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 262:97] - node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 262:80] - node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:5] - node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 262:114] - node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:114] - node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:114] - node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 263:114] - node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 263:114] + node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:43] + node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:72] + node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 263:51] + node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 263:97] + node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 263:80] + node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:5] + node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 263:114] + node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:114] + node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 264:114] + node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 264:114] + node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 264:114] node _T_1027 = mux(_T_1023, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1028 = mux(_T_1024, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1029 = mux(_T_1025, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96299,16 +96299,16 @@ circuit quasar_wrapper : node _T_1033 = or(_T_1032, _T_1030) @[Mux.scala 27:72] wire _T_1034 : UInt<1> @[Mux.scala 27:72] _T_1034 <= _T_1033 @[Mux.scala 27:72] - node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:31] - node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 263:29] - node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:88] - node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 264:111] - node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 264:88] - node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 264:111] - node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 264:88] - node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 264:111] - node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 264:88] - node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 264:111] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:31] + node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 264:29] + node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:88] + node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 265:111] + node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:88] + node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 265:111] + node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 265:88] + node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 265:111] + node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 265:88] + node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 265:111] node _T_1045 = mux(_T_1037, _T_1038, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1046 = mux(_T_1039, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1047 = mux(_T_1041, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96318,32 +96318,32 @@ circuit quasar_wrapper : node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] wire _T_1052 : UInt<1> @[Mux.scala 27:72] _T_1052 <= _T_1051 @[Mux.scala 27:72] - node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:5] - node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 263:140] - node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:119] - node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 264:117] - node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 265:75] - node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 265:95] - node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 265:79] - node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:123] - node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 265:123] - node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 265:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 265:29] - node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:41] - node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 266:39] - node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:60] - node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 266:58] - node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:93] - node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 266:72] - node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 266:117] - node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:208] - node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 266:228] - node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:208] - node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 266:228] - node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 266:208] - node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 266:228] - node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 266:208] - node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:5] + node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 264:140] + node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:119] + node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 265:117] + node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 266:75] + node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 266:95] + node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 266:79] + node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:123] + node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 266:123] + node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 266:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 266:29] + node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:41] + node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 267:39] + node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:60] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 267:58] + node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 267:93] + node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 267:72] + node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 267:117] + node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:208] + node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 267:228] + node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 267:208] + node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 267:228] + node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 267:208] + node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 267:228] + node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 267:208] + node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 267:228] node _T_1077 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1078 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1079 = mux(_T_1073, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96353,35 +96353,35 @@ circuit quasar_wrapper : node _T_1083 = or(_T_1082, _T_1080) @[Mux.scala 27:72] wire _T_1084 : UInt<30> @[Mux.scala 27:72] _T_1084 <= _T_1083 @[Mux.scala 27:72] - node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 266:123] - node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 266:101] - obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 266:20] + node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 267:123] + node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 267:101] + obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 267:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:53] - node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 268:31] - node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:64] - node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 268:89] - node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 268:61] + node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 269:53] + node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 269:31] + node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 269:64] + node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 269:89] + node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 269:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 271:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] - wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 273:22] - buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] - buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] - buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] - buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] - wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 275:24] - buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] - buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] - buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] - buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 272:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:24] + wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 274:22] + buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:12] + buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:12] + buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:12] + buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:12] + wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 276:24] + buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:14] + buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:14] + buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:14] + buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -96394,10 +96394,10 @@ circuit quasar_wrapper : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 283:32] - node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 283:74] - node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 283:52] - node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 283:50] + node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 284:32] + node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 284:74] + node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 284:52] + node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 284:50] node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96411,8 +96411,8 @@ circuit quasar_wrapper : node _T_1105 = or(_T_1104, _T_1102) @[Mux.scala 27:72] wire _T_1106 : UInt<3> @[Mux.scala 27:72] _T_1106 <= _T_1105 @[Mux.scala 27:72] - node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 284:36] - node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 284:47] + node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 285:36] + node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 285:47] node _T_1109 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1110 = cat(_T_1109, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1111 = cat(_T_1110, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] @@ -96433,8 +96433,8 @@ circuit quasar_wrapper : node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] wire _T_1127 : UInt<1> @[Mux.scala 27:72] _T_1127 <= _T_1126 @[Mux.scala 27:72] - node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:23] - node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 285:21] + node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:23] + node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 286:21] node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -96452,9 +96452,9 @@ circuit quasar_wrapper : node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72] wire _T_1145 : UInt<1> @[Mux.scala 27:72] _T_1145 <= _T_1144 @[Mux.scala 27:72] - node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 285:141] - node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:105] - node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 285:103] + node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 286:141] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:105] + node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 286:103] node _T_1149 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1150 = cat(_T_1149, buf_dual[1]) @[Cat.scala 29:58] node _T_1151 = cat(_T_1150, buf_dual[0]) @[Cat.scala 29:58] @@ -96495,7 +96495,7 @@ circuit quasar_wrapper : node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72] wire _T_1186 : UInt<1> @[Mux.scala 27:72] _T_1186 <= _T_1185 @[Mux.scala 27:72] - node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 286:77] + node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 287:77] node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -96513,10 +96513,10 @@ circuit quasar_wrapper : node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72] wire _T_1203 : UInt<1> @[Mux.scala 27:72] _T_1203 <= _T_1202 @[Mux.scala 27:72] - node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:150] - node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 286:148] - node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:8] - node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 286:181] + node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:150] + node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 287:148] + node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:8] + node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 287:181] node _T_1208 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1209 = cat(_T_1208, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1210 = cat(_T_1209, buf_nomerge[0]) @[Cat.scala 29:58] @@ -96537,30 +96537,30 @@ circuit quasar_wrapper : node _T_1225 = or(_T_1224, _T_1222) @[Mux.scala 27:72] wire _T_1226 : UInt<1> @[Mux.scala 27:72] _T_1226 <= _T_1225 @[Mux.scala 27:72] - node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 286:197] - node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 286:269] - node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 285:164] - node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 283:98] - node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:48] - node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 287:46] - node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 287:60] - node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 287:29] - node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:77] - node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 287:75] - node _T_1237 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:93] - node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 287:91] - node _T_1239 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:118] - node _T_1240 = and(_T_1238, _T_1239) @[lsu_bus_buffer.scala 287:116] - node _T_1241 = and(_T_1240, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 287:142] - obuf_wr_en <= _T_1241 @[lsu_bus_buffer.scala 283:14] + node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 287:197] + node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 287:269] + node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 286:164] + node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 284:98] + node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:48] + node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 288:46] + node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 288:60] + node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 288:29] + node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:77] + node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 288:75] + node _T_1237 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:93] + node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 288:91] + node _T_1239 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:118] + node _T_1240 = and(_T_1238, _T_1239) @[lsu_bus_buffer.scala 288:116] + node _T_1241 = and(_T_1240, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 288:142] + obuf_wr_en <= _T_1241 @[lsu_bus_buffer.scala 284:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1242 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 289:47] - node _T_1243 = or(bus_cmd_sent, _T_1242) @[lsu_bus_buffer.scala 289:33] - node _T_1244 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 289:65] - node _T_1245 = and(_T_1243, _T_1244) @[lsu_bus_buffer.scala 289:63] - node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 289:77] - node obuf_rst = or(_T_1246, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 289:98] + node _T_1242 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 290:47] + node _T_1243 = or(bus_cmd_sent, _T_1242) @[lsu_bus_buffer.scala 290:33] + node _T_1244 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 290:65] + node _T_1245 = and(_T_1243, _T_1244) @[lsu_bus_buffer.scala 290:63] + node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 290:77] + node obuf_rst = or(_T_1246, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 290:98] node _T_1247 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_1248 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_1249 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -96578,7 +96578,7 @@ circuit quasar_wrapper : node _T_1261 = or(_T_1260, _T_1258) @[Mux.scala 27:72] wire _T_1262 : UInt<1> @[Mux.scala 27:72] _T_1262 <= _T_1261 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1262) @[lsu_bus_buffer.scala 290:26] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1262) @[lsu_bus_buffer.scala 291:26] node _T_1263 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_1264 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_1265 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -96596,7 +96596,7 @@ circuit quasar_wrapper : node _T_1277 = or(_T_1276, _T_1274) @[Mux.scala 27:72] wire _T_1278 : UInt<1> @[Mux.scala 27:72] _T_1278 <= _T_1277 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1278) @[lsu_bus_buffer.scala 291:31] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1278) @[lsu_bus_buffer.scala 292:31] node _T_1279 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1280 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1281 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96610,12 +96610,12 @@ circuit quasar_wrapper : node _T_1289 = or(_T_1288, _T_1286) @[Mux.scala 27:72] wire _T_1290 : UInt<32> @[Mux.scala 27:72] _T_1290 <= _T_1289 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1290) @[lsu_bus_buffer.scala 292:25] - wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 293:20] - buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] - buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] - buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] - buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1290) @[lsu_bus_buffer.scala 293:25] + wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 294:20] + buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 295:10] + buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 295:10] + buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 295:10] + buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 295:10] node _T_1291 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] @@ -96630,41 +96630,41 @@ circuit quasar_wrapper : node _T_1302 = or(_T_1301, _T_1299) @[Mux.scala 27:72] wire _T_1303 : UInt<2> @[Mux.scala 27:72] _T_1303 <= _T_1302 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1291, _T_1303) @[lsu_bus_buffer.scala 295:23] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1291, _T_1303) @[lsu_bus_buffer.scala 296:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 298:25] - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 300:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 299:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 301:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1304 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 303:39] - node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[lsu_bus_buffer.scala 303:26] - node _T_1306 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 303:68] - node obuf_cmd_done_in = and(_T_1305, _T_1306) @[lsu_bus_buffer.scala 303:51] + node _T_1304 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 304:39] + node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[lsu_bus_buffer.scala 304:26] + node _T_1306 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 304:68] + node obuf_cmd_done_in = and(_T_1305, _T_1306) @[lsu_bus_buffer.scala 304:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1307 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 306:40] - node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[lsu_bus_buffer.scala 306:27] - node _T_1309 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 306:70] - node obuf_data_done_in = and(_T_1308, _T_1309) @[lsu_bus_buffer.scala 306:52] - node _T_1310 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 307:67] - node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:72] - node _T_1312 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 307:92] - node _T_1313 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 307:111] - node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:98] - node _T_1315 = and(_T_1312, _T_1314) @[lsu_bus_buffer.scala 307:96] - node _T_1316 = or(_T_1311, _T_1315) @[lsu_bus_buffer.scala 307:79] - node _T_1317 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 307:129] - node _T_1318 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 307:147] - node _T_1319 = orr(_T_1318) @[lsu_bus_buffer.scala 307:153] - node _T_1320 = eq(_T_1319, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:134] - node _T_1321 = and(_T_1317, _T_1320) @[lsu_bus_buffer.scala 307:132] - node _T_1322 = or(_T_1316, _T_1321) @[lsu_bus_buffer.scala 307:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1322) @[lsu_bus_buffer.scala 307:28] + node _T_1307 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 307:40] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:27] + node _T_1309 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 307:70] + node obuf_data_done_in = and(_T_1308, _T_1309) @[lsu_bus_buffer.scala 307:52] + node _T_1310 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 308:67] + node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[lsu_bus_buffer.scala 308:72] + node _T_1312 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 308:92] + node _T_1313 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 308:111] + node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[lsu_bus_buffer.scala 308:98] + node _T_1315 = and(_T_1312, _T_1314) @[lsu_bus_buffer.scala 308:96] + node _T_1316 = or(_T_1311, _T_1315) @[lsu_bus_buffer.scala 308:79] + node _T_1317 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 308:129] + node _T_1318 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 308:147] + node _T_1319 = orr(_T_1318) @[lsu_bus_buffer.scala 308:153] + node _T_1320 = eq(_T_1319, UInt<1>("h00")) @[lsu_bus_buffer.scala 308:134] + node _T_1321 = and(_T_1317, _T_1320) @[lsu_bus_buffer.scala 308:132] + node _T_1322 = or(_T_1316, _T_1321) @[lsu_bus_buffer.scala 308:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1322) @[lsu_bus_buffer.scala 308:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -96677,53 +96677,53 @@ circuit quasar_wrapper : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1323 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:44] - node _T_1324 = and(obuf_wr_en, _T_1323) @[lsu_bus_buffer.scala 315:42] - node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:29] - node _T_1326 = and(_T_1325, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 315:61] - node _T_1327 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 315:116] - node _T_1328 = and(bus_rsp_read, _T_1327) @[lsu_bus_buffer.scala 315:96] - node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:81] - node _T_1330 = and(_T_1326, _T_1329) @[lsu_bus_buffer.scala 315:79] - node _T_1331 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:22] - node _T_1332 = and(bus_cmd_sent, _T_1331) @[lsu_bus_buffer.scala 316:20] - node _T_1333 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:37] - node _T_1334 = and(_T_1332, _T_1333) @[lsu_bus_buffer.scala 316:35] - node obuf_rdrsp_pend_in = or(_T_1330, _T_1334) @[lsu_bus_buffer.scala 315:138] + node _T_1323 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:44] + node _T_1324 = and(obuf_wr_en, _T_1323) @[lsu_bus_buffer.scala 316:42] + node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:29] + node _T_1326 = and(_T_1325, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 316:61] + node _T_1327 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 316:116] + node _T_1328 = and(bus_rsp_read, _T_1327) @[lsu_bus_buffer.scala 316:96] + node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:81] + node _T_1330 = and(_T_1326, _T_1329) @[lsu_bus_buffer.scala 316:79] + node _T_1331 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 317:22] + node _T_1332 = and(bus_cmd_sent, _T_1331) @[lsu_bus_buffer.scala 317:20] + node _T_1333 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 317:37] + node _T_1334 = and(_T_1332, _T_1333) @[lsu_bus_buffer.scala 317:35] + node obuf_rdrsp_pend_in = or(_T_1330, _T_1334) @[lsu_bus_buffer.scala 316:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1335 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 318:46] - node _T_1336 = and(bus_cmd_sent, _T_1335) @[lsu_bus_buffer.scala 318:44] - node obuf_rdrsp_tag_in = mux(_T_1336, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 318:30] + node _T_1335 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:46] + node _T_1336 = and(bus_cmd_sent, _T_1335) @[lsu_bus_buffer.scala 319:44] + node obuf_rdrsp_tag_in = mux(_T_1336, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 319:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1337 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 321:34] - node _T_1338 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 321:52] - node _T_1339 = eq(_T_1337, _T_1338) @[lsu_bus_buffer.scala 321:40] - node _T_1340 = and(_T_1339, obuf_aligned_in) @[lsu_bus_buffer.scala 321:60] - node _T_1341 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:80] - node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 321:78] - node _T_1343 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:99] - node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 321:97] - node _T_1345 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:113] - node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 321:111] - node _T_1347 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:130] - node _T_1348 = and(_T_1346, _T_1347) @[lsu_bus_buffer.scala 321:128] - node _T_1349 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:20] - node _T_1350 = and(obuf_valid, _T_1349) @[lsu_bus_buffer.scala 322:18] - node _T_1351 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 322:90] - node _T_1352 = and(bus_rsp_read, _T_1351) @[lsu_bus_buffer.scala 322:70] - node _T_1353 = eq(_T_1352, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:55] - node _T_1354 = and(obuf_rdrsp_pend, _T_1353) @[lsu_bus_buffer.scala 322:53] - node _T_1355 = or(_T_1350, _T_1354) @[lsu_bus_buffer.scala 322:34] - node _T_1356 = and(_T_1348, _T_1355) @[lsu_bus_buffer.scala 321:177] - obuf_nosend_in <= _T_1356 @[lsu_bus_buffer.scala 321:18] - node _T_1357 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 323:60] + node _T_1337 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 322:34] + node _T_1338 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 322:52] + node _T_1339 = eq(_T_1337, _T_1338) @[lsu_bus_buffer.scala 322:40] + node _T_1340 = and(_T_1339, obuf_aligned_in) @[lsu_bus_buffer.scala 322:60] + node _T_1341 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:80] + node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 322:78] + node _T_1343 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:99] + node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 322:97] + node _T_1345 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:113] + node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 322:111] + node _T_1347 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:130] + node _T_1348 = and(_T_1346, _T_1347) @[lsu_bus_buffer.scala 322:128] + node _T_1349 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 323:20] + node _T_1350 = and(obuf_valid, _T_1349) @[lsu_bus_buffer.scala 323:18] + node _T_1351 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 323:90] + node _T_1352 = and(bus_rsp_read, _T_1351) @[lsu_bus_buffer.scala 323:70] + node _T_1353 = eq(_T_1352, UInt<1>("h00")) @[lsu_bus_buffer.scala 323:55] + node _T_1354 = and(obuf_rdrsp_pend, _T_1353) @[lsu_bus_buffer.scala 323:53] + node _T_1355 = or(_T_1350, _T_1354) @[lsu_bus_buffer.scala 323:34] + node _T_1356 = and(_T_1348, _T_1355) @[lsu_bus_buffer.scala 322:177] + obuf_nosend_in <= _T_1356 @[lsu_bus_buffer.scala 322:18] + node _T_1357 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 324:60] node _T_1358 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1359 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1360 = mux(_T_1357, _T_1358, _T_1359) @[lsu_bus_buffer.scala 323:46] + node _T_1360 = mux(_T_1357, _T_1358, _T_1359) @[lsu_bus_buffer.scala 324:46] node _T_1361 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1362 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1363 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96737,8 +96737,8 @@ circuit quasar_wrapper : node _T_1371 = or(_T_1370, _T_1368) @[Mux.scala 27:72] wire _T_1372 : UInt<32> @[Mux.scala 27:72] _T_1372 <= _T_1371 @[Mux.scala 27:72] - node _T_1373 = bits(_T_1372, 2, 2) @[lsu_bus_buffer.scala 324:36] - node _T_1374 = bits(_T_1373, 0, 0) @[lsu_bus_buffer.scala 324:46] + node _T_1373 = bits(_T_1372, 2, 2) @[lsu_bus_buffer.scala 325:36] + node _T_1374 = bits(_T_1373, 0, 0) @[lsu_bus_buffer.scala 325:46] node _T_1375 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1376 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1377 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96767,12 +96767,12 @@ circuit quasar_wrapper : wire _T_1399 : UInt<4> @[Mux.scala 27:72] _T_1399 <= _T_1398 @[Mux.scala 27:72] node _T_1400 = cat(UInt<4>("h00"), _T_1399) @[Cat.scala 29:58] - node _T_1401 = mux(_T_1374, _T_1387, _T_1400) @[lsu_bus_buffer.scala 324:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1360, _T_1401) @[lsu_bus_buffer.scala 323:28] - node _T_1402 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 325:60] + node _T_1401 = mux(_T_1374, _T_1387, _T_1400) @[lsu_bus_buffer.scala 325:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1360, _T_1401) @[lsu_bus_buffer.scala 324:28] + node _T_1402 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 326:60] node _T_1403 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1404 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1405 = mux(_T_1402, _T_1403, _T_1404) @[lsu_bus_buffer.scala 325:46] + node _T_1405 = mux(_T_1402, _T_1403, _T_1404) @[lsu_bus_buffer.scala 326:46] node _T_1406 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1407 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1408 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96786,8 +96786,8 @@ circuit quasar_wrapper : node _T_1416 = or(_T_1415, _T_1413) @[Mux.scala 27:72] wire _T_1417 : UInt<32> @[Mux.scala 27:72] _T_1417 <= _T_1416 @[Mux.scala 27:72] - node _T_1418 = bits(_T_1417, 2, 2) @[lsu_bus_buffer.scala 326:36] - node _T_1419 = bits(_T_1418, 0, 0) @[lsu_bus_buffer.scala 326:46] + node _T_1418 = bits(_T_1417, 2, 2) @[lsu_bus_buffer.scala 327:36] + node _T_1419 = bits(_T_1418, 0, 0) @[lsu_bus_buffer.scala 327:46] node _T_1420 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1421 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1422 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96816,12 +96816,12 @@ circuit quasar_wrapper : wire _T_1444 : UInt<4> @[Mux.scala 27:72] _T_1444 <= _T_1443 @[Mux.scala 27:72] node _T_1445 = cat(UInt<4>("h00"), _T_1444) @[Cat.scala 29:58] - node _T_1446 = mux(_T_1419, _T_1432, _T_1445) @[lsu_bus_buffer.scala 326:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1405, _T_1446) @[lsu_bus_buffer.scala 325:28] - node _T_1447 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 328:58] + node _T_1446 = mux(_T_1419, _T_1432, _T_1445) @[lsu_bus_buffer.scala 327:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1405, _T_1446) @[lsu_bus_buffer.scala 326:28] + node _T_1447 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 329:58] node _T_1448 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1449 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1450 = mux(_T_1447, _T_1448, _T_1449) @[lsu_bus_buffer.scala 328:44] + node _T_1450 = mux(_T_1447, _T_1448, _T_1449) @[lsu_bus_buffer.scala 329:44] node _T_1451 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1452 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1453 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96835,8 +96835,8 @@ circuit quasar_wrapper : node _T_1461 = or(_T_1460, _T_1458) @[Mux.scala 27:72] wire _T_1462 : UInt<32> @[Mux.scala 27:72] _T_1462 <= _T_1461 @[Mux.scala 27:72] - node _T_1463 = bits(_T_1462, 2, 2) @[lsu_bus_buffer.scala 329:36] - node _T_1464 = bits(_T_1463, 0, 0) @[lsu_bus_buffer.scala 329:46] + node _T_1463 = bits(_T_1462, 2, 2) @[lsu_bus_buffer.scala 330:36] + node _T_1464 = bits(_T_1463, 0, 0) @[lsu_bus_buffer.scala 330:46] node _T_1465 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1466 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1467 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96865,12 +96865,12 @@ circuit quasar_wrapper : wire _T_1489 : UInt<32> @[Mux.scala 27:72] _T_1489 <= _T_1488 @[Mux.scala 27:72] node _T_1490 = cat(UInt<32>("h00"), _T_1489) @[Cat.scala 29:58] - node _T_1491 = mux(_T_1464, _T_1477, _T_1490) @[lsu_bus_buffer.scala 329:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1450, _T_1491) @[lsu_bus_buffer.scala 328:26] - node _T_1492 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 330:58] + node _T_1491 = mux(_T_1464, _T_1477, _T_1490) @[lsu_bus_buffer.scala 330:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1450, _T_1491) @[lsu_bus_buffer.scala 329:26] + node _T_1492 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 331:58] node _T_1493 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1494 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1495 = mux(_T_1492, _T_1493, _T_1494) @[lsu_bus_buffer.scala 330:44] + node _T_1495 = mux(_T_1492, _T_1493, _T_1494) @[lsu_bus_buffer.scala 331:44] node _T_1496 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1497 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1498 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96884,8 +96884,8 @@ circuit quasar_wrapper : node _T_1506 = or(_T_1505, _T_1503) @[Mux.scala 27:72] wire _T_1507 : UInt<32> @[Mux.scala 27:72] _T_1507 <= _T_1506 @[Mux.scala 27:72] - node _T_1508 = bits(_T_1507, 2, 2) @[lsu_bus_buffer.scala 331:36] - node _T_1509 = bits(_T_1508, 0, 0) @[lsu_bus_buffer.scala 331:46] + node _T_1508 = bits(_T_1507, 2, 2) @[lsu_bus_buffer.scala 332:36] + node _T_1509 = bits(_T_1508, 0, 0) @[lsu_bus_buffer.scala 332:46] node _T_1510 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1511 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1512 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96914,40 +96914,40 @@ circuit quasar_wrapper : wire _T_1534 : UInt<32> @[Mux.scala 27:72] _T_1534 <= _T_1533 @[Mux.scala 27:72] node _T_1535 = cat(UInt<32>("h00"), _T_1534) @[Cat.scala 29:58] - node _T_1536 = mux(_T_1509, _T_1522, _T_1535) @[lsu_bus_buffer.scala 331:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1495, _T_1536) @[lsu_bus_buffer.scala 330:26] - node _T_1537 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 332:59] - node _T_1538 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 332:97] - node _T_1539 = and(obuf_merge_en, _T_1538) @[lsu_bus_buffer.scala 332:80] - node _T_1540 = or(_T_1537, _T_1539) @[lsu_bus_buffer.scala 332:63] - node _T_1541 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 332:59] - node _T_1542 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 332:97] - node _T_1543 = and(obuf_merge_en, _T_1542) @[lsu_bus_buffer.scala 332:80] - node _T_1544 = or(_T_1541, _T_1543) @[lsu_bus_buffer.scala 332:63] - node _T_1545 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 332:59] - node _T_1546 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 332:97] - node _T_1547 = and(obuf_merge_en, _T_1546) @[lsu_bus_buffer.scala 332:80] - node _T_1548 = or(_T_1545, _T_1547) @[lsu_bus_buffer.scala 332:63] - node _T_1549 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 332:59] - node _T_1550 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 332:97] - node _T_1551 = and(obuf_merge_en, _T_1550) @[lsu_bus_buffer.scala 332:80] - node _T_1552 = or(_T_1549, _T_1551) @[lsu_bus_buffer.scala 332:63] - node _T_1553 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 332:59] - node _T_1554 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 332:97] - node _T_1555 = and(obuf_merge_en, _T_1554) @[lsu_bus_buffer.scala 332:80] - node _T_1556 = or(_T_1553, _T_1555) @[lsu_bus_buffer.scala 332:63] - node _T_1557 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 332:59] - node _T_1558 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 332:97] - node _T_1559 = and(obuf_merge_en, _T_1558) @[lsu_bus_buffer.scala 332:80] - node _T_1560 = or(_T_1557, _T_1559) @[lsu_bus_buffer.scala 332:63] - node _T_1561 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 332:59] - node _T_1562 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 332:97] - node _T_1563 = and(obuf_merge_en, _T_1562) @[lsu_bus_buffer.scala 332:80] - node _T_1564 = or(_T_1561, _T_1563) @[lsu_bus_buffer.scala 332:63] - node _T_1565 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 332:59] - node _T_1566 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 332:97] - node _T_1567 = and(obuf_merge_en, _T_1566) @[lsu_bus_buffer.scala 332:80] - node _T_1568 = or(_T_1565, _T_1567) @[lsu_bus_buffer.scala 332:63] + node _T_1536 = mux(_T_1509, _T_1522, _T_1535) @[lsu_bus_buffer.scala 332:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1495, _T_1536) @[lsu_bus_buffer.scala 331:26] + node _T_1537 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 333:59] + node _T_1538 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 333:97] + node _T_1539 = and(obuf_merge_en, _T_1538) @[lsu_bus_buffer.scala 333:80] + node _T_1540 = or(_T_1537, _T_1539) @[lsu_bus_buffer.scala 333:63] + node _T_1541 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 333:59] + node _T_1542 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 333:97] + node _T_1543 = and(obuf_merge_en, _T_1542) @[lsu_bus_buffer.scala 333:80] + node _T_1544 = or(_T_1541, _T_1543) @[lsu_bus_buffer.scala 333:63] + node _T_1545 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 333:59] + node _T_1546 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 333:97] + node _T_1547 = and(obuf_merge_en, _T_1546) @[lsu_bus_buffer.scala 333:80] + node _T_1548 = or(_T_1545, _T_1547) @[lsu_bus_buffer.scala 333:63] + node _T_1549 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 333:59] + node _T_1550 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 333:97] + node _T_1551 = and(obuf_merge_en, _T_1550) @[lsu_bus_buffer.scala 333:80] + node _T_1552 = or(_T_1549, _T_1551) @[lsu_bus_buffer.scala 333:63] + node _T_1553 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 333:59] + node _T_1554 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 333:97] + node _T_1555 = and(obuf_merge_en, _T_1554) @[lsu_bus_buffer.scala 333:80] + node _T_1556 = or(_T_1553, _T_1555) @[lsu_bus_buffer.scala 333:63] + node _T_1557 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 333:59] + node _T_1558 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 333:97] + node _T_1559 = and(obuf_merge_en, _T_1558) @[lsu_bus_buffer.scala 333:80] + node _T_1560 = or(_T_1557, _T_1559) @[lsu_bus_buffer.scala 333:63] + node _T_1561 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 333:59] + node _T_1562 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 333:97] + node _T_1563 = and(obuf_merge_en, _T_1562) @[lsu_bus_buffer.scala 333:80] + node _T_1564 = or(_T_1561, _T_1563) @[lsu_bus_buffer.scala 333:63] + node _T_1565 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 333:59] + node _T_1566 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 333:97] + node _T_1567 = and(obuf_merge_en, _T_1566) @[lsu_bus_buffer.scala 333:80] + node _T_1568 = or(_T_1565, _T_1567) @[lsu_bus_buffer.scala 333:63] node _T_1569 = cat(_T_1568, _T_1564) @[Cat.scala 29:58] node _T_1570 = cat(_T_1569, _T_1560) @[Cat.scala 29:58] node _T_1571 = cat(_T_1570, _T_1556) @[Cat.scala 29:58] @@ -96955,46 +96955,46 @@ circuit quasar_wrapper : node _T_1573 = cat(_T_1572, _T_1548) @[Cat.scala 29:58] node _T_1574 = cat(_T_1573, _T_1544) @[Cat.scala 29:58] node obuf_byteen_in = cat(_T_1574, _T_1540) @[Cat.scala 29:58] - node _T_1575 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 333:76] - node _T_1576 = and(obuf_merge_en, _T_1575) @[lsu_bus_buffer.scala 333:59] - node _T_1577 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 333:94] - node _T_1578 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 333:123] - node _T_1579 = mux(_T_1576, _T_1577, _T_1578) @[lsu_bus_buffer.scala 333:44] - node _T_1580 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 333:76] - node _T_1581 = and(obuf_merge_en, _T_1580) @[lsu_bus_buffer.scala 333:59] - node _T_1582 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 333:94] - node _T_1583 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 333:123] - node _T_1584 = mux(_T_1581, _T_1582, _T_1583) @[lsu_bus_buffer.scala 333:44] - node _T_1585 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 333:76] - node _T_1586 = and(obuf_merge_en, _T_1585) @[lsu_bus_buffer.scala 333:59] - node _T_1587 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 333:94] - node _T_1588 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 333:123] - node _T_1589 = mux(_T_1586, _T_1587, _T_1588) @[lsu_bus_buffer.scala 333:44] - node _T_1590 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 333:76] - node _T_1591 = and(obuf_merge_en, _T_1590) @[lsu_bus_buffer.scala 333:59] - node _T_1592 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 333:94] - node _T_1593 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 333:123] - node _T_1594 = mux(_T_1591, _T_1592, _T_1593) @[lsu_bus_buffer.scala 333:44] - node _T_1595 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 333:76] - node _T_1596 = and(obuf_merge_en, _T_1595) @[lsu_bus_buffer.scala 333:59] - node _T_1597 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 333:94] - node _T_1598 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 333:123] - node _T_1599 = mux(_T_1596, _T_1597, _T_1598) @[lsu_bus_buffer.scala 333:44] - node _T_1600 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 333:76] - node _T_1601 = and(obuf_merge_en, _T_1600) @[lsu_bus_buffer.scala 333:59] - node _T_1602 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 333:94] - node _T_1603 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 333:123] - node _T_1604 = mux(_T_1601, _T_1602, _T_1603) @[lsu_bus_buffer.scala 333:44] - node _T_1605 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 333:76] - node _T_1606 = and(obuf_merge_en, _T_1605) @[lsu_bus_buffer.scala 333:59] - node _T_1607 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 333:94] - node _T_1608 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 333:123] - node _T_1609 = mux(_T_1606, _T_1607, _T_1608) @[lsu_bus_buffer.scala 333:44] - node _T_1610 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 333:76] - node _T_1611 = and(obuf_merge_en, _T_1610) @[lsu_bus_buffer.scala 333:59] - node _T_1612 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 333:94] - node _T_1613 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 333:123] - node _T_1614 = mux(_T_1611, _T_1612, _T_1613) @[lsu_bus_buffer.scala 333:44] + node _T_1575 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 334:76] + node _T_1576 = and(obuf_merge_en, _T_1575) @[lsu_bus_buffer.scala 334:59] + node _T_1577 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 334:94] + node _T_1578 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 334:123] + node _T_1579 = mux(_T_1576, _T_1577, _T_1578) @[lsu_bus_buffer.scala 334:44] + node _T_1580 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 334:76] + node _T_1581 = and(obuf_merge_en, _T_1580) @[lsu_bus_buffer.scala 334:59] + node _T_1582 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 334:94] + node _T_1583 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 334:123] + node _T_1584 = mux(_T_1581, _T_1582, _T_1583) @[lsu_bus_buffer.scala 334:44] + node _T_1585 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 334:76] + node _T_1586 = and(obuf_merge_en, _T_1585) @[lsu_bus_buffer.scala 334:59] + node _T_1587 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 334:94] + node _T_1588 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 334:123] + node _T_1589 = mux(_T_1586, _T_1587, _T_1588) @[lsu_bus_buffer.scala 334:44] + node _T_1590 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 334:76] + node _T_1591 = and(obuf_merge_en, _T_1590) @[lsu_bus_buffer.scala 334:59] + node _T_1592 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 334:94] + node _T_1593 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 334:123] + node _T_1594 = mux(_T_1591, _T_1592, _T_1593) @[lsu_bus_buffer.scala 334:44] + node _T_1595 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 334:76] + node _T_1596 = and(obuf_merge_en, _T_1595) @[lsu_bus_buffer.scala 334:59] + node _T_1597 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 334:94] + node _T_1598 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 334:123] + node _T_1599 = mux(_T_1596, _T_1597, _T_1598) @[lsu_bus_buffer.scala 334:44] + node _T_1600 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 334:76] + node _T_1601 = and(obuf_merge_en, _T_1600) @[lsu_bus_buffer.scala 334:59] + node _T_1602 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 334:94] + node _T_1603 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 334:123] + node _T_1604 = mux(_T_1601, _T_1602, _T_1603) @[lsu_bus_buffer.scala 334:44] + node _T_1605 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 334:76] + node _T_1606 = and(obuf_merge_en, _T_1605) @[lsu_bus_buffer.scala 334:59] + node _T_1607 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 334:94] + node _T_1608 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 334:123] + node _T_1609 = mux(_T_1606, _T_1607, _T_1608) @[lsu_bus_buffer.scala 334:44] + node _T_1610 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 334:76] + node _T_1611 = and(obuf_merge_en, _T_1610) @[lsu_bus_buffer.scala 334:59] + node _T_1612 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 334:94] + node _T_1613 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 334:123] + node _T_1614 = mux(_T_1611, _T_1612, _T_1613) @[lsu_bus_buffer.scala 334:44] node _T_1615 = cat(_T_1614, _T_1609) @[Cat.scala 29:58] node _T_1616 = cat(_T_1615, _T_1604) @[Cat.scala 29:58] node _T_1617 = cat(_T_1616, _T_1599) @[Cat.scala 29:58] @@ -97002,14 +97002,14 @@ circuit quasar_wrapper : node _T_1619 = cat(_T_1618, _T_1589) @[Cat.scala 29:58] node _T_1620 = cat(_T_1619, _T_1584) @[Cat.scala 29:58] node obuf_data_in = cat(_T_1620, _T_1579) @[Cat.scala 29:58] - wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 335:24] - buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] - buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] - buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] - buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] - node _T_1621 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 337:30] - node _T_1622 = and(_T_1621, found_cmdptr0) @[lsu_bus_buffer.scala 337:43] - node _T_1623 = and(_T_1622, found_cmdptr1) @[lsu_bus_buffer.scala 337:59] + wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 336:24] + buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] + buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] + buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] + buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] + node _T_1621 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 338:30] + node _T_1622 = and(_T_1621, found_cmdptr0) @[lsu_bus_buffer.scala 338:43] + node _T_1623 = and(_T_1622, found_cmdptr1) @[lsu_bus_buffer.scala 338:59] node _T_1624 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1625 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1626 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -97023,8 +97023,8 @@ circuit quasar_wrapper : node _T_1634 = or(_T_1633, _T_1631) @[Mux.scala 27:72] wire _T_1635 : UInt<3> @[Mux.scala 27:72] _T_1635 <= _T_1634 @[Mux.scala 27:72] - node _T_1636 = eq(_T_1635, UInt<3>("h02")) @[lsu_bus_buffer.scala 337:107] - node _T_1637 = and(_T_1623, _T_1636) @[lsu_bus_buffer.scala 337:75] + node _T_1636 = eq(_T_1635, UInt<3>("h02")) @[lsu_bus_buffer.scala 338:107] + node _T_1637 = and(_T_1623, _T_1636) @[lsu_bus_buffer.scala 338:75] node _T_1638 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1639 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1640 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -97038,8 +97038,8 @@ circuit quasar_wrapper : node _T_1648 = or(_T_1647, _T_1645) @[Mux.scala 27:72] wire _T_1649 : UInt<3> @[Mux.scala 27:72] _T_1649 <= _T_1648 @[Mux.scala 27:72] - node _T_1650 = eq(_T_1649, UInt<3>("h02")) @[lsu_bus_buffer.scala 337:150] - node _T_1651 = and(_T_1637, _T_1650) @[lsu_bus_buffer.scala 337:118] + node _T_1650 = eq(_T_1649, UInt<3>("h02")) @[lsu_bus_buffer.scala 338:150] + node _T_1651 = and(_T_1637, _T_1650) @[lsu_bus_buffer.scala 338:118] node _T_1652 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1653 = cat(_T_1652, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1654 = cat(_T_1653, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] @@ -97060,8 +97060,8 @@ circuit quasar_wrapper : node _T_1669 = or(_T_1668, _T_1666) @[Mux.scala 27:72] wire _T_1670 : UInt<1> @[Mux.scala 27:72] _T_1670 <= _T_1669 @[Mux.scala 27:72] - node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:5] - node _T_1672 = and(_T_1651, _T_1671) @[lsu_bus_buffer.scala 337:161] + node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[lsu_bus_buffer.scala 339:5] + node _T_1672 = and(_T_1651, _T_1671) @[lsu_bus_buffer.scala 338:161] node _T_1673 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_1674 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_1675 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -97079,8 +97079,8 @@ circuit quasar_wrapper : node _T_1687 = or(_T_1686, _T_1684) @[Mux.scala 27:72] wire _T_1688 : UInt<1> @[Mux.scala 27:72] _T_1688 <= _T_1687 @[Mux.scala 27:72] - node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:87] - node _T_1690 = and(_T_1672, _T_1689) @[lsu_bus_buffer.scala 338:85] + node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[lsu_bus_buffer.scala 339:87] + node _T_1690 = and(_T_1672, _T_1689) @[lsu_bus_buffer.scala 339:85] node _T_1691 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_1692 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_1693 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -97115,7 +97115,7 @@ circuit quasar_wrapper : node _T_1721 = or(_T_1720, _T_1718) @[Mux.scala 27:72] wire _T_1722 : UInt<1> @[Mux.scala 27:72] _T_1722 <= _T_1721 @[Mux.scala 27:72] - node _T_1723 = and(_T_1706, _T_1722) @[lsu_bus_buffer.scala 339:36] + node _T_1723 = and(_T_1706, _T_1722) @[lsu_bus_buffer.scala 340:36] node _T_1724 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1725 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1726 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -97129,7 +97129,7 @@ circuit quasar_wrapper : node _T_1734 = or(_T_1733, _T_1731) @[Mux.scala 27:72] wire _T_1735 : UInt<32> @[Mux.scala 27:72] _T_1735 <= _T_1734 @[Mux.scala 27:72] - node _T_1736 = bits(_T_1735, 31, 3) @[lsu_bus_buffer.scala 340:35] + node _T_1736 = bits(_T_1735, 31, 3) @[lsu_bus_buffer.scala 341:35] node _T_1737 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1738 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1739 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -97143,13 +97143,13 @@ circuit quasar_wrapper : node _T_1747 = or(_T_1746, _T_1744) @[Mux.scala 27:72] wire _T_1748 : UInt<32> @[Mux.scala 27:72] _T_1748 <= _T_1747 @[Mux.scala 27:72] - node _T_1749 = bits(_T_1748, 31, 3) @[lsu_bus_buffer.scala 340:71] - node _T_1750 = eq(_T_1736, _T_1749) @[lsu_bus_buffer.scala 340:41] - node _T_1751 = and(_T_1723, _T_1750) @[lsu_bus_buffer.scala 339:67] - node _T_1752 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 340:81] - node _T_1753 = and(_T_1751, _T_1752) @[lsu_bus_buffer.scala 340:79] - node _T_1754 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 340:107] - node _T_1755 = and(_T_1753, _T_1754) @[lsu_bus_buffer.scala 340:105] + node _T_1749 = bits(_T_1748, 31, 3) @[lsu_bus_buffer.scala 341:71] + node _T_1750 = eq(_T_1736, _T_1749) @[lsu_bus_buffer.scala 341:41] + node _T_1751 = and(_T_1723, _T_1750) @[lsu_bus_buffer.scala 340:67] + node _T_1752 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:81] + node _T_1753 = and(_T_1751, _T_1752) @[lsu_bus_buffer.scala 341:79] + node _T_1754 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 341:107] + node _T_1755 = and(_T_1753, _T_1754) @[lsu_bus_buffer.scala 341:105] node _T_1756 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_1757 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_1758 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -97167,7 +97167,7 @@ circuit quasar_wrapper : node _T_1770 = or(_T_1769, _T_1767) @[Mux.scala 27:72] wire _T_1771 : UInt<1> @[Mux.scala 27:72] _T_1771 <= _T_1770 @[Mux.scala 27:72] - node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:8] + node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[lsu_bus_buffer.scala 342:8] node _T_1773 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1774 = cat(_T_1773, buf_dual[1]) @[Cat.scala 29:58] node _T_1775 = cat(_T_1774, buf_dual[0]) @[Cat.scala 29:58] @@ -97188,7 +97188,7 @@ circuit quasar_wrapper : node _T_1790 = or(_T_1789, _T_1787) @[Mux.scala 27:72] wire _T_1791 : UInt<1> @[Mux.scala 27:72] _T_1791 <= _T_1790 @[Mux.scala 27:72] - node _T_1792 = and(_T_1772, _T_1791) @[lsu_bus_buffer.scala 341:38] + node _T_1792 = and(_T_1772, _T_1791) @[lsu_bus_buffer.scala 342:38] node _T_1793 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1794 = cat(_T_1793, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1795 = cat(_T_1794, buf_dualhi[0]) @[Cat.scala 29:58] @@ -97209,8 +97209,8 @@ circuit quasar_wrapper : node _T_1810 = or(_T_1809, _T_1807) @[Mux.scala 27:72] wire _T_1811 : UInt<1> @[Mux.scala 27:72] _T_1811 <= _T_1810 @[Mux.scala 27:72] - node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:109] - node _T_1813 = and(_T_1792, _T_1812) @[lsu_bus_buffer.scala 341:107] + node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 342:109] + node _T_1813 = and(_T_1792, _T_1812) @[lsu_bus_buffer.scala 342:107] node _T_1814 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1815 = cat(_T_1814, buf_samedw[1]) @[Cat.scala 29:58] node _T_1816 = cat(_T_1815, buf_samedw[0]) @[Cat.scala 29:58] @@ -97231,43 +97231,43 @@ circuit quasar_wrapper : node _T_1831 = or(_T_1830, _T_1828) @[Mux.scala 27:72] wire _T_1832 : UInt<1> @[Mux.scala 27:72] _T_1832 <= _T_1831 @[Mux.scala 27:72] - node _T_1833 = and(_T_1813, _T_1832) @[lsu_bus_buffer.scala 341:179] - node _T_1834 = or(_T_1755, _T_1833) @[lsu_bus_buffer.scala 340:128] - node _T_1835 = and(_T_1690, _T_1834) @[lsu_bus_buffer.scala 338:122] - node _T_1836 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 342:19] - node _T_1837 = and(_T_1836, io.ldst_dual_r) @[lsu_bus_buffer.scala 342:35] - node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 341:253] - obuf_merge_en <= _T_1838 @[lsu_bus_buffer.scala 337:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 344:55] - obuf_wr_enQ <= obuf_wr_en @[lsu_bus_buffer.scala 344:55] - node _T_1839 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 345:58] - node _T_1840 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 345:93] - node _T_1841 = and(_T_1839, _T_1840) @[lsu_bus_buffer.scala 345:91] - reg _T_1842 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 345:54] - _T_1842 <= _T_1841 @[lsu_bus_buffer.scala 345:54] - obuf_valid <= _T_1842 @[lsu_bus_buffer.scala 345:14] + node _T_1833 = and(_T_1813, _T_1832) @[lsu_bus_buffer.scala 342:179] + node _T_1834 = or(_T_1755, _T_1833) @[lsu_bus_buffer.scala 341:128] + node _T_1835 = and(_T_1690, _T_1834) @[lsu_bus_buffer.scala 339:122] + node _T_1836 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 343:19] + node _T_1837 = and(_T_1836, io.ldst_dual_r) @[lsu_bus_buffer.scala 343:35] + node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 342:253] + obuf_merge_en <= _T_1838 @[lsu_bus_buffer.scala 338:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 345:55] + obuf_wr_enQ <= obuf_wr_en @[lsu_bus_buffer.scala 345:55] + node _T_1839 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 346:58] + node _T_1840 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 346:93] + node _T_1841 = and(_T_1839, _T_1840) @[lsu_bus_buffer.scala 346:91] + reg _T_1842 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 346:54] + _T_1842 <= _T_1841 @[lsu_bus_buffer.scala 346:54] + obuf_valid <= _T_1842 @[lsu_bus_buffer.scala 346:14] reg _T_1843 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1843 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1843 @[lsu_bus_buffer.scala 346:15] - reg _T_1844 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 347:54] - _T_1844 <= obuf_cmd_done_in @[lsu_bus_buffer.scala 347:54] - obuf_cmd_done <= _T_1844 @[lsu_bus_buffer.scala 347:17] - reg _T_1845 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:55] - _T_1845 <= obuf_data_done_in @[lsu_bus_buffer.scala 348:55] - obuf_data_done <= _T_1845 @[lsu_bus_buffer.scala 348:18] - reg _T_1846 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 349:56] - _T_1846 <= obuf_rdrsp_pend_in @[lsu_bus_buffer.scala 349:56] - obuf_rdrsp_pend <= _T_1846 @[lsu_bus_buffer.scala 349:19] - reg _T_1847 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:55] - _T_1847 <= obuf_rdrsp_tag_in @[lsu_bus_buffer.scala 350:55] - obuf_rdrsp_tag <= _T_1847 @[lsu_bus_buffer.scala 350:18] + obuf_nosend <= _T_1843 @[lsu_bus_buffer.scala 347:15] + reg _T_1844 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:54] + _T_1844 <= obuf_cmd_done_in @[lsu_bus_buffer.scala 348:54] + obuf_cmd_done <= _T_1844 @[lsu_bus_buffer.scala 348:17] + reg _T_1845 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 349:55] + _T_1845 <= obuf_data_done_in @[lsu_bus_buffer.scala 349:55] + obuf_data_done <= _T_1845 @[lsu_bus_buffer.scala 349:18] + reg _T_1846 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:56] + _T_1846 <= obuf_rdrsp_pend_in @[lsu_bus_buffer.scala 350:56] + obuf_rdrsp_pend <= _T_1846 @[lsu_bus_buffer.scala 350:19] + reg _T_1847 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 351:55] + _T_1847 <= obuf_rdrsp_tag_in @[lsu_bus_buffer.scala 351:55] + obuf_rdrsp_tag <= _T_1847 @[lsu_bus_buffer.scala 351:18] reg _T_1848 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1848 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1848 @[lsu_bus_buffer.scala 351:13] + obuf_tag0 <= _T_1848 @[lsu_bus_buffer.scala 352:13] reg obuf_tag1 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -97280,12 +97280,12 @@ circuit quasar_wrapper : when obuf_wr_en : @[Reg.scala 28:19] _T_1849 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1849 @[lsu_bus_buffer.scala 354:14] + obuf_write <= _T_1849 @[lsu_bus_buffer.scala 355:14] reg _T_1850 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1850 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1850 @[lsu_bus_buffer.scala 355:19] + obuf_sideeffect <= _T_1850 @[lsu_bus_buffer.scala 356:19] reg obuf_sz : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -97298,7 +97298,7 @@ circuit quasar_wrapper : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_1851 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_1851 <= obuf_addr_in @[el2_lib.scala 514:16] - obuf_addr <= _T_1851 @[lsu_bus_buffer.scala 357:13] + obuf_addr <= _T_1851 @[lsu_bus_buffer.scala 358:13] reg obuf_byteen : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -97311,1901 +97311,1901 @@ circuit quasar_wrapper : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] obuf_data <= obuf_data_in @[el2_lib.scala 514:16] - reg _T_1852 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 360:54] - _T_1852 <= obuf_wr_timer_in @[lsu_bus_buffer.scala 360:54] - obuf_wr_timer <= _T_1852 @[lsu_bus_buffer.scala 360:17] + reg _T_1852 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 361:54] + _T_1852 <= obuf_wr_timer_in @[lsu_bus_buffer.scala 361:54] + obuf_wr_timer <= _T_1852 @[lsu_bus_buffer.scala 361:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] - node _T_1854 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:30] - node _T_1855 = and(ibuf_valid, _T_1854) @[lsu_bus_buffer.scala 364:19] - node _T_1856 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:18] - node _T_1857 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:57] - node _T_1858 = and(io.ldst_dual_r, _T_1857) @[lsu_bus_buffer.scala 365:45] - node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 365:27] - node _T_1860 = and(io.lsu_busreq_r, _T_1859) @[lsu_bus_buffer.scala 364:58] - node _T_1861 = or(_T_1855, _T_1860) @[lsu_bus_buffer.scala 364:39] - node _T_1862 = eq(_T_1861, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] - node _T_1863 = and(_T_1853, _T_1862) @[lsu_bus_buffer.scala 363:76] - node _T_1864 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] - node _T_1865 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 364:30] - node _T_1866 = and(ibuf_valid, _T_1865) @[lsu_bus_buffer.scala 364:19] - node _T_1867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:18] - node _T_1868 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:57] - node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 365:45] - node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 365:27] - node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 364:58] - node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 364:39] - node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] - node _T_1874 = and(_T_1864, _T_1873) @[lsu_bus_buffer.scala 363:76] - node _T_1875 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] - node _T_1876 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 364:30] - node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 364:19] - node _T_1878 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:18] - node _T_1879 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:57] - node _T_1880 = and(io.ldst_dual_r, _T_1879) @[lsu_bus_buffer.scala 365:45] - node _T_1881 = or(_T_1878, _T_1880) @[lsu_bus_buffer.scala 365:27] - node _T_1882 = and(io.lsu_busreq_r, _T_1881) @[lsu_bus_buffer.scala 364:58] - node _T_1883 = or(_T_1877, _T_1882) @[lsu_bus_buffer.scala 364:39] - node _T_1884 = eq(_T_1883, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] - node _T_1885 = and(_T_1875, _T_1884) @[lsu_bus_buffer.scala 363:76] - node _T_1886 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] - node _T_1887 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 364:30] - node _T_1888 = and(ibuf_valid, _T_1887) @[lsu_bus_buffer.scala 364:19] - node _T_1889 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:18] - node _T_1890 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:57] - node _T_1891 = and(io.ldst_dual_r, _T_1890) @[lsu_bus_buffer.scala 365:45] - node _T_1892 = or(_T_1889, _T_1891) @[lsu_bus_buffer.scala 365:27] - node _T_1893 = and(io.lsu_busreq_r, _T_1892) @[lsu_bus_buffer.scala 364:58] - node _T_1894 = or(_T_1888, _T_1893) @[lsu_bus_buffer.scala 364:39] - node _T_1895 = eq(_T_1894, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] - node _T_1896 = and(_T_1886, _T_1895) @[lsu_bus_buffer.scala 363:76] + node _T_1853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] + node _T_1854 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:30] + node _T_1855 = and(ibuf_valid, _T_1854) @[lsu_bus_buffer.scala 365:19] + node _T_1856 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 366:18] + node _T_1857 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 366:57] + node _T_1858 = and(io.ldst_dual_r, _T_1857) @[lsu_bus_buffer.scala 366:45] + node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 366:27] + node _T_1860 = and(io.lsu_busreq_r, _T_1859) @[lsu_bus_buffer.scala 365:58] + node _T_1861 = or(_T_1855, _T_1860) @[lsu_bus_buffer.scala 365:39] + node _T_1862 = eq(_T_1861, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] + node _T_1863 = and(_T_1853, _T_1862) @[lsu_bus_buffer.scala 364:76] + node _T_1864 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] + node _T_1865 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:30] + node _T_1866 = and(ibuf_valid, _T_1865) @[lsu_bus_buffer.scala 365:19] + node _T_1867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 366:18] + node _T_1868 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 366:57] + node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 366:45] + node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 366:27] + node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 365:58] + node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 365:39] + node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] + node _T_1874 = and(_T_1864, _T_1873) @[lsu_bus_buffer.scala 364:76] + node _T_1875 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] + node _T_1876 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:30] + node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 365:19] + node _T_1878 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 366:18] + node _T_1879 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 366:57] + node _T_1880 = and(io.ldst_dual_r, _T_1879) @[lsu_bus_buffer.scala 366:45] + node _T_1881 = or(_T_1878, _T_1880) @[lsu_bus_buffer.scala 366:27] + node _T_1882 = and(io.lsu_busreq_r, _T_1881) @[lsu_bus_buffer.scala 365:58] + node _T_1883 = or(_T_1877, _T_1882) @[lsu_bus_buffer.scala 365:39] + node _T_1884 = eq(_T_1883, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] + node _T_1885 = and(_T_1875, _T_1884) @[lsu_bus_buffer.scala 364:76] + node _T_1886 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] + node _T_1887 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:30] + node _T_1888 = and(ibuf_valid, _T_1887) @[lsu_bus_buffer.scala 365:19] + node _T_1889 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 366:18] + node _T_1890 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 366:57] + node _T_1891 = and(io.ldst_dual_r, _T_1890) @[lsu_bus_buffer.scala 366:45] + node _T_1892 = or(_T_1889, _T_1891) @[lsu_bus_buffer.scala 366:27] + node _T_1893 = and(io.lsu_busreq_r, _T_1892) @[lsu_bus_buffer.scala 365:58] + node _T_1894 = or(_T_1888, _T_1893) @[lsu_bus_buffer.scala 365:39] + node _T_1895 = eq(_T_1894, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] + node _T_1896 = and(_T_1886, _T_1895) @[lsu_bus_buffer.scala 364:76] node _T_1897 = mux(_T_1896, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1898 = mux(_T_1885, UInt<2>("h02"), _T_1897) @[Mux.scala 98:16] node _T_1899 = mux(_T_1874, UInt<1>("h01"), _T_1898) @[Mux.scala 98:16] node _T_1900 = mux(_T_1863, UInt<1>("h00"), _T_1899) @[Mux.scala 98:16] - WrPtr0_m <= _T_1900 @[lsu_bus_buffer.scala 363:12] + WrPtr0_m <= _T_1900 @[lsu_bus_buffer.scala 364:12] wire WrPtr1_m : UInt<2> WrPtr1_m <= UInt<1>("h00") - node _T_1901 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] - node _T_1902 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:103] - node _T_1903 = and(ibuf_valid, _T_1902) @[lsu_bus_buffer.scala 369:92] - node _T_1904 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:33] - node _T_1905 = and(io.lsu_busreq_m, _T_1904) @[lsu_bus_buffer.scala 370:22] - node _T_1906 = or(_T_1903, _T_1905) @[lsu_bus_buffer.scala 369:112] - node _T_1907 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:36] - node _T_1908 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:34] - node _T_1909 = and(io.ldst_dual_r, _T_1908) @[lsu_bus_buffer.scala 372:23] - node _T_1910 = or(_T_1907, _T_1909) @[lsu_bus_buffer.scala 371:46] - node _T_1911 = and(io.lsu_busreq_r, _T_1910) @[lsu_bus_buffer.scala 371:22] - node _T_1912 = or(_T_1906, _T_1911) @[lsu_bus_buffer.scala 370:42] - node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] - node _T_1914 = and(_T_1901, _T_1913) @[lsu_bus_buffer.scala 369:76] - node _T_1915 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] - node _T_1916 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 369:103] - node _T_1917 = and(ibuf_valid, _T_1916) @[lsu_bus_buffer.scala 369:92] - node _T_1918 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:33] - node _T_1919 = and(io.lsu_busreq_m, _T_1918) @[lsu_bus_buffer.scala 370:22] - node _T_1920 = or(_T_1917, _T_1919) @[lsu_bus_buffer.scala 369:112] - node _T_1921 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:36] - node _T_1922 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:34] - node _T_1923 = and(io.ldst_dual_r, _T_1922) @[lsu_bus_buffer.scala 372:23] - node _T_1924 = or(_T_1921, _T_1923) @[lsu_bus_buffer.scala 371:46] - node _T_1925 = and(io.lsu_busreq_r, _T_1924) @[lsu_bus_buffer.scala 371:22] - node _T_1926 = or(_T_1920, _T_1925) @[lsu_bus_buffer.scala 370:42] - node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] - node _T_1928 = and(_T_1915, _T_1927) @[lsu_bus_buffer.scala 369:76] - node _T_1929 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] - node _T_1930 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 369:103] - node _T_1931 = and(ibuf_valid, _T_1930) @[lsu_bus_buffer.scala 369:92] - node _T_1932 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:33] - node _T_1933 = and(io.lsu_busreq_m, _T_1932) @[lsu_bus_buffer.scala 370:22] - node _T_1934 = or(_T_1931, _T_1933) @[lsu_bus_buffer.scala 369:112] - node _T_1935 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:36] - node _T_1936 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:34] - node _T_1937 = and(io.ldst_dual_r, _T_1936) @[lsu_bus_buffer.scala 372:23] - node _T_1938 = or(_T_1935, _T_1937) @[lsu_bus_buffer.scala 371:46] - node _T_1939 = and(io.lsu_busreq_r, _T_1938) @[lsu_bus_buffer.scala 371:22] - node _T_1940 = or(_T_1934, _T_1939) @[lsu_bus_buffer.scala 370:42] - node _T_1941 = eq(_T_1940, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] - node _T_1942 = and(_T_1929, _T_1941) @[lsu_bus_buffer.scala 369:76] - node _T_1943 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] - node _T_1944 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 369:103] - node _T_1945 = and(ibuf_valid, _T_1944) @[lsu_bus_buffer.scala 369:92] - node _T_1946 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:33] - node _T_1947 = and(io.lsu_busreq_m, _T_1946) @[lsu_bus_buffer.scala 370:22] - node _T_1948 = or(_T_1945, _T_1947) @[lsu_bus_buffer.scala 369:112] - node _T_1949 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:36] - node _T_1950 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:34] - node _T_1951 = and(io.ldst_dual_r, _T_1950) @[lsu_bus_buffer.scala 372:23] - node _T_1952 = or(_T_1949, _T_1951) @[lsu_bus_buffer.scala 371:46] - node _T_1953 = and(io.lsu_busreq_r, _T_1952) @[lsu_bus_buffer.scala 371:22] - node _T_1954 = or(_T_1948, _T_1953) @[lsu_bus_buffer.scala 370:42] - node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] - node _T_1956 = and(_T_1943, _T_1955) @[lsu_bus_buffer.scala 369:76] + node _T_1901 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] + node _T_1902 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:103] + node _T_1903 = and(ibuf_valid, _T_1902) @[lsu_bus_buffer.scala 370:92] + node _T_1904 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:33] + node _T_1905 = and(io.lsu_busreq_m, _T_1904) @[lsu_bus_buffer.scala 371:22] + node _T_1906 = or(_T_1903, _T_1905) @[lsu_bus_buffer.scala 370:112] + node _T_1907 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:36] + node _T_1908 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:34] + node _T_1909 = and(io.ldst_dual_r, _T_1908) @[lsu_bus_buffer.scala 373:23] + node _T_1910 = or(_T_1907, _T_1909) @[lsu_bus_buffer.scala 372:46] + node _T_1911 = and(io.lsu_busreq_r, _T_1910) @[lsu_bus_buffer.scala 372:22] + node _T_1912 = or(_T_1906, _T_1911) @[lsu_bus_buffer.scala 371:42] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] + node _T_1914 = and(_T_1901, _T_1913) @[lsu_bus_buffer.scala 370:76] + node _T_1915 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] + node _T_1916 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:103] + node _T_1917 = and(ibuf_valid, _T_1916) @[lsu_bus_buffer.scala 370:92] + node _T_1918 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:33] + node _T_1919 = and(io.lsu_busreq_m, _T_1918) @[lsu_bus_buffer.scala 371:22] + node _T_1920 = or(_T_1917, _T_1919) @[lsu_bus_buffer.scala 370:112] + node _T_1921 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:36] + node _T_1922 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 373:34] + node _T_1923 = and(io.ldst_dual_r, _T_1922) @[lsu_bus_buffer.scala 373:23] + node _T_1924 = or(_T_1921, _T_1923) @[lsu_bus_buffer.scala 372:46] + node _T_1925 = and(io.lsu_busreq_r, _T_1924) @[lsu_bus_buffer.scala 372:22] + node _T_1926 = or(_T_1920, _T_1925) @[lsu_bus_buffer.scala 371:42] + node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] + node _T_1928 = and(_T_1915, _T_1927) @[lsu_bus_buffer.scala 370:76] + node _T_1929 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] + node _T_1930 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:103] + node _T_1931 = and(ibuf_valid, _T_1930) @[lsu_bus_buffer.scala 370:92] + node _T_1932 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:33] + node _T_1933 = and(io.lsu_busreq_m, _T_1932) @[lsu_bus_buffer.scala 371:22] + node _T_1934 = or(_T_1931, _T_1933) @[lsu_bus_buffer.scala 370:112] + node _T_1935 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:36] + node _T_1936 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 373:34] + node _T_1937 = and(io.ldst_dual_r, _T_1936) @[lsu_bus_buffer.scala 373:23] + node _T_1938 = or(_T_1935, _T_1937) @[lsu_bus_buffer.scala 372:46] + node _T_1939 = and(io.lsu_busreq_r, _T_1938) @[lsu_bus_buffer.scala 372:22] + node _T_1940 = or(_T_1934, _T_1939) @[lsu_bus_buffer.scala 371:42] + node _T_1941 = eq(_T_1940, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] + node _T_1942 = and(_T_1929, _T_1941) @[lsu_bus_buffer.scala 370:76] + node _T_1943 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] + node _T_1944 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:103] + node _T_1945 = and(ibuf_valid, _T_1944) @[lsu_bus_buffer.scala 370:92] + node _T_1946 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:33] + node _T_1947 = and(io.lsu_busreq_m, _T_1946) @[lsu_bus_buffer.scala 371:22] + node _T_1948 = or(_T_1945, _T_1947) @[lsu_bus_buffer.scala 370:112] + node _T_1949 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:36] + node _T_1950 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 373:34] + node _T_1951 = and(io.ldst_dual_r, _T_1950) @[lsu_bus_buffer.scala 373:23] + node _T_1952 = or(_T_1949, _T_1951) @[lsu_bus_buffer.scala 372:46] + node _T_1953 = and(io.lsu_busreq_r, _T_1952) @[lsu_bus_buffer.scala 372:22] + node _T_1954 = or(_T_1948, _T_1953) @[lsu_bus_buffer.scala 371:42] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] + node _T_1956 = and(_T_1943, _T_1955) @[lsu_bus_buffer.scala 370:76] node _T_1957 = mux(_T_1956, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1958 = mux(_T_1942, UInt<2>("h02"), _T_1957) @[Mux.scala 98:16] node _T_1959 = mux(_T_1928, UInt<1>("h01"), _T_1958) @[Mux.scala 98:16] node _T_1960 = mux(_T_1914, UInt<1>("h00"), _T_1959) @[Mux.scala 98:16] - WrPtr1_m <= _T_1960 @[lsu_bus_buffer.scala 369:12] - wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 374:21] - buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] - buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] - buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] - buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] - node _T_1961 = orr(buf_age[0]) @[lsu_bus_buffer.scala 377:58] - node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] - node _T_1963 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] - node _T_1964 = and(_T_1962, _T_1963) @[lsu_bus_buffer.scala 377:63] - node _T_1965 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] - node _T_1966 = and(_T_1964, _T_1965) @[lsu_bus_buffer.scala 377:88] - node _T_1967 = orr(buf_age[1]) @[lsu_bus_buffer.scala 377:58] - node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] - node _T_1969 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] - node _T_1970 = and(_T_1968, _T_1969) @[lsu_bus_buffer.scala 377:63] - node _T_1971 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] - node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 377:88] - node _T_1973 = orr(buf_age[2]) @[lsu_bus_buffer.scala 377:58] - node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] - node _T_1975 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] - node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 377:63] - node _T_1977 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] - node _T_1978 = and(_T_1976, _T_1977) @[lsu_bus_buffer.scala 377:88] - node _T_1979 = orr(buf_age[3]) @[lsu_bus_buffer.scala 377:58] - node _T_1980 = eq(_T_1979, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] - node _T_1981 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] - node _T_1982 = and(_T_1980, _T_1981) @[lsu_bus_buffer.scala 377:63] - node _T_1983 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] - node _T_1984 = and(_T_1982, _T_1983) @[lsu_bus_buffer.scala 377:88] + WrPtr1_m <= _T_1960 @[lsu_bus_buffer.scala 370:12] + wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 375:21] + buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] + buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] + buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] + buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] + node _T_1961 = orr(buf_age[0]) @[lsu_bus_buffer.scala 378:58] + node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1963 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] + node _T_1964 = and(_T_1962, _T_1963) @[lsu_bus_buffer.scala 378:63] + node _T_1965 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] + node _T_1966 = and(_T_1964, _T_1965) @[lsu_bus_buffer.scala 378:88] + node _T_1967 = orr(buf_age[1]) @[lsu_bus_buffer.scala 378:58] + node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1969 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] + node _T_1970 = and(_T_1968, _T_1969) @[lsu_bus_buffer.scala 378:63] + node _T_1971 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] + node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 378:88] + node _T_1973 = orr(buf_age[2]) @[lsu_bus_buffer.scala 378:58] + node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1975 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] + node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 378:63] + node _T_1977 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] + node _T_1978 = and(_T_1976, _T_1977) @[lsu_bus_buffer.scala 378:88] + node _T_1979 = orr(buf_age[3]) @[lsu_bus_buffer.scala 378:58] + node _T_1980 = eq(_T_1979, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1981 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] + node _T_1982 = and(_T_1980, _T_1981) @[lsu_bus_buffer.scala 378:63] + node _T_1983 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] + node _T_1984 = and(_T_1982, _T_1983) @[lsu_bus_buffer.scala 378:88] node _T_1985 = cat(_T_1984, _T_1978) @[Cat.scala 29:58] node _T_1986 = cat(_T_1985, _T_1972) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_1986, _T_1966) @[Cat.scala 29:58] - node _T_1987 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] - node _T_1988 = and(buf_age[0], _T_1987) @[lsu_bus_buffer.scala 378:59] - node _T_1989 = orr(_T_1988) @[lsu_bus_buffer.scala 378:76] - node _T_1990 = eq(_T_1989, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] - node _T_1991 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 378:94] - node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] - node _T_1993 = and(_T_1990, _T_1992) @[lsu_bus_buffer.scala 378:81] - node _T_1994 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] - node _T_1995 = and(_T_1993, _T_1994) @[lsu_bus_buffer.scala 378:98] - node _T_1996 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] - node _T_1997 = and(_T_1995, _T_1996) @[lsu_bus_buffer.scala 378:123] - node _T_1998 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] - node _T_1999 = and(buf_age[1], _T_1998) @[lsu_bus_buffer.scala 378:59] - node _T_2000 = orr(_T_1999) @[lsu_bus_buffer.scala 378:76] - node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] - node _T_2002 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 378:94] - node _T_2003 = eq(_T_2002, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] - node _T_2004 = and(_T_2001, _T_2003) @[lsu_bus_buffer.scala 378:81] - node _T_2005 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] - node _T_2006 = and(_T_2004, _T_2005) @[lsu_bus_buffer.scala 378:98] - node _T_2007 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] - node _T_2008 = and(_T_2006, _T_2007) @[lsu_bus_buffer.scala 378:123] - node _T_2009 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] - node _T_2010 = and(buf_age[2], _T_2009) @[lsu_bus_buffer.scala 378:59] - node _T_2011 = orr(_T_2010) @[lsu_bus_buffer.scala 378:76] - node _T_2012 = eq(_T_2011, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] - node _T_2013 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 378:94] - node _T_2014 = eq(_T_2013, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] - node _T_2015 = and(_T_2012, _T_2014) @[lsu_bus_buffer.scala 378:81] - node _T_2016 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] - node _T_2017 = and(_T_2015, _T_2016) @[lsu_bus_buffer.scala 378:98] - node _T_2018 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] - node _T_2019 = and(_T_2017, _T_2018) @[lsu_bus_buffer.scala 378:123] - node _T_2020 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] - node _T_2021 = and(buf_age[3], _T_2020) @[lsu_bus_buffer.scala 378:59] - node _T_2022 = orr(_T_2021) @[lsu_bus_buffer.scala 378:76] - node _T_2023 = eq(_T_2022, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] - node _T_2024 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 378:94] - node _T_2025 = eq(_T_2024, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] - node _T_2026 = and(_T_2023, _T_2025) @[lsu_bus_buffer.scala 378:81] - node _T_2027 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] - node _T_2028 = and(_T_2026, _T_2027) @[lsu_bus_buffer.scala 378:98] - node _T_2029 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] - node _T_2030 = and(_T_2028, _T_2029) @[lsu_bus_buffer.scala 378:123] + node _T_1987 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] + node _T_1988 = and(buf_age[0], _T_1987) @[lsu_bus_buffer.scala 379:59] + node _T_1989 = orr(_T_1988) @[lsu_bus_buffer.scala 379:76] + node _T_1990 = eq(_T_1989, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] + node _T_1991 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 379:94] + node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] + node _T_1993 = and(_T_1990, _T_1992) @[lsu_bus_buffer.scala 379:81] + node _T_1994 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] + node _T_1995 = and(_T_1993, _T_1994) @[lsu_bus_buffer.scala 379:98] + node _T_1996 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] + node _T_1997 = and(_T_1995, _T_1996) @[lsu_bus_buffer.scala 379:123] + node _T_1998 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] + node _T_1999 = and(buf_age[1], _T_1998) @[lsu_bus_buffer.scala 379:59] + node _T_2000 = orr(_T_1999) @[lsu_bus_buffer.scala 379:76] + node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] + node _T_2002 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 379:94] + node _T_2003 = eq(_T_2002, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] + node _T_2004 = and(_T_2001, _T_2003) @[lsu_bus_buffer.scala 379:81] + node _T_2005 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] + node _T_2006 = and(_T_2004, _T_2005) @[lsu_bus_buffer.scala 379:98] + node _T_2007 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] + node _T_2008 = and(_T_2006, _T_2007) @[lsu_bus_buffer.scala 379:123] + node _T_2009 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] + node _T_2010 = and(buf_age[2], _T_2009) @[lsu_bus_buffer.scala 379:59] + node _T_2011 = orr(_T_2010) @[lsu_bus_buffer.scala 379:76] + node _T_2012 = eq(_T_2011, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] + node _T_2013 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 379:94] + node _T_2014 = eq(_T_2013, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] + node _T_2015 = and(_T_2012, _T_2014) @[lsu_bus_buffer.scala 379:81] + node _T_2016 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] + node _T_2017 = and(_T_2015, _T_2016) @[lsu_bus_buffer.scala 379:98] + node _T_2018 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] + node _T_2019 = and(_T_2017, _T_2018) @[lsu_bus_buffer.scala 379:123] + node _T_2020 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] + node _T_2021 = and(buf_age[3], _T_2020) @[lsu_bus_buffer.scala 379:59] + node _T_2022 = orr(_T_2021) @[lsu_bus_buffer.scala 379:76] + node _T_2023 = eq(_T_2022, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] + node _T_2024 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 379:94] + node _T_2025 = eq(_T_2024, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] + node _T_2026 = and(_T_2023, _T_2025) @[lsu_bus_buffer.scala 379:81] + node _T_2027 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] + node _T_2028 = and(_T_2026, _T_2027) @[lsu_bus_buffer.scala 379:98] + node _T_2029 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] + node _T_2030 = and(_T_2028, _T_2029) @[lsu_bus_buffer.scala 379:123] node _T_2031 = cat(_T_2030, _T_2019) @[Cat.scala 29:58] node _T_2032 = cat(_T_2031, _T_2008) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_2032, _T_1997) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 379:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] - node _T_2033 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 381:65] - node _T_2034 = eq(_T_2033, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] - node _T_2035 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] - node _T_2036 = and(_T_2034, _T_2035) @[lsu_bus_buffer.scala 381:70] - node _T_2037 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 381:65] - node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] - node _T_2039 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] - node _T_2040 = and(_T_2038, _T_2039) @[lsu_bus_buffer.scala 381:70] - node _T_2041 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 381:65] - node _T_2042 = eq(_T_2041, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] - node _T_2043 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] - node _T_2044 = and(_T_2042, _T_2043) @[lsu_bus_buffer.scala 381:70] - node _T_2045 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 381:65] - node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] - node _T_2047 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] - node _T_2048 = and(_T_2046, _T_2047) @[lsu_bus_buffer.scala 381:70] + wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 380:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] + node _T_2033 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 382:65] + node _T_2034 = eq(_T_2033, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] + node _T_2035 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] + node _T_2036 = and(_T_2034, _T_2035) @[lsu_bus_buffer.scala 382:70] + node _T_2037 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 382:65] + node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] + node _T_2039 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] + node _T_2040 = and(_T_2038, _T_2039) @[lsu_bus_buffer.scala 382:70] + node _T_2041 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 382:65] + node _T_2042 = eq(_T_2041, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] + node _T_2043 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] + node _T_2044 = and(_T_2042, _T_2043) @[lsu_bus_buffer.scala 382:70] + node _T_2045 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 382:65] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] + node _T_2047 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] + node _T_2048 = and(_T_2046, _T_2047) @[lsu_bus_buffer.scala 382:70] node _T_2049 = cat(_T_2048, _T_2044) @[Cat.scala 29:58] node _T_2050 = cat(_T_2049, _T_2040) @[Cat.scala 29:58] node RspPtrDec = cat(_T_2050, _T_2036) @[Cat.scala 29:58] - node _T_2051 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 382:31] - found_cmdptr0 <= _T_2051 @[lsu_bus_buffer.scala 382:17] - node _T_2052 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 383:31] - found_cmdptr1 <= _T_2052 @[lsu_bus_buffer.scala 383:17] + node _T_2051 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 383:31] + found_cmdptr0 <= _T_2051 @[lsu_bus_buffer.scala 383:17] + node _T_2052 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 384:31] + found_cmdptr1 <= _T_2052 @[lsu_bus_buffer.scala 384:17] wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") node _T_2053 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2054 = cat(_T_2053, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_2055 = bits(_T_2054, 4, 4) @[lsu_bus_buffer.scala 385:39] - node _T_2056 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 385:45] - node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 385:42] - node _T_2058 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 385:51] - node _T_2059 = or(_T_2057, _T_2058) @[lsu_bus_buffer.scala 385:48] - node _T_2060 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:57] - node _T_2061 = or(_T_2059, _T_2060) @[lsu_bus_buffer.scala 385:54] - node _T_2062 = bits(_T_2054, 2, 2) @[lsu_bus_buffer.scala 385:64] - node _T_2063 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 385:70] - node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 385:67] - node _T_2065 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 385:76] - node _T_2066 = or(_T_2064, _T_2065) @[lsu_bus_buffer.scala 385:73] - node _T_2067 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:82] - node _T_2068 = or(_T_2066, _T_2067) @[lsu_bus_buffer.scala 385:79] - node _T_2069 = bits(_T_2054, 1, 1) @[lsu_bus_buffer.scala 385:89] - node _T_2070 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 385:95] - node _T_2071 = or(_T_2069, _T_2070) @[lsu_bus_buffer.scala 385:92] - node _T_2072 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 385:101] - node _T_2073 = or(_T_2071, _T_2072) @[lsu_bus_buffer.scala 385:98] - node _T_2074 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:107] - node _T_2075 = or(_T_2073, _T_2074) @[lsu_bus_buffer.scala 385:104] + node _T_2055 = bits(_T_2054, 4, 4) @[lsu_bus_buffer.scala 386:39] + node _T_2056 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 386:45] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 386:42] + node _T_2058 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 386:51] + node _T_2059 = or(_T_2057, _T_2058) @[lsu_bus_buffer.scala 386:48] + node _T_2060 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 386:57] + node _T_2061 = or(_T_2059, _T_2060) @[lsu_bus_buffer.scala 386:54] + node _T_2062 = bits(_T_2054, 2, 2) @[lsu_bus_buffer.scala 386:64] + node _T_2063 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 386:70] + node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 386:67] + node _T_2065 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 386:76] + node _T_2066 = or(_T_2064, _T_2065) @[lsu_bus_buffer.scala 386:73] + node _T_2067 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 386:82] + node _T_2068 = or(_T_2066, _T_2067) @[lsu_bus_buffer.scala 386:79] + node _T_2069 = bits(_T_2054, 1, 1) @[lsu_bus_buffer.scala 386:89] + node _T_2070 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 386:95] + node _T_2071 = or(_T_2069, _T_2070) @[lsu_bus_buffer.scala 386:92] + node _T_2072 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 386:101] + node _T_2073 = or(_T_2071, _T_2072) @[lsu_bus_buffer.scala 386:98] + node _T_2074 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 386:107] + node _T_2075 = or(_T_2073, _T_2074) @[lsu_bus_buffer.scala 386:104] node _T_2076 = cat(_T_2061, _T_2068) @[Cat.scala 29:58] node _T_2077 = cat(_T_2076, _T_2075) @[Cat.scala 29:58] - CmdPtr0 <= _T_2077 @[lsu_bus_buffer.scala 390:11] + CmdPtr0 <= _T_2077 @[lsu_bus_buffer.scala 391:11] node _T_2078 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2079 = cat(_T_2078, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2080 = bits(_T_2079, 4, 4) @[lsu_bus_buffer.scala 385:39] - node _T_2081 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 385:45] - node _T_2082 = or(_T_2080, _T_2081) @[lsu_bus_buffer.scala 385:42] - node _T_2083 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 385:51] - node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 385:48] - node _T_2085 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:57] - node _T_2086 = or(_T_2084, _T_2085) @[lsu_bus_buffer.scala 385:54] - node _T_2087 = bits(_T_2079, 2, 2) @[lsu_bus_buffer.scala 385:64] - node _T_2088 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 385:70] - node _T_2089 = or(_T_2087, _T_2088) @[lsu_bus_buffer.scala 385:67] - node _T_2090 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 385:76] - node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 385:73] - node _T_2092 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:82] - node _T_2093 = or(_T_2091, _T_2092) @[lsu_bus_buffer.scala 385:79] - node _T_2094 = bits(_T_2079, 1, 1) @[lsu_bus_buffer.scala 385:89] - node _T_2095 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 385:95] - node _T_2096 = or(_T_2094, _T_2095) @[lsu_bus_buffer.scala 385:92] - node _T_2097 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 385:101] - node _T_2098 = or(_T_2096, _T_2097) @[lsu_bus_buffer.scala 385:98] - node _T_2099 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:107] - node _T_2100 = or(_T_2098, _T_2099) @[lsu_bus_buffer.scala 385:104] + node _T_2080 = bits(_T_2079, 4, 4) @[lsu_bus_buffer.scala 386:39] + node _T_2081 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 386:45] + node _T_2082 = or(_T_2080, _T_2081) @[lsu_bus_buffer.scala 386:42] + node _T_2083 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 386:51] + node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 386:48] + node _T_2085 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 386:57] + node _T_2086 = or(_T_2084, _T_2085) @[lsu_bus_buffer.scala 386:54] + node _T_2087 = bits(_T_2079, 2, 2) @[lsu_bus_buffer.scala 386:64] + node _T_2088 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 386:70] + node _T_2089 = or(_T_2087, _T_2088) @[lsu_bus_buffer.scala 386:67] + node _T_2090 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 386:76] + node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 386:73] + node _T_2092 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 386:82] + node _T_2093 = or(_T_2091, _T_2092) @[lsu_bus_buffer.scala 386:79] + node _T_2094 = bits(_T_2079, 1, 1) @[lsu_bus_buffer.scala 386:89] + node _T_2095 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 386:95] + node _T_2096 = or(_T_2094, _T_2095) @[lsu_bus_buffer.scala 386:92] + node _T_2097 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 386:101] + node _T_2098 = or(_T_2096, _T_2097) @[lsu_bus_buffer.scala 386:98] + node _T_2099 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 386:107] + node _T_2100 = or(_T_2098, _T_2099) @[lsu_bus_buffer.scala 386:104] node _T_2101 = cat(_T_2086, _T_2093) @[Cat.scala 29:58] node _T_2102 = cat(_T_2101, _T_2100) @[Cat.scala 29:58] - CmdPtr1 <= _T_2102 @[lsu_bus_buffer.scala 392:11] + CmdPtr1 <= _T_2102 @[lsu_bus_buffer.scala 393:11] node _T_2103 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2104 = cat(_T_2103, RspPtrDec) @[Cat.scala 29:58] - node _T_2105 = bits(_T_2104, 4, 4) @[lsu_bus_buffer.scala 385:39] - node _T_2106 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 385:45] - node _T_2107 = or(_T_2105, _T_2106) @[lsu_bus_buffer.scala 385:42] - node _T_2108 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 385:51] - node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 385:48] - node _T_2110 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:57] - node _T_2111 = or(_T_2109, _T_2110) @[lsu_bus_buffer.scala 385:54] - node _T_2112 = bits(_T_2104, 2, 2) @[lsu_bus_buffer.scala 385:64] - node _T_2113 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 385:70] - node _T_2114 = or(_T_2112, _T_2113) @[lsu_bus_buffer.scala 385:67] - node _T_2115 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 385:76] - node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 385:73] - node _T_2117 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:82] - node _T_2118 = or(_T_2116, _T_2117) @[lsu_bus_buffer.scala 385:79] - node _T_2119 = bits(_T_2104, 1, 1) @[lsu_bus_buffer.scala 385:89] - node _T_2120 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 385:95] - node _T_2121 = or(_T_2119, _T_2120) @[lsu_bus_buffer.scala 385:92] - node _T_2122 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 385:101] - node _T_2123 = or(_T_2121, _T_2122) @[lsu_bus_buffer.scala 385:98] - node _T_2124 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:107] - node _T_2125 = or(_T_2123, _T_2124) @[lsu_bus_buffer.scala 385:104] + node _T_2105 = bits(_T_2104, 4, 4) @[lsu_bus_buffer.scala 386:39] + node _T_2106 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 386:45] + node _T_2107 = or(_T_2105, _T_2106) @[lsu_bus_buffer.scala 386:42] + node _T_2108 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 386:51] + node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 386:48] + node _T_2110 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 386:57] + node _T_2111 = or(_T_2109, _T_2110) @[lsu_bus_buffer.scala 386:54] + node _T_2112 = bits(_T_2104, 2, 2) @[lsu_bus_buffer.scala 386:64] + node _T_2113 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 386:70] + node _T_2114 = or(_T_2112, _T_2113) @[lsu_bus_buffer.scala 386:67] + node _T_2115 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 386:76] + node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 386:73] + node _T_2117 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 386:82] + node _T_2118 = or(_T_2116, _T_2117) @[lsu_bus_buffer.scala 386:79] + node _T_2119 = bits(_T_2104, 1, 1) @[lsu_bus_buffer.scala 386:89] + node _T_2120 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 386:95] + node _T_2121 = or(_T_2119, _T_2120) @[lsu_bus_buffer.scala 386:92] + node _T_2122 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 386:101] + node _T_2123 = or(_T_2121, _T_2122) @[lsu_bus_buffer.scala 386:98] + node _T_2124 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 386:107] + node _T_2125 = or(_T_2123, _T_2124) @[lsu_bus_buffer.scala 386:104] node _T_2126 = cat(_T_2111, _T_2118) @[Cat.scala 29:58] node _T_2127 = cat(_T_2126, _T_2125) @[Cat.scala 29:58] - RspPtr <= _T_2127 @[lsu_bus_buffer.scala 393:10] - wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 394:26] - buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] - buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] - buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] - buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] - wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 396:25] - buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] - buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] - buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] - buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] - wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 398:28] - buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] - buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] - buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] - buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] - wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 400:27] - buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] - buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] - buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] - buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] - wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 402:24] - buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] - buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] - buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] - buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] - node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2129 = and(_T_2128, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] - node _T_2130 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2131 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2132 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2133 = and(_T_2131, _T_2132) @[lsu_bus_buffer.scala 406:57] - node _T_2134 = or(_T_2130, _T_2133) @[lsu_bus_buffer.scala 406:31] - node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 407:41] - node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] - node _T_2139 = and(_T_2137, _T_2138) @[lsu_bus_buffer.scala 407:71] - node _T_2140 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] - node _T_2141 = and(_T_2139, _T_2140) @[lsu_bus_buffer.scala 407:92] - node _T_2142 = or(_T_2134, _T_2141) @[lsu_bus_buffer.scala 406:86] - node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2144 = and(_T_2143, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] - node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 408:52] - node _T_2147 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] - node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 408:73] - node _T_2149 = or(_T_2142, _T_2148) @[lsu_bus_buffer.scala 407:114] - node _T_2150 = and(_T_2129, _T_2149) @[lsu_bus_buffer.scala 405:113] - node _T_2151 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 408:109] - node _T_2152 = or(_T_2150, _T_2151) @[lsu_bus_buffer.scala 408:97] - node _T_2153 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2154 = and(_T_2153, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] - node _T_2155 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2156 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2157 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2158 = and(_T_2156, _T_2157) @[lsu_bus_buffer.scala 406:57] - node _T_2159 = or(_T_2155, _T_2158) @[lsu_bus_buffer.scala 406:31] - node _T_2160 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2161 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 407:41] - node _T_2163 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] - node _T_2164 = and(_T_2162, _T_2163) @[lsu_bus_buffer.scala 407:71] - node _T_2165 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] - node _T_2166 = and(_T_2164, _T_2165) @[lsu_bus_buffer.scala 407:92] - node _T_2167 = or(_T_2159, _T_2166) @[lsu_bus_buffer.scala 406:86] - node _T_2168 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2169 = and(_T_2168, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2170 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] - node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 408:52] - node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] - node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 408:73] - node _T_2174 = or(_T_2167, _T_2173) @[lsu_bus_buffer.scala 407:114] - node _T_2175 = and(_T_2154, _T_2174) @[lsu_bus_buffer.scala 405:113] - node _T_2176 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 408:109] - node _T_2177 = or(_T_2175, _T_2176) @[lsu_bus_buffer.scala 408:97] - node _T_2178 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2179 = and(_T_2178, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] - node _T_2180 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2181 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2182 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2183 = and(_T_2181, _T_2182) @[lsu_bus_buffer.scala 406:57] - node _T_2184 = or(_T_2180, _T_2183) @[lsu_bus_buffer.scala 406:31] - node _T_2185 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2186 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 407:41] - node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] - node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 407:71] - node _T_2190 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] - node _T_2191 = and(_T_2189, _T_2190) @[lsu_bus_buffer.scala 407:92] - node _T_2192 = or(_T_2184, _T_2191) @[lsu_bus_buffer.scala 406:86] - node _T_2193 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2194 = and(_T_2193, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2195 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] - node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 408:52] - node _T_2197 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] - node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 408:73] - node _T_2199 = or(_T_2192, _T_2198) @[lsu_bus_buffer.scala 407:114] - node _T_2200 = and(_T_2179, _T_2199) @[lsu_bus_buffer.scala 405:113] - node _T_2201 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 408:109] - node _T_2202 = or(_T_2200, _T_2201) @[lsu_bus_buffer.scala 408:97] - node _T_2203 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2204 = and(_T_2203, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] - node _T_2205 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2206 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2207 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2208 = and(_T_2206, _T_2207) @[lsu_bus_buffer.scala 406:57] - node _T_2209 = or(_T_2205, _T_2208) @[lsu_bus_buffer.scala 406:31] - node _T_2210 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2211 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 407:41] - node _T_2213 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] - node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 407:71] - node _T_2215 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] - node _T_2216 = and(_T_2214, _T_2215) @[lsu_bus_buffer.scala 407:92] - node _T_2217 = or(_T_2209, _T_2216) @[lsu_bus_buffer.scala 406:86] - node _T_2218 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2219 = and(_T_2218, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2220 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] - node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 408:52] - node _T_2222 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] - node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 408:73] - node _T_2224 = or(_T_2217, _T_2223) @[lsu_bus_buffer.scala 407:114] - node _T_2225 = and(_T_2204, _T_2224) @[lsu_bus_buffer.scala 405:113] - node _T_2226 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 408:109] - node _T_2227 = or(_T_2225, _T_2226) @[lsu_bus_buffer.scala 408:97] + RspPtr <= _T_2127 @[lsu_bus_buffer.scala 394:10] + wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 395:26] + buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] + buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] + buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] + buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] + wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 397:25] + buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] + buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] + buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] + buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] + wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 399:28] + buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] + buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] + buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] + buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] + wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 401:27] + buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] + buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] + buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] + buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] + wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 403:24] + buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] + buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] + buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] + buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] + node _T_2130 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2131 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2132 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2133 = and(_T_2131, _T_2132) @[lsu_bus_buffer.scala 407:57] + node _T_2134 = or(_T_2130, _T_2133) @[lsu_bus_buffer.scala 407:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 408:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2139 = and(_T_2137, _T_2138) @[lsu_bus_buffer.scala 408:71] + node _T_2140 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] + node _T_2141 = and(_T_2139, _T_2140) @[lsu_bus_buffer.scala 408:92] + node _T_2142 = or(_T_2134, _T_2141) @[lsu_bus_buffer.scala 407:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] + node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 409:52] + node _T_2147 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] + node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 409:73] + node _T_2149 = or(_T_2142, _T_2148) @[lsu_bus_buffer.scala 408:114] + node _T_2150 = and(_T_2129, _T_2149) @[lsu_bus_buffer.scala 406:113] + node _T_2151 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 409:109] + node _T_2152 = or(_T_2150, _T_2151) @[lsu_bus_buffer.scala 409:97] + node _T_2153 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2154 = and(_T_2153, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] + node _T_2155 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2156 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2157 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2158 = and(_T_2156, _T_2157) @[lsu_bus_buffer.scala 407:57] + node _T_2159 = or(_T_2155, _T_2158) @[lsu_bus_buffer.scala 407:31] + node _T_2160 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2161 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 408:41] + node _T_2163 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2164 = and(_T_2162, _T_2163) @[lsu_bus_buffer.scala 408:71] + node _T_2165 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] + node _T_2166 = and(_T_2164, _T_2165) @[lsu_bus_buffer.scala 408:92] + node _T_2167 = or(_T_2159, _T_2166) @[lsu_bus_buffer.scala 407:86] + node _T_2168 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2169 = and(_T_2168, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2170 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] + node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 409:52] + node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] + node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 409:73] + node _T_2174 = or(_T_2167, _T_2173) @[lsu_bus_buffer.scala 408:114] + node _T_2175 = and(_T_2154, _T_2174) @[lsu_bus_buffer.scala 406:113] + node _T_2176 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 409:109] + node _T_2177 = or(_T_2175, _T_2176) @[lsu_bus_buffer.scala 409:97] + node _T_2178 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2179 = and(_T_2178, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] + node _T_2180 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2181 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2182 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2183 = and(_T_2181, _T_2182) @[lsu_bus_buffer.scala 407:57] + node _T_2184 = or(_T_2180, _T_2183) @[lsu_bus_buffer.scala 407:31] + node _T_2185 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2186 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 408:41] + node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 408:71] + node _T_2190 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] + node _T_2191 = and(_T_2189, _T_2190) @[lsu_bus_buffer.scala 408:92] + node _T_2192 = or(_T_2184, _T_2191) @[lsu_bus_buffer.scala 407:86] + node _T_2193 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2194 = and(_T_2193, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2195 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] + node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 409:52] + node _T_2197 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] + node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 409:73] + node _T_2199 = or(_T_2192, _T_2198) @[lsu_bus_buffer.scala 408:114] + node _T_2200 = and(_T_2179, _T_2199) @[lsu_bus_buffer.scala 406:113] + node _T_2201 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 409:109] + node _T_2202 = or(_T_2200, _T_2201) @[lsu_bus_buffer.scala 409:97] + node _T_2203 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2204 = and(_T_2203, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] + node _T_2205 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2206 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2207 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2208 = and(_T_2206, _T_2207) @[lsu_bus_buffer.scala 407:57] + node _T_2209 = or(_T_2205, _T_2208) @[lsu_bus_buffer.scala 407:31] + node _T_2210 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2211 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 408:41] + node _T_2213 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 408:71] + node _T_2215 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] + node _T_2216 = and(_T_2214, _T_2215) @[lsu_bus_buffer.scala 408:92] + node _T_2217 = or(_T_2209, _T_2216) @[lsu_bus_buffer.scala 407:86] + node _T_2218 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2219 = and(_T_2218, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2220 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] + node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 409:52] + node _T_2222 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] + node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 409:73] + node _T_2224 = or(_T_2217, _T_2223) @[lsu_bus_buffer.scala 408:114] + node _T_2225 = and(_T_2204, _T_2224) @[lsu_bus_buffer.scala 406:113] + node _T_2226 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 409:109] + node _T_2227 = or(_T_2225, _T_2226) @[lsu_bus_buffer.scala 409:97] node _T_2228 = cat(_T_2227, _T_2202) @[Cat.scala 29:58] node _T_2229 = cat(_T_2228, _T_2177) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2229, _T_2152) @[Cat.scala 29:58] - node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2231 = and(_T_2230, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] - node _T_2232 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2233 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2234 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2235 = and(_T_2233, _T_2234) @[lsu_bus_buffer.scala 406:57] - node _T_2236 = or(_T_2232, _T_2235) @[lsu_bus_buffer.scala 406:31] - node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 407:41] - node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] - node _T_2241 = and(_T_2239, _T_2240) @[lsu_bus_buffer.scala 407:71] - node _T_2242 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] - node _T_2243 = and(_T_2241, _T_2242) @[lsu_bus_buffer.scala 407:92] - node _T_2244 = or(_T_2236, _T_2243) @[lsu_bus_buffer.scala 406:86] - node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2246 = and(_T_2245, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] - node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 408:52] - node _T_2249 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] - node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 408:73] - node _T_2251 = or(_T_2244, _T_2250) @[lsu_bus_buffer.scala 407:114] - node _T_2252 = and(_T_2231, _T_2251) @[lsu_bus_buffer.scala 405:113] - node _T_2253 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 408:109] - node _T_2254 = or(_T_2252, _T_2253) @[lsu_bus_buffer.scala 408:97] - node _T_2255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2256 = and(_T_2255, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] - node _T_2257 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2258 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2259 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2260 = and(_T_2258, _T_2259) @[lsu_bus_buffer.scala 406:57] - node _T_2261 = or(_T_2257, _T_2260) @[lsu_bus_buffer.scala 406:31] - node _T_2262 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2263 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 407:41] - node _T_2265 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] - node _T_2266 = and(_T_2264, _T_2265) @[lsu_bus_buffer.scala 407:71] - node _T_2267 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] - node _T_2268 = and(_T_2266, _T_2267) @[lsu_bus_buffer.scala 407:92] - node _T_2269 = or(_T_2261, _T_2268) @[lsu_bus_buffer.scala 406:86] - node _T_2270 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2271 = and(_T_2270, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2272 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] - node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 408:52] - node _T_2274 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] - node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 408:73] - node _T_2276 = or(_T_2269, _T_2275) @[lsu_bus_buffer.scala 407:114] - node _T_2277 = and(_T_2256, _T_2276) @[lsu_bus_buffer.scala 405:113] - node _T_2278 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 408:109] - node _T_2279 = or(_T_2277, _T_2278) @[lsu_bus_buffer.scala 408:97] - node _T_2280 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2281 = and(_T_2280, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] - node _T_2282 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2283 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2284 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2285 = and(_T_2283, _T_2284) @[lsu_bus_buffer.scala 406:57] - node _T_2286 = or(_T_2282, _T_2285) @[lsu_bus_buffer.scala 406:31] - node _T_2287 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2288 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 407:41] - node _T_2290 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] - node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 407:71] - node _T_2292 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] - node _T_2293 = and(_T_2291, _T_2292) @[lsu_bus_buffer.scala 407:92] - node _T_2294 = or(_T_2286, _T_2293) @[lsu_bus_buffer.scala 406:86] - node _T_2295 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2296 = and(_T_2295, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2297 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] - node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 408:52] - node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] - node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 408:73] - node _T_2301 = or(_T_2294, _T_2300) @[lsu_bus_buffer.scala 407:114] - node _T_2302 = and(_T_2281, _T_2301) @[lsu_bus_buffer.scala 405:113] - node _T_2303 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 408:109] - node _T_2304 = or(_T_2302, _T_2303) @[lsu_bus_buffer.scala 408:97] - node _T_2305 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2306 = and(_T_2305, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] - node _T_2307 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2308 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2309 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2310 = and(_T_2308, _T_2309) @[lsu_bus_buffer.scala 406:57] - node _T_2311 = or(_T_2307, _T_2310) @[lsu_bus_buffer.scala 406:31] - node _T_2312 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2313 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 407:41] - node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] - node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 407:71] - node _T_2317 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] - node _T_2318 = and(_T_2316, _T_2317) @[lsu_bus_buffer.scala 407:92] - node _T_2319 = or(_T_2311, _T_2318) @[lsu_bus_buffer.scala 406:86] - node _T_2320 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2321 = and(_T_2320, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2322 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] - node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 408:52] - node _T_2324 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] - node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 408:73] - node _T_2326 = or(_T_2319, _T_2325) @[lsu_bus_buffer.scala 407:114] - node _T_2327 = and(_T_2306, _T_2326) @[lsu_bus_buffer.scala 405:113] - node _T_2328 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 408:109] - node _T_2329 = or(_T_2327, _T_2328) @[lsu_bus_buffer.scala 408:97] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] + node _T_2232 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2233 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2234 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2235 = and(_T_2233, _T_2234) @[lsu_bus_buffer.scala 407:57] + node _T_2236 = or(_T_2232, _T_2235) @[lsu_bus_buffer.scala 407:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 408:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] + node _T_2241 = and(_T_2239, _T_2240) @[lsu_bus_buffer.scala 408:71] + node _T_2242 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] + node _T_2243 = and(_T_2241, _T_2242) @[lsu_bus_buffer.scala 408:92] + node _T_2244 = or(_T_2236, _T_2243) @[lsu_bus_buffer.scala 407:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] + node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 409:52] + node _T_2249 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] + node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 409:73] + node _T_2251 = or(_T_2244, _T_2250) @[lsu_bus_buffer.scala 408:114] + node _T_2252 = and(_T_2231, _T_2251) @[lsu_bus_buffer.scala 406:113] + node _T_2253 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 409:109] + node _T_2254 = or(_T_2252, _T_2253) @[lsu_bus_buffer.scala 409:97] + node _T_2255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2256 = and(_T_2255, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] + node _T_2257 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2258 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2259 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2260 = and(_T_2258, _T_2259) @[lsu_bus_buffer.scala 407:57] + node _T_2261 = or(_T_2257, _T_2260) @[lsu_bus_buffer.scala 407:31] + node _T_2262 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2263 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 408:41] + node _T_2265 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] + node _T_2266 = and(_T_2264, _T_2265) @[lsu_bus_buffer.scala 408:71] + node _T_2267 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] + node _T_2268 = and(_T_2266, _T_2267) @[lsu_bus_buffer.scala 408:92] + node _T_2269 = or(_T_2261, _T_2268) @[lsu_bus_buffer.scala 407:86] + node _T_2270 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2271 = and(_T_2270, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2272 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] + node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 409:52] + node _T_2274 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] + node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 409:73] + node _T_2276 = or(_T_2269, _T_2275) @[lsu_bus_buffer.scala 408:114] + node _T_2277 = and(_T_2256, _T_2276) @[lsu_bus_buffer.scala 406:113] + node _T_2278 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 409:109] + node _T_2279 = or(_T_2277, _T_2278) @[lsu_bus_buffer.scala 409:97] + node _T_2280 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2281 = and(_T_2280, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] + node _T_2282 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2283 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2284 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2285 = and(_T_2283, _T_2284) @[lsu_bus_buffer.scala 407:57] + node _T_2286 = or(_T_2282, _T_2285) @[lsu_bus_buffer.scala 407:31] + node _T_2287 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2288 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 408:41] + node _T_2290 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] + node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 408:71] + node _T_2292 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] + node _T_2293 = and(_T_2291, _T_2292) @[lsu_bus_buffer.scala 408:92] + node _T_2294 = or(_T_2286, _T_2293) @[lsu_bus_buffer.scala 407:86] + node _T_2295 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2296 = and(_T_2295, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2297 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] + node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 409:52] + node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] + node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 409:73] + node _T_2301 = or(_T_2294, _T_2300) @[lsu_bus_buffer.scala 408:114] + node _T_2302 = and(_T_2281, _T_2301) @[lsu_bus_buffer.scala 406:113] + node _T_2303 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 409:109] + node _T_2304 = or(_T_2302, _T_2303) @[lsu_bus_buffer.scala 409:97] + node _T_2305 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2306 = and(_T_2305, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] + node _T_2307 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2308 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2309 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2310 = and(_T_2308, _T_2309) @[lsu_bus_buffer.scala 407:57] + node _T_2311 = or(_T_2307, _T_2310) @[lsu_bus_buffer.scala 407:31] + node _T_2312 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2313 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 408:41] + node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] + node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 408:71] + node _T_2317 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] + node _T_2318 = and(_T_2316, _T_2317) @[lsu_bus_buffer.scala 408:92] + node _T_2319 = or(_T_2311, _T_2318) @[lsu_bus_buffer.scala 407:86] + node _T_2320 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2321 = and(_T_2320, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2322 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] + node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 409:52] + node _T_2324 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] + node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 409:73] + node _T_2326 = or(_T_2319, _T_2325) @[lsu_bus_buffer.scala 408:114] + node _T_2327 = and(_T_2306, _T_2326) @[lsu_bus_buffer.scala 406:113] + node _T_2328 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 409:109] + node _T_2329 = or(_T_2327, _T_2328) @[lsu_bus_buffer.scala 409:97] node _T_2330 = cat(_T_2329, _T_2304) @[Cat.scala 29:58] node _T_2331 = cat(_T_2330, _T_2279) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2331, _T_2254) @[Cat.scala 29:58] - node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2333 = and(_T_2332, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] - node _T_2334 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2335 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2336 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2337 = and(_T_2335, _T_2336) @[lsu_bus_buffer.scala 406:57] - node _T_2338 = or(_T_2334, _T_2337) @[lsu_bus_buffer.scala 406:31] - node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 407:41] - node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] - node _T_2343 = and(_T_2341, _T_2342) @[lsu_bus_buffer.scala 407:71] - node _T_2344 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] - node _T_2345 = and(_T_2343, _T_2344) @[lsu_bus_buffer.scala 407:92] - node _T_2346 = or(_T_2338, _T_2345) @[lsu_bus_buffer.scala 406:86] - node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2348 = and(_T_2347, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] - node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 408:52] - node _T_2351 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] - node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 408:73] - node _T_2353 = or(_T_2346, _T_2352) @[lsu_bus_buffer.scala 407:114] - node _T_2354 = and(_T_2333, _T_2353) @[lsu_bus_buffer.scala 405:113] - node _T_2355 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 408:109] - node _T_2356 = or(_T_2354, _T_2355) @[lsu_bus_buffer.scala 408:97] - node _T_2357 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2358 = and(_T_2357, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] - node _T_2359 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2360 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2361 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2362 = and(_T_2360, _T_2361) @[lsu_bus_buffer.scala 406:57] - node _T_2363 = or(_T_2359, _T_2362) @[lsu_bus_buffer.scala 406:31] - node _T_2364 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2365 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 407:41] - node _T_2367 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] - node _T_2368 = and(_T_2366, _T_2367) @[lsu_bus_buffer.scala 407:71] - node _T_2369 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] - node _T_2370 = and(_T_2368, _T_2369) @[lsu_bus_buffer.scala 407:92] - node _T_2371 = or(_T_2363, _T_2370) @[lsu_bus_buffer.scala 406:86] - node _T_2372 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2373 = and(_T_2372, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2374 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] - node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 408:52] - node _T_2376 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] - node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 408:73] - node _T_2378 = or(_T_2371, _T_2377) @[lsu_bus_buffer.scala 407:114] - node _T_2379 = and(_T_2358, _T_2378) @[lsu_bus_buffer.scala 405:113] - node _T_2380 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 408:109] - node _T_2381 = or(_T_2379, _T_2380) @[lsu_bus_buffer.scala 408:97] - node _T_2382 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2383 = and(_T_2382, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] - node _T_2384 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2385 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2387 = and(_T_2385, _T_2386) @[lsu_bus_buffer.scala 406:57] - node _T_2388 = or(_T_2384, _T_2387) @[lsu_bus_buffer.scala 406:31] - node _T_2389 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2390 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 407:41] - node _T_2392 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] - node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 407:71] - node _T_2394 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] - node _T_2395 = and(_T_2393, _T_2394) @[lsu_bus_buffer.scala 407:92] - node _T_2396 = or(_T_2388, _T_2395) @[lsu_bus_buffer.scala 406:86] - node _T_2397 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2398 = and(_T_2397, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2399 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] - node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 408:52] - node _T_2401 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] - node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 408:73] - node _T_2403 = or(_T_2396, _T_2402) @[lsu_bus_buffer.scala 407:114] - node _T_2404 = and(_T_2383, _T_2403) @[lsu_bus_buffer.scala 405:113] - node _T_2405 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 408:109] - node _T_2406 = or(_T_2404, _T_2405) @[lsu_bus_buffer.scala 408:97] - node _T_2407 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2408 = and(_T_2407, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] - node _T_2409 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2410 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2411 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2412 = and(_T_2410, _T_2411) @[lsu_bus_buffer.scala 406:57] - node _T_2413 = or(_T_2409, _T_2412) @[lsu_bus_buffer.scala 406:31] - node _T_2414 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2415 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 407:41] - node _T_2417 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] - node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 407:71] - node _T_2419 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] - node _T_2420 = and(_T_2418, _T_2419) @[lsu_bus_buffer.scala 407:92] - node _T_2421 = or(_T_2413, _T_2420) @[lsu_bus_buffer.scala 406:86] - node _T_2422 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2423 = and(_T_2422, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2424 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] - node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 408:52] - node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] - node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 408:73] - node _T_2428 = or(_T_2421, _T_2427) @[lsu_bus_buffer.scala 407:114] - node _T_2429 = and(_T_2408, _T_2428) @[lsu_bus_buffer.scala 405:113] - node _T_2430 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 408:109] - node _T_2431 = or(_T_2429, _T_2430) @[lsu_bus_buffer.scala 408:97] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] + node _T_2334 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2335 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2336 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2337 = and(_T_2335, _T_2336) @[lsu_bus_buffer.scala 407:57] + node _T_2338 = or(_T_2334, _T_2337) @[lsu_bus_buffer.scala 407:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 408:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] + node _T_2343 = and(_T_2341, _T_2342) @[lsu_bus_buffer.scala 408:71] + node _T_2344 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] + node _T_2345 = and(_T_2343, _T_2344) @[lsu_bus_buffer.scala 408:92] + node _T_2346 = or(_T_2338, _T_2345) @[lsu_bus_buffer.scala 407:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] + node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 409:52] + node _T_2351 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] + node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 409:73] + node _T_2353 = or(_T_2346, _T_2352) @[lsu_bus_buffer.scala 408:114] + node _T_2354 = and(_T_2333, _T_2353) @[lsu_bus_buffer.scala 406:113] + node _T_2355 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 409:109] + node _T_2356 = or(_T_2354, _T_2355) @[lsu_bus_buffer.scala 409:97] + node _T_2357 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2358 = and(_T_2357, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] + node _T_2359 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2360 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2361 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2362 = and(_T_2360, _T_2361) @[lsu_bus_buffer.scala 407:57] + node _T_2363 = or(_T_2359, _T_2362) @[lsu_bus_buffer.scala 407:31] + node _T_2364 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2365 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 408:41] + node _T_2367 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] + node _T_2368 = and(_T_2366, _T_2367) @[lsu_bus_buffer.scala 408:71] + node _T_2369 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] + node _T_2370 = and(_T_2368, _T_2369) @[lsu_bus_buffer.scala 408:92] + node _T_2371 = or(_T_2363, _T_2370) @[lsu_bus_buffer.scala 407:86] + node _T_2372 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2373 = and(_T_2372, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2374 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] + node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 409:52] + node _T_2376 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] + node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 409:73] + node _T_2378 = or(_T_2371, _T_2377) @[lsu_bus_buffer.scala 408:114] + node _T_2379 = and(_T_2358, _T_2378) @[lsu_bus_buffer.scala 406:113] + node _T_2380 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 409:109] + node _T_2381 = or(_T_2379, _T_2380) @[lsu_bus_buffer.scala 409:97] + node _T_2382 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2383 = and(_T_2382, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] + node _T_2384 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2385 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2387 = and(_T_2385, _T_2386) @[lsu_bus_buffer.scala 407:57] + node _T_2388 = or(_T_2384, _T_2387) @[lsu_bus_buffer.scala 407:31] + node _T_2389 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2390 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 408:41] + node _T_2392 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] + node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 408:71] + node _T_2394 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] + node _T_2395 = and(_T_2393, _T_2394) @[lsu_bus_buffer.scala 408:92] + node _T_2396 = or(_T_2388, _T_2395) @[lsu_bus_buffer.scala 407:86] + node _T_2397 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2398 = and(_T_2397, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2399 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] + node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 409:52] + node _T_2401 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] + node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 409:73] + node _T_2403 = or(_T_2396, _T_2402) @[lsu_bus_buffer.scala 408:114] + node _T_2404 = and(_T_2383, _T_2403) @[lsu_bus_buffer.scala 406:113] + node _T_2405 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 409:109] + node _T_2406 = or(_T_2404, _T_2405) @[lsu_bus_buffer.scala 409:97] + node _T_2407 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2408 = and(_T_2407, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] + node _T_2409 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2410 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2411 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2412 = and(_T_2410, _T_2411) @[lsu_bus_buffer.scala 407:57] + node _T_2413 = or(_T_2409, _T_2412) @[lsu_bus_buffer.scala 407:31] + node _T_2414 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2415 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 408:41] + node _T_2417 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] + node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 408:71] + node _T_2419 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] + node _T_2420 = and(_T_2418, _T_2419) @[lsu_bus_buffer.scala 408:92] + node _T_2421 = or(_T_2413, _T_2420) @[lsu_bus_buffer.scala 407:86] + node _T_2422 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2423 = and(_T_2422, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2424 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] + node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 409:52] + node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] + node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 409:73] + node _T_2428 = or(_T_2421, _T_2427) @[lsu_bus_buffer.scala 408:114] + node _T_2429 = and(_T_2408, _T_2428) @[lsu_bus_buffer.scala 406:113] + node _T_2430 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 409:109] + node _T_2431 = or(_T_2429, _T_2430) @[lsu_bus_buffer.scala 409:97] node _T_2432 = cat(_T_2431, _T_2406) @[Cat.scala 29:58] node _T_2433 = cat(_T_2432, _T_2381) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2433, _T_2356) @[Cat.scala 29:58] - node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2435 = and(_T_2434, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] - node _T_2436 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2437 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2438 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2439 = and(_T_2437, _T_2438) @[lsu_bus_buffer.scala 406:57] - node _T_2440 = or(_T_2436, _T_2439) @[lsu_bus_buffer.scala 406:31] - node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 407:41] - node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] - node _T_2445 = and(_T_2443, _T_2444) @[lsu_bus_buffer.scala 407:71] - node _T_2446 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] - node _T_2447 = and(_T_2445, _T_2446) @[lsu_bus_buffer.scala 407:92] - node _T_2448 = or(_T_2440, _T_2447) @[lsu_bus_buffer.scala 406:86] - node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2450 = and(_T_2449, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] - node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 408:52] - node _T_2453 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] - node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 408:73] - node _T_2455 = or(_T_2448, _T_2454) @[lsu_bus_buffer.scala 407:114] - node _T_2456 = and(_T_2435, _T_2455) @[lsu_bus_buffer.scala 405:113] - node _T_2457 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 408:109] - node _T_2458 = or(_T_2456, _T_2457) @[lsu_bus_buffer.scala 408:97] - node _T_2459 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2460 = and(_T_2459, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] - node _T_2461 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2462 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2464 = and(_T_2462, _T_2463) @[lsu_bus_buffer.scala 406:57] - node _T_2465 = or(_T_2461, _T_2464) @[lsu_bus_buffer.scala 406:31] - node _T_2466 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2467 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 407:41] - node _T_2469 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] - node _T_2470 = and(_T_2468, _T_2469) @[lsu_bus_buffer.scala 407:71] - node _T_2471 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] - node _T_2472 = and(_T_2470, _T_2471) @[lsu_bus_buffer.scala 407:92] - node _T_2473 = or(_T_2465, _T_2472) @[lsu_bus_buffer.scala 406:86] - node _T_2474 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2475 = and(_T_2474, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2476 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] - node _T_2477 = and(_T_2475, _T_2476) @[lsu_bus_buffer.scala 408:52] - node _T_2478 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] - node _T_2479 = and(_T_2477, _T_2478) @[lsu_bus_buffer.scala 408:73] - node _T_2480 = or(_T_2473, _T_2479) @[lsu_bus_buffer.scala 407:114] - node _T_2481 = and(_T_2460, _T_2480) @[lsu_bus_buffer.scala 405:113] - node _T_2482 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 408:109] - node _T_2483 = or(_T_2481, _T_2482) @[lsu_bus_buffer.scala 408:97] - node _T_2484 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2485 = and(_T_2484, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] - node _T_2486 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2487 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2488 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2489 = and(_T_2487, _T_2488) @[lsu_bus_buffer.scala 406:57] - node _T_2490 = or(_T_2486, _T_2489) @[lsu_bus_buffer.scala 406:31] - node _T_2491 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2492 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2493 = and(_T_2491, _T_2492) @[lsu_bus_buffer.scala 407:41] - node _T_2494 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] - node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 407:71] - node _T_2496 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] - node _T_2497 = and(_T_2495, _T_2496) @[lsu_bus_buffer.scala 407:92] - node _T_2498 = or(_T_2490, _T_2497) @[lsu_bus_buffer.scala 406:86] - node _T_2499 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2500 = and(_T_2499, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2501 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] - node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 408:52] - node _T_2503 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] - node _T_2504 = and(_T_2502, _T_2503) @[lsu_bus_buffer.scala 408:73] - node _T_2505 = or(_T_2498, _T_2504) @[lsu_bus_buffer.scala 407:114] - node _T_2506 = and(_T_2485, _T_2505) @[lsu_bus_buffer.scala 405:113] - node _T_2507 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 408:109] - node _T_2508 = or(_T_2506, _T_2507) @[lsu_bus_buffer.scala 408:97] - node _T_2509 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] - node _T_2510 = and(_T_2509, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] - node _T_2511 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] - node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] - node _T_2513 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] - node _T_2514 = and(_T_2512, _T_2513) @[lsu_bus_buffer.scala 406:57] - node _T_2515 = or(_T_2511, _T_2514) @[lsu_bus_buffer.scala 406:31] - node _T_2516 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] - node _T_2517 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] - node _T_2518 = and(_T_2516, _T_2517) @[lsu_bus_buffer.scala 407:41] - node _T_2519 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] - node _T_2520 = and(_T_2518, _T_2519) @[lsu_bus_buffer.scala 407:71] - node _T_2521 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] - node _T_2522 = and(_T_2520, _T_2521) @[lsu_bus_buffer.scala 407:92] - node _T_2523 = or(_T_2515, _T_2522) @[lsu_bus_buffer.scala 406:86] - node _T_2524 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] - node _T_2525 = and(_T_2524, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] - node _T_2526 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] - node _T_2527 = and(_T_2525, _T_2526) @[lsu_bus_buffer.scala 408:52] - node _T_2528 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] - node _T_2529 = and(_T_2527, _T_2528) @[lsu_bus_buffer.scala 408:73] - node _T_2530 = or(_T_2523, _T_2529) @[lsu_bus_buffer.scala 407:114] - node _T_2531 = and(_T_2510, _T_2530) @[lsu_bus_buffer.scala 405:113] - node _T_2532 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 408:109] - node _T_2533 = or(_T_2531, _T_2532) @[lsu_bus_buffer.scala 408:97] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] + node _T_2436 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2437 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2438 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2439 = and(_T_2437, _T_2438) @[lsu_bus_buffer.scala 407:57] + node _T_2440 = or(_T_2436, _T_2439) @[lsu_bus_buffer.scala 407:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 408:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] + node _T_2445 = and(_T_2443, _T_2444) @[lsu_bus_buffer.scala 408:71] + node _T_2446 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] + node _T_2447 = and(_T_2445, _T_2446) @[lsu_bus_buffer.scala 408:92] + node _T_2448 = or(_T_2440, _T_2447) @[lsu_bus_buffer.scala 407:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] + node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 409:52] + node _T_2453 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] + node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 409:73] + node _T_2455 = or(_T_2448, _T_2454) @[lsu_bus_buffer.scala 408:114] + node _T_2456 = and(_T_2435, _T_2455) @[lsu_bus_buffer.scala 406:113] + node _T_2457 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 409:109] + node _T_2458 = or(_T_2456, _T_2457) @[lsu_bus_buffer.scala 409:97] + node _T_2459 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2460 = and(_T_2459, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] + node _T_2461 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2462 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2464 = and(_T_2462, _T_2463) @[lsu_bus_buffer.scala 407:57] + node _T_2465 = or(_T_2461, _T_2464) @[lsu_bus_buffer.scala 407:31] + node _T_2466 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2467 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 408:41] + node _T_2469 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] + node _T_2470 = and(_T_2468, _T_2469) @[lsu_bus_buffer.scala 408:71] + node _T_2471 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] + node _T_2472 = and(_T_2470, _T_2471) @[lsu_bus_buffer.scala 408:92] + node _T_2473 = or(_T_2465, _T_2472) @[lsu_bus_buffer.scala 407:86] + node _T_2474 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2475 = and(_T_2474, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2476 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] + node _T_2477 = and(_T_2475, _T_2476) @[lsu_bus_buffer.scala 409:52] + node _T_2478 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] + node _T_2479 = and(_T_2477, _T_2478) @[lsu_bus_buffer.scala 409:73] + node _T_2480 = or(_T_2473, _T_2479) @[lsu_bus_buffer.scala 408:114] + node _T_2481 = and(_T_2460, _T_2480) @[lsu_bus_buffer.scala 406:113] + node _T_2482 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 409:109] + node _T_2483 = or(_T_2481, _T_2482) @[lsu_bus_buffer.scala 409:97] + node _T_2484 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2485 = and(_T_2484, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] + node _T_2486 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2487 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2488 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2489 = and(_T_2487, _T_2488) @[lsu_bus_buffer.scala 407:57] + node _T_2490 = or(_T_2486, _T_2489) @[lsu_bus_buffer.scala 407:31] + node _T_2491 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2492 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2493 = and(_T_2491, _T_2492) @[lsu_bus_buffer.scala 408:41] + node _T_2494 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] + node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 408:71] + node _T_2496 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] + node _T_2497 = and(_T_2495, _T_2496) @[lsu_bus_buffer.scala 408:92] + node _T_2498 = or(_T_2490, _T_2497) @[lsu_bus_buffer.scala 407:86] + node _T_2499 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2500 = and(_T_2499, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2501 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] + node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 409:52] + node _T_2503 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] + node _T_2504 = and(_T_2502, _T_2503) @[lsu_bus_buffer.scala 409:73] + node _T_2505 = or(_T_2498, _T_2504) @[lsu_bus_buffer.scala 408:114] + node _T_2506 = and(_T_2485, _T_2505) @[lsu_bus_buffer.scala 406:113] + node _T_2507 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 409:109] + node _T_2508 = or(_T_2506, _T_2507) @[lsu_bus_buffer.scala 409:97] + node _T_2509 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2510 = and(_T_2509, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] + node _T_2511 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2513 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2514 = and(_T_2512, _T_2513) @[lsu_bus_buffer.scala 407:57] + node _T_2515 = or(_T_2511, _T_2514) @[lsu_bus_buffer.scala 407:31] + node _T_2516 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2517 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2518 = and(_T_2516, _T_2517) @[lsu_bus_buffer.scala 408:41] + node _T_2519 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] + node _T_2520 = and(_T_2518, _T_2519) @[lsu_bus_buffer.scala 408:71] + node _T_2521 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] + node _T_2522 = and(_T_2520, _T_2521) @[lsu_bus_buffer.scala 408:92] + node _T_2523 = or(_T_2515, _T_2522) @[lsu_bus_buffer.scala 407:86] + node _T_2524 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2525 = and(_T_2524, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2526 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] + node _T_2527 = and(_T_2525, _T_2526) @[lsu_bus_buffer.scala 409:52] + node _T_2528 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] + node _T_2529 = and(_T_2527, _T_2528) @[lsu_bus_buffer.scala 409:73] + node _T_2530 = or(_T_2523, _T_2529) @[lsu_bus_buffer.scala 408:114] + node _T_2531 = and(_T_2510, _T_2530) @[lsu_bus_buffer.scala 406:113] + node _T_2532 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 409:109] + node _T_2533 = or(_T_2531, _T_2532) @[lsu_bus_buffer.scala 409:97] node _T_2534 = cat(_T_2533, _T_2508) @[Cat.scala 29:58] node _T_2535 = cat(_T_2534, _T_2483) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2535, _T_2458) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 409:22] - buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] - buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] - buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] - buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] - node _T_2536 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 411:72] - node _T_2537 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2538 = and(_T_2537, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] - node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2540 = and(_T_2536, _T_2539) @[lsu_bus_buffer.scala 411:76] - node _T_2541 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 411:72] - node _T_2542 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2543 = and(_T_2542, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] - node _T_2544 = eq(_T_2543, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2545 = and(_T_2541, _T_2544) @[lsu_bus_buffer.scala 411:76] - node _T_2546 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 411:72] - node _T_2547 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2548 = and(_T_2547, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] - node _T_2549 = eq(_T_2548, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2550 = and(_T_2546, _T_2549) @[lsu_bus_buffer.scala 411:76] - node _T_2551 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 411:72] - node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 411:76] + wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 410:22] + buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] + buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] + buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] + buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] + node _T_2536 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 412:72] + node _T_2537 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2538 = and(_T_2537, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2540 = and(_T_2536, _T_2539) @[lsu_bus_buffer.scala 412:76] + node _T_2541 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 412:72] + node _T_2542 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2543 = and(_T_2542, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] + node _T_2544 = eq(_T_2543, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2545 = and(_T_2541, _T_2544) @[lsu_bus_buffer.scala 412:76] + node _T_2546 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 412:72] + node _T_2547 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2548 = and(_T_2547, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] + node _T_2549 = eq(_T_2548, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2550 = and(_T_2546, _T_2549) @[lsu_bus_buffer.scala 412:76] + node _T_2551 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 412:72] + node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 412:76] node _T_2556 = cat(_T_2555, _T_2550) @[Cat.scala 29:58] node _T_2557 = cat(_T_2556, _T_2545) @[Cat.scala 29:58] node _T_2558 = cat(_T_2557, _T_2540) @[Cat.scala 29:58] - node _T_2559 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 411:72] - node _T_2560 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2561 = and(_T_2560, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] - node _T_2562 = eq(_T_2561, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2563 = and(_T_2559, _T_2562) @[lsu_bus_buffer.scala 411:76] - node _T_2564 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 411:72] - node _T_2565 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2566 = and(_T_2565, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] - node _T_2567 = eq(_T_2566, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2568 = and(_T_2564, _T_2567) @[lsu_bus_buffer.scala 411:76] - node _T_2569 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 411:72] - node _T_2570 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2571 = and(_T_2570, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] - node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2573 = and(_T_2569, _T_2572) @[lsu_bus_buffer.scala 411:76] - node _T_2574 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 411:72] - node _T_2575 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] - node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2578 = and(_T_2574, _T_2577) @[lsu_bus_buffer.scala 411:76] + node _T_2559 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 412:72] + node _T_2560 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2561 = and(_T_2560, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] + node _T_2562 = eq(_T_2561, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2563 = and(_T_2559, _T_2562) @[lsu_bus_buffer.scala 412:76] + node _T_2564 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 412:72] + node _T_2565 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2566 = and(_T_2565, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] + node _T_2567 = eq(_T_2566, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2568 = and(_T_2564, _T_2567) @[lsu_bus_buffer.scala 412:76] + node _T_2569 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 412:72] + node _T_2570 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2571 = and(_T_2570, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2573 = and(_T_2569, _T_2572) @[lsu_bus_buffer.scala 412:76] + node _T_2574 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 412:72] + node _T_2575 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] + node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2578 = and(_T_2574, _T_2577) @[lsu_bus_buffer.scala 412:76] node _T_2579 = cat(_T_2578, _T_2573) @[Cat.scala 29:58] node _T_2580 = cat(_T_2579, _T_2568) @[Cat.scala 29:58] node _T_2581 = cat(_T_2580, _T_2563) @[Cat.scala 29:58] - node _T_2582 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 411:72] - node _T_2583 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] - node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 411:76] - node _T_2587 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 411:72] - node _T_2588 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2589 = and(_T_2588, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] - node _T_2590 = eq(_T_2589, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2591 = and(_T_2587, _T_2590) @[lsu_bus_buffer.scala 411:76] - node _T_2592 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 411:72] - node _T_2593 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2594 = and(_T_2593, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] - node _T_2595 = eq(_T_2594, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2596 = and(_T_2592, _T_2595) @[lsu_bus_buffer.scala 411:76] - node _T_2597 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 411:72] - node _T_2598 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] - node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2601 = and(_T_2597, _T_2600) @[lsu_bus_buffer.scala 411:76] + node _T_2582 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 412:72] + node _T_2583 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] + node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 412:76] + node _T_2587 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 412:72] + node _T_2588 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2589 = and(_T_2588, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] + node _T_2590 = eq(_T_2589, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2591 = and(_T_2587, _T_2590) @[lsu_bus_buffer.scala 412:76] + node _T_2592 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 412:72] + node _T_2593 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2594 = and(_T_2593, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] + node _T_2595 = eq(_T_2594, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2596 = and(_T_2592, _T_2595) @[lsu_bus_buffer.scala 412:76] + node _T_2597 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 412:72] + node _T_2598 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2601 = and(_T_2597, _T_2600) @[lsu_bus_buffer.scala 412:76] node _T_2602 = cat(_T_2601, _T_2596) @[Cat.scala 29:58] node _T_2603 = cat(_T_2602, _T_2591) @[Cat.scala 29:58] node _T_2604 = cat(_T_2603, _T_2586) @[Cat.scala 29:58] - node _T_2605 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 411:72] - node _T_2606 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] - node _T_2608 = eq(_T_2607, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2609 = and(_T_2605, _T_2608) @[lsu_bus_buffer.scala 411:76] - node _T_2610 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 411:72] - node _T_2611 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2612 = and(_T_2611, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] - node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2614 = and(_T_2610, _T_2613) @[lsu_bus_buffer.scala 411:76] - node _T_2615 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 411:72] - node _T_2616 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2617 = and(_T_2616, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] - node _T_2618 = eq(_T_2617, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2619 = and(_T_2615, _T_2618) @[lsu_bus_buffer.scala 411:76] - node _T_2620 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 411:72] - node _T_2621 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] - node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] - node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] - node _T_2624 = and(_T_2620, _T_2623) @[lsu_bus_buffer.scala 411:76] + node _T_2605 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 412:72] + node _T_2606 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] + node _T_2608 = eq(_T_2607, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2609 = and(_T_2605, _T_2608) @[lsu_bus_buffer.scala 412:76] + node _T_2610 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 412:72] + node _T_2611 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2612 = and(_T_2611, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] + node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2614 = and(_T_2610, _T_2613) @[lsu_bus_buffer.scala 412:76] + node _T_2615 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 412:72] + node _T_2616 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2617 = and(_T_2616, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] + node _T_2618 = eq(_T_2617, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2619 = and(_T_2615, _T_2618) @[lsu_bus_buffer.scala 412:76] + node _T_2620 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 412:72] + node _T_2621 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] + node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2624 = and(_T_2620, _T_2623) @[lsu_bus_buffer.scala 412:76] node _T_2625 = cat(_T_2624, _T_2619) @[Cat.scala 29:58] node _T_2626 = cat(_T_2625, _T_2614) @[Cat.scala 29:58] node _T_2627 = cat(_T_2626, _T_2609) @[Cat.scala 29:58] - buf_age[0] <= _T_2558 @[lsu_bus_buffer.scala 411:11] - buf_age[1] <= _T_2581 @[lsu_bus_buffer.scala 411:11] - buf_age[2] <= _T_2604 @[lsu_bus_buffer.scala 411:11] - buf_age[3] <= _T_2627 @[lsu_bus_buffer.scala 411:11] - node _T_2628 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] - node _T_2629 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 412:100] - node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2631 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2632 = and(_T_2630, _T_2631) @[lsu_bus_buffer.scala 412:104] - node _T_2633 = mux(_T_2628, UInt<1>("h00"), _T_2632) @[lsu_bus_buffer.scala 412:72] - node _T_2634 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] - node _T_2635 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 412:100] - node _T_2636 = eq(_T_2635, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2637 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2638 = and(_T_2636, _T_2637) @[lsu_bus_buffer.scala 412:104] - node _T_2639 = mux(_T_2634, UInt<1>("h00"), _T_2638) @[lsu_bus_buffer.scala 412:72] - node _T_2640 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] - node _T_2641 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 412:100] - node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2643 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2644 = and(_T_2642, _T_2643) @[lsu_bus_buffer.scala 412:104] - node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[lsu_bus_buffer.scala 412:72] - node _T_2646 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] - node _T_2647 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 412:100] - node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2649 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 412:104] - node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 412:72] + buf_age[0] <= _T_2558 @[lsu_bus_buffer.scala 412:11] + buf_age[1] <= _T_2581 @[lsu_bus_buffer.scala 412:11] + buf_age[2] <= _T_2604 @[lsu_bus_buffer.scala 412:11] + buf_age[3] <= _T_2627 @[lsu_bus_buffer.scala 412:11] + node _T_2628 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] + node _T_2629 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 413:100] + node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2631 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2632 = and(_T_2630, _T_2631) @[lsu_bus_buffer.scala 413:104] + node _T_2633 = mux(_T_2628, UInt<1>("h00"), _T_2632) @[lsu_bus_buffer.scala 413:72] + node _T_2634 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] + node _T_2635 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 413:100] + node _T_2636 = eq(_T_2635, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2637 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2638 = and(_T_2636, _T_2637) @[lsu_bus_buffer.scala 413:104] + node _T_2639 = mux(_T_2634, UInt<1>("h00"), _T_2638) @[lsu_bus_buffer.scala 413:72] + node _T_2640 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] + node _T_2641 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 413:100] + node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2643 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2644 = and(_T_2642, _T_2643) @[lsu_bus_buffer.scala 413:104] + node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[lsu_bus_buffer.scala 413:72] + node _T_2646 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] + node _T_2647 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 413:100] + node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2649 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 413:104] + node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 413:72] node _T_2652 = cat(_T_2651, _T_2645) @[Cat.scala 29:58] node _T_2653 = cat(_T_2652, _T_2639) @[Cat.scala 29:58] node _T_2654 = cat(_T_2653, _T_2633) @[Cat.scala 29:58] - node _T_2655 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] - node _T_2656 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 412:100] - node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2658 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2659 = and(_T_2657, _T_2658) @[lsu_bus_buffer.scala 412:104] - node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[lsu_bus_buffer.scala 412:72] - node _T_2661 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] - node _T_2662 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 412:100] - node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2664 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2665 = and(_T_2663, _T_2664) @[lsu_bus_buffer.scala 412:104] - node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[lsu_bus_buffer.scala 412:72] - node _T_2667 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] - node _T_2668 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 412:100] - node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2670 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2671 = and(_T_2669, _T_2670) @[lsu_bus_buffer.scala 412:104] - node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[lsu_bus_buffer.scala 412:72] - node _T_2673 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] - node _T_2674 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 412:100] - node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2676 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 412:104] - node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 412:72] + node _T_2655 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] + node _T_2656 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 413:100] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2658 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2659 = and(_T_2657, _T_2658) @[lsu_bus_buffer.scala 413:104] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[lsu_bus_buffer.scala 413:72] + node _T_2661 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] + node _T_2662 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 413:100] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2664 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2665 = and(_T_2663, _T_2664) @[lsu_bus_buffer.scala 413:104] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[lsu_bus_buffer.scala 413:72] + node _T_2667 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] + node _T_2668 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 413:100] + node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2670 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2671 = and(_T_2669, _T_2670) @[lsu_bus_buffer.scala 413:104] + node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[lsu_bus_buffer.scala 413:72] + node _T_2673 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] + node _T_2674 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 413:100] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2676 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 413:104] + node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 413:72] node _T_2679 = cat(_T_2678, _T_2672) @[Cat.scala 29:58] node _T_2680 = cat(_T_2679, _T_2666) @[Cat.scala 29:58] node _T_2681 = cat(_T_2680, _T_2660) @[Cat.scala 29:58] - node _T_2682 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] - node _T_2683 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 412:100] - node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2685 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2686 = and(_T_2684, _T_2685) @[lsu_bus_buffer.scala 412:104] - node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[lsu_bus_buffer.scala 412:72] - node _T_2688 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] - node _T_2689 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 412:100] - node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2691 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2692 = and(_T_2690, _T_2691) @[lsu_bus_buffer.scala 412:104] - node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[lsu_bus_buffer.scala 412:72] - node _T_2694 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] - node _T_2695 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 412:100] - node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2697 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2698 = and(_T_2696, _T_2697) @[lsu_bus_buffer.scala 412:104] - node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[lsu_bus_buffer.scala 412:72] - node _T_2700 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] - node _T_2701 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 412:100] - node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2703 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2704 = and(_T_2702, _T_2703) @[lsu_bus_buffer.scala 412:104] - node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[lsu_bus_buffer.scala 412:72] + node _T_2682 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] + node _T_2683 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 413:100] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2685 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2686 = and(_T_2684, _T_2685) @[lsu_bus_buffer.scala 413:104] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[lsu_bus_buffer.scala 413:72] + node _T_2688 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] + node _T_2689 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 413:100] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2691 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2692 = and(_T_2690, _T_2691) @[lsu_bus_buffer.scala 413:104] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[lsu_bus_buffer.scala 413:72] + node _T_2694 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] + node _T_2695 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 413:100] + node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2697 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2698 = and(_T_2696, _T_2697) @[lsu_bus_buffer.scala 413:104] + node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[lsu_bus_buffer.scala 413:72] + node _T_2700 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] + node _T_2701 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 413:100] + node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2703 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2704 = and(_T_2702, _T_2703) @[lsu_bus_buffer.scala 413:104] + node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[lsu_bus_buffer.scala 413:72] node _T_2706 = cat(_T_2705, _T_2699) @[Cat.scala 29:58] node _T_2707 = cat(_T_2706, _T_2693) @[Cat.scala 29:58] node _T_2708 = cat(_T_2707, _T_2687) @[Cat.scala 29:58] - node _T_2709 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] - node _T_2710 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 412:100] - node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2712 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2713 = and(_T_2711, _T_2712) @[lsu_bus_buffer.scala 412:104] - node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[lsu_bus_buffer.scala 412:72] - node _T_2715 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] - node _T_2716 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 412:100] - node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2718 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2719 = and(_T_2717, _T_2718) @[lsu_bus_buffer.scala 412:104] - node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[lsu_bus_buffer.scala 412:72] - node _T_2721 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] - node _T_2722 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 412:100] - node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2724 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2725 = and(_T_2723, _T_2724) @[lsu_bus_buffer.scala 412:104] - node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[lsu_bus_buffer.scala 412:72] - node _T_2727 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] - node _T_2728 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 412:100] - node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] - node _T_2730 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] - node _T_2731 = and(_T_2729, _T_2730) @[lsu_bus_buffer.scala 412:104] - node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[lsu_bus_buffer.scala 412:72] + node _T_2709 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] + node _T_2710 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 413:100] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2712 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2713 = and(_T_2711, _T_2712) @[lsu_bus_buffer.scala 413:104] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[lsu_bus_buffer.scala 413:72] + node _T_2715 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] + node _T_2716 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 413:100] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2718 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2719 = and(_T_2717, _T_2718) @[lsu_bus_buffer.scala 413:104] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[lsu_bus_buffer.scala 413:72] + node _T_2721 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] + node _T_2722 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 413:100] + node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2724 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2725 = and(_T_2723, _T_2724) @[lsu_bus_buffer.scala 413:104] + node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[lsu_bus_buffer.scala 413:72] + node _T_2727 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] + node _T_2728 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 413:100] + node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2730 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2731 = and(_T_2729, _T_2730) @[lsu_bus_buffer.scala 413:104] + node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[lsu_bus_buffer.scala 413:72] node _T_2733 = cat(_T_2732, _T_2726) @[Cat.scala 29:58] node _T_2734 = cat(_T_2733, _T_2720) @[Cat.scala 29:58] node _T_2735 = cat(_T_2734, _T_2714) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2654 @[lsu_bus_buffer.scala 412:19] - buf_age_younger[1] <= _T_2681 @[lsu_bus_buffer.scala 412:19] - buf_age_younger[2] <= _T_2708 @[lsu_bus_buffer.scala 412:19] - buf_age_younger[3] <= _T_2735 @[lsu_bus_buffer.scala 412:19] - node _T_2736 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 413:83] - node _T_2737 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 413:87] - node _T_2739 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 413:83] - node _T_2740 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 413:87] - node _T_2742 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 413:83] - node _T_2743 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2744 = and(_T_2742, _T_2743) @[lsu_bus_buffer.scala 413:87] - node _T_2745 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 413:83] - node _T_2746 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 413:87] + buf_age_younger[0] <= _T_2654 @[lsu_bus_buffer.scala 413:19] + buf_age_younger[1] <= _T_2681 @[lsu_bus_buffer.scala 413:19] + buf_age_younger[2] <= _T_2708 @[lsu_bus_buffer.scala 413:19] + buf_age_younger[3] <= _T_2735 @[lsu_bus_buffer.scala 413:19] + node _T_2736 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 414:83] + node _T_2737 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 414:87] + node _T_2739 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 414:83] + node _T_2740 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 414:87] + node _T_2742 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 414:83] + node _T_2743 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2744 = and(_T_2742, _T_2743) @[lsu_bus_buffer.scala 414:87] + node _T_2745 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 414:83] + node _T_2746 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 414:87] node _T_2748 = cat(_T_2747, _T_2744) @[Cat.scala 29:58] node _T_2749 = cat(_T_2748, _T_2741) @[Cat.scala 29:58] node _T_2750 = cat(_T_2749, _T_2738) @[Cat.scala 29:58] - node _T_2751 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 413:83] - node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 413:87] - node _T_2754 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 413:83] - node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 413:87] - node _T_2757 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 413:83] - node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2759 = and(_T_2757, _T_2758) @[lsu_bus_buffer.scala 413:87] - node _T_2760 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 413:83] - node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2762 = and(_T_2760, _T_2761) @[lsu_bus_buffer.scala 413:87] + node _T_2751 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 414:83] + node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 414:87] + node _T_2754 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 414:83] + node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 414:87] + node _T_2757 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 414:83] + node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2759 = and(_T_2757, _T_2758) @[lsu_bus_buffer.scala 414:87] + node _T_2760 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 414:83] + node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2762 = and(_T_2760, _T_2761) @[lsu_bus_buffer.scala 414:87] node _T_2763 = cat(_T_2762, _T_2759) @[Cat.scala 29:58] node _T_2764 = cat(_T_2763, _T_2756) @[Cat.scala 29:58] node _T_2765 = cat(_T_2764, _T_2753) @[Cat.scala 29:58] - node _T_2766 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 413:83] - node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 413:87] - node _T_2769 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 413:83] - node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2771 = and(_T_2769, _T_2770) @[lsu_bus_buffer.scala 413:87] - node _T_2772 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 413:83] - node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2774 = and(_T_2772, _T_2773) @[lsu_bus_buffer.scala 413:87] - node _T_2775 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 413:83] - node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 413:87] + node _T_2766 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 414:83] + node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 414:87] + node _T_2769 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 414:83] + node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2771 = and(_T_2769, _T_2770) @[lsu_bus_buffer.scala 414:87] + node _T_2772 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 414:83] + node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2774 = and(_T_2772, _T_2773) @[lsu_bus_buffer.scala 414:87] + node _T_2775 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 414:83] + node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 414:87] node _T_2778 = cat(_T_2777, _T_2774) @[Cat.scala 29:58] node _T_2779 = cat(_T_2778, _T_2771) @[Cat.scala 29:58] node _T_2780 = cat(_T_2779, _T_2768) @[Cat.scala 29:58] - node _T_2781 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 413:83] - node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2783 = and(_T_2781, _T_2782) @[lsu_bus_buffer.scala 413:87] - node _T_2784 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 413:83] - node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 413:87] - node _T_2787 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 413:83] - node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2789 = and(_T_2787, _T_2788) @[lsu_bus_buffer.scala 413:87] - node _T_2790 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 413:83] - node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] - node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 413:87] + node _T_2781 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 414:83] + node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2783 = and(_T_2781, _T_2782) @[lsu_bus_buffer.scala 414:87] + node _T_2784 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 414:83] + node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 414:87] + node _T_2787 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 414:83] + node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2789 = and(_T_2787, _T_2788) @[lsu_bus_buffer.scala 414:87] + node _T_2790 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 414:83] + node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 414:87] node _T_2793 = cat(_T_2792, _T_2789) @[Cat.scala 29:58] node _T_2794 = cat(_T_2793, _T_2786) @[Cat.scala 29:58] node _T_2795 = cat(_T_2794, _T_2783) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2750 @[lsu_bus_buffer.scala 413:19] - buf_rsp_pickage[1] <= _T_2765 @[lsu_bus_buffer.scala 413:19] - buf_rsp_pickage[2] <= _T_2780 @[lsu_bus_buffer.scala 413:19] - buf_rsp_pickage[3] <= _T_2795 @[lsu_bus_buffer.scala 413:19] - node _T_2796 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_2797 = and(_T_2796, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] - node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_2800 = or(_T_2798, _T_2799) @[lsu_bus_buffer.scala 416:32] - node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_2804 = and(_T_2802, _T_2803) @[lsu_bus_buffer.scala 417:41] - node _T_2805 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] - node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 417:71] - node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] - node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 417:90] - node _T_2809 = or(_T_2801, _T_2808) @[lsu_bus_buffer.scala 416:59] - node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_2811 = and(_T_2810, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_2812 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] - node _T_2813 = and(_T_2811, _T_2812) @[lsu_bus_buffer.scala 418:52] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2815 = and(_T_2813, _T_2814) @[lsu_bus_buffer.scala 418:71] - node _T_2816 = or(_T_2809, _T_2815) @[lsu_bus_buffer.scala 417:110] - node _T_2817 = and(_T_2797, _T_2816) @[lsu_bus_buffer.scala 415:112] - node _T_2818 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_2819 = and(_T_2818, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] - node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_2822 = or(_T_2820, _T_2821) @[lsu_bus_buffer.scala 416:32] - node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_2826 = and(_T_2824, _T_2825) @[lsu_bus_buffer.scala 417:41] - node _T_2827 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] - node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 417:71] - node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] - node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 417:90] - node _T_2831 = or(_T_2823, _T_2830) @[lsu_bus_buffer.scala 416:59] - node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_2833 = and(_T_2832, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_2834 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] - node _T_2835 = and(_T_2833, _T_2834) @[lsu_bus_buffer.scala 418:52] - node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] - node _T_2837 = and(_T_2835, _T_2836) @[lsu_bus_buffer.scala 418:71] - node _T_2838 = or(_T_2831, _T_2837) @[lsu_bus_buffer.scala 417:110] - node _T_2839 = and(_T_2819, _T_2838) @[lsu_bus_buffer.scala 415:112] - node _T_2840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_2841 = and(_T_2840, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] - node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_2844 = or(_T_2842, _T_2843) @[lsu_bus_buffer.scala 416:32] - node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_2848 = and(_T_2846, _T_2847) @[lsu_bus_buffer.scala 417:41] - node _T_2849 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] - node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 417:71] - node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] - node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 417:90] - node _T_2853 = or(_T_2845, _T_2852) @[lsu_bus_buffer.scala 416:59] - node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_2855 = and(_T_2854, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_2856 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] - node _T_2857 = and(_T_2855, _T_2856) @[lsu_bus_buffer.scala 418:52] - node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] - node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 418:71] - node _T_2860 = or(_T_2853, _T_2859) @[lsu_bus_buffer.scala 417:110] - node _T_2861 = and(_T_2841, _T_2860) @[lsu_bus_buffer.scala 415:112] - node _T_2862 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_2863 = and(_T_2862, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] - node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_2866 = or(_T_2864, _T_2865) @[lsu_bus_buffer.scala 416:32] - node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 417:41] - node _T_2871 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] - node _T_2872 = and(_T_2870, _T_2871) @[lsu_bus_buffer.scala 417:71] - node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] - node _T_2874 = and(_T_2872, _T_2873) @[lsu_bus_buffer.scala 417:90] - node _T_2875 = or(_T_2867, _T_2874) @[lsu_bus_buffer.scala 416:59] - node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_2877 = and(_T_2876, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_2878 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] - node _T_2879 = and(_T_2877, _T_2878) @[lsu_bus_buffer.scala 418:52] - node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] - node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 418:71] - node _T_2882 = or(_T_2875, _T_2881) @[lsu_bus_buffer.scala 417:110] - node _T_2883 = and(_T_2863, _T_2882) @[lsu_bus_buffer.scala 415:112] + buf_rsp_pickage[0] <= _T_2750 @[lsu_bus_buffer.scala 414:19] + buf_rsp_pickage[1] <= _T_2765 @[lsu_bus_buffer.scala 414:19] + buf_rsp_pickage[2] <= _T_2780 @[lsu_bus_buffer.scala 414:19] + buf_rsp_pickage[3] <= _T_2795 @[lsu_bus_buffer.scala 414:19] + node _T_2796 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2797 = and(_T_2796, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2800 = or(_T_2798, _T_2799) @[lsu_bus_buffer.scala 417:32] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2804 = and(_T_2802, _T_2803) @[lsu_bus_buffer.scala 418:41] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 418:71] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] + node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 418:90] + node _T_2809 = or(_T_2801, _T_2808) @[lsu_bus_buffer.scala 417:59] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] + node _T_2813 = and(_T_2811, _T_2812) @[lsu_bus_buffer.scala 419:52] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2815 = and(_T_2813, _T_2814) @[lsu_bus_buffer.scala 419:71] + node _T_2816 = or(_T_2809, _T_2815) @[lsu_bus_buffer.scala 418:110] + node _T_2817 = and(_T_2797, _T_2816) @[lsu_bus_buffer.scala 416:112] + node _T_2818 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2819 = and(_T_2818, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2822 = or(_T_2820, _T_2821) @[lsu_bus_buffer.scala 417:32] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2826 = and(_T_2824, _T_2825) @[lsu_bus_buffer.scala 418:41] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 418:71] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] + node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 418:90] + node _T_2831 = or(_T_2823, _T_2830) @[lsu_bus_buffer.scala 417:59] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] + node _T_2835 = and(_T_2833, _T_2834) @[lsu_bus_buffer.scala 419:52] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] + node _T_2837 = and(_T_2835, _T_2836) @[lsu_bus_buffer.scala 419:71] + node _T_2838 = or(_T_2831, _T_2837) @[lsu_bus_buffer.scala 418:110] + node _T_2839 = and(_T_2819, _T_2838) @[lsu_bus_buffer.scala 416:112] + node _T_2840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2841 = and(_T_2840, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2844 = or(_T_2842, _T_2843) @[lsu_bus_buffer.scala 417:32] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2848 = and(_T_2846, _T_2847) @[lsu_bus_buffer.scala 418:41] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 418:71] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] + node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 418:90] + node _T_2853 = or(_T_2845, _T_2852) @[lsu_bus_buffer.scala 417:59] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] + node _T_2857 = and(_T_2855, _T_2856) @[lsu_bus_buffer.scala 419:52] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] + node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 419:71] + node _T_2860 = or(_T_2853, _T_2859) @[lsu_bus_buffer.scala 418:110] + node _T_2861 = and(_T_2841, _T_2860) @[lsu_bus_buffer.scala 416:112] + node _T_2862 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2863 = and(_T_2862, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2866 = or(_T_2864, _T_2865) @[lsu_bus_buffer.scala 417:32] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 418:41] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2872 = and(_T_2870, _T_2871) @[lsu_bus_buffer.scala 418:71] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] + node _T_2874 = and(_T_2872, _T_2873) @[lsu_bus_buffer.scala 418:90] + node _T_2875 = or(_T_2867, _T_2874) @[lsu_bus_buffer.scala 417:59] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] + node _T_2879 = and(_T_2877, _T_2878) @[lsu_bus_buffer.scala 419:52] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] + node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 419:71] + node _T_2882 = or(_T_2875, _T_2881) @[lsu_bus_buffer.scala 418:110] + node _T_2883 = and(_T_2863, _T_2882) @[lsu_bus_buffer.scala 416:112] node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] - node _T_2887 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_2888 = and(_T_2887, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] - node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_2891 = or(_T_2889, _T_2890) @[lsu_bus_buffer.scala 416:32] - node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_2895 = and(_T_2893, _T_2894) @[lsu_bus_buffer.scala 417:41] - node _T_2896 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] - node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 417:71] - node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] - node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 417:90] - node _T_2900 = or(_T_2892, _T_2899) @[lsu_bus_buffer.scala 416:59] - node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_2902 = and(_T_2901, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_2903 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] - node _T_2904 = and(_T_2902, _T_2903) @[lsu_bus_buffer.scala 418:52] - node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2906 = and(_T_2904, _T_2905) @[lsu_bus_buffer.scala 418:71] - node _T_2907 = or(_T_2900, _T_2906) @[lsu_bus_buffer.scala 417:110] - node _T_2908 = and(_T_2888, _T_2907) @[lsu_bus_buffer.scala 415:112] - node _T_2909 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_2910 = and(_T_2909, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] - node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_2913 = or(_T_2911, _T_2912) @[lsu_bus_buffer.scala 416:32] - node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_2917 = and(_T_2915, _T_2916) @[lsu_bus_buffer.scala 417:41] - node _T_2918 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] - node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 417:71] - node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] - node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 417:90] - node _T_2922 = or(_T_2914, _T_2921) @[lsu_bus_buffer.scala 416:59] - node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_2924 = and(_T_2923, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_2925 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] - node _T_2926 = and(_T_2924, _T_2925) @[lsu_bus_buffer.scala 418:52] - node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] - node _T_2928 = and(_T_2926, _T_2927) @[lsu_bus_buffer.scala 418:71] - node _T_2929 = or(_T_2922, _T_2928) @[lsu_bus_buffer.scala 417:110] - node _T_2930 = and(_T_2910, _T_2929) @[lsu_bus_buffer.scala 415:112] - node _T_2931 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_2932 = and(_T_2931, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] - node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_2935 = or(_T_2933, _T_2934) @[lsu_bus_buffer.scala 416:32] - node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_2939 = and(_T_2937, _T_2938) @[lsu_bus_buffer.scala 417:41] - node _T_2940 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] - node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 417:71] - node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] - node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 417:90] - node _T_2944 = or(_T_2936, _T_2943) @[lsu_bus_buffer.scala 416:59] - node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_2946 = and(_T_2945, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_2947 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] - node _T_2948 = and(_T_2946, _T_2947) @[lsu_bus_buffer.scala 418:52] - node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] - node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 418:71] - node _T_2951 = or(_T_2944, _T_2950) @[lsu_bus_buffer.scala 417:110] - node _T_2952 = and(_T_2932, _T_2951) @[lsu_bus_buffer.scala 415:112] - node _T_2953 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_2954 = and(_T_2953, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] - node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_2957 = or(_T_2955, _T_2956) @[lsu_bus_buffer.scala 416:32] - node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 417:41] - node _T_2962 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] - node _T_2963 = and(_T_2961, _T_2962) @[lsu_bus_buffer.scala 417:71] - node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] - node _T_2965 = and(_T_2963, _T_2964) @[lsu_bus_buffer.scala 417:90] - node _T_2966 = or(_T_2958, _T_2965) @[lsu_bus_buffer.scala 416:59] - node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_2968 = and(_T_2967, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_2969 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] - node _T_2970 = and(_T_2968, _T_2969) @[lsu_bus_buffer.scala 418:52] - node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] - node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 418:71] - node _T_2973 = or(_T_2966, _T_2972) @[lsu_bus_buffer.scala 417:110] - node _T_2974 = and(_T_2954, _T_2973) @[lsu_bus_buffer.scala 415:112] + node _T_2887 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2888 = and(_T_2887, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2891 = or(_T_2889, _T_2890) @[lsu_bus_buffer.scala 417:32] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2895 = and(_T_2893, _T_2894) @[lsu_bus_buffer.scala 418:41] + node _T_2896 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 418:71] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] + node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 418:90] + node _T_2900 = or(_T_2892, _T_2899) @[lsu_bus_buffer.scala 417:59] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2903 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] + node _T_2904 = and(_T_2902, _T_2903) @[lsu_bus_buffer.scala 419:52] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2906 = and(_T_2904, _T_2905) @[lsu_bus_buffer.scala 419:71] + node _T_2907 = or(_T_2900, _T_2906) @[lsu_bus_buffer.scala 418:110] + node _T_2908 = and(_T_2888, _T_2907) @[lsu_bus_buffer.scala 416:112] + node _T_2909 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2910 = and(_T_2909, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2913 = or(_T_2911, _T_2912) @[lsu_bus_buffer.scala 417:32] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2917 = and(_T_2915, _T_2916) @[lsu_bus_buffer.scala 418:41] + node _T_2918 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 418:71] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] + node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 418:90] + node _T_2922 = or(_T_2914, _T_2921) @[lsu_bus_buffer.scala 417:59] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2925 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] + node _T_2926 = and(_T_2924, _T_2925) @[lsu_bus_buffer.scala 419:52] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] + node _T_2928 = and(_T_2926, _T_2927) @[lsu_bus_buffer.scala 419:71] + node _T_2929 = or(_T_2922, _T_2928) @[lsu_bus_buffer.scala 418:110] + node _T_2930 = and(_T_2910, _T_2929) @[lsu_bus_buffer.scala 416:112] + node _T_2931 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2932 = and(_T_2931, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2935 = or(_T_2933, _T_2934) @[lsu_bus_buffer.scala 417:32] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2939 = and(_T_2937, _T_2938) @[lsu_bus_buffer.scala 418:41] + node _T_2940 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 418:71] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] + node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 418:90] + node _T_2944 = or(_T_2936, _T_2943) @[lsu_bus_buffer.scala 417:59] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2947 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] + node _T_2948 = and(_T_2946, _T_2947) @[lsu_bus_buffer.scala 419:52] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] + node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 419:71] + node _T_2951 = or(_T_2944, _T_2950) @[lsu_bus_buffer.scala 418:110] + node _T_2952 = and(_T_2932, _T_2951) @[lsu_bus_buffer.scala 416:112] + node _T_2953 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2954 = and(_T_2953, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2957 = or(_T_2955, _T_2956) @[lsu_bus_buffer.scala 417:32] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 418:41] + node _T_2962 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2963 = and(_T_2961, _T_2962) @[lsu_bus_buffer.scala 418:71] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] + node _T_2965 = and(_T_2963, _T_2964) @[lsu_bus_buffer.scala 418:90] + node _T_2966 = or(_T_2958, _T_2965) @[lsu_bus_buffer.scala 417:59] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2969 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] + node _T_2970 = and(_T_2968, _T_2969) @[lsu_bus_buffer.scala 419:52] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] + node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 419:71] + node _T_2973 = or(_T_2966, _T_2972) @[lsu_bus_buffer.scala 418:110] + node _T_2974 = and(_T_2954, _T_2973) @[lsu_bus_buffer.scala 416:112] node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] - node _T_2978 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_2979 = and(_T_2978, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] - node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_2982 = or(_T_2980, _T_2981) @[lsu_bus_buffer.scala 416:32] - node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_2986 = and(_T_2984, _T_2985) @[lsu_bus_buffer.scala 417:41] - node _T_2987 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] - node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 417:71] - node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] - node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 417:90] - node _T_2991 = or(_T_2983, _T_2990) @[lsu_bus_buffer.scala 416:59] - node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_2993 = and(_T_2992, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_2994 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] - node _T_2995 = and(_T_2993, _T_2994) @[lsu_bus_buffer.scala 418:52] - node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2997 = and(_T_2995, _T_2996) @[lsu_bus_buffer.scala 418:71] - node _T_2998 = or(_T_2991, _T_2997) @[lsu_bus_buffer.scala 417:110] - node _T_2999 = and(_T_2979, _T_2998) @[lsu_bus_buffer.scala 415:112] - node _T_3000 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_3001 = and(_T_3000, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] - node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_3004 = or(_T_3002, _T_3003) @[lsu_bus_buffer.scala 416:32] - node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_3008 = and(_T_3006, _T_3007) @[lsu_bus_buffer.scala 417:41] - node _T_3009 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] - node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 417:71] - node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] - node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 417:90] - node _T_3013 = or(_T_3005, _T_3012) @[lsu_bus_buffer.scala 416:59] - node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_3015 = and(_T_3014, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_3016 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] - node _T_3017 = and(_T_3015, _T_3016) @[lsu_bus_buffer.scala 418:52] - node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] - node _T_3019 = and(_T_3017, _T_3018) @[lsu_bus_buffer.scala 418:71] - node _T_3020 = or(_T_3013, _T_3019) @[lsu_bus_buffer.scala 417:110] - node _T_3021 = and(_T_3001, _T_3020) @[lsu_bus_buffer.scala 415:112] - node _T_3022 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_3023 = and(_T_3022, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] - node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_3026 = or(_T_3024, _T_3025) @[lsu_bus_buffer.scala 416:32] - node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_3030 = and(_T_3028, _T_3029) @[lsu_bus_buffer.scala 417:41] - node _T_3031 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] - node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 417:71] - node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] - node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 417:90] - node _T_3035 = or(_T_3027, _T_3034) @[lsu_bus_buffer.scala 416:59] - node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_3037 = and(_T_3036, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_3038 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] - node _T_3039 = and(_T_3037, _T_3038) @[lsu_bus_buffer.scala 418:52] - node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] - node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 418:71] - node _T_3042 = or(_T_3035, _T_3041) @[lsu_bus_buffer.scala 417:110] - node _T_3043 = and(_T_3023, _T_3042) @[lsu_bus_buffer.scala 415:112] - node _T_3044 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_3045 = and(_T_3044, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] - node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_3048 = or(_T_3046, _T_3047) @[lsu_bus_buffer.scala 416:32] - node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 417:41] - node _T_3053 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] - node _T_3054 = and(_T_3052, _T_3053) @[lsu_bus_buffer.scala 417:71] - node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] - node _T_3056 = and(_T_3054, _T_3055) @[lsu_bus_buffer.scala 417:90] - node _T_3057 = or(_T_3049, _T_3056) @[lsu_bus_buffer.scala 416:59] - node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_3059 = and(_T_3058, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_3060 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] - node _T_3061 = and(_T_3059, _T_3060) @[lsu_bus_buffer.scala 418:52] - node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] - node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 418:71] - node _T_3064 = or(_T_3057, _T_3063) @[lsu_bus_buffer.scala 417:110] - node _T_3065 = and(_T_3045, _T_3064) @[lsu_bus_buffer.scala 415:112] + node _T_2978 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2979 = and(_T_2978, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2982 = or(_T_2980, _T_2981) @[lsu_bus_buffer.scala 417:32] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2986 = and(_T_2984, _T_2985) @[lsu_bus_buffer.scala 418:41] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 418:71] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] + node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 418:90] + node _T_2991 = or(_T_2983, _T_2990) @[lsu_bus_buffer.scala 417:59] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] + node _T_2995 = and(_T_2993, _T_2994) @[lsu_bus_buffer.scala 419:52] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2997 = and(_T_2995, _T_2996) @[lsu_bus_buffer.scala 419:71] + node _T_2998 = or(_T_2991, _T_2997) @[lsu_bus_buffer.scala 418:110] + node _T_2999 = and(_T_2979, _T_2998) @[lsu_bus_buffer.scala 416:112] + node _T_3000 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3001 = and(_T_3000, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3004 = or(_T_3002, _T_3003) @[lsu_bus_buffer.scala 417:32] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3008 = and(_T_3006, _T_3007) @[lsu_bus_buffer.scala 418:41] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 418:71] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] + node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 418:90] + node _T_3013 = or(_T_3005, _T_3012) @[lsu_bus_buffer.scala 417:59] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] + node _T_3017 = and(_T_3015, _T_3016) @[lsu_bus_buffer.scala 419:52] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] + node _T_3019 = and(_T_3017, _T_3018) @[lsu_bus_buffer.scala 419:71] + node _T_3020 = or(_T_3013, _T_3019) @[lsu_bus_buffer.scala 418:110] + node _T_3021 = and(_T_3001, _T_3020) @[lsu_bus_buffer.scala 416:112] + node _T_3022 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3023 = and(_T_3022, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3026 = or(_T_3024, _T_3025) @[lsu_bus_buffer.scala 417:32] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3030 = and(_T_3028, _T_3029) @[lsu_bus_buffer.scala 418:41] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 418:71] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] + node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 418:90] + node _T_3035 = or(_T_3027, _T_3034) @[lsu_bus_buffer.scala 417:59] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] + node _T_3039 = and(_T_3037, _T_3038) @[lsu_bus_buffer.scala 419:52] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] + node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 419:71] + node _T_3042 = or(_T_3035, _T_3041) @[lsu_bus_buffer.scala 418:110] + node _T_3043 = and(_T_3023, _T_3042) @[lsu_bus_buffer.scala 416:112] + node _T_3044 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3045 = and(_T_3044, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3048 = or(_T_3046, _T_3047) @[lsu_bus_buffer.scala 417:32] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 418:41] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3054 = and(_T_3052, _T_3053) @[lsu_bus_buffer.scala 418:71] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] + node _T_3056 = and(_T_3054, _T_3055) @[lsu_bus_buffer.scala 418:90] + node _T_3057 = or(_T_3049, _T_3056) @[lsu_bus_buffer.scala 417:59] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] + node _T_3061 = and(_T_3059, _T_3060) @[lsu_bus_buffer.scala 419:52] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] + node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 419:71] + node _T_3064 = or(_T_3057, _T_3063) @[lsu_bus_buffer.scala 418:110] + node _T_3065 = and(_T_3045, _T_3064) @[lsu_bus_buffer.scala 416:112] node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] - node _T_3069 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_3070 = and(_T_3069, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] - node _T_3071 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_3072 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_3073 = or(_T_3071, _T_3072) @[lsu_bus_buffer.scala 416:32] - node _T_3074 = eq(_T_3073, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_3075 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_3076 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_3077 = and(_T_3075, _T_3076) @[lsu_bus_buffer.scala 417:41] - node _T_3078 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] - node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 417:71] - node _T_3080 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] - node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 417:90] - node _T_3082 = or(_T_3074, _T_3081) @[lsu_bus_buffer.scala 416:59] - node _T_3083 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_3084 = and(_T_3083, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_3085 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] - node _T_3086 = and(_T_3084, _T_3085) @[lsu_bus_buffer.scala 418:52] - node _T_3087 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_3088 = and(_T_3086, _T_3087) @[lsu_bus_buffer.scala 418:71] - node _T_3089 = or(_T_3082, _T_3088) @[lsu_bus_buffer.scala 417:110] - node _T_3090 = and(_T_3070, _T_3089) @[lsu_bus_buffer.scala 415:112] - node _T_3091 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_3092 = and(_T_3091, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] - node _T_3093 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_3094 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_3095 = or(_T_3093, _T_3094) @[lsu_bus_buffer.scala 416:32] - node _T_3096 = eq(_T_3095, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_3097 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_3098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_3099 = and(_T_3097, _T_3098) @[lsu_bus_buffer.scala 417:41] - node _T_3100 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] - node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 417:71] - node _T_3102 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] - node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 417:90] - node _T_3104 = or(_T_3096, _T_3103) @[lsu_bus_buffer.scala 416:59] - node _T_3105 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_3106 = and(_T_3105, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_3107 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] - node _T_3108 = and(_T_3106, _T_3107) @[lsu_bus_buffer.scala 418:52] - node _T_3109 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] - node _T_3110 = and(_T_3108, _T_3109) @[lsu_bus_buffer.scala 418:71] - node _T_3111 = or(_T_3104, _T_3110) @[lsu_bus_buffer.scala 417:110] - node _T_3112 = and(_T_3092, _T_3111) @[lsu_bus_buffer.scala 415:112] - node _T_3113 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_3114 = and(_T_3113, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] - node _T_3115 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_3116 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_3117 = or(_T_3115, _T_3116) @[lsu_bus_buffer.scala 416:32] - node _T_3118 = eq(_T_3117, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_3119 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_3120 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_3121 = and(_T_3119, _T_3120) @[lsu_bus_buffer.scala 417:41] - node _T_3122 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] - node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 417:71] - node _T_3124 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] - node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 417:90] - node _T_3126 = or(_T_3118, _T_3125) @[lsu_bus_buffer.scala 416:59] - node _T_3127 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_3128 = and(_T_3127, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_3129 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] - node _T_3130 = and(_T_3128, _T_3129) @[lsu_bus_buffer.scala 418:52] - node _T_3131 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] - node _T_3132 = and(_T_3130, _T_3131) @[lsu_bus_buffer.scala 418:71] - node _T_3133 = or(_T_3126, _T_3132) @[lsu_bus_buffer.scala 417:110] - node _T_3134 = and(_T_3114, _T_3133) @[lsu_bus_buffer.scala 415:112] - node _T_3135 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] - node _T_3136 = and(_T_3135, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] - node _T_3137 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] - node _T_3138 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] - node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 416:32] - node _T_3140 = eq(_T_3139, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] - node _T_3141 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] - node _T_3142 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] - node _T_3143 = and(_T_3141, _T_3142) @[lsu_bus_buffer.scala 417:41] - node _T_3144 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] - node _T_3145 = and(_T_3143, _T_3144) @[lsu_bus_buffer.scala 417:71] - node _T_3146 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] - node _T_3147 = and(_T_3145, _T_3146) @[lsu_bus_buffer.scala 417:90] - node _T_3148 = or(_T_3140, _T_3147) @[lsu_bus_buffer.scala 416:59] - node _T_3149 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] - node _T_3150 = and(_T_3149, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] - node _T_3151 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] - node _T_3152 = and(_T_3150, _T_3151) @[lsu_bus_buffer.scala 418:52] - node _T_3153 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] - node _T_3154 = and(_T_3152, _T_3153) @[lsu_bus_buffer.scala 418:71] - node _T_3155 = or(_T_3148, _T_3154) @[lsu_bus_buffer.scala 417:110] - node _T_3156 = and(_T_3136, _T_3155) @[lsu_bus_buffer.scala 415:112] + node _T_3069 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3070 = and(_T_3069, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] + node _T_3071 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3072 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3073 = or(_T_3071, _T_3072) @[lsu_bus_buffer.scala 417:32] + node _T_3074 = eq(_T_3073, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3075 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3076 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3077 = and(_T_3075, _T_3076) @[lsu_bus_buffer.scala 418:41] + node _T_3078 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 418:71] + node _T_3080 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] + node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 418:90] + node _T_3082 = or(_T_3074, _T_3081) @[lsu_bus_buffer.scala 417:59] + node _T_3083 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3084 = and(_T_3083, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3085 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] + node _T_3086 = and(_T_3084, _T_3085) @[lsu_bus_buffer.scala 419:52] + node _T_3087 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_3088 = and(_T_3086, _T_3087) @[lsu_bus_buffer.scala 419:71] + node _T_3089 = or(_T_3082, _T_3088) @[lsu_bus_buffer.scala 418:110] + node _T_3090 = and(_T_3070, _T_3089) @[lsu_bus_buffer.scala 416:112] + node _T_3091 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3092 = and(_T_3091, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] + node _T_3093 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3094 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3095 = or(_T_3093, _T_3094) @[lsu_bus_buffer.scala 417:32] + node _T_3096 = eq(_T_3095, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3097 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3099 = and(_T_3097, _T_3098) @[lsu_bus_buffer.scala 418:41] + node _T_3100 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 418:71] + node _T_3102 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] + node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 418:90] + node _T_3104 = or(_T_3096, _T_3103) @[lsu_bus_buffer.scala 417:59] + node _T_3105 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3106 = and(_T_3105, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3107 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] + node _T_3108 = and(_T_3106, _T_3107) @[lsu_bus_buffer.scala 419:52] + node _T_3109 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] + node _T_3110 = and(_T_3108, _T_3109) @[lsu_bus_buffer.scala 419:71] + node _T_3111 = or(_T_3104, _T_3110) @[lsu_bus_buffer.scala 418:110] + node _T_3112 = and(_T_3092, _T_3111) @[lsu_bus_buffer.scala 416:112] + node _T_3113 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3114 = and(_T_3113, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] + node _T_3115 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3116 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3117 = or(_T_3115, _T_3116) @[lsu_bus_buffer.scala 417:32] + node _T_3118 = eq(_T_3117, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3119 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3120 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3121 = and(_T_3119, _T_3120) @[lsu_bus_buffer.scala 418:41] + node _T_3122 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 418:71] + node _T_3124 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] + node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 418:90] + node _T_3126 = or(_T_3118, _T_3125) @[lsu_bus_buffer.scala 417:59] + node _T_3127 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3128 = and(_T_3127, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3129 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] + node _T_3130 = and(_T_3128, _T_3129) @[lsu_bus_buffer.scala 419:52] + node _T_3131 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] + node _T_3132 = and(_T_3130, _T_3131) @[lsu_bus_buffer.scala 419:71] + node _T_3133 = or(_T_3126, _T_3132) @[lsu_bus_buffer.scala 418:110] + node _T_3134 = and(_T_3114, _T_3133) @[lsu_bus_buffer.scala 416:112] + node _T_3135 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3136 = and(_T_3135, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] + node _T_3137 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3138 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 417:32] + node _T_3140 = eq(_T_3139, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3141 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3142 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3143 = and(_T_3141, _T_3142) @[lsu_bus_buffer.scala 418:41] + node _T_3144 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3145 = and(_T_3143, _T_3144) @[lsu_bus_buffer.scala 418:71] + node _T_3146 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] + node _T_3147 = and(_T_3145, _T_3146) @[lsu_bus_buffer.scala 418:90] + node _T_3148 = or(_T_3140, _T_3147) @[lsu_bus_buffer.scala 417:59] + node _T_3149 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3150 = and(_T_3149, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3151 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] + node _T_3152 = and(_T_3150, _T_3151) @[lsu_bus_buffer.scala 419:52] + node _T_3153 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] + node _T_3154 = and(_T_3152, _T_3153) @[lsu_bus_buffer.scala 419:71] + node _T_3155 = or(_T_3148, _T_3154) @[lsu_bus_buffer.scala 418:110] + node _T_3156 = and(_T_3136, _T_3155) @[lsu_bus_buffer.scala 416:112] node _T_3157 = cat(_T_3156, _T_3134) @[Cat.scala 29:58] node _T_3158 = cat(_T_3157, _T_3112) @[Cat.scala 29:58] node _T_3159 = cat(_T_3158, _T_3090) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2886 @[lsu_bus_buffer.scala 415:18] - buf_rspage_set[1] <= _T_2977 @[lsu_bus_buffer.scala 415:18] - buf_rspage_set[2] <= _T_3068 @[lsu_bus_buffer.scala 415:18] - buf_rspage_set[3] <= _T_3159 @[lsu_bus_buffer.scala 415:18] - node _T_3160 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 419:84] - node _T_3161 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 419:103] - node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 419:88] - node _T_3163 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 419:84] - node _T_3164 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 419:103] - node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 419:88] - node _T_3166 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 419:84] - node _T_3167 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 419:103] - node _T_3168 = or(_T_3166, _T_3167) @[lsu_bus_buffer.scala 419:88] - node _T_3169 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 419:84] - node _T_3170 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 419:103] - node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 419:88] + buf_rspage_set[0] <= _T_2886 @[lsu_bus_buffer.scala 416:18] + buf_rspage_set[1] <= _T_2977 @[lsu_bus_buffer.scala 416:18] + buf_rspage_set[2] <= _T_3068 @[lsu_bus_buffer.scala 416:18] + buf_rspage_set[3] <= _T_3159 @[lsu_bus_buffer.scala 416:18] + node _T_3160 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 420:84] + node _T_3161 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 420:103] + node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 420:88] + node _T_3163 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 420:84] + node _T_3164 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 420:103] + node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 420:88] + node _T_3166 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 420:84] + node _T_3167 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 420:103] + node _T_3168 = or(_T_3166, _T_3167) @[lsu_bus_buffer.scala 420:88] + node _T_3169 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 420:84] + node _T_3170 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 420:103] + node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 420:88] node _T_3172 = cat(_T_3171, _T_3168) @[Cat.scala 29:58] node _T_3173 = cat(_T_3172, _T_3165) @[Cat.scala 29:58] node _T_3174 = cat(_T_3173, _T_3162) @[Cat.scala 29:58] - node _T_3175 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 419:84] - node _T_3176 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 419:103] - node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 419:88] - node _T_3178 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 419:84] - node _T_3179 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 419:103] - node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 419:88] - node _T_3181 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 419:84] - node _T_3182 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 419:103] - node _T_3183 = or(_T_3181, _T_3182) @[lsu_bus_buffer.scala 419:88] - node _T_3184 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 419:84] - node _T_3185 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 419:103] - node _T_3186 = or(_T_3184, _T_3185) @[lsu_bus_buffer.scala 419:88] + node _T_3175 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 420:84] + node _T_3176 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 420:103] + node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 420:88] + node _T_3178 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 420:84] + node _T_3179 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 420:103] + node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 420:88] + node _T_3181 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 420:84] + node _T_3182 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 420:103] + node _T_3183 = or(_T_3181, _T_3182) @[lsu_bus_buffer.scala 420:88] + node _T_3184 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 420:84] + node _T_3185 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 420:103] + node _T_3186 = or(_T_3184, _T_3185) @[lsu_bus_buffer.scala 420:88] node _T_3187 = cat(_T_3186, _T_3183) @[Cat.scala 29:58] node _T_3188 = cat(_T_3187, _T_3180) @[Cat.scala 29:58] node _T_3189 = cat(_T_3188, _T_3177) @[Cat.scala 29:58] - node _T_3190 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 419:84] - node _T_3191 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 419:103] - node _T_3192 = or(_T_3190, _T_3191) @[lsu_bus_buffer.scala 419:88] - node _T_3193 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 419:84] - node _T_3194 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 419:103] - node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 419:88] - node _T_3196 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 419:84] - node _T_3197 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 419:103] - node _T_3198 = or(_T_3196, _T_3197) @[lsu_bus_buffer.scala 419:88] - node _T_3199 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 419:84] - node _T_3200 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 419:103] - node _T_3201 = or(_T_3199, _T_3200) @[lsu_bus_buffer.scala 419:88] + node _T_3190 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 420:84] + node _T_3191 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 420:103] + node _T_3192 = or(_T_3190, _T_3191) @[lsu_bus_buffer.scala 420:88] + node _T_3193 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 420:84] + node _T_3194 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 420:103] + node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 420:88] + node _T_3196 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 420:84] + node _T_3197 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 420:103] + node _T_3198 = or(_T_3196, _T_3197) @[lsu_bus_buffer.scala 420:88] + node _T_3199 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 420:84] + node _T_3200 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 420:103] + node _T_3201 = or(_T_3199, _T_3200) @[lsu_bus_buffer.scala 420:88] node _T_3202 = cat(_T_3201, _T_3198) @[Cat.scala 29:58] node _T_3203 = cat(_T_3202, _T_3195) @[Cat.scala 29:58] node _T_3204 = cat(_T_3203, _T_3192) @[Cat.scala 29:58] - node _T_3205 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 419:84] - node _T_3206 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 419:103] - node _T_3207 = or(_T_3205, _T_3206) @[lsu_bus_buffer.scala 419:88] - node _T_3208 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 419:84] - node _T_3209 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 419:103] - node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 419:88] - node _T_3211 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 419:84] - node _T_3212 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 419:103] - node _T_3213 = or(_T_3211, _T_3212) @[lsu_bus_buffer.scala 419:88] - node _T_3214 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 419:84] - node _T_3215 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 419:103] - node _T_3216 = or(_T_3214, _T_3215) @[lsu_bus_buffer.scala 419:88] + node _T_3205 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 420:84] + node _T_3206 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 420:103] + node _T_3207 = or(_T_3205, _T_3206) @[lsu_bus_buffer.scala 420:88] + node _T_3208 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 420:84] + node _T_3209 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 420:103] + node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 420:88] + node _T_3211 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 420:84] + node _T_3212 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 420:103] + node _T_3213 = or(_T_3211, _T_3212) @[lsu_bus_buffer.scala 420:88] + node _T_3214 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 420:84] + node _T_3215 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 420:103] + node _T_3216 = or(_T_3214, _T_3215) @[lsu_bus_buffer.scala 420:88] node _T_3217 = cat(_T_3216, _T_3213) @[Cat.scala 29:58] node _T_3218 = cat(_T_3217, _T_3210) @[Cat.scala 29:58] node _T_3219 = cat(_T_3218, _T_3207) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3174 @[lsu_bus_buffer.scala 419:17] - buf_rspage_in[1] <= _T_3189 @[lsu_bus_buffer.scala 419:17] - buf_rspage_in[2] <= _T_3204 @[lsu_bus_buffer.scala 419:17] - buf_rspage_in[3] <= _T_3219 @[lsu_bus_buffer.scala 419:17] - node _T_3220 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 420:78] - node _T_3221 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3222 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3223 = or(_T_3221, _T_3222) @[lsu_bus_buffer.scala 420:110] - node _T_3224 = eq(_T_3223, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3225 = and(_T_3220, _T_3224) @[lsu_bus_buffer.scala 420:82] - node _T_3226 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 420:78] - node _T_3227 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3228 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 420:110] - node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 420:82] - node _T_3232 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 420:78] - node _T_3233 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3234 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3235 = or(_T_3233, _T_3234) @[lsu_bus_buffer.scala 420:110] - node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3237 = and(_T_3232, _T_3236) @[lsu_bus_buffer.scala 420:82] - node _T_3238 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 420:78] - node _T_3239 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3240 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3241 = or(_T_3239, _T_3240) @[lsu_bus_buffer.scala 420:110] - node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3243 = and(_T_3238, _T_3242) @[lsu_bus_buffer.scala 420:82] + buf_rspage_in[0] <= _T_3174 @[lsu_bus_buffer.scala 420:17] + buf_rspage_in[1] <= _T_3189 @[lsu_bus_buffer.scala 420:17] + buf_rspage_in[2] <= _T_3204 @[lsu_bus_buffer.scala 420:17] + buf_rspage_in[3] <= _T_3219 @[lsu_bus_buffer.scala 420:17] + node _T_3220 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 421:78] + node _T_3221 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3222 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3223 = or(_T_3221, _T_3222) @[lsu_bus_buffer.scala 421:110] + node _T_3224 = eq(_T_3223, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3225 = and(_T_3220, _T_3224) @[lsu_bus_buffer.scala 421:82] + node _T_3226 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 421:78] + node _T_3227 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3228 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 421:110] + node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 421:82] + node _T_3232 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 421:78] + node _T_3233 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3234 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3235 = or(_T_3233, _T_3234) @[lsu_bus_buffer.scala 421:110] + node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3237 = and(_T_3232, _T_3236) @[lsu_bus_buffer.scala 421:82] + node _T_3238 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 421:78] + node _T_3239 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3240 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3241 = or(_T_3239, _T_3240) @[lsu_bus_buffer.scala 421:110] + node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3243 = and(_T_3238, _T_3242) @[lsu_bus_buffer.scala 421:82] node _T_3244 = cat(_T_3243, _T_3237) @[Cat.scala 29:58] node _T_3245 = cat(_T_3244, _T_3231) @[Cat.scala 29:58] node _T_3246 = cat(_T_3245, _T_3225) @[Cat.scala 29:58] - node _T_3247 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 420:78] - node _T_3248 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3249 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3250 = or(_T_3248, _T_3249) @[lsu_bus_buffer.scala 420:110] - node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3252 = and(_T_3247, _T_3251) @[lsu_bus_buffer.scala 420:82] - node _T_3253 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 420:78] - node _T_3254 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3256 = or(_T_3254, _T_3255) @[lsu_bus_buffer.scala 420:110] - node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3258 = and(_T_3253, _T_3257) @[lsu_bus_buffer.scala 420:82] - node _T_3259 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 420:78] - node _T_3260 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3261 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3262 = or(_T_3260, _T_3261) @[lsu_bus_buffer.scala 420:110] - node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3264 = and(_T_3259, _T_3263) @[lsu_bus_buffer.scala 420:82] - node _T_3265 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 420:78] - node _T_3266 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3267 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3268 = or(_T_3266, _T_3267) @[lsu_bus_buffer.scala 420:110] - node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3270 = and(_T_3265, _T_3269) @[lsu_bus_buffer.scala 420:82] + node _T_3247 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 421:78] + node _T_3248 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3249 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3250 = or(_T_3248, _T_3249) @[lsu_bus_buffer.scala 421:110] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3252 = and(_T_3247, _T_3251) @[lsu_bus_buffer.scala 421:82] + node _T_3253 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 421:78] + node _T_3254 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3256 = or(_T_3254, _T_3255) @[lsu_bus_buffer.scala 421:110] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3258 = and(_T_3253, _T_3257) @[lsu_bus_buffer.scala 421:82] + node _T_3259 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 421:78] + node _T_3260 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3261 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3262 = or(_T_3260, _T_3261) @[lsu_bus_buffer.scala 421:110] + node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3264 = and(_T_3259, _T_3263) @[lsu_bus_buffer.scala 421:82] + node _T_3265 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 421:78] + node _T_3266 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3267 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3268 = or(_T_3266, _T_3267) @[lsu_bus_buffer.scala 421:110] + node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3270 = and(_T_3265, _T_3269) @[lsu_bus_buffer.scala 421:82] node _T_3271 = cat(_T_3270, _T_3264) @[Cat.scala 29:58] node _T_3272 = cat(_T_3271, _T_3258) @[Cat.scala 29:58] node _T_3273 = cat(_T_3272, _T_3252) @[Cat.scala 29:58] - node _T_3274 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 420:78] - node _T_3275 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3276 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3277 = or(_T_3275, _T_3276) @[lsu_bus_buffer.scala 420:110] - node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3279 = and(_T_3274, _T_3278) @[lsu_bus_buffer.scala 420:82] - node _T_3280 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 420:78] - node _T_3281 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3282 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3283 = or(_T_3281, _T_3282) @[lsu_bus_buffer.scala 420:110] - node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3285 = and(_T_3280, _T_3284) @[lsu_bus_buffer.scala 420:82] - node _T_3286 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 420:78] - node _T_3287 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3288 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3289 = or(_T_3287, _T_3288) @[lsu_bus_buffer.scala 420:110] - node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3291 = and(_T_3286, _T_3290) @[lsu_bus_buffer.scala 420:82] - node _T_3292 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 420:78] - node _T_3293 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3294 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3295 = or(_T_3293, _T_3294) @[lsu_bus_buffer.scala 420:110] - node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3297 = and(_T_3292, _T_3296) @[lsu_bus_buffer.scala 420:82] + node _T_3274 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 421:78] + node _T_3275 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3276 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3277 = or(_T_3275, _T_3276) @[lsu_bus_buffer.scala 421:110] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3279 = and(_T_3274, _T_3278) @[lsu_bus_buffer.scala 421:82] + node _T_3280 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 421:78] + node _T_3281 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3282 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3283 = or(_T_3281, _T_3282) @[lsu_bus_buffer.scala 421:110] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3285 = and(_T_3280, _T_3284) @[lsu_bus_buffer.scala 421:82] + node _T_3286 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 421:78] + node _T_3287 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3288 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3289 = or(_T_3287, _T_3288) @[lsu_bus_buffer.scala 421:110] + node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3291 = and(_T_3286, _T_3290) @[lsu_bus_buffer.scala 421:82] + node _T_3292 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 421:78] + node _T_3293 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3294 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3295 = or(_T_3293, _T_3294) @[lsu_bus_buffer.scala 421:110] + node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3297 = and(_T_3292, _T_3296) @[lsu_bus_buffer.scala 421:82] node _T_3298 = cat(_T_3297, _T_3291) @[Cat.scala 29:58] node _T_3299 = cat(_T_3298, _T_3285) @[Cat.scala 29:58] node _T_3300 = cat(_T_3299, _T_3279) @[Cat.scala 29:58] - node _T_3301 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 420:78] - node _T_3302 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3303 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3304 = or(_T_3302, _T_3303) @[lsu_bus_buffer.scala 420:110] - node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3306 = and(_T_3301, _T_3305) @[lsu_bus_buffer.scala 420:82] - node _T_3307 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 420:78] - node _T_3308 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3309 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3310 = or(_T_3308, _T_3309) @[lsu_bus_buffer.scala 420:110] - node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3312 = and(_T_3307, _T_3311) @[lsu_bus_buffer.scala 420:82] - node _T_3313 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 420:78] - node _T_3314 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3315 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 420:110] - node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 420:82] - node _T_3319 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 420:78] - node _T_3320 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] - node _T_3321 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] - node _T_3322 = or(_T_3320, _T_3321) @[lsu_bus_buffer.scala 420:110] - node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] - node _T_3324 = and(_T_3319, _T_3323) @[lsu_bus_buffer.scala 420:82] + node _T_3301 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 421:78] + node _T_3302 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3303 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3304 = or(_T_3302, _T_3303) @[lsu_bus_buffer.scala 421:110] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3306 = and(_T_3301, _T_3305) @[lsu_bus_buffer.scala 421:82] + node _T_3307 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 421:78] + node _T_3308 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3309 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3310 = or(_T_3308, _T_3309) @[lsu_bus_buffer.scala 421:110] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3312 = and(_T_3307, _T_3311) @[lsu_bus_buffer.scala 421:82] + node _T_3313 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 421:78] + node _T_3314 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3315 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 421:110] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 421:82] + node _T_3319 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 421:78] + node _T_3320 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3321 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3322 = or(_T_3320, _T_3321) @[lsu_bus_buffer.scala 421:110] + node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3324 = and(_T_3319, _T_3323) @[lsu_bus_buffer.scala 421:82] node _T_3325 = cat(_T_3324, _T_3318) @[Cat.scala 29:58] node _T_3326 = cat(_T_3325, _T_3312) @[Cat.scala 29:58] node _T_3327 = cat(_T_3326, _T_3306) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3246 @[lsu_bus_buffer.scala 420:14] - buf_rspage[1] <= _T_3273 @[lsu_bus_buffer.scala 420:14] - buf_rspage[2] <= _T_3300 @[lsu_bus_buffer.scala 420:14] - buf_rspage[3] <= _T_3327 @[lsu_bus_buffer.scala 420:14] - node _T_3328 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:75] - node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 425:63] - node _T_3330 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:75] - node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 425:63] - node _T_3332 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:75] - node _T_3333 = and(ibuf_drain_vld, _T_3332) @[lsu_bus_buffer.scala 425:63] - node _T_3334 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:75] - node _T_3335 = and(ibuf_drain_vld, _T_3334) @[lsu_bus_buffer.scala 425:63] + buf_rspage[0] <= _T_3246 @[lsu_bus_buffer.scala 421:14] + buf_rspage[1] <= _T_3273 @[lsu_bus_buffer.scala 421:14] + buf_rspage[2] <= _T_3300 @[lsu_bus_buffer.scala 421:14] + buf_rspage[3] <= _T_3327 @[lsu_bus_buffer.scala 421:14] + node _T_3328 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:75] + node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 426:63] + node _T_3330 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 426:75] + node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 426:63] + node _T_3332 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 426:75] + node _T_3333 = and(ibuf_drain_vld, _T_3332) @[lsu_bus_buffer.scala 426:63] + node _T_3334 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 426:75] + node _T_3335 = and(ibuf_drain_vld, _T_3334) @[lsu_bus_buffer.scala 426:63] node _T_3336 = cat(_T_3335, _T_3333) @[Cat.scala 29:58] node _T_3337 = cat(_T_3336, _T_3331) @[Cat.scala 29:58] node _T_3338 = cat(_T_3337, _T_3329) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3338 @[lsu_bus_buffer.scala 425:21] - node _T_3339 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 426:64] - node _T_3340 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] - node _T_3341 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] - node _T_3342 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 427:46] - node _T_3343 = and(_T_3341, _T_3342) @[lsu_bus_buffer.scala 427:35] - node _T_3344 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] - node _T_3345 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] - node _T_3346 = mux(_T_3343, _T_3344, _T_3345) @[lsu_bus_buffer.scala 427:8] - node _T_3347 = mux(_T_3339, _T_3340, _T_3346) @[lsu_bus_buffer.scala 426:46] - node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 426:64] - node _T_3349 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] - node _T_3350 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] - node _T_3351 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 427:46] - node _T_3352 = and(_T_3350, _T_3351) @[lsu_bus_buffer.scala 427:35] - node _T_3353 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] - node _T_3354 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] - node _T_3355 = mux(_T_3352, _T_3353, _T_3354) @[lsu_bus_buffer.scala 427:8] - node _T_3356 = mux(_T_3348, _T_3349, _T_3355) @[lsu_bus_buffer.scala 426:46] - node _T_3357 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 426:64] - node _T_3358 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] - node _T_3359 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] - node _T_3360 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 427:46] - node _T_3361 = and(_T_3359, _T_3360) @[lsu_bus_buffer.scala 427:35] - node _T_3362 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] - node _T_3363 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] - node _T_3364 = mux(_T_3361, _T_3362, _T_3363) @[lsu_bus_buffer.scala 427:8] - node _T_3365 = mux(_T_3357, _T_3358, _T_3364) @[lsu_bus_buffer.scala 426:46] - node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 426:64] - node _T_3367 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] - node _T_3368 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] - node _T_3369 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 427:46] - node _T_3370 = and(_T_3368, _T_3369) @[lsu_bus_buffer.scala 427:35] - node _T_3371 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] - node _T_3372 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] - node _T_3373 = mux(_T_3370, _T_3371, _T_3372) @[lsu_bus_buffer.scala 427:8] - node _T_3374 = mux(_T_3366, _T_3367, _T_3373) @[lsu_bus_buffer.scala 426:46] - buf_byteen_in[0] <= _T_3347 @[lsu_bus_buffer.scala 426:17] - buf_byteen_in[1] <= _T_3356 @[lsu_bus_buffer.scala 426:17] - buf_byteen_in[2] <= _T_3365 @[lsu_bus_buffer.scala 426:17] - buf_byteen_in[3] <= _T_3374 @[lsu_bus_buffer.scala 426:17] - node _T_3375 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 428:62] - node _T_3376 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] - node _T_3377 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:119] - node _T_3378 = and(_T_3376, _T_3377) @[lsu_bus_buffer.scala 428:108] - node _T_3379 = mux(_T_3378, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] - node _T_3380 = mux(_T_3375, ibuf_addr, _T_3379) @[lsu_bus_buffer.scala 428:44] - node _T_3381 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 428:62] - node _T_3382 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] - node _T_3383 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:119] - node _T_3384 = and(_T_3382, _T_3383) @[lsu_bus_buffer.scala 428:108] - node _T_3385 = mux(_T_3384, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] - node _T_3386 = mux(_T_3381, ibuf_addr, _T_3385) @[lsu_bus_buffer.scala 428:44] - node _T_3387 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 428:62] - node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] - node _T_3389 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:119] - node _T_3390 = and(_T_3388, _T_3389) @[lsu_bus_buffer.scala 428:108] - node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] - node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[lsu_bus_buffer.scala 428:44] - node _T_3393 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 428:62] - node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] - node _T_3395 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:119] - node _T_3396 = and(_T_3394, _T_3395) @[lsu_bus_buffer.scala 428:108] - node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] - node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[lsu_bus_buffer.scala 428:44] - buf_addr_in[0] <= _T_3380 @[lsu_bus_buffer.scala 428:15] - buf_addr_in[1] <= _T_3386 @[lsu_bus_buffer.scala 428:15] - buf_addr_in[2] <= _T_3392 @[lsu_bus_buffer.scala 428:15] - buf_addr_in[3] <= _T_3398 @[lsu_bus_buffer.scala 428:15] - node _T_3399 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:63] - node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] - node _T_3401 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:63] - node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] - node _T_3403 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:63] - node _T_3404 = mux(_T_3403, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] - node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:63] - node _T_3406 = mux(_T_3405, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + ibuf_drainvec_vld <= _T_3338 @[lsu_bus_buffer.scala 426:21] + node _T_3339 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 427:64] + node _T_3340 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3341 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] + node _T_3342 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:46] + node _T_3343 = and(_T_3341, _T_3342) @[lsu_bus_buffer.scala 428:35] + node _T_3344 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] + node _T_3345 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] + node _T_3346 = mux(_T_3343, _T_3344, _T_3345) @[lsu_bus_buffer.scala 428:8] + node _T_3347 = mux(_T_3339, _T_3340, _T_3346) @[lsu_bus_buffer.scala 427:46] + node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 427:64] + node _T_3349 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3350 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] + node _T_3351 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:46] + node _T_3352 = and(_T_3350, _T_3351) @[lsu_bus_buffer.scala 428:35] + node _T_3353 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] + node _T_3354 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] + node _T_3355 = mux(_T_3352, _T_3353, _T_3354) @[lsu_bus_buffer.scala 428:8] + node _T_3356 = mux(_T_3348, _T_3349, _T_3355) @[lsu_bus_buffer.scala 427:46] + node _T_3357 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 427:64] + node _T_3358 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3359 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] + node _T_3360 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:46] + node _T_3361 = and(_T_3359, _T_3360) @[lsu_bus_buffer.scala 428:35] + node _T_3362 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] + node _T_3363 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] + node _T_3364 = mux(_T_3361, _T_3362, _T_3363) @[lsu_bus_buffer.scala 428:8] + node _T_3365 = mux(_T_3357, _T_3358, _T_3364) @[lsu_bus_buffer.scala 427:46] + node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 427:64] + node _T_3367 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3368 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] + node _T_3369 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:46] + node _T_3370 = and(_T_3368, _T_3369) @[lsu_bus_buffer.scala 428:35] + node _T_3371 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] + node _T_3372 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] + node _T_3373 = mux(_T_3370, _T_3371, _T_3372) @[lsu_bus_buffer.scala 428:8] + node _T_3374 = mux(_T_3366, _T_3367, _T_3373) @[lsu_bus_buffer.scala 427:46] + buf_byteen_in[0] <= _T_3347 @[lsu_bus_buffer.scala 427:17] + buf_byteen_in[1] <= _T_3356 @[lsu_bus_buffer.scala 427:17] + buf_byteen_in[2] <= _T_3365 @[lsu_bus_buffer.scala 427:17] + buf_byteen_in[3] <= _T_3374 @[lsu_bus_buffer.scala 427:17] + node _T_3375 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:62] + node _T_3376 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] + node _T_3377 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 429:119] + node _T_3378 = and(_T_3376, _T_3377) @[lsu_bus_buffer.scala 429:108] + node _T_3379 = mux(_T_3378, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] + node _T_3380 = mux(_T_3375, ibuf_addr, _T_3379) @[lsu_bus_buffer.scala 429:44] + node _T_3381 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:62] + node _T_3382 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] + node _T_3383 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 429:119] + node _T_3384 = and(_T_3382, _T_3383) @[lsu_bus_buffer.scala 429:108] + node _T_3385 = mux(_T_3384, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] + node _T_3386 = mux(_T_3381, ibuf_addr, _T_3385) @[lsu_bus_buffer.scala 429:44] + node _T_3387 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:62] + node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] + node _T_3389 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 429:119] + node _T_3390 = and(_T_3388, _T_3389) @[lsu_bus_buffer.scala 429:108] + node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] + node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[lsu_bus_buffer.scala 429:44] + node _T_3393 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:62] + node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] + node _T_3395 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 429:119] + node _T_3396 = and(_T_3394, _T_3395) @[lsu_bus_buffer.scala 429:108] + node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] + node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[lsu_bus_buffer.scala 429:44] + buf_addr_in[0] <= _T_3380 @[lsu_bus_buffer.scala 429:15] + buf_addr_in[1] <= _T_3386 @[lsu_bus_buffer.scala 429:15] + buf_addr_in[2] <= _T_3392 @[lsu_bus_buffer.scala 429:15] + buf_addr_in[3] <= _T_3398 @[lsu_bus_buffer.scala 429:15] + node _T_3399 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 430:63] + node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] + node _T_3401 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 430:63] + node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] + node _T_3403 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 430:63] + node _T_3404 = mux(_T_3403, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] + node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 430:63] + node _T_3406 = mux(_T_3405, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] node _T_3407 = cat(_T_3406, _T_3404) @[Cat.scala 29:58] node _T_3408 = cat(_T_3407, _T_3402) @[Cat.scala 29:58] node _T_3409 = cat(_T_3408, _T_3400) @[Cat.scala 29:58] - buf_dual_in <= _T_3409 @[lsu_bus_buffer.scala 429:15] - node _T_3410 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 430:65] - node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] - node _T_3412 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 430:65] - node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] - node _T_3414 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 430:65] - node _T_3415 = mux(_T_3414, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] - node _T_3416 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 430:65] - node _T_3417 = mux(_T_3416, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + buf_dual_in <= _T_3409 @[lsu_bus_buffer.scala 430:15] + node _T_3410 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:65] + node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] + node _T_3412 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:65] + node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] + node _T_3414 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:65] + node _T_3415 = mux(_T_3414, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] + node _T_3416 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:65] + node _T_3417 = mux(_T_3416, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] node _T_3418 = cat(_T_3417, _T_3415) @[Cat.scala 29:58] node _T_3419 = cat(_T_3418, _T_3413) @[Cat.scala 29:58] node _T_3420 = cat(_T_3419, _T_3411) @[Cat.scala 29:58] - buf_samedw_in <= _T_3420 @[lsu_bus_buffer.scala 430:17] - node _T_3421 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:66] - node _T_3422 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] - node _T_3423 = mux(_T_3421, _T_3422, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] - node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:66] - node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] - node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] - node _T_3427 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:66] - node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] - node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] - node _T_3430 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:66] - node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] - node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + buf_samedw_in <= _T_3420 @[lsu_bus_buffer.scala 431:17] + node _T_3421 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:66] + node _T_3422 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] + node _T_3423 = mux(_T_3421, _T_3422, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] + node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:66] + node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] + node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] + node _T_3427 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:66] + node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] + node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] + node _T_3430 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:66] + node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] + node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] node _T_3433 = cat(_T_3432, _T_3429) @[Cat.scala 29:58] node _T_3434 = cat(_T_3433, _T_3426) @[Cat.scala 29:58] node _T_3435 = cat(_T_3434, _T_3423) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3435 @[lsu_bus_buffer.scala 431:18] - node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:65] - node _T_3437 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] - node _T_3438 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 432:118] - node _T_3439 = and(_T_3437, _T_3438) @[lsu_bus_buffer.scala 432:107] - node _T_3440 = mux(_T_3436, ibuf_dual, _T_3439) @[lsu_bus_buffer.scala 432:47] - node _T_3441 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:65] - node _T_3442 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] - node _T_3443 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 432:118] - node _T_3444 = and(_T_3442, _T_3443) @[lsu_bus_buffer.scala 432:107] - node _T_3445 = mux(_T_3441, ibuf_dual, _T_3444) @[lsu_bus_buffer.scala 432:47] - node _T_3446 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:65] - node _T_3447 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] - node _T_3448 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 432:118] - node _T_3449 = and(_T_3447, _T_3448) @[lsu_bus_buffer.scala 432:107] - node _T_3450 = mux(_T_3446, ibuf_dual, _T_3449) @[lsu_bus_buffer.scala 432:47] - node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:65] - node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] - node _T_3453 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 432:118] - node _T_3454 = and(_T_3452, _T_3453) @[lsu_bus_buffer.scala 432:107] - node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[lsu_bus_buffer.scala 432:47] + buf_nomerge_in <= _T_3435 @[lsu_bus_buffer.scala 432:18] + node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] + node _T_3437 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] + node _T_3438 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:118] + node _T_3439 = and(_T_3437, _T_3438) @[lsu_bus_buffer.scala 433:107] + node _T_3440 = mux(_T_3436, ibuf_dual, _T_3439) @[lsu_bus_buffer.scala 433:47] + node _T_3441 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] + node _T_3442 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] + node _T_3443 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:118] + node _T_3444 = and(_T_3442, _T_3443) @[lsu_bus_buffer.scala 433:107] + node _T_3445 = mux(_T_3441, ibuf_dual, _T_3444) @[lsu_bus_buffer.scala 433:47] + node _T_3446 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] + node _T_3447 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] + node _T_3448 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:118] + node _T_3449 = and(_T_3447, _T_3448) @[lsu_bus_buffer.scala 433:107] + node _T_3450 = mux(_T_3446, ibuf_dual, _T_3449) @[lsu_bus_buffer.scala 433:47] + node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] + node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] + node _T_3453 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:118] + node _T_3454 = and(_T_3452, _T_3453) @[lsu_bus_buffer.scala 433:107] + node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[lsu_bus_buffer.scala 433:47] node _T_3456 = cat(_T_3455, _T_3450) @[Cat.scala 29:58] node _T_3457 = cat(_T_3456, _T_3445) @[Cat.scala 29:58] node _T_3458 = cat(_T_3457, _T_3440) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3458 @[lsu_bus_buffer.scala 432:17] - node _T_3459 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] - node _T_3460 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] - node _T_3461 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:125] - node _T_3462 = and(_T_3460, _T_3461) @[lsu_bus_buffer.scala 433:114] - node _T_3463 = mux(_T_3462, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] - node _T_3464 = mux(_T_3459, ibuf_dualtag, _T_3463) @[lsu_bus_buffer.scala 433:47] - node _T_3465 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] - node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] - node _T_3467 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:125] - node _T_3468 = and(_T_3466, _T_3467) @[lsu_bus_buffer.scala 433:114] - node _T_3469 = mux(_T_3468, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] - node _T_3470 = mux(_T_3465, ibuf_dualtag, _T_3469) @[lsu_bus_buffer.scala 433:47] - node _T_3471 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] - node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] - node _T_3473 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:125] - node _T_3474 = and(_T_3472, _T_3473) @[lsu_bus_buffer.scala 433:114] - node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] - node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[lsu_bus_buffer.scala 433:47] - node _T_3477 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] - node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] - node _T_3479 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:125] - node _T_3480 = and(_T_3478, _T_3479) @[lsu_bus_buffer.scala 433:114] - node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] - node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[lsu_bus_buffer.scala 433:47] - buf_dualtag_in[0] <= _T_3464 @[lsu_bus_buffer.scala 433:18] - buf_dualtag_in[1] <= _T_3470 @[lsu_bus_buffer.scala 433:18] - buf_dualtag_in[2] <= _T_3476 @[lsu_bus_buffer.scala 433:18] - buf_dualtag_in[3] <= _T_3482 @[lsu_bus_buffer.scala 433:18] - node _T_3483 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:69] - node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] - node _T_3485 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:69] - node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] - node _T_3487 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:69] - node _T_3488 = mux(_T_3487, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] - node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:69] - node _T_3490 = mux(_T_3489, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + buf_dualhi_in <= _T_3458 @[lsu_bus_buffer.scala 433:17] + node _T_3459 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:65] + node _T_3460 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] + node _T_3461 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 434:125] + node _T_3462 = and(_T_3460, _T_3461) @[lsu_bus_buffer.scala 434:114] + node _T_3463 = mux(_T_3462, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] + node _T_3464 = mux(_T_3459, ibuf_dualtag, _T_3463) @[lsu_bus_buffer.scala 434:47] + node _T_3465 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:65] + node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] + node _T_3467 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 434:125] + node _T_3468 = and(_T_3466, _T_3467) @[lsu_bus_buffer.scala 434:114] + node _T_3469 = mux(_T_3468, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] + node _T_3470 = mux(_T_3465, ibuf_dualtag, _T_3469) @[lsu_bus_buffer.scala 434:47] + node _T_3471 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:65] + node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] + node _T_3473 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 434:125] + node _T_3474 = and(_T_3472, _T_3473) @[lsu_bus_buffer.scala 434:114] + node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] + node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[lsu_bus_buffer.scala 434:47] + node _T_3477 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:65] + node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] + node _T_3479 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 434:125] + node _T_3480 = and(_T_3478, _T_3479) @[lsu_bus_buffer.scala 434:114] + node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] + node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[lsu_bus_buffer.scala 434:47] + buf_dualtag_in[0] <= _T_3464 @[lsu_bus_buffer.scala 434:18] + buf_dualtag_in[1] <= _T_3470 @[lsu_bus_buffer.scala 434:18] + buf_dualtag_in[2] <= _T_3476 @[lsu_bus_buffer.scala 434:18] + buf_dualtag_in[3] <= _T_3482 @[lsu_bus_buffer.scala 434:18] + node _T_3483 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:69] + node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] + node _T_3485 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:69] + node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] + node _T_3487 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:69] + node _T_3488 = mux(_T_3487, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] + node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:69] + node _T_3490 = mux(_T_3489, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] node _T_3491 = cat(_T_3490, _T_3488) @[Cat.scala 29:58] node _T_3492 = cat(_T_3491, _T_3486) @[Cat.scala 29:58] node _T_3493 = cat(_T_3492, _T_3484) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3493 @[lsu_bus_buffer.scala 434:21] - node _T_3494 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65] - node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] - node _T_3496 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65] - node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] - node _T_3498 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65] - node _T_3499 = mux(_T_3498, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] - node _T_3500 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65] - node _T_3501 = mux(_T_3500, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + buf_sideeffect_in <= _T_3493 @[lsu_bus_buffer.scala 435:21] + node _T_3494 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:65] + node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] + node _T_3496 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:65] + node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] + node _T_3498 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:65] + node _T_3499 = mux(_T_3498, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] + node _T_3500 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:65] + node _T_3501 = mux(_T_3500, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] node _T_3502 = cat(_T_3501, _T_3499) @[Cat.scala 29:58] node _T_3503 = cat(_T_3502, _T_3497) @[Cat.scala 29:58] node _T_3504 = cat(_T_3503, _T_3495) @[Cat.scala 29:58] - buf_unsign_in <= _T_3504 @[lsu_bus_buffer.scala 435:17] - node _T_3505 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:60] + buf_unsign_in <= _T_3504 @[lsu_bus_buffer.scala 436:17] + node _T_3505 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:60] node _T_3506 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3507 = mux(_T_3505, ibuf_sz, _T_3506) @[lsu_bus_buffer.scala 436:42] - node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:60] + node _T_3507 = mux(_T_3505, ibuf_sz, _T_3506) @[lsu_bus_buffer.scala 437:42] + node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:60] node _T_3509 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 436:42] - node _T_3511 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:60] + node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 437:42] + node _T_3511 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:60] node _T_3512 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 436:42] - node _T_3514 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:60] + node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 437:42] + node _T_3514 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:60] node _T_3515 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 436:42] - buf_sz_in[0] <= _T_3507 @[lsu_bus_buffer.scala 436:13] - buf_sz_in[1] <= _T_3510 @[lsu_bus_buffer.scala 436:13] - buf_sz_in[2] <= _T_3513 @[lsu_bus_buffer.scala 436:13] - buf_sz_in[3] <= _T_3516 @[lsu_bus_buffer.scala 436:13] - node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:64] - node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] - node _T_3519 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:64] - node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] - node _T_3521 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:64] - node _T_3522 = mux(_T_3521, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] - node _T_3523 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:64] - node _T_3524 = mux(_T_3523, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 437:42] + buf_sz_in[0] <= _T_3507 @[lsu_bus_buffer.scala 437:13] + buf_sz_in[1] <= _T_3510 @[lsu_bus_buffer.scala 437:13] + buf_sz_in[2] <= _T_3513 @[lsu_bus_buffer.scala 437:13] + buf_sz_in[3] <= _T_3516 @[lsu_bus_buffer.scala 437:13] + node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:64] + node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] + node _T_3519 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:64] + node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] + node _T_3521 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:64] + node _T_3522 = mux(_T_3521, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] + node _T_3523 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:64] + node _T_3524 = mux(_T_3523, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] node _T_3525 = cat(_T_3524, _T_3522) @[Cat.scala 29:58] node _T_3526 = cat(_T_3525, _T_3520) @[Cat.scala 29:58] node _T_3527 = cat(_T_3526, _T_3518) @[Cat.scala 29:58] - buf_write_in <= _T_3527 @[lsu_bus_buffer.scala 437:16] + buf_write_in <= _T_3527 @[lsu_bus_buffer.scala 438:16] node _T_3528 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3528 : @[Conditional.scala 40:58] - node _T_3529 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] - node _T_3530 = mux(_T_3529, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] - buf_nxtstate[0] <= _T_3530 @[lsu_bus_buffer.scala 442:25] - node _T_3531 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] - node _T_3532 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] - node _T_3533 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] - node _T_3534 = and(_T_3532, _T_3533) @[lsu_bus_buffer.scala 443:95] - node _T_3535 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] - node _T_3536 = and(_T_3534, _T_3535) @[lsu_bus_buffer.scala 443:112] - node _T_3537 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] - node _T_3538 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] - node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 443:161] - node _T_3540 = or(_T_3536, _T_3539) @[lsu_bus_buffer.scala 443:132] - node _T_3541 = and(_T_3531, _T_3540) @[lsu_bus_buffer.scala 443:63] - node _T_3542 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] - node _T_3543 = and(ibuf_drain_vld, _T_3542) @[lsu_bus_buffer.scala 443:201] - node _T_3544 = or(_T_3541, _T_3543) @[lsu_bus_buffer.scala 443:183] - buf_state_en[0] <= _T_3544 @[lsu_bus_buffer.scala 443:25] - buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 444:22] - buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 445:24] - node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] - node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 446:47] - node _T_3547 = bits(_T_3546, 0, 0) @[lsu_bus_buffer.scala 446:73] - node _T_3548 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] - node _T_3549 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] - node _T_3550 = mux(_T_3547, _T_3548, _T_3549) @[lsu_bus_buffer.scala 446:30] - buf_data_in[0] <= _T_3550 @[lsu_bus_buffer.scala 446:24] + node _T_3529 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] + node _T_3530 = mux(_T_3529, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] + buf_nxtstate[0] <= _T_3530 @[lsu_bus_buffer.scala 443:25] + node _T_3531 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] + node _T_3532 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] + node _T_3533 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] + node _T_3534 = and(_T_3532, _T_3533) @[lsu_bus_buffer.scala 444:95] + node _T_3535 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] + node _T_3536 = and(_T_3534, _T_3535) @[lsu_bus_buffer.scala 444:112] + node _T_3537 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] + node _T_3538 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] + node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 444:161] + node _T_3540 = or(_T_3536, _T_3539) @[lsu_bus_buffer.scala 444:132] + node _T_3541 = and(_T_3531, _T_3540) @[lsu_bus_buffer.scala 444:63] + node _T_3542 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] + node _T_3543 = and(ibuf_drain_vld, _T_3542) @[lsu_bus_buffer.scala 444:201] + node _T_3544 = or(_T_3541, _T_3543) @[lsu_bus_buffer.scala 444:183] + buf_state_en[0] <= _T_3544 @[lsu_bus_buffer.scala 444:25] + buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 445:22] + buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 446:24] + node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] + node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 447:47] + node _T_3547 = bits(_T_3546, 0, 0) @[lsu_bus_buffer.scala 447:73] + node _T_3548 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] + node _T_3549 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] + node _T_3550 = mux(_T_3547, _T_3548, _T_3549) @[lsu_bus_buffer.scala 447:30] + buf_data_in[0] <= _T_3550 @[lsu_bus_buffer.scala 447:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3551 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3551 : @[Conditional.scala 39:67] - node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] - node _T_3553 = mux(_T_3552, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] - buf_nxtstate[0] <= _T_3553 @[lsu_bus_buffer.scala 449:25] - node _T_3554 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] - buf_state_en[0] <= _T_3554 @[lsu_bus_buffer.scala 450:25] + node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_3553 = mux(_T_3552, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[0] <= _T_3553 @[lsu_bus_buffer.scala 450:25] + node _T_3554 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[0] <= _T_3554 @[lsu_bus_buffer.scala 451:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3555 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3555 : @[Conditional.scala 39:67] - node _T_3556 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] - node _T_3557 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] - node _T_3558 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] - node _T_3559 = and(_T_3557, _T_3558) @[lsu_bus_buffer.scala 453:104] - node _T_3560 = mux(_T_3559, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] - node _T_3561 = mux(_T_3556, UInt<3>("h00"), _T_3560) @[lsu_bus_buffer.scala 453:31] - buf_nxtstate[0] <= _T_3561 @[lsu_bus_buffer.scala 453:25] - node _T_3562 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 454:48] - node _T_3563 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 454:104] - node _T_3564 = and(obuf_merge, _T_3563) @[lsu_bus_buffer.scala 454:91] - node _T_3565 = or(_T_3562, _T_3564) @[lsu_bus_buffer.scala 454:77] - node _T_3566 = and(_T_3565, obuf_valid) @[lsu_bus_buffer.scala 454:135] - node _T_3567 = and(_T_3566, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] - buf_cmd_state_bus_en[0] <= _T_3567 @[lsu_bus_buffer.scala 454:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 455:29] - node _T_3568 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] - node _T_3569 = or(_T_3568, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] - buf_state_en[0] <= _T_3569 @[lsu_bus_buffer.scala 456:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] - node _T_3570 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 458:56] - node _T_3571 = eq(_T_3570, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] - node _T_3572 = and(buf_state_en[0], _T_3571) @[lsu_bus_buffer.scala 458:44] - node _T_3573 = and(_T_3572, obuf_nosend) @[lsu_bus_buffer.scala 458:60] - node _T_3574 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] - node _T_3575 = and(_T_3573, _T_3574) @[lsu_bus_buffer.scala 458:74] - buf_ldfwd_en[0] <= _T_3575 @[lsu_bus_buffer.scala 458:25] - node _T_3576 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] - buf_ldfwdtag_in[0] <= _T_3576 @[lsu_bus_buffer.scala 459:28] - node _T_3577 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] - node _T_3578 = and(_T_3577, obuf_nosend) @[lsu_bus_buffer.scala 460:67] - node _T_3579 = and(_T_3578, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] - buf_data_en[0] <= _T_3579 @[lsu_bus_buffer.scala 460:24] - node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] - node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 461:68] - node _T_3582 = and(_T_3581, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] - buf_error_en[0] <= _T_3582 @[lsu_bus_buffer.scala 461:25] - node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] - node _T_3584 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 462:85] - node _T_3585 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] - node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] - node _T_3587 = mux(_T_3584, _T_3585, _T_3586) @[lsu_bus_buffer.scala 462:73] - node _T_3588 = mux(buf_error_en[0], _T_3583, _T_3587) @[lsu_bus_buffer.scala 462:30] - buf_data_in[0] <= _T_3588 @[lsu_bus_buffer.scala 462:24] + node _T_3556 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] + node _T_3557 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] + node _T_3558 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] + node _T_3559 = and(_T_3557, _T_3558) @[lsu_bus_buffer.scala 454:104] + node _T_3560 = mux(_T_3559, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] + node _T_3561 = mux(_T_3556, UInt<3>("h00"), _T_3560) @[lsu_bus_buffer.scala 454:31] + buf_nxtstate[0] <= _T_3561 @[lsu_bus_buffer.scala 454:25] + node _T_3562 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 455:48] + node _T_3563 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 455:104] + node _T_3564 = and(obuf_merge, _T_3563) @[lsu_bus_buffer.scala 455:91] + node _T_3565 = or(_T_3562, _T_3564) @[lsu_bus_buffer.scala 455:77] + node _T_3566 = and(_T_3565, obuf_valid) @[lsu_bus_buffer.scala 455:135] + node _T_3567 = and(_T_3566, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] + buf_cmd_state_bus_en[0] <= _T_3567 @[lsu_bus_buffer.scala 455:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 456:29] + node _T_3568 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] + node _T_3569 = or(_T_3568, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] + buf_state_en[0] <= _T_3569 @[lsu_bus_buffer.scala 457:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] + node _T_3570 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 459:56] + node _T_3571 = eq(_T_3570, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] + node _T_3572 = and(buf_state_en[0], _T_3571) @[lsu_bus_buffer.scala 459:44] + node _T_3573 = and(_T_3572, obuf_nosend) @[lsu_bus_buffer.scala 459:60] + node _T_3574 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] + node _T_3575 = and(_T_3573, _T_3574) @[lsu_bus_buffer.scala 459:74] + buf_ldfwd_en[0] <= _T_3575 @[lsu_bus_buffer.scala 459:25] + node _T_3576 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] + buf_ldfwdtag_in[0] <= _T_3576 @[lsu_bus_buffer.scala 460:28] + node _T_3577 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] + node _T_3578 = and(_T_3577, obuf_nosend) @[lsu_bus_buffer.scala 461:67] + node _T_3579 = and(_T_3578, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] + buf_data_en[0] <= _T_3579 @[lsu_bus_buffer.scala 461:24] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] + node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 462:68] + node _T_3582 = and(_T_3581, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] + buf_error_en[0] <= _T_3582 @[lsu_bus_buffer.scala 462:25] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] + node _T_3584 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 463:85] + node _T_3585 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] + node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] + node _T_3587 = mux(_T_3584, _T_3585, _T_3586) @[lsu_bus_buffer.scala 463:73] + node _T_3588 = mux(buf_error_en[0], _T_3583, _T_3587) @[lsu_bus_buffer.scala 463:30] + buf_data_in[0] <= _T_3588 @[lsu_bus_buffer.scala 463:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3589 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3589 : @[Conditional.scala 39:67] - node _T_3590 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 465:67] - node _T_3591 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] - node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] - node _T_3593 = and(_T_3590, _T_3592) @[lsu_bus_buffer.scala 465:71] - node _T_3594 = or(io.dec_tlu_force_halt, _T_3593) @[lsu_bus_buffer.scala 465:55] - node _T_3595 = bits(_T_3594, 0, 0) @[lsu_bus_buffer.scala 465:125] - node _T_3596 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] - node _T_3597 = and(buf_dual[0], _T_3596) @[lsu_bus_buffer.scala 466:28] - node _T_3598 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 466:57] - node _T_3599 = eq(_T_3598, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] - node _T_3600 = and(_T_3597, _T_3599) @[lsu_bus_buffer.scala 466:45] - node _T_3601 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] - node _T_3602 = and(_T_3600, _T_3601) @[lsu_bus_buffer.scala 466:61] - node _T_3603 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 467:27] - node _T_3604 = or(_T_3603, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] - node _T_3605 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] - node _T_3606 = and(buf_dual[0], _T_3605) @[lsu_bus_buffer.scala 467:68] - node _T_3607 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:97] - node _T_3608 = eq(_T_3607, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] - node _T_3609 = and(_T_3606, _T_3608) @[lsu_bus_buffer.scala 467:85] + node _T_3590 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 466:67] + node _T_3591 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] + node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] + node _T_3593 = and(_T_3590, _T_3592) @[lsu_bus_buffer.scala 466:71] + node _T_3594 = or(io.dec_tlu_force_halt, _T_3593) @[lsu_bus_buffer.scala 466:55] + node _T_3595 = bits(_T_3594, 0, 0) @[lsu_bus_buffer.scala 466:125] + node _T_3596 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] + node _T_3597 = and(buf_dual[0], _T_3596) @[lsu_bus_buffer.scala 467:28] + node _T_3598 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:57] + node _T_3599 = eq(_T_3598, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] + node _T_3600 = and(_T_3597, _T_3599) @[lsu_bus_buffer.scala 467:45] + node _T_3601 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] + node _T_3602 = and(_T_3600, _T_3601) @[lsu_bus_buffer.scala 467:61] + node _T_3603 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 468:27] + node _T_3604 = or(_T_3603, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] + node _T_3605 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] + node _T_3606 = and(buf_dual[0], _T_3605) @[lsu_bus_buffer.scala 468:68] + node _T_3607 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 468:97] + node _T_3608 = eq(_T_3607, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] + node _T_3609 = and(_T_3606, _T_3608) @[lsu_bus_buffer.scala 468:85] node _T_3610 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_3611 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_3612 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -99223,265 +99223,265 @@ circuit quasar_wrapper : node _T_3624 = or(_T_3623, _T_3621) @[Mux.scala 27:72] wire _T_3625 : UInt<1> @[Mux.scala 27:72] _T_3625 <= _T_3624 @[Mux.scala 27:72] - node _T_3626 = and(_T_3609, _T_3625) @[lsu_bus_buffer.scala 467:101] - node _T_3627 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] - node _T_3628 = and(_T_3626, _T_3627) @[lsu_bus_buffer.scala 467:138] - node _T_3629 = and(_T_3628, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] - node _T_3630 = or(_T_3604, _T_3629) @[lsu_bus_buffer.scala 467:53] - node _T_3631 = mux(_T_3630, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] - node _T_3632 = mux(_T_3602, UInt<3>("h04"), _T_3631) @[lsu_bus_buffer.scala 466:14] - node _T_3633 = mux(_T_3595, UInt<3>("h00"), _T_3632) @[lsu_bus_buffer.scala 465:31] - buf_nxtstate[0] <= _T_3633 @[lsu_bus_buffer.scala 465:25] - node _T_3634 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 468:73] - node _T_3635 = and(bus_rsp_write, _T_3634) @[lsu_bus_buffer.scala 468:52] - node _T_3636 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 469:46] - node _T_3637 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 470:23] - node _T_3638 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 470:47] - node _T_3639 = and(_T_3637, _T_3638) @[lsu_bus_buffer.scala 470:27] - node _T_3640 = or(_T_3636, _T_3639) @[lsu_bus_buffer.scala 469:77] - node _T_3641 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 471:26] - node _T_3642 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 471:54] - node _T_3643 = not(_T_3642) @[lsu_bus_buffer.scala 471:44] - node _T_3644 = and(_T_3641, _T_3643) @[lsu_bus_buffer.scala 471:42] - node _T_3645 = and(_T_3644, buf_samedw[0]) @[lsu_bus_buffer.scala 471:58] - node _T_3646 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 471:94] - node _T_3647 = and(_T_3645, _T_3646) @[lsu_bus_buffer.scala 471:74] - node _T_3648 = or(_T_3640, _T_3647) @[lsu_bus_buffer.scala 470:71] - node _T_3649 = and(bus_rsp_read, _T_3648) @[lsu_bus_buffer.scala 469:25] - node _T_3650 = or(_T_3635, _T_3649) @[lsu_bus_buffer.scala 468:105] - buf_resp_state_bus_en[0] <= _T_3650 @[lsu_bus_buffer.scala 468:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 472:29] - node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] - node _T_3652 = or(_T_3651, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] - buf_state_en[0] <= _T_3652 @[lsu_bus_buffer.scala 473:25] - node _T_3653 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] - node _T_3654 = and(_T_3653, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] - buf_data_en[0] <= _T_3654 @[lsu_bus_buffer.scala 474:24] - node _T_3655 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] - node _T_3656 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 475:111] - node _T_3657 = and(bus_rsp_read_error, _T_3656) @[lsu_bus_buffer.scala 475:91] - node _T_3658 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 476:42] - node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 476:31] - node _T_3660 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 476:66] - node _T_3661 = and(_T_3659, _T_3660) @[lsu_bus_buffer.scala 476:46] - node _T_3662 = or(_T_3657, _T_3661) @[lsu_bus_buffer.scala 475:143] - node _T_3663 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 477:32] - node _T_3664 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 477:74] - node _T_3665 = and(_T_3663, _T_3664) @[lsu_bus_buffer.scala 477:53] - node _T_3666 = or(_T_3662, _T_3665) @[lsu_bus_buffer.scala 476:88] - node _T_3667 = and(_T_3655, _T_3666) @[lsu_bus_buffer.scala 475:68] - buf_error_en[0] <= _T_3667 @[lsu_bus_buffer.scala 475:25] - node _T_3668 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] - node _T_3669 = and(buf_state_en[0], _T_3668) @[lsu_bus_buffer.scala 478:48] - node _T_3670 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 478:84] - node _T_3671 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] - node _T_3672 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] - node _T_3673 = mux(_T_3670, _T_3671, _T_3672) @[lsu_bus_buffer.scala 478:72] - node _T_3674 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] - node _T_3675 = mux(_T_3669, _T_3673, _T_3674) @[lsu_bus_buffer.scala 478:30] - buf_data_in[0] <= _T_3675 @[lsu_bus_buffer.scala 478:24] + node _T_3626 = and(_T_3609, _T_3625) @[lsu_bus_buffer.scala 468:101] + node _T_3627 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] + node _T_3628 = and(_T_3626, _T_3627) @[lsu_bus_buffer.scala 468:138] + node _T_3629 = and(_T_3628, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] + node _T_3630 = or(_T_3604, _T_3629) @[lsu_bus_buffer.scala 468:53] + node _T_3631 = mux(_T_3630, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] + node _T_3632 = mux(_T_3602, UInt<3>("h04"), _T_3631) @[lsu_bus_buffer.scala 467:14] + node _T_3633 = mux(_T_3595, UInt<3>("h00"), _T_3632) @[lsu_bus_buffer.scala 466:31] + buf_nxtstate[0] <= _T_3633 @[lsu_bus_buffer.scala 466:25] + node _T_3634 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 469:73] + node _T_3635 = and(bus_rsp_write, _T_3634) @[lsu_bus_buffer.scala 469:52] + node _T_3636 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 470:46] + node _T_3637 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 471:23] + node _T_3638 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 471:47] + node _T_3639 = and(_T_3637, _T_3638) @[lsu_bus_buffer.scala 471:27] + node _T_3640 = or(_T_3636, _T_3639) @[lsu_bus_buffer.scala 470:77] + node _T_3641 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 472:26] + node _T_3642 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:54] + node _T_3643 = not(_T_3642) @[lsu_bus_buffer.scala 472:44] + node _T_3644 = and(_T_3641, _T_3643) @[lsu_bus_buffer.scala 472:42] + node _T_3645 = and(_T_3644, buf_samedw[0]) @[lsu_bus_buffer.scala 472:58] + node _T_3646 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 472:94] + node _T_3647 = and(_T_3645, _T_3646) @[lsu_bus_buffer.scala 472:74] + node _T_3648 = or(_T_3640, _T_3647) @[lsu_bus_buffer.scala 471:71] + node _T_3649 = and(bus_rsp_read, _T_3648) @[lsu_bus_buffer.scala 470:25] + node _T_3650 = or(_T_3635, _T_3649) @[lsu_bus_buffer.scala 469:105] + buf_resp_state_bus_en[0] <= _T_3650 @[lsu_bus_buffer.scala 469:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 473:29] + node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] + node _T_3652 = or(_T_3651, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] + buf_state_en[0] <= _T_3652 @[lsu_bus_buffer.scala 474:25] + node _T_3653 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] + node _T_3654 = and(_T_3653, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] + buf_data_en[0] <= _T_3654 @[lsu_bus_buffer.scala 475:24] + node _T_3655 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] + node _T_3656 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 476:111] + node _T_3657 = and(bus_rsp_read_error, _T_3656) @[lsu_bus_buffer.scala 476:91] + node _T_3658 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 477:42] + node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 477:31] + node _T_3660 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 477:66] + node _T_3661 = and(_T_3659, _T_3660) @[lsu_bus_buffer.scala 477:46] + node _T_3662 = or(_T_3657, _T_3661) @[lsu_bus_buffer.scala 476:143] + node _T_3663 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] + node _T_3664 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 478:74] + node _T_3665 = and(_T_3663, _T_3664) @[lsu_bus_buffer.scala 478:53] + node _T_3666 = or(_T_3662, _T_3665) @[lsu_bus_buffer.scala 477:88] + node _T_3667 = and(_T_3655, _T_3666) @[lsu_bus_buffer.scala 476:68] + buf_error_en[0] <= _T_3667 @[lsu_bus_buffer.scala 476:25] + node _T_3668 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] + node _T_3669 = and(buf_state_en[0], _T_3668) @[lsu_bus_buffer.scala 479:48] + node _T_3670 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 479:84] + node _T_3671 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] + node _T_3672 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] + node _T_3673 = mux(_T_3670, _T_3671, _T_3672) @[lsu_bus_buffer.scala 479:72] + node _T_3674 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] + node _T_3675 = mux(_T_3669, _T_3673, _T_3674) @[lsu_bus_buffer.scala 479:30] + buf_data_in[0] <= _T_3675 @[lsu_bus_buffer.scala 479:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3676 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3676 : @[Conditional.scala 39:67] - node _T_3677 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] - node _T_3678 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 481:86] - node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 481:101] - node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 481:101] - node _T_3681 = or(_T_3678, _T_3680) @[lsu_bus_buffer.scala 481:90] - node _T_3682 = or(_T_3681, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] - node _T_3683 = mux(_T_3682, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] - node _T_3684 = mux(_T_3677, UInt<3>("h00"), _T_3683) @[lsu_bus_buffer.scala 481:31] - buf_nxtstate[0] <= _T_3684 @[lsu_bus_buffer.scala 481:25] - node _T_3685 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 482:66] - node _T_3686 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 483:21] - node _T_3687 = bits(_T_3686, 0, 0) @[lsu_bus_buffer.scala 483:21] - node _T_3688 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 483:58] - node _T_3689 = and(_T_3687, _T_3688) @[lsu_bus_buffer.scala 483:38] - node _T_3690 = or(_T_3685, _T_3689) @[lsu_bus_buffer.scala 482:95] - node _T_3691 = and(bus_rsp_read, _T_3690) @[lsu_bus_buffer.scala 482:45] - buf_state_bus_en[0] <= _T_3691 @[lsu_bus_buffer.scala 482:29] - node _T_3692 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] - node _T_3693 = or(_T_3692, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] - buf_state_en[0] <= _T_3693 @[lsu_bus_buffer.scala 484:25] + node _T_3677 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] + node _T_3678 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 482:86] + node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 482:101] + node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 482:101] + node _T_3681 = or(_T_3678, _T_3680) @[lsu_bus_buffer.scala 482:90] + node _T_3682 = or(_T_3681, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] + node _T_3683 = mux(_T_3682, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] + node _T_3684 = mux(_T_3677, UInt<3>("h00"), _T_3683) @[lsu_bus_buffer.scala 482:31] + buf_nxtstate[0] <= _T_3684 @[lsu_bus_buffer.scala 482:25] + node _T_3685 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 483:66] + node _T_3686 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 484:21] + node _T_3687 = bits(_T_3686, 0, 0) @[lsu_bus_buffer.scala 484:21] + node _T_3688 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 484:58] + node _T_3689 = and(_T_3687, _T_3688) @[lsu_bus_buffer.scala 484:38] + node _T_3690 = or(_T_3685, _T_3689) @[lsu_bus_buffer.scala 483:95] + node _T_3691 = and(bus_rsp_read, _T_3690) @[lsu_bus_buffer.scala 483:45] + buf_state_bus_en[0] <= _T_3691 @[lsu_bus_buffer.scala 483:29] + node _T_3692 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] + node _T_3693 = or(_T_3692, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] + buf_state_en[0] <= _T_3693 @[lsu_bus_buffer.scala 485:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3694 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3694 : @[Conditional.scala 39:67] - node _T_3695 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] - node _T_3696 = mux(_T_3695, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] - buf_nxtstate[0] <= _T_3696 @[lsu_bus_buffer.scala 487:25] - node _T_3697 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 488:37] - node _T_3698 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 488:98] - node _T_3699 = and(buf_dual[0], _T_3698) @[lsu_bus_buffer.scala 488:80] - node _T_3700 = or(_T_3697, _T_3699) @[lsu_bus_buffer.scala 488:65] - node _T_3701 = or(_T_3700, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] - buf_state_en[0] <= _T_3701 @[lsu_bus_buffer.scala 488:25] + node _T_3695 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_3696 = mux(_T_3695, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[0] <= _T_3696 @[lsu_bus_buffer.scala 488:25] + node _T_3697 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 489:37] + node _T_3698 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 489:98] + node _T_3699 = and(buf_dual[0], _T_3698) @[lsu_bus_buffer.scala 489:80] + node _T_3700 = or(_T_3697, _T_3699) @[lsu_bus_buffer.scala 489:65] + node _T_3701 = or(_T_3700, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] + buf_state_en[0] <= _T_3701 @[lsu_bus_buffer.scala 489:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3702 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3702 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] - buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] - buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 495:25] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 496:25] skip @[Conditional.scala 39:67] - node _T_3703 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 498:108] + node _T_3703 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 499:108] reg _T_3704 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3703 : @[Reg.scala 28:19] _T_3704 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3704 @[lsu_bus_buffer.scala 498:18] - reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] - _T_3705 <= buf_age_in_0 @[lsu_bus_buffer.scala 499:60] - buf_ageQ[0] <= _T_3705 @[lsu_bus_buffer.scala 499:17] - reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] - _T_3706 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 500:63] - buf_rspageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 500:20] - node _T_3707 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 501:109] + buf_state[0] <= _T_3704 @[lsu_bus_buffer.scala 499:18] + reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] + _T_3705 <= buf_age_in_0 @[lsu_bus_buffer.scala 500:60] + buf_ageQ[0] <= _T_3705 @[lsu_bus_buffer.scala 500:17] + reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] + _T_3706 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 501:63] + buf_rspageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 501:20] + node _T_3707 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 502:109] reg _T_3708 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3707 : @[Reg.scala 28:19] _T_3708 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3708 @[lsu_bus_buffer.scala 501:20] - node _T_3709 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 502:74] - node _T_3710 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 502:107] + buf_dualtag[0] <= _T_3708 @[lsu_bus_buffer.scala 502:20] + node _T_3709 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 503:74] + node _T_3710 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 503:107] reg _T_3711 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3710 : @[Reg.scala 28:19] _T_3711 <= _T_3709 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3711 @[lsu_bus_buffer.scala 502:17] - node _T_3712 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 503:78] - node _T_3713 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 503:111] + buf_dual[0] <= _T_3711 @[lsu_bus_buffer.scala 503:17] + node _T_3712 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 504:78] + node _T_3713 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 504:111] reg _T_3714 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3713 : @[Reg.scala 28:19] _T_3714 <= _T_3712 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3714 @[lsu_bus_buffer.scala 503:19] - node _T_3715 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 504:80] - node _T_3716 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 504:113] + buf_samedw[0] <= _T_3714 @[lsu_bus_buffer.scala 504:19] + node _T_3715 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 505:80] + node _T_3716 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 505:113] reg _T_3717 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3716 : @[Reg.scala 28:19] _T_3717 <= _T_3715 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3717 @[lsu_bus_buffer.scala 504:20] - node _T_3718 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 505:78] - node _T_3719 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 505:111] + buf_nomerge[0] <= _T_3717 @[lsu_bus_buffer.scala 505:20] + node _T_3718 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 506:78] + node _T_3719 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 506:111] reg _T_3720 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3719 : @[Reg.scala 28:19] _T_3720 <= _T_3718 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3720 @[lsu_bus_buffer.scala 505:19] + buf_dualhi[0] <= _T_3720 @[lsu_bus_buffer.scala 506:19] node _T_3721 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3721 : @[Conditional.scala 40:58] - node _T_3722 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] - node _T_3723 = mux(_T_3722, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] - buf_nxtstate[1] <= _T_3723 @[lsu_bus_buffer.scala 442:25] - node _T_3724 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] - node _T_3725 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] - node _T_3726 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] - node _T_3727 = and(_T_3725, _T_3726) @[lsu_bus_buffer.scala 443:95] - node _T_3728 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] - node _T_3729 = and(_T_3727, _T_3728) @[lsu_bus_buffer.scala 443:112] - node _T_3730 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] - node _T_3731 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] - node _T_3732 = and(_T_3730, _T_3731) @[lsu_bus_buffer.scala 443:161] - node _T_3733 = or(_T_3729, _T_3732) @[lsu_bus_buffer.scala 443:132] - node _T_3734 = and(_T_3724, _T_3733) @[lsu_bus_buffer.scala 443:63] - node _T_3735 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] - node _T_3736 = and(ibuf_drain_vld, _T_3735) @[lsu_bus_buffer.scala 443:201] - node _T_3737 = or(_T_3734, _T_3736) @[lsu_bus_buffer.scala 443:183] - buf_state_en[1] <= _T_3737 @[lsu_bus_buffer.scala 443:25] - buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 444:22] - buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 445:24] - node _T_3738 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] - node _T_3739 = and(ibuf_drain_vld, _T_3738) @[lsu_bus_buffer.scala 446:47] - node _T_3740 = bits(_T_3739, 0, 0) @[lsu_bus_buffer.scala 446:73] - node _T_3741 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] - node _T_3742 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] - node _T_3743 = mux(_T_3740, _T_3741, _T_3742) @[lsu_bus_buffer.scala 446:30] - buf_data_in[1] <= _T_3743 @[lsu_bus_buffer.scala 446:24] + node _T_3722 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] + node _T_3723 = mux(_T_3722, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] + buf_nxtstate[1] <= _T_3723 @[lsu_bus_buffer.scala 443:25] + node _T_3724 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] + node _T_3725 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] + node _T_3726 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] + node _T_3727 = and(_T_3725, _T_3726) @[lsu_bus_buffer.scala 444:95] + node _T_3728 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] + node _T_3729 = and(_T_3727, _T_3728) @[lsu_bus_buffer.scala 444:112] + node _T_3730 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] + node _T_3731 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] + node _T_3732 = and(_T_3730, _T_3731) @[lsu_bus_buffer.scala 444:161] + node _T_3733 = or(_T_3729, _T_3732) @[lsu_bus_buffer.scala 444:132] + node _T_3734 = and(_T_3724, _T_3733) @[lsu_bus_buffer.scala 444:63] + node _T_3735 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] + node _T_3736 = and(ibuf_drain_vld, _T_3735) @[lsu_bus_buffer.scala 444:201] + node _T_3737 = or(_T_3734, _T_3736) @[lsu_bus_buffer.scala 444:183] + buf_state_en[1] <= _T_3737 @[lsu_bus_buffer.scala 444:25] + buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 445:22] + buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 446:24] + node _T_3738 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] + node _T_3739 = and(ibuf_drain_vld, _T_3738) @[lsu_bus_buffer.scala 447:47] + node _T_3740 = bits(_T_3739, 0, 0) @[lsu_bus_buffer.scala 447:73] + node _T_3741 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] + node _T_3742 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] + node _T_3743 = mux(_T_3740, _T_3741, _T_3742) @[lsu_bus_buffer.scala 447:30] + buf_data_in[1] <= _T_3743 @[lsu_bus_buffer.scala 447:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3744 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3744 : @[Conditional.scala 39:67] - node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] - node _T_3746 = mux(_T_3745, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] - buf_nxtstate[1] <= _T_3746 @[lsu_bus_buffer.scala 449:25] - node _T_3747 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] - buf_state_en[1] <= _T_3747 @[lsu_bus_buffer.scala 450:25] + node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_3746 = mux(_T_3745, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[1] <= _T_3746 @[lsu_bus_buffer.scala 450:25] + node _T_3747 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[1] <= _T_3747 @[lsu_bus_buffer.scala 451:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3748 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3748 : @[Conditional.scala 39:67] - node _T_3749 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] - node _T_3750 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] - node _T_3751 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] - node _T_3752 = and(_T_3750, _T_3751) @[lsu_bus_buffer.scala 453:104] - node _T_3753 = mux(_T_3752, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] - node _T_3754 = mux(_T_3749, UInt<3>("h00"), _T_3753) @[lsu_bus_buffer.scala 453:31] - buf_nxtstate[1] <= _T_3754 @[lsu_bus_buffer.scala 453:25] - node _T_3755 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 454:48] - node _T_3756 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 454:104] - node _T_3757 = and(obuf_merge, _T_3756) @[lsu_bus_buffer.scala 454:91] - node _T_3758 = or(_T_3755, _T_3757) @[lsu_bus_buffer.scala 454:77] - node _T_3759 = and(_T_3758, obuf_valid) @[lsu_bus_buffer.scala 454:135] - node _T_3760 = and(_T_3759, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] - buf_cmd_state_bus_en[1] <= _T_3760 @[lsu_bus_buffer.scala 454:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 455:29] - node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] - node _T_3762 = or(_T_3761, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] - buf_state_en[1] <= _T_3762 @[lsu_bus_buffer.scala 456:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] - node _T_3763 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 458:56] - node _T_3764 = eq(_T_3763, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] - node _T_3765 = and(buf_state_en[1], _T_3764) @[lsu_bus_buffer.scala 458:44] - node _T_3766 = and(_T_3765, obuf_nosend) @[lsu_bus_buffer.scala 458:60] - node _T_3767 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] - node _T_3768 = and(_T_3766, _T_3767) @[lsu_bus_buffer.scala 458:74] - buf_ldfwd_en[1] <= _T_3768 @[lsu_bus_buffer.scala 458:25] - node _T_3769 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] - buf_ldfwdtag_in[1] <= _T_3769 @[lsu_bus_buffer.scala 459:28] - node _T_3770 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] - node _T_3771 = and(_T_3770, obuf_nosend) @[lsu_bus_buffer.scala 460:67] - node _T_3772 = and(_T_3771, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] - buf_data_en[1] <= _T_3772 @[lsu_bus_buffer.scala 460:24] - node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] - node _T_3774 = and(_T_3773, obuf_nosend) @[lsu_bus_buffer.scala 461:68] - node _T_3775 = and(_T_3774, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] - buf_error_en[1] <= _T_3775 @[lsu_bus_buffer.scala 461:25] - node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] - node _T_3777 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 462:85] - node _T_3778 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] - node _T_3779 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] - node _T_3780 = mux(_T_3777, _T_3778, _T_3779) @[lsu_bus_buffer.scala 462:73] - node _T_3781 = mux(buf_error_en[1], _T_3776, _T_3780) @[lsu_bus_buffer.scala 462:30] - buf_data_in[1] <= _T_3781 @[lsu_bus_buffer.scala 462:24] + node _T_3749 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] + node _T_3750 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] + node _T_3751 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] + node _T_3752 = and(_T_3750, _T_3751) @[lsu_bus_buffer.scala 454:104] + node _T_3753 = mux(_T_3752, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] + node _T_3754 = mux(_T_3749, UInt<3>("h00"), _T_3753) @[lsu_bus_buffer.scala 454:31] + buf_nxtstate[1] <= _T_3754 @[lsu_bus_buffer.scala 454:25] + node _T_3755 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 455:48] + node _T_3756 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 455:104] + node _T_3757 = and(obuf_merge, _T_3756) @[lsu_bus_buffer.scala 455:91] + node _T_3758 = or(_T_3755, _T_3757) @[lsu_bus_buffer.scala 455:77] + node _T_3759 = and(_T_3758, obuf_valid) @[lsu_bus_buffer.scala 455:135] + node _T_3760 = and(_T_3759, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] + buf_cmd_state_bus_en[1] <= _T_3760 @[lsu_bus_buffer.scala 455:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 456:29] + node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] + node _T_3762 = or(_T_3761, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] + buf_state_en[1] <= _T_3762 @[lsu_bus_buffer.scala 457:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] + node _T_3763 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 459:56] + node _T_3764 = eq(_T_3763, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] + node _T_3765 = and(buf_state_en[1], _T_3764) @[lsu_bus_buffer.scala 459:44] + node _T_3766 = and(_T_3765, obuf_nosend) @[lsu_bus_buffer.scala 459:60] + node _T_3767 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] + node _T_3768 = and(_T_3766, _T_3767) @[lsu_bus_buffer.scala 459:74] + buf_ldfwd_en[1] <= _T_3768 @[lsu_bus_buffer.scala 459:25] + node _T_3769 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] + buf_ldfwdtag_in[1] <= _T_3769 @[lsu_bus_buffer.scala 460:28] + node _T_3770 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] + node _T_3771 = and(_T_3770, obuf_nosend) @[lsu_bus_buffer.scala 461:67] + node _T_3772 = and(_T_3771, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] + buf_data_en[1] <= _T_3772 @[lsu_bus_buffer.scala 461:24] + node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] + node _T_3774 = and(_T_3773, obuf_nosend) @[lsu_bus_buffer.scala 462:68] + node _T_3775 = and(_T_3774, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] + buf_error_en[1] <= _T_3775 @[lsu_bus_buffer.scala 462:25] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] + node _T_3777 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 463:85] + node _T_3778 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] + node _T_3779 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] + node _T_3780 = mux(_T_3777, _T_3778, _T_3779) @[lsu_bus_buffer.scala 463:73] + node _T_3781 = mux(buf_error_en[1], _T_3776, _T_3780) @[lsu_bus_buffer.scala 463:30] + buf_data_in[1] <= _T_3781 @[lsu_bus_buffer.scala 463:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3782 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3782 : @[Conditional.scala 39:67] - node _T_3783 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 465:67] - node _T_3784 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] - node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] - node _T_3786 = and(_T_3783, _T_3785) @[lsu_bus_buffer.scala 465:71] - node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 465:55] - node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 465:125] - node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] - node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 466:28] - node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 466:57] - node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] - node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 466:45] - node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] - node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 466:61] - node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 467:27] - node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] - node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] - node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 467:68] - node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:97] - node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] - node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 467:85] + node _T_3783 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 466:67] + node _T_3784 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] + node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] + node _T_3786 = and(_T_3783, _T_3785) @[lsu_bus_buffer.scala 466:71] + node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 466:55] + node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 466:125] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 467:28] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:57] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 467:45] + node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] + node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 467:61] + node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 468:27] + node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] + node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] + node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 468:68] + node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 468:97] + node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] + node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 468:85] node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -99499,265 +99499,265 @@ circuit quasar_wrapper : node _T_3817 = or(_T_3816, _T_3814) @[Mux.scala 27:72] wire _T_3818 : UInt<1> @[Mux.scala 27:72] _T_3818 <= _T_3817 @[Mux.scala 27:72] - node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 467:101] - node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] - node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 467:138] - node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] - node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 467:53] - node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] - node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 466:14] - node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 465:31] - buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 465:25] - node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 468:73] - node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 468:52] - node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 469:46] - node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 470:23] - node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 470:47] - node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 470:27] - node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 469:77] - node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 471:26] - node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 471:54] - node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 471:44] - node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 471:42] - node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 471:58] - node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 471:94] - node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 471:74] - node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 470:71] - node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 469:25] - node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 468:105] - buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 468:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 472:29] - node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] - node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] - buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 473:25] - node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] - node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] - buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 474:24] - node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] - node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 475:111] - node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 475:91] - node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 476:42] - node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 476:31] - node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 476:66] - node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 476:46] - node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 475:143] - node _T_3856 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 477:32] - node _T_3857 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 477:74] - node _T_3858 = and(_T_3856, _T_3857) @[lsu_bus_buffer.scala 477:53] - node _T_3859 = or(_T_3855, _T_3858) @[lsu_bus_buffer.scala 476:88] - node _T_3860 = and(_T_3848, _T_3859) @[lsu_bus_buffer.scala 475:68] - buf_error_en[1] <= _T_3860 @[lsu_bus_buffer.scala 475:25] - node _T_3861 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] - node _T_3862 = and(buf_state_en[1], _T_3861) @[lsu_bus_buffer.scala 478:48] - node _T_3863 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 478:84] - node _T_3864 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] - node _T_3865 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] - node _T_3866 = mux(_T_3863, _T_3864, _T_3865) @[lsu_bus_buffer.scala 478:72] - node _T_3867 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] - node _T_3868 = mux(_T_3862, _T_3866, _T_3867) @[lsu_bus_buffer.scala 478:30] - buf_data_in[1] <= _T_3868 @[lsu_bus_buffer.scala 478:24] + node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 468:101] + node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] + node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 468:138] + node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] + node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 468:53] + node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] + node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 467:14] + node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 466:31] + buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 466:25] + node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 469:73] + node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 469:52] + node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 470:46] + node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 471:23] + node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 471:47] + node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 471:27] + node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 470:77] + node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 472:26] + node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:54] + node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 472:44] + node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 472:42] + node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 472:58] + node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 472:94] + node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 472:74] + node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 471:71] + node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 470:25] + node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 469:105] + buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 469:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 473:29] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] + node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] + buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 474:25] + node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] + node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] + buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 475:24] + node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] + node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 476:111] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 476:91] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 477:42] + node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 477:31] + node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 477:66] + node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 477:46] + node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 476:143] + node _T_3856 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] + node _T_3857 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 478:74] + node _T_3858 = and(_T_3856, _T_3857) @[lsu_bus_buffer.scala 478:53] + node _T_3859 = or(_T_3855, _T_3858) @[lsu_bus_buffer.scala 477:88] + node _T_3860 = and(_T_3848, _T_3859) @[lsu_bus_buffer.scala 476:68] + buf_error_en[1] <= _T_3860 @[lsu_bus_buffer.scala 476:25] + node _T_3861 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] + node _T_3862 = and(buf_state_en[1], _T_3861) @[lsu_bus_buffer.scala 479:48] + node _T_3863 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 479:84] + node _T_3864 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] + node _T_3865 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] + node _T_3866 = mux(_T_3863, _T_3864, _T_3865) @[lsu_bus_buffer.scala 479:72] + node _T_3867 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] + node _T_3868 = mux(_T_3862, _T_3866, _T_3867) @[lsu_bus_buffer.scala 479:30] + buf_data_in[1] <= _T_3868 @[lsu_bus_buffer.scala 479:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3869 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3869 : @[Conditional.scala 39:67] - node _T_3870 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] - node _T_3871 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 481:86] - node _T_3872 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 481:101] - node _T_3873 = bits(_T_3872, 0, 0) @[lsu_bus_buffer.scala 481:101] - node _T_3874 = or(_T_3871, _T_3873) @[lsu_bus_buffer.scala 481:90] - node _T_3875 = or(_T_3874, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] - node _T_3876 = mux(_T_3875, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] - node _T_3877 = mux(_T_3870, UInt<3>("h00"), _T_3876) @[lsu_bus_buffer.scala 481:31] - buf_nxtstate[1] <= _T_3877 @[lsu_bus_buffer.scala 481:25] - node _T_3878 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 482:66] - node _T_3879 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 483:21] - node _T_3880 = bits(_T_3879, 0, 0) @[lsu_bus_buffer.scala 483:21] - node _T_3881 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 483:58] - node _T_3882 = and(_T_3880, _T_3881) @[lsu_bus_buffer.scala 483:38] - node _T_3883 = or(_T_3878, _T_3882) @[lsu_bus_buffer.scala 482:95] - node _T_3884 = and(bus_rsp_read, _T_3883) @[lsu_bus_buffer.scala 482:45] - buf_state_bus_en[1] <= _T_3884 @[lsu_bus_buffer.scala 482:29] - node _T_3885 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] - node _T_3886 = or(_T_3885, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] - buf_state_en[1] <= _T_3886 @[lsu_bus_buffer.scala 484:25] + node _T_3870 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] + node _T_3871 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 482:86] + node _T_3872 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 482:101] + node _T_3873 = bits(_T_3872, 0, 0) @[lsu_bus_buffer.scala 482:101] + node _T_3874 = or(_T_3871, _T_3873) @[lsu_bus_buffer.scala 482:90] + node _T_3875 = or(_T_3874, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] + node _T_3876 = mux(_T_3875, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] + node _T_3877 = mux(_T_3870, UInt<3>("h00"), _T_3876) @[lsu_bus_buffer.scala 482:31] + buf_nxtstate[1] <= _T_3877 @[lsu_bus_buffer.scala 482:25] + node _T_3878 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 483:66] + node _T_3879 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 484:21] + node _T_3880 = bits(_T_3879, 0, 0) @[lsu_bus_buffer.scala 484:21] + node _T_3881 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 484:58] + node _T_3882 = and(_T_3880, _T_3881) @[lsu_bus_buffer.scala 484:38] + node _T_3883 = or(_T_3878, _T_3882) @[lsu_bus_buffer.scala 483:95] + node _T_3884 = and(bus_rsp_read, _T_3883) @[lsu_bus_buffer.scala 483:45] + buf_state_bus_en[1] <= _T_3884 @[lsu_bus_buffer.scala 483:29] + node _T_3885 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] + node _T_3886 = or(_T_3885, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] + buf_state_en[1] <= _T_3886 @[lsu_bus_buffer.scala 485:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3887 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3887 : @[Conditional.scala 39:67] - node _T_3888 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] - node _T_3889 = mux(_T_3888, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] - buf_nxtstate[1] <= _T_3889 @[lsu_bus_buffer.scala 487:25] - node _T_3890 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 488:37] - node _T_3891 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 488:98] - node _T_3892 = and(buf_dual[1], _T_3891) @[lsu_bus_buffer.scala 488:80] - node _T_3893 = or(_T_3890, _T_3892) @[lsu_bus_buffer.scala 488:65] - node _T_3894 = or(_T_3893, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] - buf_state_en[1] <= _T_3894 @[lsu_bus_buffer.scala 488:25] + node _T_3888 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_3889 = mux(_T_3888, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[1] <= _T_3889 @[lsu_bus_buffer.scala 488:25] + node _T_3890 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 489:37] + node _T_3891 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 489:98] + node _T_3892 = and(buf_dual[1], _T_3891) @[lsu_bus_buffer.scala 489:80] + node _T_3893 = or(_T_3890, _T_3892) @[lsu_bus_buffer.scala 489:65] + node _T_3894 = or(_T_3893, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] + buf_state_en[1] <= _T_3894 @[lsu_bus_buffer.scala 489:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3895 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3895 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] - buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] - buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 495:25] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 496:25] skip @[Conditional.scala 39:67] - node _T_3896 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 498:108] + node _T_3896 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 499:108] reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3896 : @[Reg.scala 28:19] _T_3897 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3897 @[lsu_bus_buffer.scala 498:18] - reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] - _T_3898 <= buf_age_in_1 @[lsu_bus_buffer.scala 499:60] - buf_ageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 499:17] - reg _T_3899 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] - _T_3899 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 500:63] - buf_rspageQ[1] <= _T_3899 @[lsu_bus_buffer.scala 500:20] - node _T_3900 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 501:109] + buf_state[1] <= _T_3897 @[lsu_bus_buffer.scala 499:18] + reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] + _T_3898 <= buf_age_in_1 @[lsu_bus_buffer.scala 500:60] + buf_ageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 500:17] + reg _T_3899 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] + _T_3899 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 501:63] + buf_rspageQ[1] <= _T_3899 @[lsu_bus_buffer.scala 501:20] + node _T_3900 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 502:109] reg _T_3901 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3900 : @[Reg.scala 28:19] _T_3901 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3901 @[lsu_bus_buffer.scala 501:20] - node _T_3902 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 502:74] - node _T_3903 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 502:107] + buf_dualtag[1] <= _T_3901 @[lsu_bus_buffer.scala 502:20] + node _T_3902 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 503:74] + node _T_3903 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 503:107] reg _T_3904 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3903 : @[Reg.scala 28:19] _T_3904 <= _T_3902 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3904 @[lsu_bus_buffer.scala 502:17] - node _T_3905 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 503:78] - node _T_3906 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 503:111] + buf_dual[1] <= _T_3904 @[lsu_bus_buffer.scala 503:17] + node _T_3905 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 504:78] + node _T_3906 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 504:111] reg _T_3907 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3906 : @[Reg.scala 28:19] _T_3907 <= _T_3905 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3907 @[lsu_bus_buffer.scala 503:19] - node _T_3908 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 504:80] - node _T_3909 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 504:113] + buf_samedw[1] <= _T_3907 @[lsu_bus_buffer.scala 504:19] + node _T_3908 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 505:80] + node _T_3909 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 505:113] reg _T_3910 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3909 : @[Reg.scala 28:19] _T_3910 <= _T_3908 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3910 @[lsu_bus_buffer.scala 504:20] - node _T_3911 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 505:78] - node _T_3912 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 505:111] + buf_nomerge[1] <= _T_3910 @[lsu_bus_buffer.scala 505:20] + node _T_3911 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 506:78] + node _T_3912 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 506:111] reg _T_3913 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3912 : @[Reg.scala 28:19] _T_3913 <= _T_3911 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3913 @[lsu_bus_buffer.scala 505:19] + buf_dualhi[1] <= _T_3913 @[lsu_bus_buffer.scala 506:19] node _T_3914 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3914 : @[Conditional.scala 40:58] - node _T_3915 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] - node _T_3916 = mux(_T_3915, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] - buf_nxtstate[2] <= _T_3916 @[lsu_bus_buffer.scala 442:25] - node _T_3917 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] - node _T_3918 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] - node _T_3919 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] - node _T_3920 = and(_T_3918, _T_3919) @[lsu_bus_buffer.scala 443:95] - node _T_3921 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] - node _T_3922 = and(_T_3920, _T_3921) @[lsu_bus_buffer.scala 443:112] - node _T_3923 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] - node _T_3924 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] - node _T_3925 = and(_T_3923, _T_3924) @[lsu_bus_buffer.scala 443:161] - node _T_3926 = or(_T_3922, _T_3925) @[lsu_bus_buffer.scala 443:132] - node _T_3927 = and(_T_3917, _T_3926) @[lsu_bus_buffer.scala 443:63] - node _T_3928 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] - node _T_3929 = and(ibuf_drain_vld, _T_3928) @[lsu_bus_buffer.scala 443:201] - node _T_3930 = or(_T_3927, _T_3929) @[lsu_bus_buffer.scala 443:183] - buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 443:25] - buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 444:22] - buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 445:24] - node _T_3931 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] - node _T_3932 = and(ibuf_drain_vld, _T_3931) @[lsu_bus_buffer.scala 446:47] - node _T_3933 = bits(_T_3932, 0, 0) @[lsu_bus_buffer.scala 446:73] - node _T_3934 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] - node _T_3935 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] - node _T_3936 = mux(_T_3933, _T_3934, _T_3935) @[lsu_bus_buffer.scala 446:30] - buf_data_in[2] <= _T_3936 @[lsu_bus_buffer.scala 446:24] + node _T_3915 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] + node _T_3916 = mux(_T_3915, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] + buf_nxtstate[2] <= _T_3916 @[lsu_bus_buffer.scala 443:25] + node _T_3917 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] + node _T_3918 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] + node _T_3919 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] + node _T_3920 = and(_T_3918, _T_3919) @[lsu_bus_buffer.scala 444:95] + node _T_3921 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] + node _T_3922 = and(_T_3920, _T_3921) @[lsu_bus_buffer.scala 444:112] + node _T_3923 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] + node _T_3924 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] + node _T_3925 = and(_T_3923, _T_3924) @[lsu_bus_buffer.scala 444:161] + node _T_3926 = or(_T_3922, _T_3925) @[lsu_bus_buffer.scala 444:132] + node _T_3927 = and(_T_3917, _T_3926) @[lsu_bus_buffer.scala 444:63] + node _T_3928 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] + node _T_3929 = and(ibuf_drain_vld, _T_3928) @[lsu_bus_buffer.scala 444:201] + node _T_3930 = or(_T_3927, _T_3929) @[lsu_bus_buffer.scala 444:183] + buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 444:25] + buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 445:22] + buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 446:24] + node _T_3931 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] + node _T_3932 = and(ibuf_drain_vld, _T_3931) @[lsu_bus_buffer.scala 447:47] + node _T_3933 = bits(_T_3932, 0, 0) @[lsu_bus_buffer.scala 447:73] + node _T_3934 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] + node _T_3935 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] + node _T_3936 = mux(_T_3933, _T_3934, _T_3935) @[lsu_bus_buffer.scala 447:30] + buf_data_in[2] <= _T_3936 @[lsu_bus_buffer.scala 447:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3937 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3937 : @[Conditional.scala 39:67] - node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] - node _T_3939 = mux(_T_3938, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] - buf_nxtstate[2] <= _T_3939 @[lsu_bus_buffer.scala 449:25] - node _T_3940 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] - buf_state_en[2] <= _T_3940 @[lsu_bus_buffer.scala 450:25] + node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_3939 = mux(_T_3938, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[2] <= _T_3939 @[lsu_bus_buffer.scala 450:25] + node _T_3940 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[2] <= _T_3940 @[lsu_bus_buffer.scala 451:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3941 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3941 : @[Conditional.scala 39:67] - node _T_3942 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] - node _T_3943 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] - node _T_3944 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] - node _T_3945 = and(_T_3943, _T_3944) @[lsu_bus_buffer.scala 453:104] - node _T_3946 = mux(_T_3945, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] - node _T_3947 = mux(_T_3942, UInt<3>("h00"), _T_3946) @[lsu_bus_buffer.scala 453:31] - buf_nxtstate[2] <= _T_3947 @[lsu_bus_buffer.scala 453:25] - node _T_3948 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 454:48] - node _T_3949 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 454:104] - node _T_3950 = and(obuf_merge, _T_3949) @[lsu_bus_buffer.scala 454:91] - node _T_3951 = or(_T_3948, _T_3950) @[lsu_bus_buffer.scala 454:77] - node _T_3952 = and(_T_3951, obuf_valid) @[lsu_bus_buffer.scala 454:135] - node _T_3953 = and(_T_3952, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] - buf_cmd_state_bus_en[2] <= _T_3953 @[lsu_bus_buffer.scala 454:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 455:29] - node _T_3954 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] - node _T_3955 = or(_T_3954, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] - buf_state_en[2] <= _T_3955 @[lsu_bus_buffer.scala 456:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] - node _T_3956 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 458:56] - node _T_3957 = eq(_T_3956, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] - node _T_3958 = and(buf_state_en[2], _T_3957) @[lsu_bus_buffer.scala 458:44] - node _T_3959 = and(_T_3958, obuf_nosend) @[lsu_bus_buffer.scala 458:60] - node _T_3960 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] - node _T_3961 = and(_T_3959, _T_3960) @[lsu_bus_buffer.scala 458:74] - buf_ldfwd_en[2] <= _T_3961 @[lsu_bus_buffer.scala 458:25] - node _T_3962 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] - buf_ldfwdtag_in[2] <= _T_3962 @[lsu_bus_buffer.scala 459:28] - node _T_3963 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] - node _T_3964 = and(_T_3963, obuf_nosend) @[lsu_bus_buffer.scala 460:67] - node _T_3965 = and(_T_3964, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] - buf_data_en[2] <= _T_3965 @[lsu_bus_buffer.scala 460:24] - node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] - node _T_3967 = and(_T_3966, obuf_nosend) @[lsu_bus_buffer.scala 461:68] - node _T_3968 = and(_T_3967, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] - buf_error_en[2] <= _T_3968 @[lsu_bus_buffer.scala 461:25] - node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] - node _T_3970 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 462:85] - node _T_3971 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] - node _T_3972 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] - node _T_3973 = mux(_T_3970, _T_3971, _T_3972) @[lsu_bus_buffer.scala 462:73] - node _T_3974 = mux(buf_error_en[2], _T_3969, _T_3973) @[lsu_bus_buffer.scala 462:30] - buf_data_in[2] <= _T_3974 @[lsu_bus_buffer.scala 462:24] + node _T_3942 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] + node _T_3943 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] + node _T_3944 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] + node _T_3945 = and(_T_3943, _T_3944) @[lsu_bus_buffer.scala 454:104] + node _T_3946 = mux(_T_3945, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] + node _T_3947 = mux(_T_3942, UInt<3>("h00"), _T_3946) @[lsu_bus_buffer.scala 454:31] + buf_nxtstate[2] <= _T_3947 @[lsu_bus_buffer.scala 454:25] + node _T_3948 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 455:48] + node _T_3949 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 455:104] + node _T_3950 = and(obuf_merge, _T_3949) @[lsu_bus_buffer.scala 455:91] + node _T_3951 = or(_T_3948, _T_3950) @[lsu_bus_buffer.scala 455:77] + node _T_3952 = and(_T_3951, obuf_valid) @[lsu_bus_buffer.scala 455:135] + node _T_3953 = and(_T_3952, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] + buf_cmd_state_bus_en[2] <= _T_3953 @[lsu_bus_buffer.scala 455:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 456:29] + node _T_3954 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] + node _T_3955 = or(_T_3954, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] + buf_state_en[2] <= _T_3955 @[lsu_bus_buffer.scala 457:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] + node _T_3956 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 459:56] + node _T_3957 = eq(_T_3956, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] + node _T_3958 = and(buf_state_en[2], _T_3957) @[lsu_bus_buffer.scala 459:44] + node _T_3959 = and(_T_3958, obuf_nosend) @[lsu_bus_buffer.scala 459:60] + node _T_3960 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] + node _T_3961 = and(_T_3959, _T_3960) @[lsu_bus_buffer.scala 459:74] + buf_ldfwd_en[2] <= _T_3961 @[lsu_bus_buffer.scala 459:25] + node _T_3962 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] + buf_ldfwdtag_in[2] <= _T_3962 @[lsu_bus_buffer.scala 460:28] + node _T_3963 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] + node _T_3964 = and(_T_3963, obuf_nosend) @[lsu_bus_buffer.scala 461:67] + node _T_3965 = and(_T_3964, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] + buf_data_en[2] <= _T_3965 @[lsu_bus_buffer.scala 461:24] + node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] + node _T_3967 = and(_T_3966, obuf_nosend) @[lsu_bus_buffer.scala 462:68] + node _T_3968 = and(_T_3967, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] + buf_error_en[2] <= _T_3968 @[lsu_bus_buffer.scala 462:25] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] + node _T_3970 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 463:85] + node _T_3971 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] + node _T_3972 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] + node _T_3973 = mux(_T_3970, _T_3971, _T_3972) @[lsu_bus_buffer.scala 463:73] + node _T_3974 = mux(buf_error_en[2], _T_3969, _T_3973) @[lsu_bus_buffer.scala 463:30] + buf_data_in[2] <= _T_3974 @[lsu_bus_buffer.scala 463:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3975 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3975 : @[Conditional.scala 39:67] - node _T_3976 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 465:67] - node _T_3977 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] - node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] - node _T_3979 = and(_T_3976, _T_3978) @[lsu_bus_buffer.scala 465:71] - node _T_3980 = or(io.dec_tlu_force_halt, _T_3979) @[lsu_bus_buffer.scala 465:55] - node _T_3981 = bits(_T_3980, 0, 0) @[lsu_bus_buffer.scala 465:125] - node _T_3982 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] - node _T_3983 = and(buf_dual[2], _T_3982) @[lsu_bus_buffer.scala 466:28] - node _T_3984 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 466:57] - node _T_3985 = eq(_T_3984, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] - node _T_3986 = and(_T_3983, _T_3985) @[lsu_bus_buffer.scala 466:45] - node _T_3987 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] - node _T_3988 = and(_T_3986, _T_3987) @[lsu_bus_buffer.scala 466:61] - node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 467:27] - node _T_3990 = or(_T_3989, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] - node _T_3991 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] - node _T_3992 = and(buf_dual[2], _T_3991) @[lsu_bus_buffer.scala 467:68] - node _T_3993 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:97] - node _T_3994 = eq(_T_3993, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] - node _T_3995 = and(_T_3992, _T_3994) @[lsu_bus_buffer.scala 467:85] + node _T_3976 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 466:67] + node _T_3977 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] + node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] + node _T_3979 = and(_T_3976, _T_3978) @[lsu_bus_buffer.scala 466:71] + node _T_3980 = or(io.dec_tlu_force_halt, _T_3979) @[lsu_bus_buffer.scala 466:55] + node _T_3981 = bits(_T_3980, 0, 0) @[lsu_bus_buffer.scala 466:125] + node _T_3982 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] + node _T_3983 = and(buf_dual[2], _T_3982) @[lsu_bus_buffer.scala 467:28] + node _T_3984 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:57] + node _T_3985 = eq(_T_3984, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] + node _T_3986 = and(_T_3983, _T_3985) @[lsu_bus_buffer.scala 467:45] + node _T_3987 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] + node _T_3988 = and(_T_3986, _T_3987) @[lsu_bus_buffer.scala 467:61] + node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 468:27] + node _T_3990 = or(_T_3989, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] + node _T_3991 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] + node _T_3992 = and(buf_dual[2], _T_3991) @[lsu_bus_buffer.scala 468:68] + node _T_3993 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 468:97] + node _T_3994 = eq(_T_3993, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] + node _T_3995 = and(_T_3992, _T_3994) @[lsu_bus_buffer.scala 468:85] node _T_3996 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_3997 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_3998 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -99775,265 +99775,265 @@ circuit quasar_wrapper : node _T_4010 = or(_T_4009, _T_4007) @[Mux.scala 27:72] wire _T_4011 : UInt<1> @[Mux.scala 27:72] _T_4011 <= _T_4010 @[Mux.scala 27:72] - node _T_4012 = and(_T_3995, _T_4011) @[lsu_bus_buffer.scala 467:101] - node _T_4013 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] - node _T_4014 = and(_T_4012, _T_4013) @[lsu_bus_buffer.scala 467:138] - node _T_4015 = and(_T_4014, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] - node _T_4016 = or(_T_3990, _T_4015) @[lsu_bus_buffer.scala 467:53] - node _T_4017 = mux(_T_4016, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] - node _T_4018 = mux(_T_3988, UInt<3>("h04"), _T_4017) @[lsu_bus_buffer.scala 466:14] - node _T_4019 = mux(_T_3981, UInt<3>("h00"), _T_4018) @[lsu_bus_buffer.scala 465:31] - buf_nxtstate[2] <= _T_4019 @[lsu_bus_buffer.scala 465:25] - node _T_4020 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 468:73] - node _T_4021 = and(bus_rsp_write, _T_4020) @[lsu_bus_buffer.scala 468:52] - node _T_4022 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 469:46] - node _T_4023 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 470:23] - node _T_4024 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 470:47] - node _T_4025 = and(_T_4023, _T_4024) @[lsu_bus_buffer.scala 470:27] - node _T_4026 = or(_T_4022, _T_4025) @[lsu_bus_buffer.scala 469:77] - node _T_4027 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 471:26] - node _T_4028 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 471:54] - node _T_4029 = not(_T_4028) @[lsu_bus_buffer.scala 471:44] - node _T_4030 = and(_T_4027, _T_4029) @[lsu_bus_buffer.scala 471:42] - node _T_4031 = and(_T_4030, buf_samedw[2]) @[lsu_bus_buffer.scala 471:58] - node _T_4032 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 471:94] - node _T_4033 = and(_T_4031, _T_4032) @[lsu_bus_buffer.scala 471:74] - node _T_4034 = or(_T_4026, _T_4033) @[lsu_bus_buffer.scala 470:71] - node _T_4035 = and(bus_rsp_read, _T_4034) @[lsu_bus_buffer.scala 469:25] - node _T_4036 = or(_T_4021, _T_4035) @[lsu_bus_buffer.scala 468:105] - buf_resp_state_bus_en[2] <= _T_4036 @[lsu_bus_buffer.scala 468:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 472:29] - node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] - node _T_4038 = or(_T_4037, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] - buf_state_en[2] <= _T_4038 @[lsu_bus_buffer.scala 473:25] - node _T_4039 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] - node _T_4040 = and(_T_4039, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] - buf_data_en[2] <= _T_4040 @[lsu_bus_buffer.scala 474:24] - node _T_4041 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] - node _T_4042 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 475:111] - node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 475:91] - node _T_4044 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 476:42] - node _T_4045 = and(bus_rsp_read_error, _T_4044) @[lsu_bus_buffer.scala 476:31] - node _T_4046 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 476:66] - node _T_4047 = and(_T_4045, _T_4046) @[lsu_bus_buffer.scala 476:46] - node _T_4048 = or(_T_4043, _T_4047) @[lsu_bus_buffer.scala 475:143] - node _T_4049 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 477:32] - node _T_4050 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 477:74] - node _T_4051 = and(_T_4049, _T_4050) @[lsu_bus_buffer.scala 477:53] - node _T_4052 = or(_T_4048, _T_4051) @[lsu_bus_buffer.scala 476:88] - node _T_4053 = and(_T_4041, _T_4052) @[lsu_bus_buffer.scala 475:68] - buf_error_en[2] <= _T_4053 @[lsu_bus_buffer.scala 475:25] - node _T_4054 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] - node _T_4055 = and(buf_state_en[2], _T_4054) @[lsu_bus_buffer.scala 478:48] - node _T_4056 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 478:84] - node _T_4057 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] - node _T_4058 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] - node _T_4059 = mux(_T_4056, _T_4057, _T_4058) @[lsu_bus_buffer.scala 478:72] - node _T_4060 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] - node _T_4061 = mux(_T_4055, _T_4059, _T_4060) @[lsu_bus_buffer.scala 478:30] - buf_data_in[2] <= _T_4061 @[lsu_bus_buffer.scala 478:24] + node _T_4012 = and(_T_3995, _T_4011) @[lsu_bus_buffer.scala 468:101] + node _T_4013 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] + node _T_4014 = and(_T_4012, _T_4013) @[lsu_bus_buffer.scala 468:138] + node _T_4015 = and(_T_4014, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] + node _T_4016 = or(_T_3990, _T_4015) @[lsu_bus_buffer.scala 468:53] + node _T_4017 = mux(_T_4016, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] + node _T_4018 = mux(_T_3988, UInt<3>("h04"), _T_4017) @[lsu_bus_buffer.scala 467:14] + node _T_4019 = mux(_T_3981, UInt<3>("h00"), _T_4018) @[lsu_bus_buffer.scala 466:31] + buf_nxtstate[2] <= _T_4019 @[lsu_bus_buffer.scala 466:25] + node _T_4020 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 469:73] + node _T_4021 = and(bus_rsp_write, _T_4020) @[lsu_bus_buffer.scala 469:52] + node _T_4022 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 470:46] + node _T_4023 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 471:23] + node _T_4024 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 471:47] + node _T_4025 = and(_T_4023, _T_4024) @[lsu_bus_buffer.scala 471:27] + node _T_4026 = or(_T_4022, _T_4025) @[lsu_bus_buffer.scala 470:77] + node _T_4027 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 472:26] + node _T_4028 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:54] + node _T_4029 = not(_T_4028) @[lsu_bus_buffer.scala 472:44] + node _T_4030 = and(_T_4027, _T_4029) @[lsu_bus_buffer.scala 472:42] + node _T_4031 = and(_T_4030, buf_samedw[2]) @[lsu_bus_buffer.scala 472:58] + node _T_4032 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 472:94] + node _T_4033 = and(_T_4031, _T_4032) @[lsu_bus_buffer.scala 472:74] + node _T_4034 = or(_T_4026, _T_4033) @[lsu_bus_buffer.scala 471:71] + node _T_4035 = and(bus_rsp_read, _T_4034) @[lsu_bus_buffer.scala 470:25] + node _T_4036 = or(_T_4021, _T_4035) @[lsu_bus_buffer.scala 469:105] + buf_resp_state_bus_en[2] <= _T_4036 @[lsu_bus_buffer.scala 469:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 473:29] + node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] + node _T_4038 = or(_T_4037, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] + buf_state_en[2] <= _T_4038 @[lsu_bus_buffer.scala 474:25] + node _T_4039 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] + node _T_4040 = and(_T_4039, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] + buf_data_en[2] <= _T_4040 @[lsu_bus_buffer.scala 475:24] + node _T_4041 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] + node _T_4042 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 476:111] + node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 476:91] + node _T_4044 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 477:42] + node _T_4045 = and(bus_rsp_read_error, _T_4044) @[lsu_bus_buffer.scala 477:31] + node _T_4046 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 477:66] + node _T_4047 = and(_T_4045, _T_4046) @[lsu_bus_buffer.scala 477:46] + node _T_4048 = or(_T_4043, _T_4047) @[lsu_bus_buffer.scala 476:143] + node _T_4049 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] + node _T_4050 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 478:74] + node _T_4051 = and(_T_4049, _T_4050) @[lsu_bus_buffer.scala 478:53] + node _T_4052 = or(_T_4048, _T_4051) @[lsu_bus_buffer.scala 477:88] + node _T_4053 = and(_T_4041, _T_4052) @[lsu_bus_buffer.scala 476:68] + buf_error_en[2] <= _T_4053 @[lsu_bus_buffer.scala 476:25] + node _T_4054 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] + node _T_4055 = and(buf_state_en[2], _T_4054) @[lsu_bus_buffer.scala 479:48] + node _T_4056 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 479:84] + node _T_4057 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] + node _T_4058 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] + node _T_4059 = mux(_T_4056, _T_4057, _T_4058) @[lsu_bus_buffer.scala 479:72] + node _T_4060 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] + node _T_4061 = mux(_T_4055, _T_4059, _T_4060) @[lsu_bus_buffer.scala 479:30] + buf_data_in[2] <= _T_4061 @[lsu_bus_buffer.scala 479:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4062 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_4062 : @[Conditional.scala 39:67] - node _T_4063 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] - node _T_4064 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 481:86] - node _T_4065 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 481:101] - node _T_4066 = bits(_T_4065, 0, 0) @[lsu_bus_buffer.scala 481:101] - node _T_4067 = or(_T_4064, _T_4066) @[lsu_bus_buffer.scala 481:90] - node _T_4068 = or(_T_4067, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] - node _T_4069 = mux(_T_4068, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] - node _T_4070 = mux(_T_4063, UInt<3>("h00"), _T_4069) @[lsu_bus_buffer.scala 481:31] - buf_nxtstate[2] <= _T_4070 @[lsu_bus_buffer.scala 481:25] - node _T_4071 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 482:66] - node _T_4072 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 483:21] - node _T_4073 = bits(_T_4072, 0, 0) @[lsu_bus_buffer.scala 483:21] - node _T_4074 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 483:58] - node _T_4075 = and(_T_4073, _T_4074) @[lsu_bus_buffer.scala 483:38] - node _T_4076 = or(_T_4071, _T_4075) @[lsu_bus_buffer.scala 482:95] - node _T_4077 = and(bus_rsp_read, _T_4076) @[lsu_bus_buffer.scala 482:45] - buf_state_bus_en[2] <= _T_4077 @[lsu_bus_buffer.scala 482:29] - node _T_4078 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] - node _T_4079 = or(_T_4078, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] - buf_state_en[2] <= _T_4079 @[lsu_bus_buffer.scala 484:25] + node _T_4063 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] + node _T_4064 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 482:86] + node _T_4065 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 482:101] + node _T_4066 = bits(_T_4065, 0, 0) @[lsu_bus_buffer.scala 482:101] + node _T_4067 = or(_T_4064, _T_4066) @[lsu_bus_buffer.scala 482:90] + node _T_4068 = or(_T_4067, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] + node _T_4069 = mux(_T_4068, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] + node _T_4070 = mux(_T_4063, UInt<3>("h00"), _T_4069) @[lsu_bus_buffer.scala 482:31] + buf_nxtstate[2] <= _T_4070 @[lsu_bus_buffer.scala 482:25] + node _T_4071 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 483:66] + node _T_4072 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 484:21] + node _T_4073 = bits(_T_4072, 0, 0) @[lsu_bus_buffer.scala 484:21] + node _T_4074 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 484:58] + node _T_4075 = and(_T_4073, _T_4074) @[lsu_bus_buffer.scala 484:38] + node _T_4076 = or(_T_4071, _T_4075) @[lsu_bus_buffer.scala 483:95] + node _T_4077 = and(bus_rsp_read, _T_4076) @[lsu_bus_buffer.scala 483:45] + buf_state_bus_en[2] <= _T_4077 @[lsu_bus_buffer.scala 483:29] + node _T_4078 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] + node _T_4079 = or(_T_4078, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] + buf_state_en[2] <= _T_4079 @[lsu_bus_buffer.scala 485:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4080 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_4080 : @[Conditional.scala 39:67] - node _T_4081 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] - node _T_4082 = mux(_T_4081, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] - buf_nxtstate[2] <= _T_4082 @[lsu_bus_buffer.scala 487:25] - node _T_4083 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 488:37] - node _T_4084 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 488:98] - node _T_4085 = and(buf_dual[2], _T_4084) @[lsu_bus_buffer.scala 488:80] - node _T_4086 = or(_T_4083, _T_4085) @[lsu_bus_buffer.scala 488:65] - node _T_4087 = or(_T_4086, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] - buf_state_en[2] <= _T_4087 @[lsu_bus_buffer.scala 488:25] + node _T_4081 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_4082 = mux(_T_4081, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[2] <= _T_4082 @[lsu_bus_buffer.scala 488:25] + node _T_4083 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 489:37] + node _T_4084 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 489:98] + node _T_4085 = and(buf_dual[2], _T_4084) @[lsu_bus_buffer.scala 489:80] + node _T_4086 = or(_T_4083, _T_4085) @[lsu_bus_buffer.scala 489:65] + node _T_4087 = or(_T_4086, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] + buf_state_en[2] <= _T_4087 @[lsu_bus_buffer.scala 489:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4088 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_4088 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] - buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] - buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 495:25] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 496:25] skip @[Conditional.scala 39:67] - node _T_4089 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 498:108] + node _T_4089 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 499:108] reg _T_4090 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4089 : @[Reg.scala 28:19] _T_4090 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4090 @[lsu_bus_buffer.scala 498:18] - reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] - _T_4091 <= buf_age_in_2 @[lsu_bus_buffer.scala 499:60] - buf_ageQ[2] <= _T_4091 @[lsu_bus_buffer.scala 499:17] - reg _T_4092 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] - _T_4092 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 500:63] - buf_rspageQ[2] <= _T_4092 @[lsu_bus_buffer.scala 500:20] - node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 501:109] + buf_state[2] <= _T_4090 @[lsu_bus_buffer.scala 499:18] + reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] + _T_4091 <= buf_age_in_2 @[lsu_bus_buffer.scala 500:60] + buf_ageQ[2] <= _T_4091 @[lsu_bus_buffer.scala 500:17] + reg _T_4092 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] + _T_4092 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 501:63] + buf_rspageQ[2] <= _T_4092 @[lsu_bus_buffer.scala 501:20] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 502:109] reg _T_4094 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4093 : @[Reg.scala 28:19] _T_4094 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4094 @[lsu_bus_buffer.scala 501:20] - node _T_4095 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 502:74] - node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 502:107] + buf_dualtag[2] <= _T_4094 @[lsu_bus_buffer.scala 502:20] + node _T_4095 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 503:74] + node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 503:107] reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4096 : @[Reg.scala 28:19] _T_4097 <= _T_4095 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4097 @[lsu_bus_buffer.scala 502:17] - node _T_4098 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 503:78] - node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 503:111] + buf_dual[2] <= _T_4097 @[lsu_bus_buffer.scala 503:17] + node _T_4098 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 504:78] + node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 504:111] reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4099 : @[Reg.scala 28:19] _T_4100 <= _T_4098 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4100 @[lsu_bus_buffer.scala 503:19] - node _T_4101 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 504:80] - node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 504:113] + buf_samedw[2] <= _T_4100 @[lsu_bus_buffer.scala 504:19] + node _T_4101 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 505:80] + node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 505:113] reg _T_4103 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4102 : @[Reg.scala 28:19] _T_4103 <= _T_4101 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4103 @[lsu_bus_buffer.scala 504:20] - node _T_4104 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 505:78] - node _T_4105 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 505:111] + buf_nomerge[2] <= _T_4103 @[lsu_bus_buffer.scala 505:20] + node _T_4104 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 506:78] + node _T_4105 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 506:111] reg _T_4106 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4105 : @[Reg.scala 28:19] _T_4106 <= _T_4104 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4106 @[lsu_bus_buffer.scala 505:19] + buf_dualhi[2] <= _T_4106 @[lsu_bus_buffer.scala 506:19] node _T_4107 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4107 : @[Conditional.scala 40:58] - node _T_4108 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] - node _T_4109 = mux(_T_4108, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] - buf_nxtstate[3] <= _T_4109 @[lsu_bus_buffer.scala 442:25] - node _T_4110 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] - node _T_4111 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] - node _T_4112 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] - node _T_4113 = and(_T_4111, _T_4112) @[lsu_bus_buffer.scala 443:95] - node _T_4114 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] - node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 443:112] - node _T_4116 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] - node _T_4117 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] - node _T_4118 = and(_T_4116, _T_4117) @[lsu_bus_buffer.scala 443:161] - node _T_4119 = or(_T_4115, _T_4118) @[lsu_bus_buffer.scala 443:132] - node _T_4120 = and(_T_4110, _T_4119) @[lsu_bus_buffer.scala 443:63] - node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] - node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 443:201] - node _T_4123 = or(_T_4120, _T_4122) @[lsu_bus_buffer.scala 443:183] - buf_state_en[3] <= _T_4123 @[lsu_bus_buffer.scala 443:25] - buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 444:22] - buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 445:24] - node _T_4124 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] - node _T_4125 = and(ibuf_drain_vld, _T_4124) @[lsu_bus_buffer.scala 446:47] - node _T_4126 = bits(_T_4125, 0, 0) @[lsu_bus_buffer.scala 446:73] - node _T_4127 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] - node _T_4128 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] - node _T_4129 = mux(_T_4126, _T_4127, _T_4128) @[lsu_bus_buffer.scala 446:30] - buf_data_in[3] <= _T_4129 @[lsu_bus_buffer.scala 446:24] + node _T_4108 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] + node _T_4109 = mux(_T_4108, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] + buf_nxtstate[3] <= _T_4109 @[lsu_bus_buffer.scala 443:25] + node _T_4110 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] + node _T_4111 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] + node _T_4112 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] + node _T_4113 = and(_T_4111, _T_4112) @[lsu_bus_buffer.scala 444:95] + node _T_4114 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] + node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 444:112] + node _T_4116 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] + node _T_4117 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] + node _T_4118 = and(_T_4116, _T_4117) @[lsu_bus_buffer.scala 444:161] + node _T_4119 = or(_T_4115, _T_4118) @[lsu_bus_buffer.scala 444:132] + node _T_4120 = and(_T_4110, _T_4119) @[lsu_bus_buffer.scala 444:63] + node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] + node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 444:201] + node _T_4123 = or(_T_4120, _T_4122) @[lsu_bus_buffer.scala 444:183] + buf_state_en[3] <= _T_4123 @[lsu_bus_buffer.scala 444:25] + buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 445:22] + buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 446:24] + node _T_4124 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] + node _T_4125 = and(ibuf_drain_vld, _T_4124) @[lsu_bus_buffer.scala 447:47] + node _T_4126 = bits(_T_4125, 0, 0) @[lsu_bus_buffer.scala 447:73] + node _T_4127 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] + node _T_4128 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] + node _T_4129 = mux(_T_4126, _T_4127, _T_4128) @[lsu_bus_buffer.scala 447:30] + buf_data_in[3] <= _T_4129 @[lsu_bus_buffer.scala 447:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4130 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4130 : @[Conditional.scala 39:67] - node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] - node _T_4132 = mux(_T_4131, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] - buf_nxtstate[3] <= _T_4132 @[lsu_bus_buffer.scala 449:25] - node _T_4133 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] - buf_state_en[3] <= _T_4133 @[lsu_bus_buffer.scala 450:25] + node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_4132 = mux(_T_4131, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[3] <= _T_4132 @[lsu_bus_buffer.scala 450:25] + node _T_4133 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[3] <= _T_4133 @[lsu_bus_buffer.scala 451:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4134 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4134 : @[Conditional.scala 39:67] - node _T_4135 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] - node _T_4136 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] - node _T_4137 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] - node _T_4138 = and(_T_4136, _T_4137) @[lsu_bus_buffer.scala 453:104] - node _T_4139 = mux(_T_4138, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] - node _T_4140 = mux(_T_4135, UInt<3>("h00"), _T_4139) @[lsu_bus_buffer.scala 453:31] - buf_nxtstate[3] <= _T_4140 @[lsu_bus_buffer.scala 453:25] - node _T_4141 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 454:48] - node _T_4142 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 454:104] - node _T_4143 = and(obuf_merge, _T_4142) @[lsu_bus_buffer.scala 454:91] - node _T_4144 = or(_T_4141, _T_4143) @[lsu_bus_buffer.scala 454:77] - node _T_4145 = and(_T_4144, obuf_valid) @[lsu_bus_buffer.scala 454:135] - node _T_4146 = and(_T_4145, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] - buf_cmd_state_bus_en[3] <= _T_4146 @[lsu_bus_buffer.scala 454:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 455:29] - node _T_4147 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] - node _T_4148 = or(_T_4147, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] - buf_state_en[3] <= _T_4148 @[lsu_bus_buffer.scala 456:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] - node _T_4149 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 458:56] - node _T_4150 = eq(_T_4149, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] - node _T_4151 = and(buf_state_en[3], _T_4150) @[lsu_bus_buffer.scala 458:44] - node _T_4152 = and(_T_4151, obuf_nosend) @[lsu_bus_buffer.scala 458:60] - node _T_4153 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] - node _T_4154 = and(_T_4152, _T_4153) @[lsu_bus_buffer.scala 458:74] - buf_ldfwd_en[3] <= _T_4154 @[lsu_bus_buffer.scala 458:25] - node _T_4155 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] - buf_ldfwdtag_in[3] <= _T_4155 @[lsu_bus_buffer.scala 459:28] - node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] - node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 460:67] - node _T_4158 = and(_T_4157, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] - buf_data_en[3] <= _T_4158 @[lsu_bus_buffer.scala 460:24] - node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] - node _T_4160 = and(_T_4159, obuf_nosend) @[lsu_bus_buffer.scala 461:68] - node _T_4161 = and(_T_4160, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] - buf_error_en[3] <= _T_4161 @[lsu_bus_buffer.scala 461:25] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] - node _T_4163 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 462:85] - node _T_4164 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] - node _T_4165 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] - node _T_4166 = mux(_T_4163, _T_4164, _T_4165) @[lsu_bus_buffer.scala 462:73] - node _T_4167 = mux(buf_error_en[3], _T_4162, _T_4166) @[lsu_bus_buffer.scala 462:30] - buf_data_in[3] <= _T_4167 @[lsu_bus_buffer.scala 462:24] + node _T_4135 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] + node _T_4136 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] + node _T_4137 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] + node _T_4138 = and(_T_4136, _T_4137) @[lsu_bus_buffer.scala 454:104] + node _T_4139 = mux(_T_4138, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] + node _T_4140 = mux(_T_4135, UInt<3>("h00"), _T_4139) @[lsu_bus_buffer.scala 454:31] + buf_nxtstate[3] <= _T_4140 @[lsu_bus_buffer.scala 454:25] + node _T_4141 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 455:48] + node _T_4142 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 455:104] + node _T_4143 = and(obuf_merge, _T_4142) @[lsu_bus_buffer.scala 455:91] + node _T_4144 = or(_T_4141, _T_4143) @[lsu_bus_buffer.scala 455:77] + node _T_4145 = and(_T_4144, obuf_valid) @[lsu_bus_buffer.scala 455:135] + node _T_4146 = and(_T_4145, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] + buf_cmd_state_bus_en[3] <= _T_4146 @[lsu_bus_buffer.scala 455:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 456:29] + node _T_4147 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] + node _T_4148 = or(_T_4147, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] + buf_state_en[3] <= _T_4148 @[lsu_bus_buffer.scala 457:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] + node _T_4149 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 459:56] + node _T_4150 = eq(_T_4149, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] + node _T_4151 = and(buf_state_en[3], _T_4150) @[lsu_bus_buffer.scala 459:44] + node _T_4152 = and(_T_4151, obuf_nosend) @[lsu_bus_buffer.scala 459:60] + node _T_4153 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] + node _T_4154 = and(_T_4152, _T_4153) @[lsu_bus_buffer.scala 459:74] + buf_ldfwd_en[3] <= _T_4154 @[lsu_bus_buffer.scala 459:25] + node _T_4155 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] + buf_ldfwdtag_in[3] <= _T_4155 @[lsu_bus_buffer.scala 460:28] + node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] + node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 461:67] + node _T_4158 = and(_T_4157, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] + buf_data_en[3] <= _T_4158 @[lsu_bus_buffer.scala 461:24] + node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] + node _T_4160 = and(_T_4159, obuf_nosend) @[lsu_bus_buffer.scala 462:68] + node _T_4161 = and(_T_4160, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] + buf_error_en[3] <= _T_4161 @[lsu_bus_buffer.scala 462:25] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] + node _T_4163 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 463:85] + node _T_4164 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] + node _T_4165 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] + node _T_4166 = mux(_T_4163, _T_4164, _T_4165) @[lsu_bus_buffer.scala 463:73] + node _T_4167 = mux(buf_error_en[3], _T_4162, _T_4166) @[lsu_bus_buffer.scala 463:30] + buf_data_in[3] <= _T_4167 @[lsu_bus_buffer.scala 463:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4168 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4168 : @[Conditional.scala 39:67] - node _T_4169 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 465:67] - node _T_4170 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] - node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] - node _T_4172 = and(_T_4169, _T_4171) @[lsu_bus_buffer.scala 465:71] - node _T_4173 = or(io.dec_tlu_force_halt, _T_4172) @[lsu_bus_buffer.scala 465:55] - node _T_4174 = bits(_T_4173, 0, 0) @[lsu_bus_buffer.scala 465:125] - node _T_4175 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] - node _T_4176 = and(buf_dual[3], _T_4175) @[lsu_bus_buffer.scala 466:28] - node _T_4177 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 466:57] - node _T_4178 = eq(_T_4177, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] - node _T_4179 = and(_T_4176, _T_4178) @[lsu_bus_buffer.scala 466:45] - node _T_4180 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] - node _T_4181 = and(_T_4179, _T_4180) @[lsu_bus_buffer.scala 466:61] - node _T_4182 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 467:27] - node _T_4183 = or(_T_4182, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] - node _T_4184 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] - node _T_4185 = and(buf_dual[3], _T_4184) @[lsu_bus_buffer.scala 467:68] - node _T_4186 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:97] - node _T_4187 = eq(_T_4186, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] - node _T_4188 = and(_T_4185, _T_4187) @[lsu_bus_buffer.scala 467:85] + node _T_4169 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 466:67] + node _T_4170 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] + node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] + node _T_4172 = and(_T_4169, _T_4171) @[lsu_bus_buffer.scala 466:71] + node _T_4173 = or(io.dec_tlu_force_halt, _T_4172) @[lsu_bus_buffer.scala 466:55] + node _T_4174 = bits(_T_4173, 0, 0) @[lsu_bus_buffer.scala 466:125] + node _T_4175 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] + node _T_4176 = and(buf_dual[3], _T_4175) @[lsu_bus_buffer.scala 467:28] + node _T_4177 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:57] + node _T_4178 = eq(_T_4177, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] + node _T_4179 = and(_T_4176, _T_4178) @[lsu_bus_buffer.scala 467:45] + node _T_4180 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] + node _T_4181 = and(_T_4179, _T_4180) @[lsu_bus_buffer.scala 467:61] + node _T_4182 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 468:27] + node _T_4183 = or(_T_4182, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] + node _T_4184 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] + node _T_4185 = and(buf_dual[3], _T_4184) @[lsu_bus_buffer.scala 468:68] + node _T_4186 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 468:97] + node _T_4187 = eq(_T_4186, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] + node _T_4188 = and(_T_4185, _T_4187) @[lsu_bus_buffer.scala 468:85] node _T_4189 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_4190 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_4191 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -100051,172 +100051,172 @@ circuit quasar_wrapper : node _T_4203 = or(_T_4202, _T_4200) @[Mux.scala 27:72] wire _T_4204 : UInt<1> @[Mux.scala 27:72] _T_4204 <= _T_4203 @[Mux.scala 27:72] - node _T_4205 = and(_T_4188, _T_4204) @[lsu_bus_buffer.scala 467:101] - node _T_4206 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] - node _T_4207 = and(_T_4205, _T_4206) @[lsu_bus_buffer.scala 467:138] - node _T_4208 = and(_T_4207, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] - node _T_4209 = or(_T_4183, _T_4208) @[lsu_bus_buffer.scala 467:53] - node _T_4210 = mux(_T_4209, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] - node _T_4211 = mux(_T_4181, UInt<3>("h04"), _T_4210) @[lsu_bus_buffer.scala 466:14] - node _T_4212 = mux(_T_4174, UInt<3>("h00"), _T_4211) @[lsu_bus_buffer.scala 465:31] - buf_nxtstate[3] <= _T_4212 @[lsu_bus_buffer.scala 465:25] - node _T_4213 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 468:73] - node _T_4214 = and(bus_rsp_write, _T_4213) @[lsu_bus_buffer.scala 468:52] - node _T_4215 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 469:46] - node _T_4216 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 470:23] - node _T_4217 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 470:47] - node _T_4218 = and(_T_4216, _T_4217) @[lsu_bus_buffer.scala 470:27] - node _T_4219 = or(_T_4215, _T_4218) @[lsu_bus_buffer.scala 469:77] - node _T_4220 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 471:26] - node _T_4221 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 471:54] - node _T_4222 = not(_T_4221) @[lsu_bus_buffer.scala 471:44] - node _T_4223 = and(_T_4220, _T_4222) @[lsu_bus_buffer.scala 471:42] - node _T_4224 = and(_T_4223, buf_samedw[3]) @[lsu_bus_buffer.scala 471:58] - node _T_4225 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 471:94] - node _T_4226 = and(_T_4224, _T_4225) @[lsu_bus_buffer.scala 471:74] - node _T_4227 = or(_T_4219, _T_4226) @[lsu_bus_buffer.scala 470:71] - node _T_4228 = and(bus_rsp_read, _T_4227) @[lsu_bus_buffer.scala 469:25] - node _T_4229 = or(_T_4214, _T_4228) @[lsu_bus_buffer.scala 468:105] - buf_resp_state_bus_en[3] <= _T_4229 @[lsu_bus_buffer.scala 468:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 472:29] - node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] - node _T_4231 = or(_T_4230, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] - buf_state_en[3] <= _T_4231 @[lsu_bus_buffer.scala 473:25] - node _T_4232 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] - node _T_4233 = and(_T_4232, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] - buf_data_en[3] <= _T_4233 @[lsu_bus_buffer.scala 474:24] - node _T_4234 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] - node _T_4235 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 475:111] - node _T_4236 = and(bus_rsp_read_error, _T_4235) @[lsu_bus_buffer.scala 475:91] - node _T_4237 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 476:42] - node _T_4238 = and(bus_rsp_read_error, _T_4237) @[lsu_bus_buffer.scala 476:31] - node _T_4239 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 476:66] - node _T_4240 = and(_T_4238, _T_4239) @[lsu_bus_buffer.scala 476:46] - node _T_4241 = or(_T_4236, _T_4240) @[lsu_bus_buffer.scala 475:143] - node _T_4242 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 477:32] - node _T_4243 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 477:74] - node _T_4244 = and(_T_4242, _T_4243) @[lsu_bus_buffer.scala 477:53] - node _T_4245 = or(_T_4241, _T_4244) @[lsu_bus_buffer.scala 476:88] - node _T_4246 = and(_T_4234, _T_4245) @[lsu_bus_buffer.scala 475:68] - buf_error_en[3] <= _T_4246 @[lsu_bus_buffer.scala 475:25] - node _T_4247 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] - node _T_4248 = and(buf_state_en[3], _T_4247) @[lsu_bus_buffer.scala 478:48] - node _T_4249 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 478:84] - node _T_4250 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] - node _T_4251 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] - node _T_4252 = mux(_T_4249, _T_4250, _T_4251) @[lsu_bus_buffer.scala 478:72] - node _T_4253 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] - node _T_4254 = mux(_T_4248, _T_4252, _T_4253) @[lsu_bus_buffer.scala 478:30] - buf_data_in[3] <= _T_4254 @[lsu_bus_buffer.scala 478:24] + node _T_4205 = and(_T_4188, _T_4204) @[lsu_bus_buffer.scala 468:101] + node _T_4206 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] + node _T_4207 = and(_T_4205, _T_4206) @[lsu_bus_buffer.scala 468:138] + node _T_4208 = and(_T_4207, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] + node _T_4209 = or(_T_4183, _T_4208) @[lsu_bus_buffer.scala 468:53] + node _T_4210 = mux(_T_4209, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] + node _T_4211 = mux(_T_4181, UInt<3>("h04"), _T_4210) @[lsu_bus_buffer.scala 467:14] + node _T_4212 = mux(_T_4174, UInt<3>("h00"), _T_4211) @[lsu_bus_buffer.scala 466:31] + buf_nxtstate[3] <= _T_4212 @[lsu_bus_buffer.scala 466:25] + node _T_4213 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 469:73] + node _T_4214 = and(bus_rsp_write, _T_4213) @[lsu_bus_buffer.scala 469:52] + node _T_4215 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 470:46] + node _T_4216 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 471:23] + node _T_4217 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 471:47] + node _T_4218 = and(_T_4216, _T_4217) @[lsu_bus_buffer.scala 471:27] + node _T_4219 = or(_T_4215, _T_4218) @[lsu_bus_buffer.scala 470:77] + node _T_4220 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 472:26] + node _T_4221 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:54] + node _T_4222 = not(_T_4221) @[lsu_bus_buffer.scala 472:44] + node _T_4223 = and(_T_4220, _T_4222) @[lsu_bus_buffer.scala 472:42] + node _T_4224 = and(_T_4223, buf_samedw[3]) @[lsu_bus_buffer.scala 472:58] + node _T_4225 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 472:94] + node _T_4226 = and(_T_4224, _T_4225) @[lsu_bus_buffer.scala 472:74] + node _T_4227 = or(_T_4219, _T_4226) @[lsu_bus_buffer.scala 471:71] + node _T_4228 = and(bus_rsp_read, _T_4227) @[lsu_bus_buffer.scala 470:25] + node _T_4229 = or(_T_4214, _T_4228) @[lsu_bus_buffer.scala 469:105] + buf_resp_state_bus_en[3] <= _T_4229 @[lsu_bus_buffer.scala 469:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 473:29] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] + node _T_4231 = or(_T_4230, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] + buf_state_en[3] <= _T_4231 @[lsu_bus_buffer.scala 474:25] + node _T_4232 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] + node _T_4233 = and(_T_4232, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] + buf_data_en[3] <= _T_4233 @[lsu_bus_buffer.scala 475:24] + node _T_4234 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] + node _T_4235 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 476:111] + node _T_4236 = and(bus_rsp_read_error, _T_4235) @[lsu_bus_buffer.scala 476:91] + node _T_4237 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 477:42] + node _T_4238 = and(bus_rsp_read_error, _T_4237) @[lsu_bus_buffer.scala 477:31] + node _T_4239 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 477:66] + node _T_4240 = and(_T_4238, _T_4239) @[lsu_bus_buffer.scala 477:46] + node _T_4241 = or(_T_4236, _T_4240) @[lsu_bus_buffer.scala 476:143] + node _T_4242 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] + node _T_4243 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 478:74] + node _T_4244 = and(_T_4242, _T_4243) @[lsu_bus_buffer.scala 478:53] + node _T_4245 = or(_T_4241, _T_4244) @[lsu_bus_buffer.scala 477:88] + node _T_4246 = and(_T_4234, _T_4245) @[lsu_bus_buffer.scala 476:68] + buf_error_en[3] <= _T_4246 @[lsu_bus_buffer.scala 476:25] + node _T_4247 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] + node _T_4248 = and(buf_state_en[3], _T_4247) @[lsu_bus_buffer.scala 479:48] + node _T_4249 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 479:84] + node _T_4250 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] + node _T_4251 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] + node _T_4252 = mux(_T_4249, _T_4250, _T_4251) @[lsu_bus_buffer.scala 479:72] + node _T_4253 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] + node _T_4254 = mux(_T_4248, _T_4252, _T_4253) @[lsu_bus_buffer.scala 479:30] + buf_data_in[3] <= _T_4254 @[lsu_bus_buffer.scala 479:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4255 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4255 : @[Conditional.scala 39:67] - node _T_4256 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] - node _T_4257 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 481:86] - node _T_4258 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 481:101] - node _T_4259 = bits(_T_4258, 0, 0) @[lsu_bus_buffer.scala 481:101] - node _T_4260 = or(_T_4257, _T_4259) @[lsu_bus_buffer.scala 481:90] - node _T_4261 = or(_T_4260, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] - node _T_4262 = mux(_T_4261, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] - node _T_4263 = mux(_T_4256, UInt<3>("h00"), _T_4262) @[lsu_bus_buffer.scala 481:31] - buf_nxtstate[3] <= _T_4263 @[lsu_bus_buffer.scala 481:25] - node _T_4264 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 482:66] - node _T_4265 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 483:21] - node _T_4266 = bits(_T_4265, 0, 0) @[lsu_bus_buffer.scala 483:21] - node _T_4267 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 483:58] - node _T_4268 = and(_T_4266, _T_4267) @[lsu_bus_buffer.scala 483:38] - node _T_4269 = or(_T_4264, _T_4268) @[lsu_bus_buffer.scala 482:95] - node _T_4270 = and(bus_rsp_read, _T_4269) @[lsu_bus_buffer.scala 482:45] - buf_state_bus_en[3] <= _T_4270 @[lsu_bus_buffer.scala 482:29] - node _T_4271 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] - node _T_4272 = or(_T_4271, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] - buf_state_en[3] <= _T_4272 @[lsu_bus_buffer.scala 484:25] + node _T_4256 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] + node _T_4257 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 482:86] + node _T_4258 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 482:101] + node _T_4259 = bits(_T_4258, 0, 0) @[lsu_bus_buffer.scala 482:101] + node _T_4260 = or(_T_4257, _T_4259) @[lsu_bus_buffer.scala 482:90] + node _T_4261 = or(_T_4260, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] + node _T_4262 = mux(_T_4261, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] + node _T_4263 = mux(_T_4256, UInt<3>("h00"), _T_4262) @[lsu_bus_buffer.scala 482:31] + buf_nxtstate[3] <= _T_4263 @[lsu_bus_buffer.scala 482:25] + node _T_4264 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 483:66] + node _T_4265 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 484:21] + node _T_4266 = bits(_T_4265, 0, 0) @[lsu_bus_buffer.scala 484:21] + node _T_4267 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 484:58] + node _T_4268 = and(_T_4266, _T_4267) @[lsu_bus_buffer.scala 484:38] + node _T_4269 = or(_T_4264, _T_4268) @[lsu_bus_buffer.scala 483:95] + node _T_4270 = and(bus_rsp_read, _T_4269) @[lsu_bus_buffer.scala 483:45] + buf_state_bus_en[3] <= _T_4270 @[lsu_bus_buffer.scala 483:29] + node _T_4271 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] + node _T_4272 = or(_T_4271, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] + buf_state_en[3] <= _T_4272 @[lsu_bus_buffer.scala 485:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4273 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4273 : @[Conditional.scala 39:67] - node _T_4274 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] - node _T_4275 = mux(_T_4274, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] - buf_nxtstate[3] <= _T_4275 @[lsu_bus_buffer.scala 487:25] - node _T_4276 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 488:37] - node _T_4277 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 488:98] - node _T_4278 = and(buf_dual[3], _T_4277) @[lsu_bus_buffer.scala 488:80] - node _T_4279 = or(_T_4276, _T_4278) @[lsu_bus_buffer.scala 488:65] - node _T_4280 = or(_T_4279, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] - buf_state_en[3] <= _T_4280 @[lsu_bus_buffer.scala 488:25] + node _T_4274 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_4275 = mux(_T_4274, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[3] <= _T_4275 @[lsu_bus_buffer.scala 488:25] + node _T_4276 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 489:37] + node _T_4277 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 489:98] + node _T_4278 = and(buf_dual[3], _T_4277) @[lsu_bus_buffer.scala 489:80] + node _T_4279 = or(_T_4276, _T_4278) @[lsu_bus_buffer.scala 489:65] + node _T_4280 = or(_T_4279, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] + buf_state_en[3] <= _T_4280 @[lsu_bus_buffer.scala 489:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4281 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4281 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] - buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] - buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 495:25] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 496:25] skip @[Conditional.scala 39:67] - node _T_4282 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 498:108] + node _T_4282 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 499:108] reg _T_4283 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4282 : @[Reg.scala 28:19] _T_4283 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4283 @[lsu_bus_buffer.scala 498:18] - reg _T_4284 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] - _T_4284 <= buf_age_in_3 @[lsu_bus_buffer.scala 499:60] - buf_ageQ[3] <= _T_4284 @[lsu_bus_buffer.scala 499:17] - reg _T_4285 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] - _T_4285 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 500:63] - buf_rspageQ[3] <= _T_4285 @[lsu_bus_buffer.scala 500:20] - node _T_4286 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 501:109] + buf_state[3] <= _T_4283 @[lsu_bus_buffer.scala 499:18] + reg _T_4284 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] + _T_4284 <= buf_age_in_3 @[lsu_bus_buffer.scala 500:60] + buf_ageQ[3] <= _T_4284 @[lsu_bus_buffer.scala 500:17] + reg _T_4285 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] + _T_4285 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 501:63] + buf_rspageQ[3] <= _T_4285 @[lsu_bus_buffer.scala 501:20] + node _T_4286 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 502:109] reg _T_4287 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4286 : @[Reg.scala 28:19] _T_4287 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4287 @[lsu_bus_buffer.scala 501:20] - node _T_4288 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 502:74] - node _T_4289 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 502:107] + buf_dualtag[3] <= _T_4287 @[lsu_bus_buffer.scala 502:20] + node _T_4288 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 503:74] + node _T_4289 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 503:107] reg _T_4290 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4289 : @[Reg.scala 28:19] _T_4290 <= _T_4288 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4290 @[lsu_bus_buffer.scala 502:17] - node _T_4291 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 503:78] - node _T_4292 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 503:111] + buf_dual[3] <= _T_4290 @[lsu_bus_buffer.scala 503:17] + node _T_4291 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 504:78] + node _T_4292 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 504:111] reg _T_4293 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4292 : @[Reg.scala 28:19] _T_4293 <= _T_4291 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4293 @[lsu_bus_buffer.scala 503:19] - node _T_4294 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 504:80] - node _T_4295 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 504:113] + buf_samedw[3] <= _T_4293 @[lsu_bus_buffer.scala 504:19] + node _T_4294 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 505:80] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 505:113] reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= _T_4294 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4296 @[lsu_bus_buffer.scala 504:20] - node _T_4297 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 505:78] - node _T_4298 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 505:111] + buf_nomerge[3] <= _T_4296 @[lsu_bus_buffer.scala 505:20] + node _T_4297 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 506:78] + node _T_4298 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 506:111] reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4298 : @[Reg.scala 28:19] _T_4299 <= _T_4297 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4299 @[lsu_bus_buffer.scala 505:19] - node _T_4300 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 508:131] + buf_dualhi[3] <= _T_4299 @[lsu_bus_buffer.scala 506:19] + node _T_4300 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 509:131] reg _T_4301 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4300 : @[Reg.scala 28:19] _T_4301 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4302 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 508:131] + node _T_4302 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 509:131] reg _T_4303 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4302 : @[Reg.scala 28:19] _T_4303 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4304 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 508:131] + node _T_4304 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 509:131] reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4304 : @[Reg.scala 28:19] _T_4305 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4306 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 508:131] + node _T_4306 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 509:131] reg _T_4307 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4306 : @[Reg.scala 28:19] _T_4307 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -100224,51 +100224,51 @@ circuit quasar_wrapper : node _T_4308 = cat(_T_4307, _T_4305) @[Cat.scala 29:58] node _T_4309 = cat(_T_4308, _T_4303) @[Cat.scala 29:58] node _T_4310 = cat(_T_4309, _T_4301) @[Cat.scala 29:58] - buf_ldfwd <= _T_4310 @[lsu_bus_buffer.scala 508:13] - node _T_4311 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 509:132] + buf_ldfwd <= _T_4310 @[lsu_bus_buffer.scala 509:13] + node _T_4311 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 510:132] reg _T_4312 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4311 : @[Reg.scala 28:19] _T_4312 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4313 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 509:132] + node _T_4313 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 510:132] reg _T_4314 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4313 : @[Reg.scala 28:19] _T_4314 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4315 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 509:132] + node _T_4315 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 510:132] reg _T_4316 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4315 : @[Reg.scala 28:19] _T_4316 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4317 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 509:132] + node _T_4317 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 510:132] reg _T_4318 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4317 : @[Reg.scala 28:19] _T_4318 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4312 @[lsu_bus_buffer.scala 509:16] - buf_ldfwdtag[1] <= _T_4314 @[lsu_bus_buffer.scala 509:16] - buf_ldfwdtag[2] <= _T_4316 @[lsu_bus_buffer.scala 509:16] - buf_ldfwdtag[3] <= _T_4318 @[lsu_bus_buffer.scala 509:16] - node _T_4319 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 510:105] - node _T_4320 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:138] + buf_ldfwdtag[0] <= _T_4312 @[lsu_bus_buffer.scala 510:16] + buf_ldfwdtag[1] <= _T_4314 @[lsu_bus_buffer.scala 510:16] + buf_ldfwdtag[2] <= _T_4316 @[lsu_bus_buffer.scala 510:16] + buf_ldfwdtag[3] <= _T_4318 @[lsu_bus_buffer.scala 510:16] + node _T_4319 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 511:105] + node _T_4320 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:138] reg _T_4321 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4320 : @[Reg.scala 28:19] _T_4321 <= _T_4319 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4322 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 510:105] - node _T_4323 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:138] + node _T_4322 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 511:105] + node _T_4323 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:138] reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4323 : @[Reg.scala 28:19] _T_4324 <= _T_4322 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4325 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 510:105] - node _T_4326 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:138] + node _T_4325 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 511:105] + node _T_4326 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:138] reg _T_4327 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4326 : @[Reg.scala 28:19] _T_4327 <= _T_4325 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4328 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 510:105] - node _T_4329 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:138] + node _T_4328 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 511:105] + node _T_4329 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:138] reg _T_4330 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4329 : @[Reg.scala 28:19] _T_4330 <= _T_4328 @[Reg.scala 28:23] @@ -100276,27 +100276,27 @@ circuit quasar_wrapper : node _T_4331 = cat(_T_4330, _T_4327) @[Cat.scala 29:58] node _T_4332 = cat(_T_4331, _T_4324) @[Cat.scala 29:58] node _T_4333 = cat(_T_4332, _T_4321) @[Cat.scala 29:58] - buf_sideeffect <= _T_4333 @[lsu_bus_buffer.scala 510:18] - node _T_4334 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 511:97] - node _T_4335 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:130] + buf_sideeffect <= _T_4333 @[lsu_bus_buffer.scala 511:18] + node _T_4334 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 512:97] + node _T_4335 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:130] reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4335 : @[Reg.scala 28:19] _T_4336 <= _T_4334 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4337 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 511:97] - node _T_4338 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:130] + node _T_4337 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 512:97] + node _T_4338 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:130] reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4338 : @[Reg.scala 28:19] _T_4339 <= _T_4337 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4340 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 511:97] - node _T_4341 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:130] + node _T_4340 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 512:97] + node _T_4341 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:130] reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4341 : @[Reg.scala 28:19] _T_4342 <= _T_4340 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4343 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 511:97] - node _T_4344 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:130] + node _T_4343 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 512:97] + node _T_4344 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:130] reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4344 : @[Reg.scala 28:19] _T_4345 <= _T_4343 @[Reg.scala 28:23] @@ -100304,27 +100304,27 @@ circuit quasar_wrapper : node _T_4346 = cat(_T_4345, _T_4342) @[Cat.scala 29:58] node _T_4347 = cat(_T_4346, _T_4339) @[Cat.scala 29:58] node _T_4348 = cat(_T_4347, _T_4336) @[Cat.scala 29:58] - buf_unsign <= _T_4348 @[lsu_bus_buffer.scala 511:14] - node _T_4349 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 512:95] - node _T_4350 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:128] + buf_unsign <= _T_4348 @[lsu_bus_buffer.scala 512:14] + node _T_4349 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 513:95] + node _T_4350 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:128] reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4350 : @[Reg.scala 28:19] _T_4351 <= _T_4349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4352 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 512:95] - node _T_4353 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:128] + node _T_4352 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 513:95] + node _T_4353 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:128] reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4353 : @[Reg.scala 28:19] _T_4354 <= _T_4352 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4355 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 512:95] - node _T_4356 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:128] + node _T_4355 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 513:95] + node _T_4356 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:128] reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4356 : @[Reg.scala 28:19] _T_4357 <= _T_4355 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4358 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 512:95] - node _T_4359 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:128] + node _T_4358 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 513:95] + node _T_4359 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:128] reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4359 : @[Reg.scala 28:19] _T_4360 <= _T_4358 @[Reg.scala 28:23] @@ -100332,32 +100332,32 @@ circuit quasar_wrapper : node _T_4361 = cat(_T_4360, _T_4357) @[Cat.scala 29:58] node _T_4362 = cat(_T_4361, _T_4354) @[Cat.scala 29:58] node _T_4363 = cat(_T_4362, _T_4351) @[Cat.scala 29:58] - buf_write <= _T_4363 @[lsu_bus_buffer.scala 512:13] - node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:117] + buf_write <= _T_4363 @[lsu_bus_buffer.scala 513:13] + node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:117] reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4364 : @[Reg.scala 28:19] _T_4365 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:117] + node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:117] reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4366 : @[Reg.scala 28:19] _T_4367 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:117] + node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:117] reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4368 : @[Reg.scala 28:19] _T_4369 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:117] + node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:117] reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4370 : @[Reg.scala 28:19] _T_4371 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4365 @[lsu_bus_buffer.scala 513:10] - buf_sz[1] <= _T_4367 @[lsu_bus_buffer.scala 513:10] - buf_sz[2] <= _T_4369 @[lsu_bus_buffer.scala 513:10] - buf_sz[3] <= _T_4371 @[lsu_bus_buffer.scala 513:10] - node _T_4372 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:80] + buf_sz[0] <= _T_4365 @[lsu_bus_buffer.scala 514:10] + buf_sz[1] <= _T_4367 @[lsu_bus_buffer.scala 514:10] + buf_sz[2] <= _T_4369 @[lsu_bus_buffer.scala 514:10] + buf_sz[3] <= _T_4371 @[lsu_bus_buffer.scala 514:10] + node _T_4372 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:80] inst rvclkhdr_4 of rvclkhdr_818 @[el2_lib.scala 508:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -100366,7 +100366,7 @@ circuit quasar_wrapper : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4373 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4373 <= buf_addr_in[0] @[el2_lib.scala 514:16] - node _T_4374 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:80] + node _T_4374 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:80] inst rvclkhdr_5 of rvclkhdr_819 @[el2_lib.scala 508:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -100375,7 +100375,7 @@ circuit quasar_wrapper : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4375 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4375 <= buf_addr_in[1] @[el2_lib.scala 514:16] - node _T_4376 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:80] + node _T_4376 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:80] inst rvclkhdr_6 of rvclkhdr_820 @[el2_lib.scala 508:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -100384,7 +100384,7 @@ circuit quasar_wrapper : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4377 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4377 <= buf_addr_in[2] @[el2_lib.scala 514:16] - node _T_4378 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:80] + node _T_4378 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:80] inst rvclkhdr_7 of rvclkhdr_821 @[el2_lib.scala 508:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -100393,34 +100393,34 @@ circuit quasar_wrapper : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4379 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4379 <= buf_addr_in[3] @[el2_lib.scala 514:16] - buf_addr[0] <= _T_4373 @[lsu_bus_buffer.scala 514:12] - buf_addr[1] <= _T_4375 @[lsu_bus_buffer.scala 514:12] - buf_addr[2] <= _T_4377 @[lsu_bus_buffer.scala 514:12] - buf_addr[3] <= _T_4379 @[lsu_bus_buffer.scala 514:12] - node _T_4380 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:125] + buf_addr[0] <= _T_4373 @[lsu_bus_buffer.scala 515:12] + buf_addr[1] <= _T_4375 @[lsu_bus_buffer.scala 515:12] + buf_addr[2] <= _T_4377 @[lsu_bus_buffer.scala 515:12] + buf_addr[3] <= _T_4379 @[lsu_bus_buffer.scala 515:12] + node _T_4380 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:125] reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4380 : @[Reg.scala 28:19] _T_4381 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4382 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:125] + node _T_4382 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:125] reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4382 : @[Reg.scala 28:19] _T_4383 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4384 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:125] + node _T_4384 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:125] reg _T_4385 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4384 : @[Reg.scala 28:19] _T_4385 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4386 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:125] + node _T_4386 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:125] reg _T_4387 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4386 : @[Reg.scala 28:19] _T_4387 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4381 @[lsu_bus_buffer.scala 515:14] - buf_byteen[1] <= _T_4383 @[lsu_bus_buffer.scala 515:14] - buf_byteen[2] <= _T_4385 @[lsu_bus_buffer.scala 515:14] - buf_byteen[3] <= _T_4387 @[lsu_bus_buffer.scala 515:14] + buf_byteen[0] <= _T_4381 @[lsu_bus_buffer.scala 516:14] + buf_byteen[1] <= _T_4383 @[lsu_bus_buffer.scala 516:14] + buf_byteen[2] <= _T_4385 @[lsu_bus_buffer.scala 516:14] + buf_byteen[3] <= _T_4387 @[lsu_bus_buffer.scala 516:14] inst rvclkhdr_8 of rvclkhdr_822 @[el2_lib.scala 508:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -100453,175 +100453,175 @@ circuit quasar_wrapper : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4391 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4391 <= buf_data_in[3] @[el2_lib.scala 514:16] - buf_data[0] <= _T_4388 @[lsu_bus_buffer.scala 516:12] - buf_data[1] <= _T_4389 @[lsu_bus_buffer.scala 516:12] - buf_data[2] <= _T_4390 @[lsu_bus_buffer.scala 516:12] - buf_data[3] <= _T_4391 @[lsu_bus_buffer.scala 516:12] - node _T_4392 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 517:119] - node _T_4393 = mux(buf_error_en[0], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 517:84] - node _T_4394 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] - node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 517:124] - reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] - _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 517:80] - node _T_4397 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 517:119] - node _T_4398 = mux(buf_error_en[1], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 517:84] - node _T_4399 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] - node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 517:124] - reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] - _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 517:80] - node _T_4402 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 517:119] - node _T_4403 = mux(buf_error_en[2], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 517:84] - node _T_4404 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] - node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 517:124] - reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] - _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 517:80] - node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 517:119] - node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 517:84] - node _T_4409 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] - node _T_4410 = and(_T_4408, _T_4409) @[lsu_bus_buffer.scala 517:124] - reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] - _T_4411 <= _T_4410 @[lsu_bus_buffer.scala 517:80] + buf_data[0] <= _T_4388 @[lsu_bus_buffer.scala 517:12] + buf_data[1] <= _T_4389 @[lsu_bus_buffer.scala 517:12] + buf_data[2] <= _T_4390 @[lsu_bus_buffer.scala 517:12] + buf_data[3] <= _T_4391 @[lsu_bus_buffer.scala 517:12] + node _T_4392 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 518:119] + node _T_4393 = mux(buf_error_en[0], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 518:84] + node _T_4394 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] + node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 518:124] + reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] + _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 518:80] + node _T_4397 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 518:119] + node _T_4398 = mux(buf_error_en[1], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 518:84] + node _T_4399 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] + node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 518:124] + reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] + _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 518:80] + node _T_4402 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 518:119] + node _T_4403 = mux(buf_error_en[2], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 518:84] + node _T_4404 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] + node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 518:124] + reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] + _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 518:80] + node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 518:119] + node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 518:84] + node _T_4409 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] + node _T_4410 = and(_T_4408, _T_4409) @[lsu_bus_buffer.scala 518:124] + reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] + _T_4411 <= _T_4410 @[lsu_bus_buffer.scala 518:80] node _T_4412 = cat(_T_4411, _T_4406) @[Cat.scala 29:58] node _T_4413 = cat(_T_4412, _T_4401) @[Cat.scala 29:58] node _T_4414 = cat(_T_4413, _T_4396) @[Cat.scala 29:58] - buf_error <= _T_4414 @[lsu_bus_buffer.scala 517:13] + buf_error <= _T_4414 @[lsu_bus_buffer.scala 518:13] node _T_4415 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4416 = mux(io.ldst_dual_m, _T_4415, io.lsu_busreq_m) @[lsu_bus_buffer.scala 520:28] + node _T_4416 = mux(io.ldst_dual_m, _T_4415, io.lsu_busreq_m) @[lsu_bus_buffer.scala 521:28] node _T_4417 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4418 = mux(io.ldst_dual_r, _T_4417, io.lsu_busreq_r) @[lsu_bus_buffer.scala 520:94] - node _T_4419 = add(_T_4416, _T_4418) @[lsu_bus_buffer.scala 520:88] - node _T_4420 = add(_T_4419, ibuf_valid) @[lsu_bus_buffer.scala 520:154] - node _T_4421 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] - node _T_4422 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] - node _T_4423 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] - node _T_4424 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] - node _T_4425 = add(_T_4421, _T_4422) @[lsu_bus_buffer.scala 520:217] - node _T_4426 = add(_T_4425, _T_4423) @[lsu_bus_buffer.scala 520:217] - node _T_4427 = add(_T_4426, _T_4424) @[lsu_bus_buffer.scala 520:217] - node _T_4428 = add(_T_4420, _T_4427) @[lsu_bus_buffer.scala 520:169] - node buf_numvld_any = tail(_T_4428, 1) @[lsu_bus_buffer.scala 520:169] - node _T_4429 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 521:60] - node _T_4430 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] - node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 521:64] - node _T_4432 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] - node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 521:89] - node _T_4434 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 521:60] - node _T_4435 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] - node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 521:64] - node _T_4437 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] - node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 521:89] - node _T_4439 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 521:60] - node _T_4440 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] - node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 521:64] - node _T_4442 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] - node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 521:89] - node _T_4444 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 521:60] - node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] - node _T_4446 = and(_T_4444, _T_4445) @[lsu_bus_buffer.scala 521:64] - node _T_4447 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] - node _T_4448 = and(_T_4446, _T_4447) @[lsu_bus_buffer.scala 521:89] - node _T_4449 = add(_T_4448, _T_4443) @[lsu_bus_buffer.scala 521:142] - node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 521:142] - node _T_4451 = add(_T_4450, _T_4433) @[lsu_bus_buffer.scala 521:142] - buf_numvld_wrcmd_any <= _T_4451 @[lsu_bus_buffer.scala 521:24] - node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] - node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] - node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 522:73] - node _T_4455 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] - node _T_4456 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] - node _T_4457 = and(_T_4455, _T_4456) @[lsu_bus_buffer.scala 522:73] - node _T_4458 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] - node _T_4459 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] - node _T_4460 = and(_T_4458, _T_4459) @[lsu_bus_buffer.scala 522:73] - node _T_4461 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] - node _T_4462 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] - node _T_4463 = and(_T_4461, _T_4462) @[lsu_bus_buffer.scala 522:73] - node _T_4464 = add(_T_4463, _T_4460) @[lsu_bus_buffer.scala 522:126] - node _T_4465 = add(_T_4464, _T_4457) @[lsu_bus_buffer.scala 522:126] - node _T_4466 = add(_T_4465, _T_4454) @[lsu_bus_buffer.scala 522:126] - buf_numvld_cmd_any <= _T_4466 @[lsu_bus_buffer.scala 522:22] - node _T_4467 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] - node _T_4468 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] - node _T_4469 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] - node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 523:100] - node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 523:74] - node _T_4472 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] - node _T_4473 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] - node _T_4474 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] - node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 523:100] - node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 523:74] - node _T_4477 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] - node _T_4478 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] - node _T_4479 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] - node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 523:100] - node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 523:74] - node _T_4482 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] - node _T_4483 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] - node _T_4484 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] - node _T_4485 = and(_T_4483, _T_4484) @[lsu_bus_buffer.scala 523:100] - node _T_4486 = or(_T_4482, _T_4485) @[lsu_bus_buffer.scala 523:74] - node _T_4487 = add(_T_4486, _T_4481) @[lsu_bus_buffer.scala 523:154] - node _T_4488 = add(_T_4487, _T_4476) @[lsu_bus_buffer.scala 523:154] - node _T_4489 = add(_T_4488, _T_4471) @[lsu_bus_buffer.scala 523:154] - buf_numvld_pend_any <= _T_4489 @[lsu_bus_buffer.scala 523:23] - node _T_4490 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] - node _T_4491 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] - node _T_4492 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] - node _T_4493 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] - node _T_4494 = or(_T_4493, _T_4492) @[lsu_bus_buffer.scala 524:93] - node _T_4495 = or(_T_4494, _T_4491) @[lsu_bus_buffer.scala 524:93] - node _T_4496 = or(_T_4495, _T_4490) @[lsu_bus_buffer.scala 524:93] - any_done_wait_state <= _T_4496 @[lsu_bus_buffer.scala 524:23] - node _T_4497 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 525:53] - io.lsu_bus_buffer_pend_any <= _T_4497 @[lsu_bus_buffer.scala 525:30] - node _T_4498 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 526:52] - node _T_4499 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 526:92] - node _T_4500 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 526:121] - node _T_4501 = mux(_T_4498, _T_4499, _T_4500) @[lsu_bus_buffer.scala 526:36] - io.lsu_bus_buffer_full_any <= _T_4501 @[lsu_bus_buffer.scala 526:30] - node _T_4502 = orr(buf_state[0]) @[lsu_bus_buffer.scala 527:52] - node _T_4503 = orr(buf_state[1]) @[lsu_bus_buffer.scala 527:52] - node _T_4504 = orr(buf_state[2]) @[lsu_bus_buffer.scala 527:52] - node _T_4505 = orr(buf_state[3]) @[lsu_bus_buffer.scala 527:52] - node _T_4506 = or(_T_4502, _T_4503) @[lsu_bus_buffer.scala 527:65] - node _T_4507 = or(_T_4506, _T_4504) @[lsu_bus_buffer.scala 527:65] - node _T_4508 = or(_T_4507, _T_4505) @[lsu_bus_buffer.scala 527:65] - node _T_4509 = eq(_T_4508, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:34] - node _T_4510 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:72] - node _T_4511 = and(_T_4509, _T_4510) @[lsu_bus_buffer.scala 527:70] - node _T_4512 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:86] - node _T_4513 = and(_T_4511, _T_4512) @[lsu_bus_buffer.scala 527:84] - io.lsu_bus_buffer_empty_any <= _T_4513 @[lsu_bus_buffer.scala 527:31] - node _T_4514 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 529:64] - node _T_4515 = and(_T_4514, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 529:85] - node _T_4516 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 529:112] - node _T_4517 = and(_T_4515, _T_4516) @[lsu_bus_buffer.scala 529:110] - node _T_4518 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 529:129] - node _T_4519 = and(_T_4517, _T_4518) @[lsu_bus_buffer.scala 529:127] - io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4519 @[lsu_bus_buffer.scala 529:45] - io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 530:43] + node _T_4418 = mux(io.ldst_dual_r, _T_4417, io.lsu_busreq_r) @[lsu_bus_buffer.scala 521:94] + node _T_4419 = add(_T_4416, _T_4418) @[lsu_bus_buffer.scala 521:88] + node _T_4420 = add(_T_4419, ibuf_valid) @[lsu_bus_buffer.scala 521:154] + node _T_4421 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] + node _T_4422 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] + node _T_4423 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] + node _T_4424 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] + node _T_4425 = add(_T_4421, _T_4422) @[lsu_bus_buffer.scala 521:217] + node _T_4426 = add(_T_4425, _T_4423) @[lsu_bus_buffer.scala 521:217] + node _T_4427 = add(_T_4426, _T_4424) @[lsu_bus_buffer.scala 521:217] + node _T_4428 = add(_T_4420, _T_4427) @[lsu_bus_buffer.scala 521:169] + node buf_numvld_any = tail(_T_4428, 1) @[lsu_bus_buffer.scala 521:169] + node _T_4429 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 522:60] + node _T_4430 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] + node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 522:64] + node _T_4432 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] + node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 522:89] + node _T_4434 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 522:60] + node _T_4435 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] + node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 522:64] + node _T_4437 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 522:89] + node _T_4439 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 522:60] + node _T_4440 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 522:64] + node _T_4442 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] + node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 522:89] + node _T_4444 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 522:60] + node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] + node _T_4446 = and(_T_4444, _T_4445) @[lsu_bus_buffer.scala 522:64] + node _T_4447 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] + node _T_4448 = and(_T_4446, _T_4447) @[lsu_bus_buffer.scala 522:89] + node _T_4449 = add(_T_4448, _T_4443) @[lsu_bus_buffer.scala 522:142] + node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 522:142] + node _T_4451 = add(_T_4450, _T_4433) @[lsu_bus_buffer.scala 522:142] + buf_numvld_wrcmd_any <= _T_4451 @[lsu_bus_buffer.scala 522:24] + node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] + node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] + node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 523:73] + node _T_4455 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] + node _T_4456 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] + node _T_4457 = and(_T_4455, _T_4456) @[lsu_bus_buffer.scala 523:73] + node _T_4458 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] + node _T_4459 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] + node _T_4460 = and(_T_4458, _T_4459) @[lsu_bus_buffer.scala 523:73] + node _T_4461 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] + node _T_4462 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] + node _T_4463 = and(_T_4461, _T_4462) @[lsu_bus_buffer.scala 523:73] + node _T_4464 = add(_T_4463, _T_4460) @[lsu_bus_buffer.scala 523:126] + node _T_4465 = add(_T_4464, _T_4457) @[lsu_bus_buffer.scala 523:126] + node _T_4466 = add(_T_4465, _T_4454) @[lsu_bus_buffer.scala 523:126] + buf_numvld_cmd_any <= _T_4466 @[lsu_bus_buffer.scala 523:22] + node _T_4467 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] + node _T_4468 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] + node _T_4469 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] + node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 524:100] + node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 524:74] + node _T_4472 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] + node _T_4473 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] + node _T_4474 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] + node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 524:100] + node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 524:74] + node _T_4477 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] + node _T_4478 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] + node _T_4479 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] + node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 524:100] + node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 524:74] + node _T_4482 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] + node _T_4483 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] + node _T_4484 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] + node _T_4485 = and(_T_4483, _T_4484) @[lsu_bus_buffer.scala 524:100] + node _T_4486 = or(_T_4482, _T_4485) @[lsu_bus_buffer.scala 524:74] + node _T_4487 = add(_T_4486, _T_4481) @[lsu_bus_buffer.scala 524:154] + node _T_4488 = add(_T_4487, _T_4476) @[lsu_bus_buffer.scala 524:154] + node _T_4489 = add(_T_4488, _T_4471) @[lsu_bus_buffer.scala 524:154] + buf_numvld_pend_any <= _T_4489 @[lsu_bus_buffer.scala 524:23] + node _T_4490 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] + node _T_4491 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] + node _T_4492 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] + node _T_4493 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] + node _T_4494 = or(_T_4493, _T_4492) @[lsu_bus_buffer.scala 525:93] + node _T_4495 = or(_T_4494, _T_4491) @[lsu_bus_buffer.scala 525:93] + node _T_4496 = or(_T_4495, _T_4490) @[lsu_bus_buffer.scala 525:93] + any_done_wait_state <= _T_4496 @[lsu_bus_buffer.scala 525:23] + node _T_4497 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 526:53] + io.lsu_bus_buffer_pend_any <= _T_4497 @[lsu_bus_buffer.scala 526:30] + node _T_4498 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 527:52] + node _T_4499 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 527:92] + node _T_4500 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 527:121] + node _T_4501 = mux(_T_4498, _T_4499, _T_4500) @[lsu_bus_buffer.scala 527:36] + io.lsu_bus_buffer_full_any <= _T_4501 @[lsu_bus_buffer.scala 527:30] + node _T_4502 = orr(buf_state[0]) @[lsu_bus_buffer.scala 528:52] + node _T_4503 = orr(buf_state[1]) @[lsu_bus_buffer.scala 528:52] + node _T_4504 = orr(buf_state[2]) @[lsu_bus_buffer.scala 528:52] + node _T_4505 = orr(buf_state[3]) @[lsu_bus_buffer.scala 528:52] + node _T_4506 = or(_T_4502, _T_4503) @[lsu_bus_buffer.scala 528:65] + node _T_4507 = or(_T_4506, _T_4504) @[lsu_bus_buffer.scala 528:65] + node _T_4508 = or(_T_4507, _T_4505) @[lsu_bus_buffer.scala 528:65] + node _T_4509 = eq(_T_4508, UInt<1>("h00")) @[lsu_bus_buffer.scala 528:34] + node _T_4510 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 528:72] + node _T_4511 = and(_T_4509, _T_4510) @[lsu_bus_buffer.scala 528:70] + node _T_4512 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 528:86] + node _T_4513 = and(_T_4511, _T_4512) @[lsu_bus_buffer.scala 528:84] + io.lsu_bus_buffer_empty_any <= _T_4513 @[lsu_bus_buffer.scala 528:31] + node _T_4514 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 530:64] + node _T_4515 = and(_T_4514, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 530:85] + node _T_4516 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 530:112] + node _T_4517 = and(_T_4515, _T_4516) @[lsu_bus_buffer.scala 530:110] + node _T_4518 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 530:129] + node _T_4519 = and(_T_4517, _T_4518) @[lsu_bus_buffer.scala 530:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4519 @[lsu_bus_buffer.scala 530:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 531:43] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4520 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 532:74] - node _T_4521 = and(lsu_nonblock_load_valid_r, _T_4520) @[lsu_bus_buffer.scala 532:72] - io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4521 @[lsu_bus_buffer.scala 532:43] - io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 533:47] - node _T_4522 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] - node _T_4523 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 534:127] - node _T_4524 = and(UInt<1>("h01"), _T_4523) @[lsu_bus_buffer.scala 534:116] - node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] - node _T_4526 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] - node _T_4527 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 534:127] - node _T_4528 = and(UInt<1>("h01"), _T_4527) @[lsu_bus_buffer.scala 534:116] - node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] - node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] - node _T_4531 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 534:127] - node _T_4532 = and(UInt<1>("h01"), _T_4531) @[lsu_bus_buffer.scala 534:116] - node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] - node _T_4534 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] - node _T_4535 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 534:127] - node _T_4536 = and(UInt<1>("h01"), _T_4535) @[lsu_bus_buffer.scala 534:116] - node _T_4537 = eq(_T_4536, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] + node _T_4520 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:74] + node _T_4521 = and(lsu_nonblock_load_valid_r, _T_4520) @[lsu_bus_buffer.scala 533:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4521 @[lsu_bus_buffer.scala 533:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 534:47] + node _T_4522 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] + node _T_4523 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 535:127] + node _T_4524 = and(UInt<1>("h01"), _T_4523) @[lsu_bus_buffer.scala 535:116] + node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] + node _T_4526 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] + node _T_4527 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 535:127] + node _T_4528 = and(UInt<1>("h01"), _T_4527) @[lsu_bus_buffer.scala 535:116] + node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] + node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] + node _T_4531 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 535:127] + node _T_4532 = and(UInt<1>("h01"), _T_4531) @[lsu_bus_buffer.scala 535:116] + node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] + node _T_4534 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] + node _T_4535 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 535:127] + node _T_4536 = and(UInt<1>("h01"), _T_4535) @[lsu_bus_buffer.scala 535:116] + node _T_4537 = eq(_T_4536, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] node _T_4538 = mux(_T_4522, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4539 = mux(_T_4526, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4540 = mux(_T_4530, _T_4533, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100631,26 +100631,26 @@ circuit quasar_wrapper : node _T_4544 = or(_T_4543, _T_4541) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4544 @[Mux.scala 27:72] - node _T_4545 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] - node _T_4546 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 535:117] - node _T_4547 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 535:133] - node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] - node _T_4549 = and(_T_4546, _T_4548) @[lsu_bus_buffer.scala 535:121] - node _T_4550 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] - node _T_4551 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 535:117] - node _T_4552 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 535:133] - node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] - node _T_4554 = and(_T_4551, _T_4553) @[lsu_bus_buffer.scala 535:121] - node _T_4555 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] - node _T_4556 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 535:117] - node _T_4557 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 535:133] - node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] - node _T_4559 = and(_T_4556, _T_4558) @[lsu_bus_buffer.scala 535:121] - node _T_4560 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] - node _T_4561 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 535:117] - node _T_4562 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 535:133] - node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] - node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 535:121] + node _T_4545 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] + node _T_4546 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 536:117] + node _T_4547 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 536:133] + node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] + node _T_4549 = and(_T_4546, _T_4548) @[lsu_bus_buffer.scala 536:121] + node _T_4550 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] + node _T_4551 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 536:117] + node _T_4552 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 536:133] + node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] + node _T_4554 = and(_T_4551, _T_4553) @[lsu_bus_buffer.scala 536:121] + node _T_4555 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] + node _T_4556 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 536:117] + node _T_4557 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 536:133] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] + node _T_4559 = and(_T_4556, _T_4558) @[lsu_bus_buffer.scala 536:121] + node _T_4560 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] + node _T_4561 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 536:117] + node _T_4562 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 536:133] + node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] + node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 536:121] node _T_4565 = mux(_T_4545, _T_4549, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4566 = mux(_T_4550, _T_4554, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4567 = mux(_T_4555, _T_4559, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100660,39 +100660,39 @@ circuit quasar_wrapper : node _T_4571 = or(_T_4570, _T_4568) @[Mux.scala 27:72] wire _T_4572 : UInt<1> @[Mux.scala 27:72] _T_4572 <= _T_4571 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4572 @[lsu_bus_buffer.scala 535:48] - node _T_4573 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] - node _T_4574 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 536:115] - node _T_4575 = eq(_T_4574, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] - node _T_4576 = and(_T_4573, _T_4575) @[lsu_bus_buffer.scala 536:103] - node _T_4577 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] - node _T_4578 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] - node _T_4579 = or(_T_4577, _T_4578) @[lsu_bus_buffer.scala 536:135] - node _T_4580 = and(_T_4576, _T_4579) @[lsu_bus_buffer.scala 536:119] - node _T_4581 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] - node _T_4582 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 536:115] - node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] - node _T_4584 = and(_T_4581, _T_4583) @[lsu_bus_buffer.scala 536:103] - node _T_4585 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] - node _T_4586 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] - node _T_4587 = or(_T_4585, _T_4586) @[lsu_bus_buffer.scala 536:135] - node _T_4588 = and(_T_4584, _T_4587) @[lsu_bus_buffer.scala 536:119] - node _T_4589 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] - node _T_4590 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 536:115] - node _T_4591 = eq(_T_4590, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] - node _T_4592 = and(_T_4589, _T_4591) @[lsu_bus_buffer.scala 536:103] - node _T_4593 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] - node _T_4594 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] - node _T_4595 = or(_T_4593, _T_4594) @[lsu_bus_buffer.scala 536:135] - node _T_4596 = and(_T_4592, _T_4595) @[lsu_bus_buffer.scala 536:119] - node _T_4597 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] - node _T_4598 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 536:115] - node _T_4599 = eq(_T_4598, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] - node _T_4600 = and(_T_4597, _T_4599) @[lsu_bus_buffer.scala 536:103] - node _T_4601 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] - node _T_4602 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] - node _T_4603 = or(_T_4601, _T_4602) @[lsu_bus_buffer.scala 536:135] - node _T_4604 = and(_T_4600, _T_4603) @[lsu_bus_buffer.scala 536:119] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4572 @[lsu_bus_buffer.scala 536:48] + node _T_4573 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] + node _T_4574 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 537:115] + node _T_4575 = eq(_T_4574, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] + node _T_4576 = and(_T_4573, _T_4575) @[lsu_bus_buffer.scala 537:103] + node _T_4577 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] + node _T_4578 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] + node _T_4579 = or(_T_4577, _T_4578) @[lsu_bus_buffer.scala 537:135] + node _T_4580 = and(_T_4576, _T_4579) @[lsu_bus_buffer.scala 537:119] + node _T_4581 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] + node _T_4582 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 537:115] + node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] + node _T_4584 = and(_T_4581, _T_4583) @[lsu_bus_buffer.scala 537:103] + node _T_4585 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] + node _T_4586 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] + node _T_4587 = or(_T_4585, _T_4586) @[lsu_bus_buffer.scala 537:135] + node _T_4588 = and(_T_4584, _T_4587) @[lsu_bus_buffer.scala 537:119] + node _T_4589 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] + node _T_4590 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 537:115] + node _T_4591 = eq(_T_4590, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] + node _T_4592 = and(_T_4589, _T_4591) @[lsu_bus_buffer.scala 537:103] + node _T_4593 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] + node _T_4594 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] + node _T_4595 = or(_T_4593, _T_4594) @[lsu_bus_buffer.scala 537:135] + node _T_4596 = and(_T_4592, _T_4595) @[lsu_bus_buffer.scala 537:119] + node _T_4597 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] + node _T_4598 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 537:115] + node _T_4599 = eq(_T_4598, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] + node _T_4600 = and(_T_4597, _T_4599) @[lsu_bus_buffer.scala 537:103] + node _T_4601 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] + node _T_4602 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] + node _T_4603 = or(_T_4601, _T_4602) @[lsu_bus_buffer.scala 537:135] + node _T_4604 = and(_T_4600, _T_4603) @[lsu_bus_buffer.scala 537:119] node _T_4605 = mux(_T_4580, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4606 = mux(_T_4588, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4607 = mux(_T_4596, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -100702,39 +100702,39 @@ circuit quasar_wrapper : node _T_4611 = or(_T_4610, _T_4608) @[Mux.scala 27:72] wire _T_4612 : UInt<2> @[Mux.scala 27:72] _T_4612 <= _T_4611 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4612 @[lsu_bus_buffer.scala 536:46] - node _T_4613 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] - node _T_4614 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 537:101] - node _T_4615 = eq(_T_4614, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] - node _T_4616 = and(_T_4613, _T_4615) @[lsu_bus_buffer.scala 537:89] - node _T_4617 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] - node _T_4618 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] - node _T_4619 = or(_T_4617, _T_4618) @[lsu_bus_buffer.scala 537:121] - node _T_4620 = and(_T_4616, _T_4619) @[lsu_bus_buffer.scala 537:105] - node _T_4621 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] - node _T_4622 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 537:101] - node _T_4623 = eq(_T_4622, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] - node _T_4624 = and(_T_4621, _T_4623) @[lsu_bus_buffer.scala 537:89] - node _T_4625 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] - node _T_4626 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] - node _T_4627 = or(_T_4625, _T_4626) @[lsu_bus_buffer.scala 537:121] - node _T_4628 = and(_T_4624, _T_4627) @[lsu_bus_buffer.scala 537:105] - node _T_4629 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] - node _T_4630 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 537:101] - node _T_4631 = eq(_T_4630, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] - node _T_4632 = and(_T_4629, _T_4631) @[lsu_bus_buffer.scala 537:89] - node _T_4633 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] - node _T_4634 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] - node _T_4635 = or(_T_4633, _T_4634) @[lsu_bus_buffer.scala 537:121] - node _T_4636 = and(_T_4632, _T_4635) @[lsu_bus_buffer.scala 537:105] - node _T_4637 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] - node _T_4638 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 537:101] - node _T_4639 = eq(_T_4638, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] - node _T_4640 = and(_T_4637, _T_4639) @[lsu_bus_buffer.scala 537:89] - node _T_4641 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] - node _T_4642 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] - node _T_4643 = or(_T_4641, _T_4642) @[lsu_bus_buffer.scala 537:121] - node _T_4644 = and(_T_4640, _T_4643) @[lsu_bus_buffer.scala 537:105] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4612 @[lsu_bus_buffer.scala 537:46] + node _T_4613 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4614 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:101] + node _T_4615 = eq(_T_4614, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4616 = and(_T_4613, _T_4615) @[lsu_bus_buffer.scala 538:89] + node _T_4617 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] + node _T_4618 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] + node _T_4619 = or(_T_4617, _T_4618) @[lsu_bus_buffer.scala 538:121] + node _T_4620 = and(_T_4616, _T_4619) @[lsu_bus_buffer.scala 538:105] + node _T_4621 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4622 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:101] + node _T_4623 = eq(_T_4622, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4624 = and(_T_4621, _T_4623) @[lsu_bus_buffer.scala 538:89] + node _T_4625 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] + node _T_4626 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] + node _T_4627 = or(_T_4625, _T_4626) @[lsu_bus_buffer.scala 538:121] + node _T_4628 = and(_T_4624, _T_4627) @[lsu_bus_buffer.scala 538:105] + node _T_4629 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4630 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:101] + node _T_4631 = eq(_T_4630, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4632 = and(_T_4629, _T_4631) @[lsu_bus_buffer.scala 538:89] + node _T_4633 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] + node _T_4634 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] + node _T_4635 = or(_T_4633, _T_4634) @[lsu_bus_buffer.scala 538:121] + node _T_4636 = and(_T_4632, _T_4635) @[lsu_bus_buffer.scala 538:105] + node _T_4637 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4638 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:101] + node _T_4639 = eq(_T_4638, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4640 = and(_T_4637, _T_4639) @[lsu_bus_buffer.scala 538:89] + node _T_4641 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] + node _T_4642 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] + node _T_4643 = or(_T_4641, _T_4642) @[lsu_bus_buffer.scala 538:121] + node _T_4644 = and(_T_4640, _T_4643) @[lsu_bus_buffer.scala 538:105] node _T_4645 = mux(_T_4620, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4646 = mux(_T_4628, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4647 = mux(_T_4636, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -100744,30 +100744,30 @@ circuit quasar_wrapper : node _T_4651 = or(_T_4650, _T_4648) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4651 @[Mux.scala 27:72] - node _T_4652 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] - node _T_4653 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:101] - node _T_4654 = eq(_T_4653, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] - node _T_4655 = and(_T_4652, _T_4654) @[lsu_bus_buffer.scala 538:89] - node _T_4656 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 538:120] - node _T_4657 = and(_T_4655, _T_4656) @[lsu_bus_buffer.scala 538:105] - node _T_4658 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] - node _T_4659 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:101] - node _T_4660 = eq(_T_4659, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] - node _T_4661 = and(_T_4658, _T_4660) @[lsu_bus_buffer.scala 538:89] - node _T_4662 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 538:120] - node _T_4663 = and(_T_4661, _T_4662) @[lsu_bus_buffer.scala 538:105] - node _T_4664 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] - node _T_4665 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:101] - node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] - node _T_4667 = and(_T_4664, _T_4666) @[lsu_bus_buffer.scala 538:89] - node _T_4668 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 538:120] - node _T_4669 = and(_T_4667, _T_4668) @[lsu_bus_buffer.scala 538:105] - node _T_4670 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] - node _T_4671 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:101] - node _T_4672 = eq(_T_4671, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] - node _T_4673 = and(_T_4670, _T_4672) @[lsu_bus_buffer.scala 538:89] - node _T_4674 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 538:120] - node _T_4675 = and(_T_4673, _T_4674) @[lsu_bus_buffer.scala 538:105] + node _T_4652 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] + node _T_4653 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 539:101] + node _T_4654 = eq(_T_4653, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] + node _T_4655 = and(_T_4652, _T_4654) @[lsu_bus_buffer.scala 539:89] + node _T_4656 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 539:120] + node _T_4657 = and(_T_4655, _T_4656) @[lsu_bus_buffer.scala 539:105] + node _T_4658 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] + node _T_4659 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 539:101] + node _T_4660 = eq(_T_4659, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] + node _T_4661 = and(_T_4658, _T_4660) @[lsu_bus_buffer.scala 539:89] + node _T_4662 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 539:120] + node _T_4663 = and(_T_4661, _T_4662) @[lsu_bus_buffer.scala 539:105] + node _T_4664 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] + node _T_4665 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 539:101] + node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] + node _T_4667 = and(_T_4664, _T_4666) @[lsu_bus_buffer.scala 539:89] + node _T_4668 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 539:120] + node _T_4669 = and(_T_4667, _T_4668) @[lsu_bus_buffer.scala 539:105] + node _T_4670 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] + node _T_4671 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 539:101] + node _T_4672 = eq(_T_4671, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] + node _T_4673 = and(_T_4670, _T_4672) @[lsu_bus_buffer.scala 539:89] + node _T_4674 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 539:120] + node _T_4675 = and(_T_4673, _T_4674) @[lsu_bus_buffer.scala 539:105] node _T_4676 = mux(_T_4657, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4677 = mux(_T_4663, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4678 = mux(_T_4669, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -100790,7 +100790,7 @@ circuit quasar_wrapper : node _T_4693 = or(_T_4692, _T_4690) @[Mux.scala 27:72] wire _T_4694 : UInt<32> @[Mux.scala 27:72] _T_4694 <= _T_4693 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4694, 1, 0) @[lsu_bus_buffer.scala 539:96] + node lsu_nonblock_addr_offset = bits(_T_4694, 1, 0) @[lsu_bus_buffer.scala 540:96] node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_4696 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -100842,36 +100842,36 @@ circuit quasar_wrapper : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4738 @[Mux.scala 27:72] node _T_4739 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4740 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 543:121] - node lsu_nonblock_data_unalgn = dshr(_T_4739, _T_4740) @[lsu_bus_buffer.scala 543:92] - node _T_4741 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:82] - node _T_4742 = and(lsu_nonblock_load_data_ready, _T_4741) @[lsu_bus_buffer.scala 545:80] - io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4742 @[lsu_bus_buffer.scala 545:48] - node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:94] - node _T_4744 = and(lsu_nonblock_unsign, _T_4743) @[lsu_bus_buffer.scala 546:76] - node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 546:144] + node _T_4740 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 544:121] + node lsu_nonblock_data_unalgn = dshr(_T_4739, _T_4740) @[lsu_bus_buffer.scala 544:92] + node _T_4741 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:82] + node _T_4742 = and(lsu_nonblock_load_data_ready, _T_4741) @[lsu_bus_buffer.scala 546:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4742 @[lsu_bus_buffer.scala 546:48] + node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:94] + node _T_4744 = and(lsu_nonblock_unsign, _T_4743) @[lsu_bus_buffer.scala 547:76] + node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 547:144] node _T_4746 = cat(UInt<24>("h00"), _T_4745) @[Cat.scala 29:58] - node _T_4747 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 547:45] - node _T_4748 = and(lsu_nonblock_unsign, _T_4747) @[lsu_bus_buffer.scala 547:26] - node _T_4749 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 547:95] + node _T_4747 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 548:45] + node _T_4748 = and(lsu_nonblock_unsign, _T_4747) @[lsu_bus_buffer.scala 548:26] + node _T_4749 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 548:95] node _T_4750 = cat(UInt<16>("h00"), _T_4749) @[Cat.scala 29:58] - node _T_4751 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:6] - node _T_4752 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:45] - node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 548:27] - node _T_4754 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 548:93] + node _T_4751 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:6] + node _T_4752 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:45] + node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 549:27] + node _T_4754 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 549:93] node _T_4755 = bits(_T_4754, 0, 0) @[Bitwise.scala 72:15] node _T_4756 = mux(_T_4755, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4757 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 548:123] + node _T_4757 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 549:123] node _T_4758 = cat(_T_4756, _T_4757) @[Cat.scala 29:58] - node _T_4759 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:6] - node _T_4760 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 549:45] - node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 549:27] - node _T_4762 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 549:93] + node _T_4759 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:6] + node _T_4760 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 550:45] + node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 550:27] + node _T_4762 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 550:93] node _T_4763 = bits(_T_4762, 0, 0) @[Bitwise.scala 72:15] node _T_4764 = mux(_T_4763, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4765 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 549:124] + node _T_4765 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 550:124] node _T_4766 = cat(_T_4764, _T_4765) @[Cat.scala 29:58] - node _T_4767 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 550:21] + node _T_4767 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 551:21] node _T_4768 = mux(_T_4744, _T_4746, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4769 = mux(_T_4748, _T_4750, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4770 = mux(_T_4753, _T_4758, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100883,78 +100883,78 @@ circuit quasar_wrapper : node _T_4776 = or(_T_4775, _T_4772) @[Mux.scala 27:72] wire _T_4777 : UInt<64> @[Mux.scala 27:72] _T_4777 <= _T_4776 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data <= _T_4777 @[lsu_bus_buffer.scala 546:42] - node _T_4778 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] - node _T_4779 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 551:89] - node _T_4780 = and(_T_4778, _T_4779) @[lsu_bus_buffer.scala 551:73] - node _T_4781 = and(_T_4780, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] - node _T_4782 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] - node _T_4783 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 551:89] - node _T_4784 = and(_T_4782, _T_4783) @[lsu_bus_buffer.scala 551:73] - node _T_4785 = and(_T_4784, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] - node _T_4786 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] - node _T_4787 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 551:89] - node _T_4788 = and(_T_4786, _T_4787) @[lsu_bus_buffer.scala 551:73] - node _T_4789 = and(_T_4788, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] - node _T_4790 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] - node _T_4791 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 551:89] - node _T_4792 = and(_T_4790, _T_4791) @[lsu_bus_buffer.scala 551:73] - node _T_4793 = and(_T_4792, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] - node _T_4794 = or(_T_4781, _T_4785) @[lsu_bus_buffer.scala 551:153] - node _T_4795 = or(_T_4794, _T_4789) @[lsu_bus_buffer.scala 551:153] - node _T_4796 = or(_T_4795, _T_4793) @[lsu_bus_buffer.scala 551:153] - node _T_4797 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 551:171] - node _T_4798 = and(_T_4797, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:189] - node _T_4799 = or(_T_4796, _T_4798) @[lsu_bus_buffer.scala 551:157] - bus_sideeffect_pend <= _T_4799 @[lsu_bus_buffer.scala 551:23] - node _T_4800 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] - node _T_4801 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 553:25] - node _T_4802 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] - node _T_4803 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 553:70] - node _T_4804 = eq(_T_4802, _T_4803) @[lsu_bus_buffer.scala 553:56] - node _T_4805 = and(_T_4801, _T_4804) @[lsu_bus_buffer.scala 553:38] - node _T_4806 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:92] - node _T_4807 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:126] - node _T_4808 = and(obuf_merge, _T_4807) @[lsu_bus_buffer.scala 553:114] - node _T_4809 = or(_T_4806, _T_4808) @[lsu_bus_buffer.scala 553:100] - node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] - node _T_4811 = and(_T_4805, _T_4810) @[lsu_bus_buffer.scala 553:78] - node _T_4812 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] - node _T_4813 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 553:25] - node _T_4814 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] - node _T_4815 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 553:70] - node _T_4816 = eq(_T_4814, _T_4815) @[lsu_bus_buffer.scala 553:56] - node _T_4817 = and(_T_4813, _T_4816) @[lsu_bus_buffer.scala 553:38] - node _T_4818 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:92] - node _T_4819 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:126] - node _T_4820 = and(obuf_merge, _T_4819) @[lsu_bus_buffer.scala 553:114] - node _T_4821 = or(_T_4818, _T_4820) @[lsu_bus_buffer.scala 553:100] - node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] - node _T_4823 = and(_T_4817, _T_4822) @[lsu_bus_buffer.scala 553:78] - node _T_4824 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] - node _T_4825 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 553:25] - node _T_4826 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] - node _T_4827 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 553:70] - node _T_4828 = eq(_T_4826, _T_4827) @[lsu_bus_buffer.scala 553:56] - node _T_4829 = and(_T_4825, _T_4828) @[lsu_bus_buffer.scala 553:38] - node _T_4830 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 553:92] - node _T_4831 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 553:126] - node _T_4832 = and(obuf_merge, _T_4831) @[lsu_bus_buffer.scala 553:114] - node _T_4833 = or(_T_4830, _T_4832) @[lsu_bus_buffer.scala 553:100] - node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] - node _T_4835 = and(_T_4829, _T_4834) @[lsu_bus_buffer.scala 553:78] - node _T_4836 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] - node _T_4837 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 553:25] - node _T_4838 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] - node _T_4839 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 553:70] - node _T_4840 = eq(_T_4838, _T_4839) @[lsu_bus_buffer.scala 553:56] - node _T_4841 = and(_T_4837, _T_4840) @[lsu_bus_buffer.scala 553:38] - node _T_4842 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 553:92] - node _T_4843 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 553:126] - node _T_4844 = and(obuf_merge, _T_4843) @[lsu_bus_buffer.scala 553:114] - node _T_4845 = or(_T_4842, _T_4844) @[lsu_bus_buffer.scala 553:100] - node _T_4846 = eq(_T_4845, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] - node _T_4847 = and(_T_4841, _T_4846) @[lsu_bus_buffer.scala 553:78] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4777 @[lsu_bus_buffer.scala 547:42] + node _T_4778 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] + node _T_4779 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 552:89] + node _T_4780 = and(_T_4778, _T_4779) @[lsu_bus_buffer.scala 552:73] + node _T_4781 = and(_T_4780, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] + node _T_4782 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] + node _T_4783 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 552:89] + node _T_4784 = and(_T_4782, _T_4783) @[lsu_bus_buffer.scala 552:73] + node _T_4785 = and(_T_4784, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] + node _T_4786 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] + node _T_4787 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 552:89] + node _T_4788 = and(_T_4786, _T_4787) @[lsu_bus_buffer.scala 552:73] + node _T_4789 = and(_T_4788, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] + node _T_4790 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] + node _T_4791 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 552:89] + node _T_4792 = and(_T_4790, _T_4791) @[lsu_bus_buffer.scala 552:73] + node _T_4793 = and(_T_4792, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] + node _T_4794 = or(_T_4781, _T_4785) @[lsu_bus_buffer.scala 552:153] + node _T_4795 = or(_T_4794, _T_4789) @[lsu_bus_buffer.scala 552:153] + node _T_4796 = or(_T_4795, _T_4793) @[lsu_bus_buffer.scala 552:153] + node _T_4797 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 552:171] + node _T_4798 = and(_T_4797, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:189] + node _T_4799 = or(_T_4796, _T_4798) @[lsu_bus_buffer.scala 552:157] + bus_sideeffect_pend <= _T_4799 @[lsu_bus_buffer.scala 552:23] + node _T_4800 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] + node _T_4801 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] + node _T_4802 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] + node _T_4803 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 554:70] + node _T_4804 = eq(_T_4802, _T_4803) @[lsu_bus_buffer.scala 554:56] + node _T_4805 = and(_T_4801, _T_4804) @[lsu_bus_buffer.scala 554:38] + node _T_4806 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:92] + node _T_4807 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:126] + node _T_4808 = and(obuf_merge, _T_4807) @[lsu_bus_buffer.scala 554:114] + node _T_4809 = or(_T_4806, _T_4808) @[lsu_bus_buffer.scala 554:100] + node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] + node _T_4811 = and(_T_4805, _T_4810) @[lsu_bus_buffer.scala 554:78] + node _T_4812 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] + node _T_4813 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] + node _T_4814 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] + node _T_4815 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 554:70] + node _T_4816 = eq(_T_4814, _T_4815) @[lsu_bus_buffer.scala 554:56] + node _T_4817 = and(_T_4813, _T_4816) @[lsu_bus_buffer.scala 554:38] + node _T_4818 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 554:92] + node _T_4819 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 554:126] + node _T_4820 = and(obuf_merge, _T_4819) @[lsu_bus_buffer.scala 554:114] + node _T_4821 = or(_T_4818, _T_4820) @[lsu_bus_buffer.scala 554:100] + node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] + node _T_4823 = and(_T_4817, _T_4822) @[lsu_bus_buffer.scala 554:78] + node _T_4824 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] + node _T_4825 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] + node _T_4826 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] + node _T_4827 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 554:70] + node _T_4828 = eq(_T_4826, _T_4827) @[lsu_bus_buffer.scala 554:56] + node _T_4829 = and(_T_4825, _T_4828) @[lsu_bus_buffer.scala 554:38] + node _T_4830 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:92] + node _T_4831 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:126] + node _T_4832 = and(obuf_merge, _T_4831) @[lsu_bus_buffer.scala 554:114] + node _T_4833 = or(_T_4830, _T_4832) @[lsu_bus_buffer.scala 554:100] + node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] + node _T_4835 = and(_T_4829, _T_4834) @[lsu_bus_buffer.scala 554:78] + node _T_4836 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] + node _T_4837 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] + node _T_4838 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] + node _T_4839 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 554:70] + node _T_4840 = eq(_T_4838, _T_4839) @[lsu_bus_buffer.scala 554:56] + node _T_4841 = and(_T_4837, _T_4840) @[lsu_bus_buffer.scala 554:38] + node _T_4842 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 554:92] + node _T_4843 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 554:126] + node _T_4844 = and(obuf_merge, _T_4843) @[lsu_bus_buffer.scala 554:114] + node _T_4845 = or(_T_4842, _T_4844) @[lsu_bus_buffer.scala 554:100] + node _T_4846 = eq(_T_4845, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] + node _T_4847 = and(_T_4841, _T_4846) @[lsu_bus_buffer.scala 554:78] node _T_4848 = mux(_T_4800, _T_4811, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4849 = mux(_T_4812, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4850 = mux(_T_4824, _T_4835, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100964,117 +100964,117 @@ circuit quasar_wrapper : node _T_4854 = or(_T_4853, _T_4851) @[Mux.scala 27:72] wire _T_4855 : UInt<1> @[Mux.scala 27:72] _T_4855 <= _T_4854 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4855 @[lsu_bus_buffer.scala 552:26] - node _T_4856 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 555:54] - node _T_4857 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 555:75] - node _T_4858 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 555:153] - node _T_4859 = mux(_T_4856, _T_4857, _T_4858) @[lsu_bus_buffer.scala 555:39] - node _T_4860 = mux(obuf_write, _T_4859, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 555:23] - bus_cmd_ready <= _T_4860 @[lsu_bus_buffer.scala 555:17] - node _T_4861 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 556:40] - bus_wcmd_sent <= _T_4861 @[lsu_bus_buffer.scala 556:17] - node _T_4862 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 557:40] - bus_wdata_sent <= _T_4862 @[lsu_bus_buffer.scala 557:18] - node _T_4863 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 558:35] - node _T_4864 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 558:70] - node _T_4865 = and(_T_4863, _T_4864) @[lsu_bus_buffer.scala 558:52] - node _T_4866 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 558:112] - node _T_4867 = or(_T_4865, _T_4866) @[lsu_bus_buffer.scala 558:89] - bus_cmd_sent <= _T_4867 @[lsu_bus_buffer.scala 558:16] - node _T_4868 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 559:38] - bus_rsp_read <= _T_4868 @[lsu_bus_buffer.scala 559:16] - node _T_4869 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 560:39] - bus_rsp_write <= _T_4869 @[lsu_bus_buffer.scala 560:17] - bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 561:20] - bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 562:21] - node _T_4870 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:66] - node _T_4871 = and(bus_rsp_write, _T_4870) @[lsu_bus_buffer.scala 563:40] - bus_rsp_write_error <= _T_4871 @[lsu_bus_buffer.scala 563:23] - node _T_4872 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:64] - node _T_4873 = and(bus_rsp_read, _T_4872) @[lsu_bus_buffer.scala 564:38] - bus_rsp_read_error <= _T_4873 @[lsu_bus_buffer.scala 564:22] - bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 565:17] - node _T_4874 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 568:37] - node _T_4875 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:52] - node _T_4876 = and(_T_4874, _T_4875) @[lsu_bus_buffer.scala 568:50] - node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:69] - node _T_4878 = and(_T_4876, _T_4877) @[lsu_bus_buffer.scala 568:67] - io.lsu_axi.aw.valid <= _T_4878 @[lsu_bus_buffer.scala 568:23] - io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 569:25] - node _T_4879 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 570:75] + bus_addr_match_pending <= _T_4855 @[lsu_bus_buffer.scala 553:26] + node _T_4856 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 556:54] + node _T_4857 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 556:75] + node _T_4858 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 556:153] + node _T_4859 = mux(_T_4856, _T_4857, _T_4858) @[lsu_bus_buffer.scala 556:39] + node _T_4860 = mux(obuf_write, _T_4859, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 556:23] + bus_cmd_ready <= _T_4860 @[lsu_bus_buffer.scala 556:17] + node _T_4861 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 557:40] + bus_wcmd_sent <= _T_4861 @[lsu_bus_buffer.scala 557:17] + node _T_4862 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 558:40] + bus_wdata_sent <= _T_4862 @[lsu_bus_buffer.scala 558:18] + node _T_4863 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 559:35] + node _T_4864 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 559:70] + node _T_4865 = and(_T_4863, _T_4864) @[lsu_bus_buffer.scala 559:52] + node _T_4866 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 559:112] + node _T_4867 = or(_T_4865, _T_4866) @[lsu_bus_buffer.scala 559:89] + bus_cmd_sent <= _T_4867 @[lsu_bus_buffer.scala 559:16] + node _T_4868 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 560:38] + bus_rsp_read <= _T_4868 @[lsu_bus_buffer.scala 560:16] + node _T_4869 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 561:39] + bus_rsp_write <= _T_4869 @[lsu_bus_buffer.scala 561:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 562:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 563:21] + node _T_4870 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:66] + node _T_4871 = and(bus_rsp_write, _T_4870) @[lsu_bus_buffer.scala 564:40] + bus_rsp_write_error <= _T_4871 @[lsu_bus_buffer.scala 564:23] + node _T_4872 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 565:64] + node _T_4873 = and(bus_rsp_read, _T_4872) @[lsu_bus_buffer.scala 565:38] + bus_rsp_read_error <= _T_4873 @[lsu_bus_buffer.scala 565:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 566:17] + node _T_4874 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 569:37] + node _T_4875 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 569:52] + node _T_4876 = and(_T_4874, _T_4875) @[lsu_bus_buffer.scala 569:50] + node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 569:69] + node _T_4878 = and(_T_4876, _T_4877) @[lsu_bus_buffer.scala 569:67] + io.lsu_axi.aw.valid <= _T_4878 @[lsu_bus_buffer.scala 569:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 570:25] + node _T_4879 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 571:75] node _T_4880 = cat(_T_4879, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4881 = mux(obuf_sideeffect, obuf_addr, _T_4880) @[lsu_bus_buffer.scala 570:33] - io.lsu_axi.aw.bits.addr <= _T_4881 @[lsu_bus_buffer.scala 570:27] + node _T_4881 = mux(obuf_sideeffect, obuf_addr, _T_4880) @[lsu_bus_buffer.scala 571:33] + io.lsu_axi.aw.bits.addr <= _T_4881 @[lsu_bus_buffer.scala 571:27] node _T_4882 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4883 = mux(obuf_sideeffect, _T_4882, UInt<3>("h03")) @[lsu_bus_buffer.scala 571:33] - io.lsu_axi.aw.bits.size <= _T_4883 @[lsu_bus_buffer.scala 571:27] - io.lsu_axi.aw.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 572:27] - node _T_4884 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 573:34] - io.lsu_axi.aw.bits.cache <= _T_4884 @[lsu_bus_buffer.scala 573:28] - node _T_4885 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 574:41] - io.lsu_axi.aw.bits.region <= _T_4885 @[lsu_bus_buffer.scala 574:29] - io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 575:26] - io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 576:28] - io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 577:26] - io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 578:27] - node _T_4886 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 580:36] - node _T_4887 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 580:51] - node _T_4888 = and(_T_4886, _T_4887) @[lsu_bus_buffer.scala 580:49] - node _T_4889 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 580:69] - node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 580:67] - io.lsu_axi.w.valid <= _T_4890 @[lsu_bus_buffer.scala 580:22] + node _T_4883 = mux(obuf_sideeffect, _T_4882, UInt<3>("h03")) @[lsu_bus_buffer.scala 572:33] + io.lsu_axi.aw.bits.size <= _T_4883 @[lsu_bus_buffer.scala 572:27] + io.lsu_axi.aw.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 573:27] + node _T_4884 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 574:34] + io.lsu_axi.aw.bits.cache <= _T_4884 @[lsu_bus_buffer.scala 574:28] + node _T_4885 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 575:41] + io.lsu_axi.aw.bits.region <= _T_4885 @[lsu_bus_buffer.scala 575:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 576:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 577:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 578:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 579:27] + node _T_4886 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 581:36] + node _T_4887 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 581:51] + node _T_4888 = and(_T_4886, _T_4887) @[lsu_bus_buffer.scala 581:49] + node _T_4889 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 581:69] + node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 581:67] + io.lsu_axi.w.valid <= _T_4890 @[lsu_bus_buffer.scala 581:22] node _T_4891 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4892 = mux(_T_4891, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4893 = and(obuf_byteen, _T_4892) @[lsu_bus_buffer.scala 581:41] - io.lsu_axi.w.bits.strb <= _T_4893 @[lsu_bus_buffer.scala 581:26] - io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 582:26] - io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 583:26] - node _T_4894 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:39] - node _T_4895 = and(obuf_valid, _T_4894) @[lsu_bus_buffer.scala 585:37] - node _T_4896 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:53] - node _T_4897 = and(_T_4895, _T_4896) @[lsu_bus_buffer.scala 585:51] - node _T_4898 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:68] - node _T_4899 = and(_T_4897, _T_4898) @[lsu_bus_buffer.scala 585:66] - io.lsu_axi.ar.valid <= _T_4899 @[lsu_bus_buffer.scala 585:23] - io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 586:25] - node _T_4900 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 587:75] + node _T_4893 = and(obuf_byteen, _T_4892) @[lsu_bus_buffer.scala 582:41] + io.lsu_axi.w.bits.strb <= _T_4893 @[lsu_bus_buffer.scala 582:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 583:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 584:26] + node _T_4894 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 586:39] + node _T_4895 = and(obuf_valid, _T_4894) @[lsu_bus_buffer.scala 586:37] + node _T_4896 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 586:53] + node _T_4897 = and(_T_4895, _T_4896) @[lsu_bus_buffer.scala 586:51] + node _T_4898 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 586:68] + node _T_4899 = and(_T_4897, _T_4898) @[lsu_bus_buffer.scala 586:66] + io.lsu_axi.ar.valid <= _T_4899 @[lsu_bus_buffer.scala 586:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 587:25] + node _T_4900 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 588:75] node _T_4901 = cat(_T_4900, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4902 = mux(obuf_sideeffect, obuf_addr, _T_4901) @[lsu_bus_buffer.scala 587:33] - io.lsu_axi.ar.bits.addr <= _T_4902 @[lsu_bus_buffer.scala 587:27] + node _T_4902 = mux(obuf_sideeffect, obuf_addr, _T_4901) @[lsu_bus_buffer.scala 588:33] + io.lsu_axi.ar.bits.addr <= _T_4902 @[lsu_bus_buffer.scala 588:27] node _T_4903 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4904 = mux(obuf_sideeffect, _T_4903, UInt<3>("h03")) @[lsu_bus_buffer.scala 588:33] - io.lsu_axi.ar.bits.size <= _T_4904 @[lsu_bus_buffer.scala 588:27] - io.lsu_axi.ar.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 589:27] - node _T_4905 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 590:34] - io.lsu_axi.ar.bits.cache <= _T_4905 @[lsu_bus_buffer.scala 590:28] - node _T_4906 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 591:41] - io.lsu_axi.ar.bits.region <= _T_4906 @[lsu_bus_buffer.scala 591:29] - io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 592:26] - io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 593:28] - io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 594:26] - io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 595:27] - io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 596:22] - io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:22] - node _T_4907 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] - node _T_4908 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 598:137] - node _T_4909 = and(io.lsu_bus_clk_en_q, _T_4908) @[lsu_bus_buffer.scala 598:126] - node _T_4910 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 598:152] - node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 598:141] - node _T_4912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] - node _T_4913 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 598:137] - node _T_4914 = and(io.lsu_bus_clk_en_q, _T_4913) @[lsu_bus_buffer.scala 598:126] - node _T_4915 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 598:152] - node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 598:141] - node _T_4917 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] - node _T_4918 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 598:137] - node _T_4919 = and(io.lsu_bus_clk_en_q, _T_4918) @[lsu_bus_buffer.scala 598:126] - node _T_4920 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 598:152] - node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 598:141] - node _T_4922 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] - node _T_4923 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 598:137] - node _T_4924 = and(io.lsu_bus_clk_en_q, _T_4923) @[lsu_bus_buffer.scala 598:126] - node _T_4925 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 598:152] - node _T_4926 = and(_T_4924, _T_4925) @[lsu_bus_buffer.scala 598:141] + node _T_4904 = mux(obuf_sideeffect, _T_4903, UInt<3>("h03")) @[lsu_bus_buffer.scala 589:33] + io.lsu_axi.ar.bits.size <= _T_4904 @[lsu_bus_buffer.scala 589:27] + io.lsu_axi.ar.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 590:27] + node _T_4905 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 591:34] + io.lsu_axi.ar.bits.cache <= _T_4905 @[lsu_bus_buffer.scala 591:28] + node _T_4906 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 592:41] + io.lsu_axi.ar.bits.region <= _T_4906 @[lsu_bus_buffer.scala 592:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 593:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 594:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 595:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 596:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 598:22] + node _T_4907 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] + node _T_4908 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 599:137] + node _T_4909 = and(io.lsu_bus_clk_en_q, _T_4908) @[lsu_bus_buffer.scala 599:126] + node _T_4910 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 599:152] + node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 599:141] + node _T_4912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] + node _T_4913 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 599:137] + node _T_4914 = and(io.lsu_bus_clk_en_q, _T_4913) @[lsu_bus_buffer.scala 599:126] + node _T_4915 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 599:152] + node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 599:141] + node _T_4917 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] + node _T_4918 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 599:137] + node _T_4919 = and(io.lsu_bus_clk_en_q, _T_4918) @[lsu_bus_buffer.scala 599:126] + node _T_4920 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 599:152] + node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 599:141] + node _T_4922 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] + node _T_4923 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 599:137] + node _T_4924 = and(io.lsu_bus_clk_en_q, _T_4923) @[lsu_bus_buffer.scala 599:126] + node _T_4925 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 599:152] + node _T_4926 = and(_T_4924, _T_4925) @[lsu_bus_buffer.scala 599:141] node _T_4927 = mux(_T_4907, _T_4911, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4928 = mux(_T_4912, _T_4916, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4929 = mux(_T_4917, _T_4921, UInt<1>("h00")) @[Mux.scala 27:72] @@ -101084,27 +101084,27 @@ circuit quasar_wrapper : node _T_4933 = or(_T_4932, _T_4930) @[Mux.scala 27:72] wire _T_4934 : UInt<1> @[Mux.scala 27:72] _T_4934 <= _T_4933 @[Mux.scala 27:72] - io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4934 @[lsu_bus_buffer.scala 598:48] - node _T_4935 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] - node _T_4936 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 599:104] - node _T_4937 = and(_T_4935, _T_4936) @[lsu_bus_buffer.scala 599:93] - node _T_4938 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 599:119] - node _T_4939 = and(_T_4937, _T_4938) @[lsu_bus_buffer.scala 599:108] - node _T_4940 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] - node _T_4941 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 599:104] - node _T_4942 = and(_T_4940, _T_4941) @[lsu_bus_buffer.scala 599:93] - node _T_4943 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 599:119] - node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 599:108] - node _T_4945 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] - node _T_4946 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 599:104] - node _T_4947 = and(_T_4945, _T_4946) @[lsu_bus_buffer.scala 599:93] - node _T_4948 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 599:119] - node _T_4949 = and(_T_4947, _T_4948) @[lsu_bus_buffer.scala 599:108] - node _T_4950 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] - node _T_4951 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 599:104] - node _T_4952 = and(_T_4950, _T_4951) @[lsu_bus_buffer.scala 599:93] - node _T_4953 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 599:119] - node _T_4954 = and(_T_4952, _T_4953) @[lsu_bus_buffer.scala 599:108] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4934 @[lsu_bus_buffer.scala 599:48] + node _T_4935 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] + node _T_4936 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 600:104] + node _T_4937 = and(_T_4935, _T_4936) @[lsu_bus_buffer.scala 600:93] + node _T_4938 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 600:119] + node _T_4939 = and(_T_4937, _T_4938) @[lsu_bus_buffer.scala 600:108] + node _T_4940 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] + node _T_4941 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 600:104] + node _T_4942 = and(_T_4940, _T_4941) @[lsu_bus_buffer.scala 600:93] + node _T_4943 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 600:119] + node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 600:108] + node _T_4945 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] + node _T_4946 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 600:104] + node _T_4947 = and(_T_4945, _T_4946) @[lsu_bus_buffer.scala 600:93] + node _T_4948 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 600:119] + node _T_4949 = and(_T_4947, _T_4948) @[lsu_bus_buffer.scala 600:108] + node _T_4950 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] + node _T_4951 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 600:104] + node _T_4952 = and(_T_4950, _T_4951) @[lsu_bus_buffer.scala 600:93] + node _T_4953 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 600:119] + node _T_4954 = and(_T_4952, _T_4953) @[lsu_bus_buffer.scala 600:108] node _T_4955 = mux(_T_4939, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4956 = mux(_T_4944, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4957 = mux(_T_4949, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -101114,49 +101114,49 @@ circuit quasar_wrapper : node _T_4961 = or(_T_4960, _T_4958) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4961 @[Mux.scala 27:72] - node _T_4962 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 601:97] - node _T_4963 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4962) @[lsu_bus_buffer.scala 601:95] - io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4963 @[lsu_bus_buffer.scala 601:47] - node _T_4964 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 602:53] - io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4964 @[lsu_bus_buffer.scala 602:47] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[lsu_bus_buffer.scala 603:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[lsu_bus_buffer.scala 605:23] - node _T_4965 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 608:59] - node _T_4966 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 608:104] - node _T_4967 = or(_T_4965, _T_4966) @[lsu_bus_buffer.scala 608:82] - node _T_4968 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 608:149] - node _T_4969 = or(_T_4967, _T_4968) @[lsu_bus_buffer.scala 608:126] - io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4969 @[lsu_bus_buffer.scala 608:35] - node _T_4970 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 609:60] - node _T_4971 = and(_T_4970, io.lsu_commit_r) @[lsu_bus_buffer.scala 609:77] - io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4971 @[lsu_bus_buffer.scala 609:41] - node _T_4972 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 610:83] - io.tlu_busbuff.lsu_pmu_bus_error <= _T_4972 @[lsu_bus_buffer.scala 610:36] - node _T_4973 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:61] - node _T_4974 = and(io.lsu_axi.aw.valid, _T_4973) @[lsu_bus_buffer.scala 612:59] - node _T_4975 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:107] - node _T_4976 = and(io.lsu_axi.w.valid, _T_4975) @[lsu_bus_buffer.scala 612:105] - node _T_4977 = or(_T_4974, _T_4976) @[lsu_bus_buffer.scala 612:83] - node _T_4978 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:153] - node _T_4979 = and(io.lsu_axi.ar.valid, _T_4978) @[lsu_bus_buffer.scala 612:151] - node _T_4980 = or(_T_4977, _T_4979) @[lsu_bus_buffer.scala 612:128] - io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4980 @[lsu_bus_buffer.scala 612:35] - reg _T_4981 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 614:49] - _T_4981 <= WrPtr0_m @[lsu_bus_buffer.scala 614:49] - WrPtr0_r <= _T_4981 @[lsu_bus_buffer.scala 614:12] - reg _T_4982 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 615:49] - _T_4982 <= WrPtr1_m @[lsu_bus_buffer.scala 615:49] - WrPtr1_r <= _T_4982 @[lsu_bus_buffer.scala 615:12] - node _T_4983 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:75] - node _T_4984 = and(io.lsu_busreq_m, _T_4983) @[lsu_bus_buffer.scala 616:73] - node _T_4985 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:89] - node _T_4986 = and(_T_4984, _T_4985) @[lsu_bus_buffer.scala 616:87] - reg _T_4987 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 616:56] - _T_4987 <= _T_4986 @[lsu_bus_buffer.scala 616:56] - io.lsu_busreq_r <= _T_4987 @[lsu_bus_buffer.scala 616:19] - reg _T_4988 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 617:66] - _T_4988 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 617:66] - lsu_nonblock_load_valid_r <= _T_4988 @[lsu_bus_buffer.scala 617:29] + node _T_4962 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 602:97] + node _T_4963 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4962) @[lsu_bus_buffer.scala 602:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4963 @[lsu_bus_buffer.scala 602:47] + node _T_4964 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 603:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4964 @[lsu_bus_buffer.scala 603:47] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[lsu_bus_buffer.scala 604:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[lsu_bus_buffer.scala 606:23] + node _T_4965 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 609:59] + node _T_4966 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 609:104] + node _T_4967 = or(_T_4965, _T_4966) @[lsu_bus_buffer.scala 609:82] + node _T_4968 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 609:149] + node _T_4969 = or(_T_4967, _T_4968) @[lsu_bus_buffer.scala 609:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4969 @[lsu_bus_buffer.scala 609:35] + node _T_4970 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 610:60] + node _T_4971 = and(_T_4970, io.lsu_commit_r) @[lsu_bus_buffer.scala 610:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4971 @[lsu_bus_buffer.scala 610:41] + node _T_4972 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 611:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4972 @[lsu_bus_buffer.scala 611:36] + node _T_4973 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:61] + node _T_4974 = and(io.lsu_axi.aw.valid, _T_4973) @[lsu_bus_buffer.scala 613:59] + node _T_4975 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:107] + node _T_4976 = and(io.lsu_axi.w.valid, _T_4975) @[lsu_bus_buffer.scala 613:105] + node _T_4977 = or(_T_4974, _T_4976) @[lsu_bus_buffer.scala 613:83] + node _T_4978 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:153] + node _T_4979 = and(io.lsu_axi.ar.valid, _T_4978) @[lsu_bus_buffer.scala 613:151] + node _T_4980 = or(_T_4977, _T_4979) @[lsu_bus_buffer.scala 613:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4980 @[lsu_bus_buffer.scala 613:35] + reg _T_4981 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 615:49] + _T_4981 <= WrPtr0_m @[lsu_bus_buffer.scala 615:49] + WrPtr0_r <= _T_4981 @[lsu_bus_buffer.scala 615:12] + reg _T_4982 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 616:49] + _T_4982 <= WrPtr1_m @[lsu_bus_buffer.scala 616:49] + WrPtr1_r <= _T_4982 @[lsu_bus_buffer.scala 616:12] + node _T_4983 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 617:75] + node _T_4984 = and(io.lsu_busreq_m, _T_4983) @[lsu_bus_buffer.scala 617:73] + node _T_4985 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 617:89] + node _T_4986 = and(_T_4984, _T_4985) @[lsu_bus_buffer.scala 617:87] + reg _T_4987 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 617:56] + _T_4987 <= _T_4986 @[lsu_bus_buffer.scala 617:56] + io.lsu_busreq_r <= _T_4987 @[lsu_bus_buffer.scala 617:19] + reg _T_4988 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 618:66] + _T_4988 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 618:66] + lsu_nonblock_load_valid_r <= _T_4988 @[lsu_bus_buffer.scala 618:29] module lsu_bus_intf : input clock : Clock @@ -101251,132 +101251,132 @@ circuit quasar_wrapper : ld_full_hit_lo_m <= UInt<1>("h01") wire ld_full_hit_m : UInt<1> ld_full_hit_m <= UInt<1>("h00") - inst bus_buffer of lsu_bus_buffer @[lsu_bus_intf.scala 102:39] + inst bus_buffer of lsu_bus_buffer @[lsu_bus_intf.scala 100:39] bus_buffer.clock <= clock bus_buffer.reset <= reset - bus_buffer.io.scan_mode <= io.scan_mode @[lsu_bus_intf.scala 104:29] - io.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu_bus_intf.scala 105:18] - io.tlu_busbuff.lsu_imprecise_error_store_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu_bus_intf.scala 105:18] - io.tlu_busbuff.lsu_imprecise_error_load_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu_bus_intf.scala 105:18] - bus_buffer.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu_bus_intf.scala 105:18] - bus_buffer.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu_bus_intf.scala 105:18] - bus_buffer.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu_bus_intf.scala 105:18] - io.tlu_busbuff.lsu_pmu_bus_busy <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu_bus_intf.scala 105:18] - io.tlu_busbuff.lsu_pmu_bus_error <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_error @[lsu_bus_intf.scala 105:18] - io.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu_bus_intf.scala 105:18] - io.tlu_busbuff.lsu_pmu_bus_trxn <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu_bus_intf.scala 105:18] - bus_buffer.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu_bus_intf.scala 107:51] - bus_buffer.io.lsu_c2_r_clk <= io.lsu_c2_r_clk @[lsu_bus_intf.scala 108:51] - bus_buffer.io.lsu_bus_ibuf_c1_clk <= io.lsu_bus_ibuf_c1_clk @[lsu_bus_intf.scala 109:51] - bus_buffer.io.lsu_bus_obuf_c1_clk <= io.lsu_bus_obuf_c1_clk @[lsu_bus_intf.scala 110:51] - bus_buffer.io.lsu_bus_buf_c1_clk <= io.lsu_bus_buf_c1_clk @[lsu_bus_intf.scala 111:51] - bus_buffer.io.lsu_free_c2_clk <= io.lsu_free_c2_clk @[lsu_bus_intf.scala 112:51] - bus_buffer.io.lsu_busm_clk <= io.lsu_busm_clk @[lsu_bus_intf.scala 113:51] - bus_buffer.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu_bus_intf.scala 114:51] - bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_m.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_m.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_m.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_m.bits.store <= io.lsu_pkt_m.bits.store @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_m.bits.load <= io.lsu_pkt_m.bits.load @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_m.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_m.bits.word <= io.lsu_pkt_m.bits.word @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_m.bits.half <= io.lsu_pkt_m.bits.half @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_m.bits.by <= io.lsu_pkt_m.bits.by @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_m.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_m.valid <= io.lsu_pkt_m.valid @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_m <= io.lsu_pkt_r.bits.store_data_bypass_m @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_pkt_r.bits.load_ldst_bypass_d <= io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_d <= io.lsu_pkt_r.bits.store_data_bypass_d @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_pkt_r.bits.dma <= io.lsu_pkt_r.bits.dma @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_pkt_r.bits.unsign <= io.lsu_pkt_r.bits.unsign @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_pkt_r.bits.store <= io.lsu_pkt_r.bits.store @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_pkt_r.bits.load <= io.lsu_pkt_r.bits.load @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_pkt_r.bits.dword <= io.lsu_pkt_r.bits.dword @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_pkt_r.bits.word <= io.lsu_pkt_r.bits.word @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_pkt_r.bits.half <= io.lsu_pkt_r.bits.half @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_pkt_r.bits.by <= io.lsu_pkt_r.bits.by @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_pkt_r.bits.fast_int <= io.lsu_pkt_r.bits.fast_int @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_pkt_r.valid <= io.lsu_pkt_r.valid @[lsu_bus_intf.scala 118:27] - bus_buffer.io.lsu_addr_m <= io.lsu_addr_m @[lsu_bus_intf.scala 121:51] - bus_buffer.io.end_addr_m <= io.end_addr_m @[lsu_bus_intf.scala 122:51] - bus_buffer.io.lsu_addr_r <= io.lsu_addr_r @[lsu_bus_intf.scala 123:51] - bus_buffer.io.end_addr_r <= io.end_addr_r @[lsu_bus_intf.scala 124:51] - bus_buffer.io.store_data_r <= io.store_data_r @[lsu_bus_intf.scala 125:51] - bus_buffer.io.lsu_busreq_m <= io.lsu_busreq_m @[lsu_bus_intf.scala 127:51] - bus_buffer.io.flush_m_up <= io.flush_m_up @[lsu_bus_intf.scala 128:51] - bus_buffer.io.flush_r <= io.flush_r @[lsu_bus_intf.scala 129:51] - bus_buffer.io.lsu_commit_r <= io.lsu_commit_r @[lsu_bus_intf.scala 130:51] - bus_buffer.io.lsu_axi.r.bits.last <= io.axi.r.bits.last @[lsu_bus_intf.scala 131:43] - bus_buffer.io.lsu_axi.r.bits.resp <= io.axi.r.bits.resp @[lsu_bus_intf.scala 131:43] - bus_buffer.io.lsu_axi.r.bits.data <= io.axi.r.bits.data @[lsu_bus_intf.scala 131:43] - bus_buffer.io.lsu_axi.r.bits.id <= io.axi.r.bits.id @[lsu_bus_intf.scala 131:43] - bus_buffer.io.lsu_axi.r.valid <= io.axi.r.valid @[lsu_bus_intf.scala 131:43] - io.axi.r.ready <= bus_buffer.io.lsu_axi.r.ready @[lsu_bus_intf.scala 131:43] - io.axi.ar.bits.qos <= bus_buffer.io.lsu_axi.ar.bits.qos @[lsu_bus_intf.scala 131:43] - io.axi.ar.bits.prot <= bus_buffer.io.lsu_axi.ar.bits.prot @[lsu_bus_intf.scala 131:43] - io.axi.ar.bits.cache <= bus_buffer.io.lsu_axi.ar.bits.cache @[lsu_bus_intf.scala 131:43] - io.axi.ar.bits.lock <= bus_buffer.io.lsu_axi.ar.bits.lock @[lsu_bus_intf.scala 131:43] - io.axi.ar.bits.burst <= bus_buffer.io.lsu_axi.ar.bits.burst @[lsu_bus_intf.scala 131:43] - io.axi.ar.bits.size <= bus_buffer.io.lsu_axi.ar.bits.size @[lsu_bus_intf.scala 131:43] - io.axi.ar.bits.len <= bus_buffer.io.lsu_axi.ar.bits.len @[lsu_bus_intf.scala 131:43] - io.axi.ar.bits.region <= bus_buffer.io.lsu_axi.ar.bits.region @[lsu_bus_intf.scala 131:43] - io.axi.ar.bits.addr <= bus_buffer.io.lsu_axi.ar.bits.addr @[lsu_bus_intf.scala 131:43] - io.axi.ar.bits.id <= bus_buffer.io.lsu_axi.ar.bits.id @[lsu_bus_intf.scala 131:43] - io.axi.ar.valid <= bus_buffer.io.lsu_axi.ar.valid @[lsu_bus_intf.scala 131:43] - bus_buffer.io.lsu_axi.ar.ready <= io.axi.ar.ready @[lsu_bus_intf.scala 131:43] - bus_buffer.io.lsu_axi.b.bits.id <= io.axi.b.bits.id @[lsu_bus_intf.scala 131:43] - bus_buffer.io.lsu_axi.b.bits.resp <= io.axi.b.bits.resp @[lsu_bus_intf.scala 131:43] - bus_buffer.io.lsu_axi.b.valid <= io.axi.b.valid @[lsu_bus_intf.scala 131:43] - io.axi.b.ready <= bus_buffer.io.lsu_axi.b.ready @[lsu_bus_intf.scala 131:43] - io.axi.w.bits.last <= bus_buffer.io.lsu_axi.w.bits.last @[lsu_bus_intf.scala 131:43] - io.axi.w.bits.strb <= bus_buffer.io.lsu_axi.w.bits.strb @[lsu_bus_intf.scala 131:43] - io.axi.w.bits.data <= bus_buffer.io.lsu_axi.w.bits.data @[lsu_bus_intf.scala 131:43] - io.axi.w.valid <= bus_buffer.io.lsu_axi.w.valid @[lsu_bus_intf.scala 131:43] - bus_buffer.io.lsu_axi.w.ready <= io.axi.w.ready @[lsu_bus_intf.scala 131:43] - io.axi.aw.bits.qos <= bus_buffer.io.lsu_axi.aw.bits.qos @[lsu_bus_intf.scala 131:43] - io.axi.aw.bits.prot <= bus_buffer.io.lsu_axi.aw.bits.prot @[lsu_bus_intf.scala 131:43] - io.axi.aw.bits.cache <= bus_buffer.io.lsu_axi.aw.bits.cache @[lsu_bus_intf.scala 131:43] - io.axi.aw.bits.lock <= bus_buffer.io.lsu_axi.aw.bits.lock @[lsu_bus_intf.scala 131:43] - io.axi.aw.bits.burst <= bus_buffer.io.lsu_axi.aw.bits.burst @[lsu_bus_intf.scala 131:43] - io.axi.aw.bits.size <= bus_buffer.io.lsu_axi.aw.bits.size @[lsu_bus_intf.scala 131:43] - io.axi.aw.bits.len <= bus_buffer.io.lsu_axi.aw.bits.len @[lsu_bus_intf.scala 131:43] - io.axi.aw.bits.region <= bus_buffer.io.lsu_axi.aw.bits.region @[lsu_bus_intf.scala 131:43] - io.axi.aw.bits.addr <= bus_buffer.io.lsu_axi.aw.bits.addr @[lsu_bus_intf.scala 131:43] - io.axi.aw.bits.id <= bus_buffer.io.lsu_axi.aw.bits.id @[lsu_bus_intf.scala 131:43] - io.axi.aw.valid <= bus_buffer.io.lsu_axi.aw.valid @[lsu_bus_intf.scala 131:43] - bus_buffer.io.lsu_axi.aw.ready <= io.axi.aw.ready @[lsu_bus_intf.scala 131:43] - bus_buffer.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 132:51] - io.lsu_busreq_r <= bus_buffer.io.lsu_busreq_r @[lsu_bus_intf.scala 134:38] - io.lsu_bus_buffer_pend_any <= bus_buffer.io.lsu_bus_buffer_pend_any @[lsu_bus_intf.scala 135:38] - io.lsu_bus_buffer_full_any <= bus_buffer.io.lsu_bus_buffer_full_any @[lsu_bus_intf.scala 136:38] - io.lsu_bus_buffer_empty_any <= bus_buffer.io.lsu_bus_buffer_empty_any @[lsu_bus_intf.scala 137:38] - io.lsu_bus_idle_any <= bus_buffer.io.lsu_bus_idle_any @[lsu_bus_intf.scala 138:38] - ld_byte_hit_buf_lo <= bus_buffer.io.ld_byte_hit_buf_lo @[lsu_bus_intf.scala 139:38] - ld_byte_hit_buf_hi <= bus_buffer.io.ld_byte_hit_buf_hi @[lsu_bus_intf.scala 140:38] - ld_fwddata_buf_lo <= bus_buffer.io.ld_fwddata_buf_lo @[lsu_bus_intf.scala 141:38] - ld_fwddata_buf_hi <= bus_buffer.io.ld_fwddata_buf_hi @[lsu_bus_intf.scala 142:38] - io.dctl_busbuff.lsu_nonblock_load_data <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data @[lsu_bus_intf.scala 143:19] - io.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu_bus_intf.scala 143:19] - io.dctl_busbuff.lsu_nonblock_load_data_error <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu_bus_intf.scala 143:19] - io.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu_bus_intf.scala 143:19] - io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu_bus_intf.scala 143:19] - io.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu_bus_intf.scala 143:19] - io.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu_bus_intf.scala 143:19] - io.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_intf.scala 143:19] - bus_buffer.io.no_word_merge_r <= no_word_merge_r @[lsu_bus_intf.scala 144:51] - bus_buffer.io.no_dword_merge_r <= no_dword_merge_r @[lsu_bus_intf.scala 145:51] - bus_buffer.io.is_sideeffects_r <= is_sideeffects_r @[lsu_bus_intf.scala 146:51] - bus_buffer.io.ldst_dual_d <= ldst_dual_d @[lsu_bus_intf.scala 147:51] - bus_buffer.io.ldst_dual_m <= ldst_dual_m @[lsu_bus_intf.scala 148:51] - bus_buffer.io.ldst_dual_r <= ldst_dual_r @[lsu_bus_intf.scala 149:51] - bus_buffer.io.ldst_byteen_ext_m <= ldst_byteen_ext_m @[lsu_bus_intf.scala 150:51] - bus_buffer.io.ld_full_hit_m <= ld_full_hit_m @[lsu_bus_intf.scala 151:51] - bus_buffer.io.lsu_bus_clk_en_q <= lsu_bus_clk_en_q @[lsu_bus_intf.scala 152:51] - node _T = bits(io.lsu_pkt_m.bits.word, 0, 0) @[lsu_bus_intf.scala 154:63] - node _T_1 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[lsu_bus_intf.scala 154:107] - node _T_2 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[lsu_bus_intf.scala 154:148] + bus_buffer.io.scan_mode <= io.scan_mode @[lsu_bus_intf.scala 102:29] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_imprecise_error_store_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_imprecise_error_load_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_busy <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_error <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_error @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_trxn <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu_bus_intf.scala 103:18] + bus_buffer.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu_bus_intf.scala 105:51] + bus_buffer.io.lsu_c2_r_clk <= io.lsu_c2_r_clk @[lsu_bus_intf.scala 106:51] + bus_buffer.io.lsu_bus_ibuf_c1_clk <= io.lsu_bus_ibuf_c1_clk @[lsu_bus_intf.scala 107:51] + bus_buffer.io.lsu_bus_obuf_c1_clk <= io.lsu_bus_obuf_c1_clk @[lsu_bus_intf.scala 108:51] + bus_buffer.io.lsu_bus_buf_c1_clk <= io.lsu_bus_buf_c1_clk @[lsu_bus_intf.scala 109:51] + bus_buffer.io.lsu_free_c2_clk <= io.lsu_free_c2_clk @[lsu_bus_intf.scala 110:51] + bus_buffer.io.lsu_busm_clk <= io.lsu_busm_clk @[lsu_bus_intf.scala 111:51] + bus_buffer.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu_bus_intf.scala 112:51] + bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.store <= io.lsu_pkt_m.bits.store @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.load <= io.lsu_pkt_m.bits.load @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.word <= io.lsu_pkt_m.bits.word @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.half <= io.lsu_pkt_m.bits.half @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.by <= io.lsu_pkt_m.bits.by @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.valid <= io.lsu_pkt_m.valid @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_m <= io.lsu_pkt_r.bits.store_data_bypass_m @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.load_ldst_bypass_d <= io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_d <= io.lsu_pkt_r.bits.store_data_bypass_d @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.dma <= io.lsu_pkt_r.bits.dma @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.unsign <= io.lsu_pkt_r.bits.unsign @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.store <= io.lsu_pkt_r.bits.store @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.load <= io.lsu_pkt_r.bits.load @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.dword <= io.lsu_pkt_r.bits.dword @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.word <= io.lsu_pkt_r.bits.word @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.half <= io.lsu_pkt_r.bits.half @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.by <= io.lsu_pkt_r.bits.by @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.fast_int <= io.lsu_pkt_r.bits.fast_int @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.valid <= io.lsu_pkt_r.valid @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_addr_m <= io.lsu_addr_m @[lsu_bus_intf.scala 119:51] + bus_buffer.io.end_addr_m <= io.end_addr_m @[lsu_bus_intf.scala 120:51] + bus_buffer.io.lsu_addr_r <= io.lsu_addr_r @[lsu_bus_intf.scala 121:51] + bus_buffer.io.end_addr_r <= io.end_addr_r @[lsu_bus_intf.scala 122:51] + bus_buffer.io.store_data_r <= io.store_data_r @[lsu_bus_intf.scala 123:51] + bus_buffer.io.lsu_busreq_m <= io.lsu_busreq_m @[lsu_bus_intf.scala 125:51] + bus_buffer.io.flush_m_up <= io.flush_m_up @[lsu_bus_intf.scala 126:51] + bus_buffer.io.flush_r <= io.flush_r @[lsu_bus_intf.scala 127:51] + bus_buffer.io.lsu_commit_r <= io.lsu_commit_r @[lsu_bus_intf.scala 128:51] + bus_buffer.io.lsu_axi.r.bits.last <= io.axi.r.bits.last @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.bits.resp <= io.axi.r.bits.resp @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.bits.data <= io.axi.r.bits.data @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.bits.id <= io.axi.r.bits.id @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.valid <= io.axi.r.valid @[lsu_bus_intf.scala 129:43] + io.axi.r.ready <= bus_buffer.io.lsu_axi.r.ready @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.qos <= bus_buffer.io.lsu_axi.ar.bits.qos @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.prot <= bus_buffer.io.lsu_axi.ar.bits.prot @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.cache <= bus_buffer.io.lsu_axi.ar.bits.cache @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.lock <= bus_buffer.io.lsu_axi.ar.bits.lock @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.burst <= bus_buffer.io.lsu_axi.ar.bits.burst @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.size <= bus_buffer.io.lsu_axi.ar.bits.size @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.len <= bus_buffer.io.lsu_axi.ar.bits.len @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.region <= bus_buffer.io.lsu_axi.ar.bits.region @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.addr <= bus_buffer.io.lsu_axi.ar.bits.addr @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.id <= bus_buffer.io.lsu_axi.ar.bits.id @[lsu_bus_intf.scala 129:43] + io.axi.ar.valid <= bus_buffer.io.lsu_axi.ar.valid @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.ar.ready <= io.axi.ar.ready @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.b.bits.id <= io.axi.b.bits.id @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.b.bits.resp <= io.axi.b.bits.resp @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.b.valid <= io.axi.b.valid @[lsu_bus_intf.scala 129:43] + io.axi.b.ready <= bus_buffer.io.lsu_axi.b.ready @[lsu_bus_intf.scala 129:43] + io.axi.w.bits.last <= bus_buffer.io.lsu_axi.w.bits.last @[lsu_bus_intf.scala 129:43] + io.axi.w.bits.strb <= bus_buffer.io.lsu_axi.w.bits.strb @[lsu_bus_intf.scala 129:43] + io.axi.w.bits.data <= bus_buffer.io.lsu_axi.w.bits.data @[lsu_bus_intf.scala 129:43] + io.axi.w.valid <= bus_buffer.io.lsu_axi.w.valid @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.w.ready <= io.axi.w.ready @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.qos <= bus_buffer.io.lsu_axi.aw.bits.qos @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.prot <= bus_buffer.io.lsu_axi.aw.bits.prot @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.cache <= bus_buffer.io.lsu_axi.aw.bits.cache @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.lock <= bus_buffer.io.lsu_axi.aw.bits.lock @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.burst <= bus_buffer.io.lsu_axi.aw.bits.burst @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.size <= bus_buffer.io.lsu_axi.aw.bits.size @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.len <= bus_buffer.io.lsu_axi.aw.bits.len @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.region <= bus_buffer.io.lsu_axi.aw.bits.region @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.addr <= bus_buffer.io.lsu_axi.aw.bits.addr @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.id <= bus_buffer.io.lsu_axi.aw.bits.id @[lsu_bus_intf.scala 129:43] + io.axi.aw.valid <= bus_buffer.io.lsu_axi.aw.valid @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.aw.ready <= io.axi.aw.ready @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 130:51] + io.lsu_busreq_r <= bus_buffer.io.lsu_busreq_r @[lsu_bus_intf.scala 132:38] + io.lsu_bus_buffer_pend_any <= bus_buffer.io.lsu_bus_buffer_pend_any @[lsu_bus_intf.scala 133:38] + io.lsu_bus_buffer_full_any <= bus_buffer.io.lsu_bus_buffer_full_any @[lsu_bus_intf.scala 134:38] + io.lsu_bus_buffer_empty_any <= bus_buffer.io.lsu_bus_buffer_empty_any @[lsu_bus_intf.scala 135:38] + io.lsu_bus_idle_any <= bus_buffer.io.lsu_bus_idle_any @[lsu_bus_intf.scala 136:38] + ld_byte_hit_buf_lo <= bus_buffer.io.ld_byte_hit_buf_lo @[lsu_bus_intf.scala 137:38] + ld_byte_hit_buf_hi <= bus_buffer.io.ld_byte_hit_buf_hi @[lsu_bus_intf.scala 138:38] + ld_fwddata_buf_lo <= bus_buffer.io.ld_fwddata_buf_lo @[lsu_bus_intf.scala 139:38] + ld_fwddata_buf_hi <= bus_buffer.io.ld_fwddata_buf_hi @[lsu_bus_intf.scala 140:38] + io.dctl_busbuff.lsu_nonblock_load_data <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_data_error <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_intf.scala 141:19] + bus_buffer.io.no_word_merge_r <= no_word_merge_r @[lsu_bus_intf.scala 142:51] + bus_buffer.io.no_dword_merge_r <= no_dword_merge_r @[lsu_bus_intf.scala 143:51] + bus_buffer.io.is_sideeffects_r <= is_sideeffects_r @[lsu_bus_intf.scala 144:51] + bus_buffer.io.ldst_dual_d <= ldst_dual_d @[lsu_bus_intf.scala 145:51] + bus_buffer.io.ldst_dual_m <= ldst_dual_m @[lsu_bus_intf.scala 146:51] + bus_buffer.io.ldst_dual_r <= ldst_dual_r @[lsu_bus_intf.scala 147:51] + bus_buffer.io.ldst_byteen_ext_m <= ldst_byteen_ext_m @[lsu_bus_intf.scala 148:51] + bus_buffer.io.ld_full_hit_m <= ld_full_hit_m @[lsu_bus_intf.scala 149:51] + bus_buffer.io.lsu_bus_clk_en_q <= lsu_bus_clk_en_q @[lsu_bus_intf.scala 150:51] + node _T = bits(io.lsu_pkt_m.bits.word, 0, 0) @[lsu_bus_intf.scala 152:63] + node _T_1 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[lsu_bus_intf.scala 152:107] + node _T_2 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[lsu_bus_intf.scala 152:148] node _T_3 = mux(_T, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4 = mux(_T_1, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_5 = mux(_T_2, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -101384,279 +101384,279 @@ circuit quasar_wrapper : node _T_7 = or(_T_6, _T_5) @[Mux.scala 27:72] wire _T_8 : UInt<4> @[Mux.scala 27:72] _T_8 <= _T_7 @[Mux.scala 27:72] - ldst_byteen_m <= _T_8 @[lsu_bus_intf.scala 154:27] - node _T_9 = bits(io.lsu_addr_d, 2, 2) @[lsu_bus_intf.scala 155:43] - node _T_10 = bits(io.end_addr_d, 2, 2) @[lsu_bus_intf.scala 155:64] - node _T_11 = neq(_T_9, _T_10) @[lsu_bus_intf.scala 155:47] - ldst_dual_d <= _T_11 @[lsu_bus_intf.scala 155:27] - node _T_12 = bits(io.lsu_addr_r, 31, 3) @[lsu_bus_intf.scala 156:44] - node _T_13 = bits(io.lsu_addr_m, 31, 3) @[lsu_bus_intf.scala 156:68] - node _T_14 = eq(_T_12, _T_13) @[lsu_bus_intf.scala 156:51] - addr_match_dw_lo_r_m <= _T_14 @[lsu_bus_intf.scala 156:27] - node _T_15 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_intf.scala 157:68] - node _T_16 = bits(io.lsu_addr_m, 2, 2) @[lsu_bus_intf.scala 157:85] - node _T_17 = xor(_T_15, _T_16) @[lsu_bus_intf.scala 157:71] - node _T_18 = eq(_T_17, UInt<1>("h00")) @[lsu_bus_intf.scala 157:53] - node _T_19 = and(addr_match_dw_lo_r_m, _T_18) @[lsu_bus_intf.scala 157:51] - addr_match_word_lo_r_m <= _T_19 @[lsu_bus_intf.scala 157:27] - node _T_20 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 158:48] - node _T_21 = and(io.lsu_busreq_r, _T_20) @[lsu_bus_intf.scala 158:46] - node _T_22 = and(_T_21, io.lsu_busreq_m) @[lsu_bus_intf.scala 158:61] - node _T_23 = eq(addr_match_word_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 158:107] - node _T_24 = or(io.lsu_pkt_m.bits.load, _T_23) @[lsu_bus_intf.scala 158:105] - node _T_25 = and(_T_22, _T_24) @[lsu_bus_intf.scala 158:79] - no_word_merge_r <= _T_25 @[lsu_bus_intf.scala 158:27] - node _T_26 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 159:48] - node _T_27 = and(io.lsu_busreq_r, _T_26) @[lsu_bus_intf.scala 159:46] - node _T_28 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_intf.scala 159:61] - node _T_29 = eq(addr_match_dw_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 159:107] - node _T_30 = or(io.lsu_pkt_m.bits.load, _T_29) @[lsu_bus_intf.scala 159:105] - node _T_31 = and(_T_28, _T_30) @[lsu_bus_intf.scala 159:79] - no_dword_merge_r <= _T_31 @[lsu_bus_intf.scala 159:27] - node _T_32 = bits(ldst_byteen_m, 3, 0) @[lsu_bus_intf.scala 161:43] - node _T_33 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 161:65] - node _T_34 = dshl(_T_32, _T_33) @[lsu_bus_intf.scala 161:49] - ldst_byteen_ext_m <= _T_34 @[lsu_bus_intf.scala 161:27] - node _T_35 = bits(ldst_byteen_r, 3, 0) @[lsu_bus_intf.scala 162:43] - node _T_36 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 162:65] - node _T_37 = dshl(_T_35, _T_36) @[lsu_bus_intf.scala 162:49] - ldst_byteen_ext_r <= _T_37 @[lsu_bus_intf.scala 162:27] - node _T_38 = bits(io.store_data_r, 31, 0) @[lsu_bus_intf.scala 163:45] - node _T_39 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 163:72] + ldst_byteen_m <= _T_8 @[lsu_bus_intf.scala 152:27] + node _T_9 = bits(io.lsu_addr_d, 2, 2) @[lsu_bus_intf.scala 153:43] + node _T_10 = bits(io.end_addr_d, 2, 2) @[lsu_bus_intf.scala 153:64] + node _T_11 = neq(_T_9, _T_10) @[lsu_bus_intf.scala 153:47] + ldst_dual_d <= _T_11 @[lsu_bus_intf.scala 153:27] + node _T_12 = bits(io.lsu_addr_r, 31, 3) @[lsu_bus_intf.scala 154:44] + node _T_13 = bits(io.lsu_addr_m, 31, 3) @[lsu_bus_intf.scala 154:68] + node _T_14 = eq(_T_12, _T_13) @[lsu_bus_intf.scala 154:51] + addr_match_dw_lo_r_m <= _T_14 @[lsu_bus_intf.scala 154:27] + node _T_15 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_intf.scala 155:68] + node _T_16 = bits(io.lsu_addr_m, 2, 2) @[lsu_bus_intf.scala 155:85] + node _T_17 = xor(_T_15, _T_16) @[lsu_bus_intf.scala 155:71] + node _T_18 = eq(_T_17, UInt<1>("h00")) @[lsu_bus_intf.scala 155:53] + node _T_19 = and(addr_match_dw_lo_r_m, _T_18) @[lsu_bus_intf.scala 155:51] + addr_match_word_lo_r_m <= _T_19 @[lsu_bus_intf.scala 155:27] + node _T_20 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 156:48] + node _T_21 = and(io.lsu_busreq_r, _T_20) @[lsu_bus_intf.scala 156:46] + node _T_22 = and(_T_21, io.lsu_busreq_m) @[lsu_bus_intf.scala 156:61] + node _T_23 = eq(addr_match_word_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 156:107] + node _T_24 = or(io.lsu_pkt_m.bits.load, _T_23) @[lsu_bus_intf.scala 156:105] + node _T_25 = and(_T_22, _T_24) @[lsu_bus_intf.scala 156:79] + no_word_merge_r <= _T_25 @[lsu_bus_intf.scala 156:27] + node _T_26 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 157:48] + node _T_27 = and(io.lsu_busreq_r, _T_26) @[lsu_bus_intf.scala 157:46] + node _T_28 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_intf.scala 157:61] + node _T_29 = eq(addr_match_dw_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 157:107] + node _T_30 = or(io.lsu_pkt_m.bits.load, _T_29) @[lsu_bus_intf.scala 157:105] + node _T_31 = and(_T_28, _T_30) @[lsu_bus_intf.scala 157:79] + no_dword_merge_r <= _T_31 @[lsu_bus_intf.scala 157:27] + node _T_32 = bits(ldst_byteen_m, 3, 0) @[lsu_bus_intf.scala 159:43] + node _T_33 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 159:65] + node _T_34 = dshl(_T_32, _T_33) @[lsu_bus_intf.scala 159:49] + ldst_byteen_ext_m <= _T_34 @[lsu_bus_intf.scala 159:27] + node _T_35 = bits(ldst_byteen_r, 3, 0) @[lsu_bus_intf.scala 160:43] + node _T_36 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 160:65] + node _T_37 = dshl(_T_35, _T_36) @[lsu_bus_intf.scala 160:49] + ldst_byteen_ext_r <= _T_37 @[lsu_bus_intf.scala 160:27] + node _T_38 = bits(io.store_data_r, 31, 0) @[lsu_bus_intf.scala 161:45] + node _T_39 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 161:72] node _T_40 = cat(_T_39, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_41 = dshl(_T_38, _T_40) @[lsu_bus_intf.scala 163:52] - store_data_ext_r <= _T_41 @[lsu_bus_intf.scala 163:27] - node _T_42 = bits(ldst_byteen_ext_m, 7, 4) @[lsu_bus_intf.scala 164:47] - ldst_byteen_hi_m <= _T_42 @[lsu_bus_intf.scala 164:27] - node _T_43 = bits(ldst_byteen_ext_m, 3, 0) @[lsu_bus_intf.scala 165:47] - ldst_byteen_lo_m <= _T_43 @[lsu_bus_intf.scala 165:27] - node _T_44 = bits(ldst_byteen_ext_r, 7, 4) @[lsu_bus_intf.scala 166:47] - ldst_byteen_hi_r <= _T_44 @[lsu_bus_intf.scala 166:27] - node _T_45 = bits(ldst_byteen_ext_r, 3, 0) @[lsu_bus_intf.scala 167:47] - ldst_byteen_lo_r <= _T_45 @[lsu_bus_intf.scala 167:27] - node _T_46 = bits(store_data_ext_r, 63, 32) @[lsu_bus_intf.scala 169:46] - store_data_hi_r <= _T_46 @[lsu_bus_intf.scala 169:27] - node _T_47 = bits(store_data_ext_r, 31, 0) @[lsu_bus_intf.scala 170:46] - store_data_lo_r <= _T_47 @[lsu_bus_intf.scala 170:27] - node _T_48 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 171:44] - node _T_49 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 171:68] - node _T_50 = eq(_T_48, _T_49) @[lsu_bus_intf.scala 171:51] - node _T_51 = and(_T_50, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 171:76] - node _T_52 = and(_T_51, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 171:97] - node _T_53 = and(_T_52, io.lsu_busreq_m) @[lsu_bus_intf.scala 171:123] - ld_addr_rhit_lo_lo <= _T_53 @[lsu_bus_intf.scala 171:27] - node _T_54 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 172:44] - node _T_55 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 172:68] - node _T_56 = eq(_T_54, _T_55) @[lsu_bus_intf.scala 172:51] - node _T_57 = and(_T_56, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 172:76] - node _T_58 = and(_T_57, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 172:97] - node _T_59 = and(_T_58, io.lsu_busreq_m) @[lsu_bus_intf.scala 172:123] - ld_addr_rhit_lo_hi <= _T_59 @[lsu_bus_intf.scala 172:27] - node _T_60 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 173:44] - node _T_61 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 173:68] - node _T_62 = eq(_T_60, _T_61) @[lsu_bus_intf.scala 173:51] - node _T_63 = and(_T_62, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 173:76] - node _T_64 = and(_T_63, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 173:97] - node _T_65 = and(_T_64, io.lsu_busreq_m) @[lsu_bus_intf.scala 173:123] - ld_addr_rhit_hi_lo <= _T_65 @[lsu_bus_intf.scala 173:27] - node _T_66 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 174:44] - node _T_67 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 174:68] - node _T_68 = eq(_T_66, _T_67) @[lsu_bus_intf.scala 174:51] - node _T_69 = and(_T_68, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 174:76] - node _T_70 = and(_T_69, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 174:97] - node _T_71 = and(_T_70, io.lsu_busreq_m) @[lsu_bus_intf.scala 174:123] - ld_addr_rhit_hi_hi <= _T_71 @[lsu_bus_intf.scala 174:27] - node _T_72 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 176:88] - node _T_73 = and(ld_addr_rhit_lo_lo, _T_72) @[lsu_bus_intf.scala 176:70] - node _T_74 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 176:110] - node _T_75 = and(_T_73, _T_74) @[lsu_bus_intf.scala 176:92] - node _T_76 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 176:88] - node _T_77 = and(ld_addr_rhit_lo_lo, _T_76) @[lsu_bus_intf.scala 176:70] - node _T_78 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 176:110] - node _T_79 = and(_T_77, _T_78) @[lsu_bus_intf.scala 176:92] - node _T_80 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 176:88] - node _T_81 = and(ld_addr_rhit_lo_lo, _T_80) @[lsu_bus_intf.scala 176:70] - node _T_82 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 176:110] - node _T_83 = and(_T_81, _T_82) @[lsu_bus_intf.scala 176:92] - node _T_84 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 176:88] - node _T_85 = and(ld_addr_rhit_lo_lo, _T_84) @[lsu_bus_intf.scala 176:70] - node _T_86 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 176:110] - node _T_87 = and(_T_85, _T_86) @[lsu_bus_intf.scala 176:92] + node _T_41 = dshl(_T_38, _T_40) @[lsu_bus_intf.scala 161:52] + store_data_ext_r <= _T_41 @[lsu_bus_intf.scala 161:27] + node _T_42 = bits(ldst_byteen_ext_m, 7, 4) @[lsu_bus_intf.scala 162:47] + ldst_byteen_hi_m <= _T_42 @[lsu_bus_intf.scala 162:27] + node _T_43 = bits(ldst_byteen_ext_m, 3, 0) @[lsu_bus_intf.scala 163:47] + ldst_byteen_lo_m <= _T_43 @[lsu_bus_intf.scala 163:27] + node _T_44 = bits(ldst_byteen_ext_r, 7, 4) @[lsu_bus_intf.scala 164:47] + ldst_byteen_hi_r <= _T_44 @[lsu_bus_intf.scala 164:27] + node _T_45 = bits(ldst_byteen_ext_r, 3, 0) @[lsu_bus_intf.scala 165:47] + ldst_byteen_lo_r <= _T_45 @[lsu_bus_intf.scala 165:27] + node _T_46 = bits(store_data_ext_r, 63, 32) @[lsu_bus_intf.scala 167:46] + store_data_hi_r <= _T_46 @[lsu_bus_intf.scala 167:27] + node _T_47 = bits(store_data_ext_r, 31, 0) @[lsu_bus_intf.scala 168:46] + store_data_lo_r <= _T_47 @[lsu_bus_intf.scala 168:27] + node _T_48 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 169:44] + node _T_49 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 169:68] + node _T_50 = eq(_T_48, _T_49) @[lsu_bus_intf.scala 169:51] + node _T_51 = and(_T_50, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 169:76] + node _T_52 = and(_T_51, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 169:97] + node _T_53 = and(_T_52, io.lsu_busreq_m) @[lsu_bus_intf.scala 169:123] + ld_addr_rhit_lo_lo <= _T_53 @[lsu_bus_intf.scala 169:27] + node _T_54 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 170:44] + node _T_55 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 170:68] + node _T_56 = eq(_T_54, _T_55) @[lsu_bus_intf.scala 170:51] + node _T_57 = and(_T_56, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 170:76] + node _T_58 = and(_T_57, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 170:97] + node _T_59 = and(_T_58, io.lsu_busreq_m) @[lsu_bus_intf.scala 170:123] + ld_addr_rhit_lo_hi <= _T_59 @[lsu_bus_intf.scala 170:27] + node _T_60 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 171:44] + node _T_61 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 171:68] + node _T_62 = eq(_T_60, _T_61) @[lsu_bus_intf.scala 171:51] + node _T_63 = and(_T_62, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 171:76] + node _T_64 = and(_T_63, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 171:97] + node _T_65 = and(_T_64, io.lsu_busreq_m) @[lsu_bus_intf.scala 171:123] + ld_addr_rhit_hi_lo <= _T_65 @[lsu_bus_intf.scala 171:27] + node _T_66 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 172:44] + node _T_67 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 172:68] + node _T_68 = eq(_T_66, _T_67) @[lsu_bus_intf.scala 172:51] + node _T_69 = and(_T_68, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 172:76] + node _T_70 = and(_T_69, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 172:97] + node _T_71 = and(_T_70, io.lsu_busreq_m) @[lsu_bus_intf.scala 172:123] + ld_addr_rhit_hi_hi <= _T_71 @[lsu_bus_intf.scala 172:27] + node _T_72 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 174:88] + node _T_73 = and(ld_addr_rhit_lo_lo, _T_72) @[lsu_bus_intf.scala 174:70] + node _T_74 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 174:110] + node _T_75 = and(_T_73, _T_74) @[lsu_bus_intf.scala 174:92] + node _T_76 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 174:88] + node _T_77 = and(ld_addr_rhit_lo_lo, _T_76) @[lsu_bus_intf.scala 174:70] + node _T_78 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 174:110] + node _T_79 = and(_T_77, _T_78) @[lsu_bus_intf.scala 174:92] + node _T_80 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 174:88] + node _T_81 = and(ld_addr_rhit_lo_lo, _T_80) @[lsu_bus_intf.scala 174:70] + node _T_82 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 174:110] + node _T_83 = and(_T_81, _T_82) @[lsu_bus_intf.scala 174:92] + node _T_84 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 174:88] + node _T_85 = and(ld_addr_rhit_lo_lo, _T_84) @[lsu_bus_intf.scala 174:70] + node _T_86 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 174:110] + node _T_87 = and(_T_85, _T_86) @[lsu_bus_intf.scala 174:92] node _T_88 = cat(_T_87, _T_83) @[Cat.scala 29:58] node _T_89 = cat(_T_88, _T_79) @[Cat.scala 29:58] node _T_90 = cat(_T_89, _T_75) @[Cat.scala 29:58] - ld_byte_rhit_lo_lo <= _T_90 @[lsu_bus_intf.scala 176:27] - node _T_91 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 177:88] - node _T_92 = and(ld_addr_rhit_lo_hi, _T_91) @[lsu_bus_intf.scala 177:70] - node _T_93 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 177:110] - node _T_94 = and(_T_92, _T_93) @[lsu_bus_intf.scala 177:92] - node _T_95 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 177:88] - node _T_96 = and(ld_addr_rhit_lo_hi, _T_95) @[lsu_bus_intf.scala 177:70] - node _T_97 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 177:110] - node _T_98 = and(_T_96, _T_97) @[lsu_bus_intf.scala 177:92] - node _T_99 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 177:88] - node _T_100 = and(ld_addr_rhit_lo_hi, _T_99) @[lsu_bus_intf.scala 177:70] - node _T_101 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 177:110] - node _T_102 = and(_T_100, _T_101) @[lsu_bus_intf.scala 177:92] - node _T_103 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 177:88] - node _T_104 = and(ld_addr_rhit_lo_hi, _T_103) @[lsu_bus_intf.scala 177:70] - node _T_105 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 177:110] - node _T_106 = and(_T_104, _T_105) @[lsu_bus_intf.scala 177:92] + ld_byte_rhit_lo_lo <= _T_90 @[lsu_bus_intf.scala 174:27] + node _T_91 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 175:88] + node _T_92 = and(ld_addr_rhit_lo_hi, _T_91) @[lsu_bus_intf.scala 175:70] + node _T_93 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 175:110] + node _T_94 = and(_T_92, _T_93) @[lsu_bus_intf.scala 175:92] + node _T_95 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 175:88] + node _T_96 = and(ld_addr_rhit_lo_hi, _T_95) @[lsu_bus_intf.scala 175:70] + node _T_97 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 175:110] + node _T_98 = and(_T_96, _T_97) @[lsu_bus_intf.scala 175:92] + node _T_99 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 175:88] + node _T_100 = and(ld_addr_rhit_lo_hi, _T_99) @[lsu_bus_intf.scala 175:70] + node _T_101 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 175:110] + node _T_102 = and(_T_100, _T_101) @[lsu_bus_intf.scala 175:92] + node _T_103 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 175:88] + node _T_104 = and(ld_addr_rhit_lo_hi, _T_103) @[lsu_bus_intf.scala 175:70] + node _T_105 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 175:110] + node _T_106 = and(_T_104, _T_105) @[lsu_bus_intf.scala 175:92] node _T_107 = cat(_T_106, _T_102) @[Cat.scala 29:58] node _T_108 = cat(_T_107, _T_98) @[Cat.scala 29:58] node _T_109 = cat(_T_108, _T_94) @[Cat.scala 29:58] - ld_byte_rhit_lo_hi <= _T_109 @[lsu_bus_intf.scala 177:27] - node _T_110 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 178:88] - node _T_111 = and(ld_addr_rhit_hi_lo, _T_110) @[lsu_bus_intf.scala 178:70] - node _T_112 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 178:110] - node _T_113 = and(_T_111, _T_112) @[lsu_bus_intf.scala 178:92] - node _T_114 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 178:88] - node _T_115 = and(ld_addr_rhit_hi_lo, _T_114) @[lsu_bus_intf.scala 178:70] - node _T_116 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 178:110] - node _T_117 = and(_T_115, _T_116) @[lsu_bus_intf.scala 178:92] - node _T_118 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 178:88] - node _T_119 = and(ld_addr_rhit_hi_lo, _T_118) @[lsu_bus_intf.scala 178:70] - node _T_120 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 178:110] - node _T_121 = and(_T_119, _T_120) @[lsu_bus_intf.scala 178:92] - node _T_122 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 178:88] - node _T_123 = and(ld_addr_rhit_hi_lo, _T_122) @[lsu_bus_intf.scala 178:70] - node _T_124 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 178:110] - node _T_125 = and(_T_123, _T_124) @[lsu_bus_intf.scala 178:92] + ld_byte_rhit_lo_hi <= _T_109 @[lsu_bus_intf.scala 175:27] + node _T_110 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 176:88] + node _T_111 = and(ld_addr_rhit_hi_lo, _T_110) @[lsu_bus_intf.scala 176:70] + node _T_112 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 176:110] + node _T_113 = and(_T_111, _T_112) @[lsu_bus_intf.scala 176:92] + node _T_114 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 176:88] + node _T_115 = and(ld_addr_rhit_hi_lo, _T_114) @[lsu_bus_intf.scala 176:70] + node _T_116 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 176:110] + node _T_117 = and(_T_115, _T_116) @[lsu_bus_intf.scala 176:92] + node _T_118 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 176:88] + node _T_119 = and(ld_addr_rhit_hi_lo, _T_118) @[lsu_bus_intf.scala 176:70] + node _T_120 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 176:110] + node _T_121 = and(_T_119, _T_120) @[lsu_bus_intf.scala 176:92] + node _T_122 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 176:88] + node _T_123 = and(ld_addr_rhit_hi_lo, _T_122) @[lsu_bus_intf.scala 176:70] + node _T_124 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 176:110] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_intf.scala 176:92] node _T_126 = cat(_T_125, _T_121) @[Cat.scala 29:58] node _T_127 = cat(_T_126, _T_117) @[Cat.scala 29:58] node _T_128 = cat(_T_127, _T_113) @[Cat.scala 29:58] - ld_byte_rhit_hi_lo <= _T_128 @[lsu_bus_intf.scala 178:27] - node _T_129 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 179:88] - node _T_130 = and(ld_addr_rhit_hi_hi, _T_129) @[lsu_bus_intf.scala 179:70] - node _T_131 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 179:110] - node _T_132 = and(_T_130, _T_131) @[lsu_bus_intf.scala 179:92] - node _T_133 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 179:88] - node _T_134 = and(ld_addr_rhit_hi_hi, _T_133) @[lsu_bus_intf.scala 179:70] - node _T_135 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 179:110] - node _T_136 = and(_T_134, _T_135) @[lsu_bus_intf.scala 179:92] - node _T_137 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 179:88] - node _T_138 = and(ld_addr_rhit_hi_hi, _T_137) @[lsu_bus_intf.scala 179:70] - node _T_139 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 179:110] - node _T_140 = and(_T_138, _T_139) @[lsu_bus_intf.scala 179:92] - node _T_141 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 179:88] - node _T_142 = and(ld_addr_rhit_hi_hi, _T_141) @[lsu_bus_intf.scala 179:70] - node _T_143 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 179:110] - node _T_144 = and(_T_142, _T_143) @[lsu_bus_intf.scala 179:92] + ld_byte_rhit_hi_lo <= _T_128 @[lsu_bus_intf.scala 176:27] + node _T_129 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 177:88] + node _T_130 = and(ld_addr_rhit_hi_hi, _T_129) @[lsu_bus_intf.scala 177:70] + node _T_131 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 177:110] + node _T_132 = and(_T_130, _T_131) @[lsu_bus_intf.scala 177:92] + node _T_133 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 177:88] + node _T_134 = and(ld_addr_rhit_hi_hi, _T_133) @[lsu_bus_intf.scala 177:70] + node _T_135 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 177:110] + node _T_136 = and(_T_134, _T_135) @[lsu_bus_intf.scala 177:92] + node _T_137 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 177:88] + node _T_138 = and(ld_addr_rhit_hi_hi, _T_137) @[lsu_bus_intf.scala 177:70] + node _T_139 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 177:110] + node _T_140 = and(_T_138, _T_139) @[lsu_bus_intf.scala 177:92] + node _T_141 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 177:88] + node _T_142 = and(ld_addr_rhit_hi_hi, _T_141) @[lsu_bus_intf.scala 177:70] + node _T_143 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 177:110] + node _T_144 = and(_T_142, _T_143) @[lsu_bus_intf.scala 177:92] node _T_145 = cat(_T_144, _T_140) @[Cat.scala 29:58] node _T_146 = cat(_T_145, _T_136) @[Cat.scala 29:58] node _T_147 = cat(_T_146, _T_132) @[Cat.scala 29:58] - ld_byte_rhit_hi_hi <= _T_147 @[lsu_bus_intf.scala 179:27] - node _T_148 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 181:69] - node _T_149 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 181:93] - node _T_150 = or(_T_148, _T_149) @[lsu_bus_intf.scala 181:73] - node _T_151 = bits(ld_byte_hit_buf_lo, 0, 0) @[lsu_bus_intf.scala 181:117] - node _T_152 = or(_T_150, _T_151) @[lsu_bus_intf.scala 181:97] - node _T_153 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 181:69] - node _T_154 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 181:93] - node _T_155 = or(_T_153, _T_154) @[lsu_bus_intf.scala 181:73] - node _T_156 = bits(ld_byte_hit_buf_lo, 1, 1) @[lsu_bus_intf.scala 181:117] - node _T_157 = or(_T_155, _T_156) @[lsu_bus_intf.scala 181:97] - node _T_158 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 181:69] - node _T_159 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 181:93] - node _T_160 = or(_T_158, _T_159) @[lsu_bus_intf.scala 181:73] - node _T_161 = bits(ld_byte_hit_buf_lo, 2, 2) @[lsu_bus_intf.scala 181:117] - node _T_162 = or(_T_160, _T_161) @[lsu_bus_intf.scala 181:97] - node _T_163 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 181:69] - node _T_164 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 181:93] - node _T_165 = or(_T_163, _T_164) @[lsu_bus_intf.scala 181:73] - node _T_166 = bits(ld_byte_hit_buf_lo, 3, 3) @[lsu_bus_intf.scala 181:117] - node _T_167 = or(_T_165, _T_166) @[lsu_bus_intf.scala 181:97] + ld_byte_rhit_hi_hi <= _T_147 @[lsu_bus_intf.scala 177:27] + node _T_148 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 179:69] + node _T_149 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 179:93] + node _T_150 = or(_T_148, _T_149) @[lsu_bus_intf.scala 179:73] + node _T_151 = bits(ld_byte_hit_buf_lo, 0, 0) @[lsu_bus_intf.scala 179:117] + node _T_152 = or(_T_150, _T_151) @[lsu_bus_intf.scala 179:97] + node _T_153 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 179:69] + node _T_154 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 179:93] + node _T_155 = or(_T_153, _T_154) @[lsu_bus_intf.scala 179:73] + node _T_156 = bits(ld_byte_hit_buf_lo, 1, 1) @[lsu_bus_intf.scala 179:117] + node _T_157 = or(_T_155, _T_156) @[lsu_bus_intf.scala 179:97] + node _T_158 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 179:69] + node _T_159 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 179:93] + node _T_160 = or(_T_158, _T_159) @[lsu_bus_intf.scala 179:73] + node _T_161 = bits(ld_byte_hit_buf_lo, 2, 2) @[lsu_bus_intf.scala 179:117] + node _T_162 = or(_T_160, _T_161) @[lsu_bus_intf.scala 179:97] + node _T_163 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 179:69] + node _T_164 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 179:93] + node _T_165 = or(_T_163, _T_164) @[lsu_bus_intf.scala 179:73] + node _T_166 = bits(ld_byte_hit_buf_lo, 3, 3) @[lsu_bus_intf.scala 179:117] + node _T_167 = or(_T_165, _T_166) @[lsu_bus_intf.scala 179:97] node _T_168 = cat(_T_167, _T_162) @[Cat.scala 29:58] node _T_169 = cat(_T_168, _T_157) @[Cat.scala 29:58] node _T_170 = cat(_T_169, _T_152) @[Cat.scala 29:58] - ld_byte_hit_lo <= _T_170 @[lsu_bus_intf.scala 181:27] - node _T_171 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 182:69] - node _T_172 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 182:93] - node _T_173 = or(_T_171, _T_172) @[lsu_bus_intf.scala 182:73] - node _T_174 = bits(ld_byte_hit_buf_hi, 0, 0) @[lsu_bus_intf.scala 182:117] - node _T_175 = or(_T_173, _T_174) @[lsu_bus_intf.scala 182:97] - node _T_176 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 182:69] - node _T_177 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 182:93] - node _T_178 = or(_T_176, _T_177) @[lsu_bus_intf.scala 182:73] - node _T_179 = bits(ld_byte_hit_buf_hi, 1, 1) @[lsu_bus_intf.scala 182:117] - node _T_180 = or(_T_178, _T_179) @[lsu_bus_intf.scala 182:97] - node _T_181 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 182:69] - node _T_182 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 182:93] - node _T_183 = or(_T_181, _T_182) @[lsu_bus_intf.scala 182:73] - node _T_184 = bits(ld_byte_hit_buf_hi, 2, 2) @[lsu_bus_intf.scala 182:117] - node _T_185 = or(_T_183, _T_184) @[lsu_bus_intf.scala 182:97] - node _T_186 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 182:69] - node _T_187 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 182:93] - node _T_188 = or(_T_186, _T_187) @[lsu_bus_intf.scala 182:73] - node _T_189 = bits(ld_byte_hit_buf_hi, 3, 3) @[lsu_bus_intf.scala 182:117] - node _T_190 = or(_T_188, _T_189) @[lsu_bus_intf.scala 182:97] + ld_byte_hit_lo <= _T_170 @[lsu_bus_intf.scala 179:27] + node _T_171 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 180:69] + node _T_172 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 180:93] + node _T_173 = or(_T_171, _T_172) @[lsu_bus_intf.scala 180:73] + node _T_174 = bits(ld_byte_hit_buf_hi, 0, 0) @[lsu_bus_intf.scala 180:117] + node _T_175 = or(_T_173, _T_174) @[lsu_bus_intf.scala 180:97] + node _T_176 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 180:69] + node _T_177 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 180:93] + node _T_178 = or(_T_176, _T_177) @[lsu_bus_intf.scala 180:73] + node _T_179 = bits(ld_byte_hit_buf_hi, 1, 1) @[lsu_bus_intf.scala 180:117] + node _T_180 = or(_T_178, _T_179) @[lsu_bus_intf.scala 180:97] + node _T_181 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 180:69] + node _T_182 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 180:93] + node _T_183 = or(_T_181, _T_182) @[lsu_bus_intf.scala 180:73] + node _T_184 = bits(ld_byte_hit_buf_hi, 2, 2) @[lsu_bus_intf.scala 180:117] + node _T_185 = or(_T_183, _T_184) @[lsu_bus_intf.scala 180:97] + node _T_186 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 180:69] + node _T_187 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 180:93] + node _T_188 = or(_T_186, _T_187) @[lsu_bus_intf.scala 180:73] + node _T_189 = bits(ld_byte_hit_buf_hi, 3, 3) @[lsu_bus_intf.scala 180:117] + node _T_190 = or(_T_188, _T_189) @[lsu_bus_intf.scala 180:97] node _T_191 = cat(_T_190, _T_185) @[Cat.scala 29:58] node _T_192 = cat(_T_191, _T_180) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_175) @[Cat.scala 29:58] - ld_byte_hit_hi <= _T_193 @[lsu_bus_intf.scala 182:27] - node _T_194 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 183:69] - node _T_195 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 183:93] - node _T_196 = or(_T_194, _T_195) @[lsu_bus_intf.scala 183:73] - node _T_197 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 183:69] - node _T_198 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 183:93] - node _T_199 = or(_T_197, _T_198) @[lsu_bus_intf.scala 183:73] - node _T_200 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 183:69] - node _T_201 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 183:93] - node _T_202 = or(_T_200, _T_201) @[lsu_bus_intf.scala 183:73] - node _T_203 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 183:69] - node _T_204 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 183:93] - node _T_205 = or(_T_203, _T_204) @[lsu_bus_intf.scala 183:73] + ld_byte_hit_hi <= _T_193 @[lsu_bus_intf.scala 180:27] + node _T_194 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 181:69] + node _T_195 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 181:93] + node _T_196 = or(_T_194, _T_195) @[lsu_bus_intf.scala 181:73] + node _T_197 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 181:69] + node _T_198 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 181:93] + node _T_199 = or(_T_197, _T_198) @[lsu_bus_intf.scala 181:73] + node _T_200 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 181:69] + node _T_201 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 181:93] + node _T_202 = or(_T_200, _T_201) @[lsu_bus_intf.scala 181:73] + node _T_203 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 181:69] + node _T_204 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 181:93] + node _T_205 = or(_T_203, _T_204) @[lsu_bus_intf.scala 181:73] node _T_206 = cat(_T_205, _T_202) @[Cat.scala 29:58] node _T_207 = cat(_T_206, _T_199) @[Cat.scala 29:58] node _T_208 = cat(_T_207, _T_196) @[Cat.scala 29:58] - ld_byte_rhit_lo <= _T_208 @[lsu_bus_intf.scala 183:27] - node _T_209 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 184:69] - node _T_210 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 184:93] - node _T_211 = or(_T_209, _T_210) @[lsu_bus_intf.scala 184:73] - node _T_212 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 184:69] - node _T_213 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 184:93] - node _T_214 = or(_T_212, _T_213) @[lsu_bus_intf.scala 184:73] - node _T_215 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 184:69] - node _T_216 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 184:93] - node _T_217 = or(_T_215, _T_216) @[lsu_bus_intf.scala 184:73] - node _T_218 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 184:69] - node _T_219 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 184:93] - node _T_220 = or(_T_218, _T_219) @[lsu_bus_intf.scala 184:73] + ld_byte_rhit_lo <= _T_208 @[lsu_bus_intf.scala 181:27] + node _T_209 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 182:69] + node _T_210 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 182:93] + node _T_211 = or(_T_209, _T_210) @[lsu_bus_intf.scala 182:73] + node _T_212 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 182:69] + node _T_213 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 182:93] + node _T_214 = or(_T_212, _T_213) @[lsu_bus_intf.scala 182:73] + node _T_215 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 182:69] + node _T_216 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 182:93] + node _T_217 = or(_T_215, _T_216) @[lsu_bus_intf.scala 182:73] + node _T_218 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 182:69] + node _T_219 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 182:93] + node _T_220 = or(_T_218, _T_219) @[lsu_bus_intf.scala 182:73] node _T_221 = cat(_T_220, _T_217) @[Cat.scala 29:58] node _T_222 = cat(_T_221, _T_214) @[Cat.scala 29:58] node _T_223 = cat(_T_222, _T_211) @[Cat.scala 29:58] - ld_byte_rhit_hi <= _T_223 @[lsu_bus_intf.scala 184:27] - node _T_224 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 185:79] - node _T_225 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 185:101] - node _T_226 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 185:136] - node _T_227 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 185:158] + ld_byte_rhit_hi <= _T_223 @[lsu_bus_intf.scala 182:27] + node _T_224 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 183:79] + node _T_225 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 183:101] + node _T_226 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 183:136] + node _T_227 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 183:158] node _T_228 = mux(_T_224, _T_225, UInt<1>("h00")) @[Mux.scala 27:72] node _T_229 = mux(_T_226, _T_227, UInt<1>("h00")) @[Mux.scala 27:72] node _T_230 = or(_T_228, _T_229) @[Mux.scala 27:72] wire _T_231 : UInt<8> @[Mux.scala 27:72] _T_231 <= _T_230 @[Mux.scala 27:72] - node _T_232 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 185:79] - node _T_233 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 185:101] - node _T_234 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 185:136] - node _T_235 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 185:158] + node _T_232 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 183:79] + node _T_233 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 183:101] + node _T_234 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 183:136] + node _T_235 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 183:158] node _T_236 = mux(_T_232, _T_233, UInt<1>("h00")) @[Mux.scala 27:72] node _T_237 = mux(_T_234, _T_235, UInt<1>("h00")) @[Mux.scala 27:72] node _T_238 = or(_T_236, _T_237) @[Mux.scala 27:72] wire _T_239 : UInt<8> @[Mux.scala 27:72] _T_239 <= _T_238 @[Mux.scala 27:72] - node _T_240 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 185:79] - node _T_241 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 185:101] - node _T_242 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 185:136] - node _T_243 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 185:158] + node _T_240 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 183:79] + node _T_241 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 183:101] + node _T_242 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 183:136] + node _T_243 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 183:158] node _T_244 = mux(_T_240, _T_241, UInt<1>("h00")) @[Mux.scala 27:72] node _T_245 = mux(_T_242, _T_243, UInt<1>("h00")) @[Mux.scala 27:72] node _T_246 = or(_T_244, _T_245) @[Mux.scala 27:72] wire _T_247 : UInt<8> @[Mux.scala 27:72] _T_247 <= _T_246 @[Mux.scala 27:72] - node _T_248 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 185:79] - node _T_249 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 185:101] - node _T_250 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 185:136] - node _T_251 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 185:158] + node _T_248 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 183:79] + node _T_249 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 183:101] + node _T_250 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 183:136] + node _T_251 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 183:158] node _T_252 = mux(_T_248, _T_249, UInt<1>("h00")) @[Mux.scala 27:72] node _T_253 = mux(_T_250, _T_251, UInt<1>("h00")) @[Mux.scala 27:72] node _T_254 = or(_T_252, _T_253) @[Mux.scala 27:72] @@ -101665,38 +101665,38 @@ circuit quasar_wrapper : node _T_256 = cat(_T_255, _T_247) @[Cat.scala 29:58] node _T_257 = cat(_T_256, _T_239) @[Cat.scala 29:58] node _T_258 = cat(_T_257, _T_231) @[Cat.scala 29:58] - ld_fwddata_rpipe_lo <= _T_258 @[lsu_bus_intf.scala 185:27] - node _T_259 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 186:79] - node _T_260 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 186:101] - node _T_261 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 186:136] - node _T_262 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 186:158] + ld_fwddata_rpipe_lo <= _T_258 @[lsu_bus_intf.scala 183:27] + node _T_259 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 184:79] + node _T_260 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 184:101] + node _T_261 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 184:136] + node _T_262 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 184:158] node _T_263 = mux(_T_259, _T_260, UInt<1>("h00")) @[Mux.scala 27:72] node _T_264 = mux(_T_261, _T_262, UInt<1>("h00")) @[Mux.scala 27:72] node _T_265 = or(_T_263, _T_264) @[Mux.scala 27:72] wire _T_266 : UInt<8> @[Mux.scala 27:72] _T_266 <= _T_265 @[Mux.scala 27:72] - node _T_267 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 186:79] - node _T_268 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 186:101] - node _T_269 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 186:136] - node _T_270 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 186:158] + node _T_267 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 184:79] + node _T_268 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 184:101] + node _T_269 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 184:136] + node _T_270 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 184:158] node _T_271 = mux(_T_267, _T_268, UInt<1>("h00")) @[Mux.scala 27:72] node _T_272 = mux(_T_269, _T_270, UInt<1>("h00")) @[Mux.scala 27:72] node _T_273 = or(_T_271, _T_272) @[Mux.scala 27:72] wire _T_274 : UInt<8> @[Mux.scala 27:72] _T_274 <= _T_273 @[Mux.scala 27:72] - node _T_275 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 186:79] - node _T_276 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 186:101] - node _T_277 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 186:136] - node _T_278 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 186:158] + node _T_275 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 184:79] + node _T_276 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 184:101] + node _T_277 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 184:136] + node _T_278 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 184:158] node _T_279 = mux(_T_275, _T_276, UInt<1>("h00")) @[Mux.scala 27:72] node _T_280 = mux(_T_277, _T_278, UInt<1>("h00")) @[Mux.scala 27:72] node _T_281 = or(_T_279, _T_280) @[Mux.scala 27:72] wire _T_282 : UInt<8> @[Mux.scala 27:72] _T_282 <= _T_281 @[Mux.scala 27:72] - node _T_283 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 186:79] - node _T_284 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 186:101] - node _T_285 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 186:136] - node _T_286 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 186:158] + node _T_283 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 184:79] + node _T_284 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 184:101] + node _T_285 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 184:136] + node _T_286 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 184:158] node _T_287 = mux(_T_283, _T_284, UInt<1>("h00")) @[Mux.scala 27:72] node _T_288 = mux(_T_285, _T_286, UInt<1>("h00")) @[Mux.scala 27:72] node _T_289 = or(_T_287, _T_288) @[Mux.scala 27:72] @@ -101705,117 +101705,117 @@ circuit quasar_wrapper : node _T_291 = cat(_T_290, _T_282) @[Cat.scala 29:58] node _T_292 = cat(_T_291, _T_274) @[Cat.scala 29:58] node _T_293 = cat(_T_292, _T_266) @[Cat.scala 29:58] - ld_fwddata_rpipe_hi <= _T_293 @[lsu_bus_intf.scala 186:27] - node _T_294 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_bus_intf.scala 187:70] - node _T_295 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_bus_intf.scala 187:94] - node _T_296 = bits(ld_fwddata_buf_lo, 7, 0) @[lsu_bus_intf.scala 187:128] - node _T_297 = mux(_T_294, _T_295, _T_296) @[lsu_bus_intf.scala 187:54] - node _T_298 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_bus_intf.scala 187:70] - node _T_299 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_bus_intf.scala 187:94] - node _T_300 = bits(ld_fwddata_buf_lo, 15, 8) @[lsu_bus_intf.scala 187:128] - node _T_301 = mux(_T_298, _T_299, _T_300) @[lsu_bus_intf.scala 187:54] - node _T_302 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_bus_intf.scala 187:70] - node _T_303 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_bus_intf.scala 187:94] - node _T_304 = bits(ld_fwddata_buf_lo, 23, 16) @[lsu_bus_intf.scala 187:128] - node _T_305 = mux(_T_302, _T_303, _T_304) @[lsu_bus_intf.scala 187:54] - node _T_306 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_bus_intf.scala 187:70] - node _T_307 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_bus_intf.scala 187:94] - node _T_308 = bits(ld_fwddata_buf_lo, 31, 24) @[lsu_bus_intf.scala 187:128] - node _T_309 = mux(_T_306, _T_307, _T_308) @[lsu_bus_intf.scala 187:54] + ld_fwddata_rpipe_hi <= _T_293 @[lsu_bus_intf.scala 184:27] + node _T_294 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_bus_intf.scala 185:70] + node _T_295 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_bus_intf.scala 185:94] + node _T_296 = bits(ld_fwddata_buf_lo, 7, 0) @[lsu_bus_intf.scala 185:128] + node _T_297 = mux(_T_294, _T_295, _T_296) @[lsu_bus_intf.scala 185:54] + node _T_298 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_bus_intf.scala 185:70] + node _T_299 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_bus_intf.scala 185:94] + node _T_300 = bits(ld_fwddata_buf_lo, 15, 8) @[lsu_bus_intf.scala 185:128] + node _T_301 = mux(_T_298, _T_299, _T_300) @[lsu_bus_intf.scala 185:54] + node _T_302 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_bus_intf.scala 185:70] + node _T_303 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_bus_intf.scala 185:94] + node _T_304 = bits(ld_fwddata_buf_lo, 23, 16) @[lsu_bus_intf.scala 185:128] + node _T_305 = mux(_T_302, _T_303, _T_304) @[lsu_bus_intf.scala 185:54] + node _T_306 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_bus_intf.scala 185:70] + node _T_307 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_bus_intf.scala 185:94] + node _T_308 = bits(ld_fwddata_buf_lo, 31, 24) @[lsu_bus_intf.scala 185:128] + node _T_309 = mux(_T_306, _T_307, _T_308) @[lsu_bus_intf.scala 185:54] node _T_310 = cat(_T_309, _T_305) @[Cat.scala 29:58] node _T_311 = cat(_T_310, _T_301) @[Cat.scala 29:58] node _T_312 = cat(_T_311, _T_297) @[Cat.scala 29:58] - ld_fwddata_lo <= _T_312 @[lsu_bus_intf.scala 187:27] - node _T_313 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_bus_intf.scala 188:70] - node _T_314 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_bus_intf.scala 188:94] - node _T_315 = bits(ld_fwddata_buf_hi, 7, 0) @[lsu_bus_intf.scala 188:128] - node _T_316 = mux(_T_313, _T_314, _T_315) @[lsu_bus_intf.scala 188:54] - node _T_317 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_bus_intf.scala 188:70] - node _T_318 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_bus_intf.scala 188:94] - node _T_319 = bits(ld_fwddata_buf_hi, 15, 8) @[lsu_bus_intf.scala 188:128] - node _T_320 = mux(_T_317, _T_318, _T_319) @[lsu_bus_intf.scala 188:54] - node _T_321 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_bus_intf.scala 188:70] - node _T_322 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_bus_intf.scala 188:94] - node _T_323 = bits(ld_fwddata_buf_hi, 23, 16) @[lsu_bus_intf.scala 188:128] - node _T_324 = mux(_T_321, _T_322, _T_323) @[lsu_bus_intf.scala 188:54] - node _T_325 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_bus_intf.scala 188:70] - node _T_326 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_bus_intf.scala 188:94] - node _T_327 = bits(ld_fwddata_buf_hi, 31, 24) @[lsu_bus_intf.scala 188:128] - node _T_328 = mux(_T_325, _T_326, _T_327) @[lsu_bus_intf.scala 188:54] + ld_fwddata_lo <= _T_312 @[lsu_bus_intf.scala 185:27] + node _T_313 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_bus_intf.scala 186:70] + node _T_314 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_bus_intf.scala 186:94] + node _T_315 = bits(ld_fwddata_buf_hi, 7, 0) @[lsu_bus_intf.scala 186:128] + node _T_316 = mux(_T_313, _T_314, _T_315) @[lsu_bus_intf.scala 186:54] + node _T_317 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_bus_intf.scala 186:70] + node _T_318 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_bus_intf.scala 186:94] + node _T_319 = bits(ld_fwddata_buf_hi, 15, 8) @[lsu_bus_intf.scala 186:128] + node _T_320 = mux(_T_317, _T_318, _T_319) @[lsu_bus_intf.scala 186:54] + node _T_321 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_bus_intf.scala 186:70] + node _T_322 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_bus_intf.scala 186:94] + node _T_323 = bits(ld_fwddata_buf_hi, 23, 16) @[lsu_bus_intf.scala 186:128] + node _T_324 = mux(_T_321, _T_322, _T_323) @[lsu_bus_intf.scala 186:54] + node _T_325 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_bus_intf.scala 186:70] + node _T_326 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_bus_intf.scala 186:94] + node _T_327 = bits(ld_fwddata_buf_hi, 31, 24) @[lsu_bus_intf.scala 186:128] + node _T_328 = mux(_T_325, _T_326, _T_327) @[lsu_bus_intf.scala 186:54] node _T_329 = cat(_T_328, _T_324) @[Cat.scala 29:58] node _T_330 = cat(_T_329, _T_320) @[Cat.scala 29:58] node _T_331 = cat(_T_330, _T_316) @[Cat.scala 29:58] - ld_fwddata_hi <= _T_331 @[lsu_bus_intf.scala 188:27] - node _T_332 = bits(ld_byte_hit_lo, 0, 0) @[lsu_bus_intf.scala 189:66] - node _T_333 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 189:89] - node _T_334 = eq(_T_333, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] - node _T_335 = or(_T_332, _T_334) @[lsu_bus_intf.scala 189:70] - node _T_336 = bits(ld_byte_hit_lo, 1, 1) @[lsu_bus_intf.scala 189:66] - node _T_337 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 189:89] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] - node _T_339 = or(_T_336, _T_338) @[lsu_bus_intf.scala 189:70] - node _T_340 = bits(ld_byte_hit_lo, 2, 2) @[lsu_bus_intf.scala 189:66] - node _T_341 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 189:89] - node _T_342 = eq(_T_341, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] - node _T_343 = or(_T_340, _T_342) @[lsu_bus_intf.scala 189:70] - node _T_344 = bits(ld_byte_hit_lo, 3, 3) @[lsu_bus_intf.scala 189:66] - node _T_345 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 189:89] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] - node _T_347 = or(_T_344, _T_346) @[lsu_bus_intf.scala 189:70] - node _T_348 = and(_T_335, _T_339) @[lsu_bus_intf.scala 189:111] - node _T_349 = and(_T_348, _T_343) @[lsu_bus_intf.scala 189:111] - node _T_350 = and(_T_349, _T_347) @[lsu_bus_intf.scala 189:111] - ld_full_hit_lo_m <= _T_350 @[lsu_bus_intf.scala 189:27] - node _T_351 = bits(ld_byte_hit_hi, 0, 0) @[lsu_bus_intf.scala 190:66] - node _T_352 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 190:89] - node _T_353 = eq(_T_352, UInt<1>("h00")) @[lsu_bus_intf.scala 190:72] - node _T_354 = or(_T_351, _T_353) @[lsu_bus_intf.scala 190:70] - node _T_355 = bits(ld_byte_hit_hi, 1, 1) @[lsu_bus_intf.scala 190:66] - node _T_356 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 190:89] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_intf.scala 190:72] - node _T_358 = or(_T_355, _T_357) @[lsu_bus_intf.scala 190:70] - node _T_359 = bits(ld_byte_hit_hi, 2, 2) @[lsu_bus_intf.scala 190:66] - node _T_360 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 190:89] - node _T_361 = eq(_T_360, UInt<1>("h00")) @[lsu_bus_intf.scala 190:72] - node _T_362 = or(_T_359, _T_361) @[lsu_bus_intf.scala 190:70] - node _T_363 = bits(ld_byte_hit_hi, 3, 3) @[lsu_bus_intf.scala 190:66] - node _T_364 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 190:89] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_intf.scala 190:72] - node _T_366 = or(_T_363, _T_365) @[lsu_bus_intf.scala 190:70] - node _T_367 = and(_T_354, _T_358) @[lsu_bus_intf.scala 190:111] - node _T_368 = and(_T_367, _T_362) @[lsu_bus_intf.scala 190:111] - node _T_369 = and(_T_368, _T_366) @[lsu_bus_intf.scala 190:111] - ld_full_hit_hi_m <= _T_369 @[lsu_bus_intf.scala 190:27] - node _T_370 = and(ld_full_hit_lo_m, ld_full_hit_hi_m) @[lsu_bus_intf.scala 191:47] - node _T_371 = and(_T_370, io.lsu_busreq_m) @[lsu_bus_intf.scala 191:66] - node _T_372 = and(_T_371, io.lsu_pkt_m.bits.load) @[lsu_bus_intf.scala 191:84] - node _T_373 = eq(io.is_sideeffects_m, UInt<1>("h00")) @[lsu_bus_intf.scala 191:111] - node _T_374 = and(_T_372, _T_373) @[lsu_bus_intf.scala 191:109] - ld_full_hit_m <= _T_374 @[lsu_bus_intf.scala 191:27] - node _T_375 = bits(ld_fwddata_hi, 31, 0) @[lsu_bus_intf.scala 192:47] - node _T_376 = bits(ld_fwddata_lo, 31, 0) @[lsu_bus_intf.scala 192:68] + ld_fwddata_hi <= _T_331 @[lsu_bus_intf.scala 186:27] + node _T_332 = bits(ld_byte_hit_lo, 0, 0) @[lsu_bus_intf.scala 187:66] + node _T_333 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 187:89] + node _T_334 = eq(_T_333, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_335 = or(_T_332, _T_334) @[lsu_bus_intf.scala 187:70] + node _T_336 = bits(ld_byte_hit_lo, 1, 1) @[lsu_bus_intf.scala 187:66] + node _T_337 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 187:89] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_339 = or(_T_336, _T_338) @[lsu_bus_intf.scala 187:70] + node _T_340 = bits(ld_byte_hit_lo, 2, 2) @[lsu_bus_intf.scala 187:66] + node _T_341 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 187:89] + node _T_342 = eq(_T_341, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_343 = or(_T_340, _T_342) @[lsu_bus_intf.scala 187:70] + node _T_344 = bits(ld_byte_hit_lo, 3, 3) @[lsu_bus_intf.scala 187:66] + node _T_345 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 187:89] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_347 = or(_T_344, _T_346) @[lsu_bus_intf.scala 187:70] + node _T_348 = and(_T_335, _T_339) @[lsu_bus_intf.scala 187:111] + node _T_349 = and(_T_348, _T_343) @[lsu_bus_intf.scala 187:111] + node _T_350 = and(_T_349, _T_347) @[lsu_bus_intf.scala 187:111] + ld_full_hit_lo_m <= _T_350 @[lsu_bus_intf.scala 187:27] + node _T_351 = bits(ld_byte_hit_hi, 0, 0) @[lsu_bus_intf.scala 188:66] + node _T_352 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 188:89] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_354 = or(_T_351, _T_353) @[lsu_bus_intf.scala 188:70] + node _T_355 = bits(ld_byte_hit_hi, 1, 1) @[lsu_bus_intf.scala 188:66] + node _T_356 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 188:89] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_358 = or(_T_355, _T_357) @[lsu_bus_intf.scala 188:70] + node _T_359 = bits(ld_byte_hit_hi, 2, 2) @[lsu_bus_intf.scala 188:66] + node _T_360 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 188:89] + node _T_361 = eq(_T_360, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_362 = or(_T_359, _T_361) @[lsu_bus_intf.scala 188:70] + node _T_363 = bits(ld_byte_hit_hi, 3, 3) @[lsu_bus_intf.scala 188:66] + node _T_364 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 188:89] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_366 = or(_T_363, _T_365) @[lsu_bus_intf.scala 188:70] + node _T_367 = and(_T_354, _T_358) @[lsu_bus_intf.scala 188:111] + node _T_368 = and(_T_367, _T_362) @[lsu_bus_intf.scala 188:111] + node _T_369 = and(_T_368, _T_366) @[lsu_bus_intf.scala 188:111] + ld_full_hit_hi_m <= _T_369 @[lsu_bus_intf.scala 188:27] + node _T_370 = and(ld_full_hit_lo_m, ld_full_hit_hi_m) @[lsu_bus_intf.scala 189:47] + node _T_371 = and(_T_370, io.lsu_busreq_m) @[lsu_bus_intf.scala 189:66] + node _T_372 = and(_T_371, io.lsu_pkt_m.bits.load) @[lsu_bus_intf.scala 189:84] + node _T_373 = eq(io.is_sideeffects_m, UInt<1>("h00")) @[lsu_bus_intf.scala 189:111] + node _T_374 = and(_T_372, _T_373) @[lsu_bus_intf.scala 189:109] + ld_full_hit_m <= _T_374 @[lsu_bus_intf.scala 189:27] + node _T_375 = bits(ld_fwddata_hi, 31, 0) @[lsu_bus_intf.scala 190:47] + node _T_376 = bits(ld_fwddata_lo, 31, 0) @[lsu_bus_intf.scala 190:68] node _T_377 = cat(_T_375, _T_376) @[Cat.scala 29:58] - node _T_378 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 192:97] - node _T_379 = mul(UInt<4>("h08"), _T_378) @[lsu_bus_intf.scala 192:83] - node _T_380 = dshr(_T_377, _T_379) @[lsu_bus_intf.scala 192:76] - ld_fwddata_m <= _T_380 @[lsu_bus_intf.scala 192:27] - node _T_381 = bits(ld_fwddata_m, 31, 0) @[lsu_bus_intf.scala 193:42] - io.bus_read_data_m <= _T_381 @[lsu_bus_intf.scala 193:27] - reg _T_382 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 196:32] - _T_382 <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 196:32] - lsu_bus_clk_en_q <= _T_382 @[lsu_bus_intf.scala 196:22] - reg _T_383 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 199:27] - _T_383 <= ldst_dual_d @[lsu_bus_intf.scala 199:27] - ldst_dual_m <= _T_383 @[lsu_bus_intf.scala 199:17] - reg _T_384 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 202:33] - _T_384 <= ldst_dual_m @[lsu_bus_intf.scala 202:33] - ldst_dual_r <= _T_384 @[lsu_bus_intf.scala 202:23] - reg _T_385 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 203:33] - _T_385 <= io.is_sideeffects_m @[lsu_bus_intf.scala 203:33] - is_sideeffects_r <= _T_385 @[lsu_bus_intf.scala 203:23] - reg _T_386 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<4>("h00"))) @[lsu_bus_intf.scala 204:33] - _T_386 <= ldst_byteen_m @[lsu_bus_intf.scala 204:33] - ldst_byteen_r <= _T_386 @[lsu_bus_intf.scala 204:23] + node _T_378 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 190:97] + node _T_379 = mul(UInt<4>("h08"), _T_378) @[lsu_bus_intf.scala 190:83] + node _T_380 = dshr(_T_377, _T_379) @[lsu_bus_intf.scala 190:76] + ld_fwddata_m <= _T_380 @[lsu_bus_intf.scala 190:27] + node _T_381 = bits(ld_fwddata_m, 31, 0) @[lsu_bus_intf.scala 191:42] + io.bus_read_data_m <= _T_381 @[lsu_bus_intf.scala 191:27] + reg _T_382 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 194:32] + _T_382 <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 194:32] + lsu_bus_clk_en_q <= _T_382 @[lsu_bus_intf.scala 194:22] + reg _T_383 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 197:27] + _T_383 <= ldst_dual_d @[lsu_bus_intf.scala 197:27] + ldst_dual_m <= _T_383 @[lsu_bus_intf.scala 197:17] + reg _T_384 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 200:33] + _T_384 <= ldst_dual_m @[lsu_bus_intf.scala 200:33] + ldst_dual_r <= _T_384 @[lsu_bus_intf.scala 200:23] + reg _T_385 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 201:33] + _T_385 <= io.is_sideeffects_m @[lsu_bus_intf.scala 201:33] + is_sideeffects_r <= _T_385 @[lsu_bus_intf.scala 201:23] + reg _T_386 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<4>("h00"))) @[lsu_bus_intf.scala 202:33] + _T_386 <= ldst_byteen_m @[lsu_bus_intf.scala 202:33] + ldst_byteen_r <= _T_386 @[lsu_bus_intf.scala 202:23] module lsu : input clock : Clock diff --git a/quasar_wrapper.v b/quasar_wrapper.v index 42e7721f..2b3ea300 100644 --- a/quasar_wrapper.v +++ b/quasar_wrapper.v @@ -60641,16 +60641,16 @@ module exu_alu_ctl( wire _T_300 = ~io_flush_upper_x; // @[exu_alu_ctl.scala 111:119] wire _T_301 = _T_299 & _T_300; // @[exu_alu_ctl.scala 111:117] wire _T_302 = ~io_dec_tlu_flush_lower_r; // @[exu_alu_ctl.scala 111:141] - wire _T_312 = io_pp_in_bits_hist[1] & io_pp_in_bits_hist[0]; // @[exu_alu_ctl.scala 117:44] - wire _T_314 = ~io_pp_in_bits_hist[0]; // @[exu_alu_ctl.scala 117:73] - wire _T_315 = _T_314 & actual_taken; // @[exu_alu_ctl.scala 117:96] - wire _T_316 = _T_312 | _T_315; // @[exu_alu_ctl.scala 117:70] - wire _T_318 = ~io_pp_in_bits_hist[1]; // @[exu_alu_ctl.scala 118:6] - wire _T_320 = _T_318 & _T_279; // @[exu_alu_ctl.scala 118:29] - wire _T_322 = io_pp_in_bits_hist[1] & actual_taken; // @[exu_alu_ctl.scala 118:72] - wire _T_323 = _T_320 | _T_322; // @[exu_alu_ctl.scala 118:47] - wire _T_327 = _T_300 & _T_302; // @[exu_alu_ctl.scala 121:56] - wire _T_328 = cond_mispredict | target_mispredict; // @[exu_alu_ctl.scala 121:103] + wire _T_312 = io_pp_in_bits_hist[1] & io_pp_in_bits_hist[0]; // @[exu_alu_ctl.scala 116:44] + wire _T_314 = ~io_pp_in_bits_hist[0]; // @[exu_alu_ctl.scala 116:73] + wire _T_315 = _T_314 & actual_taken; // @[exu_alu_ctl.scala 116:96] + wire _T_316 = _T_312 | _T_315; // @[exu_alu_ctl.scala 116:70] + wire _T_318 = ~io_pp_in_bits_hist[1]; // @[exu_alu_ctl.scala 117:6] + wire _T_320 = _T_318 & _T_279; // @[exu_alu_ctl.scala 117:29] + wire _T_322 = io_pp_in_bits_hist[1] & actual_taken; // @[exu_alu_ctl.scala 117:72] + wire _T_323 = _T_320 | _T_322; // @[exu_alu_ctl.scala 117:47] + wire _T_327 = _T_300 & _T_302; // @[exu_alu_ctl.scala 120:56] + wire _T_328 = cond_mispredict | target_mispredict; // @[exu_alu_ctl.scala 120:103] rvclkhdr rvclkhdr ( // @[el2_lib.scala 508:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -60666,22 +60666,22 @@ module exu_alu_ctl( assign io_dec_alu_exu_i0_pc_x = _T_1; // @[exu_alu_ctl.scala 30:26] assign io_result_ff = _T_3; // @[exu_alu_ctl.scala 32:16] assign io_flush_upper_out = _T_301 & _T_302; // @[exu_alu_ctl.scala 111:26] - assign io_flush_final_out = _T_301 | io_dec_tlu_flush_lower_r; // @[exu_alu_ctl.scala 113:26] + assign io_flush_final_out = _T_301 | io_dec_tlu_flush_lower_r; // @[exu_alu_ctl.scala 112:26] assign io_flush_path_out = sel_pc ? aout[31:1] : pcout[31:1]; // @[exu_alu_ctl.scala 103:22] assign io_pred_correct_out = _T_282 | _T_286; // @[exu_alu_ctl.scala 101:26] - assign io_predict_p_out_valid = io_pp_in_valid; // @[exu_alu_ctl.scala 120:30] - assign io_predict_p_out_bits_misp = _T_327 & _T_328; // @[exu_alu_ctl.scala 120:30 exu_alu_ctl.scala 121:35] - assign io_predict_p_out_bits_ataken = _T_277 | sel_pc; // @[exu_alu_ctl.scala 120:30 exu_alu_ctl.scala 122:35] - assign io_predict_p_out_bits_boffset = io_pp_in_bits_boffset; // @[exu_alu_ctl.scala 120:30] - assign io_predict_p_out_bits_pc4 = io_pp_in_bits_pc4; // @[exu_alu_ctl.scala 120:30] - assign io_predict_p_out_bits_hist = {_T_316,_T_323}; // @[exu_alu_ctl.scala 120:30 exu_alu_ctl.scala 123:35] - assign io_predict_p_out_bits_toffset = io_pp_in_bits_toffset; // @[exu_alu_ctl.scala 120:30] - assign io_predict_p_out_bits_br_error = io_pp_in_bits_br_error; // @[exu_alu_ctl.scala 120:30] - assign io_predict_p_out_bits_br_start_error = io_pp_in_bits_br_start_error; // @[exu_alu_ctl.scala 120:30] - assign io_predict_p_out_bits_pcall = io_pp_in_bits_pcall; // @[exu_alu_ctl.scala 120:30] - assign io_predict_p_out_bits_pret = io_pp_in_bits_pret; // @[exu_alu_ctl.scala 120:30] - assign io_predict_p_out_bits_pja = io_pp_in_bits_pja; // @[exu_alu_ctl.scala 120:30] - assign io_predict_p_out_bits_way = io_pp_in_bits_way; // @[exu_alu_ctl.scala 120:30] + assign io_predict_p_out_valid = io_pp_in_valid; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_misp = _T_327 & _T_328; // @[exu_alu_ctl.scala 119:30 exu_alu_ctl.scala 120:35] + assign io_predict_p_out_bits_ataken = _T_277 | sel_pc; // @[exu_alu_ctl.scala 119:30 exu_alu_ctl.scala 121:35] + assign io_predict_p_out_bits_boffset = io_pp_in_bits_boffset; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_pc4 = io_pp_in_bits_pc4; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_hist = {_T_316,_T_323}; // @[exu_alu_ctl.scala 119:30 exu_alu_ctl.scala 122:35] + assign io_predict_p_out_bits_toffset = io_pp_in_bits_toffset; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_br_error = io_pp_in_bits_br_error; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_br_start_error = io_pp_in_bits_br_start_error; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_pcall = io_pp_in_bits_pcall; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_pret = io_pp_in_bits_pret; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_pja = io_pp_in_bits_pja; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_way = io_pp_in_bits_way; // @[exu_alu_ctl.scala 119:30] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 510:18] assign rvclkhdr_io_en = io_enable; // @[el2_lib.scala 511:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] @@ -67855,33 +67855,33 @@ module lsu_clkdomain( wire rvclkhdr_11_io_clk; // @[el2_lib.scala 483:22] wire rvclkhdr_11_io_en; // @[el2_lib.scala 483:22] wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 483:22] - wire _T = io_lsu_p_valid | io_dma_dccm_req; // @[lsu_clkdomain.scala 63:51] - reg lsu_c1_d_clken_q; // @[lsu_clkdomain.scala 82:67] - wire _T_1 = io_lsu_pkt_d_valid | lsu_c1_d_clken_q; // @[lsu_clkdomain.scala 64:51] - wire lsu_c1_m_clken = _T_1 | io_clk_override; // @[lsu_clkdomain.scala 64:70] - reg lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 83:67] - wire _T_2 = io_lsu_pkt_m_valid | lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 65:51] - wire lsu_c1_r_clken = _T_2 | io_clk_override; // @[lsu_clkdomain.scala 65:70] - wire _T_3 = lsu_c1_m_clken | lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 67:47] - reg lsu_c1_r_clken_q; // @[lsu_clkdomain.scala 84:67] - wire _T_4 = lsu_c1_r_clken | lsu_c1_r_clken_q; // @[lsu_clkdomain.scala 68:47] - wire _T_5 = lsu_c1_m_clken & io_lsu_pkt_d_bits_store; // @[lsu_clkdomain.scala 70:49] - wire _T_6 = lsu_c1_r_clken & io_lsu_pkt_m_bits_store; // @[lsu_clkdomain.scala 71:49] - wire _T_7 = io_ldst_stbuf_reqvld_r | io_stbuf_reqvld_any; // @[lsu_clkdomain.scala 72:55] - wire _T_8 = _T_7 | io_stbuf_reqvld_flushed_any; // @[lsu_clkdomain.scala 72:77] - wire _T_9 = io_lsu_bus_buffer_pend_any | io_lsu_busreq_r; // @[lsu_clkdomain.scala 74:61] - wire _T_10 = _T_9 | io_clk_override; // @[lsu_clkdomain.scala 74:79] - wire _T_11 = ~io_lsu_bus_buffer_empty_any; // @[lsu_clkdomain.scala 75:32] - wire _T_12 = _T_11 | io_lsu_busreq_r; // @[lsu_clkdomain.scala 75:61] - wire _T_13 = io_lsu_p_valid | io_lsu_pkt_d_valid; // @[lsu_clkdomain.scala 77:48] - wire _T_14 = _T_13 | io_lsu_pkt_m_valid; // @[lsu_clkdomain.scala 77:69] - wire _T_15 = _T_14 | io_lsu_pkt_r_valid; // @[lsu_clkdomain.scala 77:90] - wire _T_17 = _T_15 | _T_11; // @[lsu_clkdomain.scala 77:112] - wire _T_18 = ~io_lsu_stbuf_empty_any; // @[lsu_clkdomain.scala 77:145] - wire _T_19 = _T_17 | _T_18; // @[lsu_clkdomain.scala 77:143] - wire lsu_free_c1_clken = _T_19 | io_clk_override; // @[lsu_clkdomain.scala 77:169] - reg lsu_free_c1_clken_q; // @[lsu_clkdomain.scala 81:60] - wire _T_20 = lsu_free_c1_clken | lsu_free_c1_clken_q; // @[lsu_clkdomain.scala 78:50] + wire _T = io_lsu_p_valid | io_dma_dccm_req; // @[lsu_clkdomain.scala 62:51] + reg lsu_c1_d_clken_q; // @[lsu_clkdomain.scala 81:67] + wire _T_1 = io_lsu_pkt_d_valid | lsu_c1_d_clken_q; // @[lsu_clkdomain.scala 63:51] + wire lsu_c1_m_clken = _T_1 | io_clk_override; // @[lsu_clkdomain.scala 63:70] + reg lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 82:67] + wire _T_2 = io_lsu_pkt_m_valid | lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 64:51] + wire lsu_c1_r_clken = _T_2 | io_clk_override; // @[lsu_clkdomain.scala 64:70] + wire _T_3 = lsu_c1_m_clken | lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 66:47] + reg lsu_c1_r_clken_q; // @[lsu_clkdomain.scala 83:67] + wire _T_4 = lsu_c1_r_clken | lsu_c1_r_clken_q; // @[lsu_clkdomain.scala 67:47] + wire _T_5 = lsu_c1_m_clken & io_lsu_pkt_d_bits_store; // @[lsu_clkdomain.scala 69:49] + wire _T_6 = lsu_c1_r_clken & io_lsu_pkt_m_bits_store; // @[lsu_clkdomain.scala 70:49] + wire _T_7 = io_ldst_stbuf_reqvld_r | io_stbuf_reqvld_any; // @[lsu_clkdomain.scala 71:55] + wire _T_8 = _T_7 | io_stbuf_reqvld_flushed_any; // @[lsu_clkdomain.scala 71:77] + wire _T_9 = io_lsu_bus_buffer_pend_any | io_lsu_busreq_r; // @[lsu_clkdomain.scala 73:61] + wire _T_10 = _T_9 | io_clk_override; // @[lsu_clkdomain.scala 73:79] + wire _T_11 = ~io_lsu_bus_buffer_empty_any; // @[lsu_clkdomain.scala 74:32] + wire _T_12 = _T_11 | io_lsu_busreq_r; // @[lsu_clkdomain.scala 74:61] + wire _T_13 = io_lsu_p_valid | io_lsu_pkt_d_valid; // @[lsu_clkdomain.scala 76:48] + wire _T_14 = _T_13 | io_lsu_pkt_m_valid; // @[lsu_clkdomain.scala 76:69] + wire _T_15 = _T_14 | io_lsu_pkt_r_valid; // @[lsu_clkdomain.scala 76:90] + wire _T_17 = _T_15 | _T_11; // @[lsu_clkdomain.scala 76:112] + wire _T_18 = ~io_lsu_stbuf_empty_any; // @[lsu_clkdomain.scala 76:145] + wire _T_19 = _T_17 | _T_18; // @[lsu_clkdomain.scala 76:143] + wire lsu_free_c1_clken = _T_19 | io_clk_override; // @[lsu_clkdomain.scala 76:169] + reg lsu_free_c1_clken_q; // @[lsu_clkdomain.scala 80:60] + wire _T_20 = lsu_free_c1_clken | lsu_free_c1_clken_q; // @[lsu_clkdomain.scala 77:50] rvclkhdr rvclkhdr ( // @[el2_lib.scala 483:22] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -67954,18 +67954,18 @@ module lsu_clkdomain( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_c1_m_clk = rvclkhdr_io_l1clk; // @[lsu_clkdomain.scala 86:26] - assign io_lsu_c1_r_clk = rvclkhdr_1_io_l1clk; // @[lsu_clkdomain.scala 87:26] - assign io_lsu_c2_m_clk = rvclkhdr_2_io_l1clk; // @[lsu_clkdomain.scala 88:26] - assign io_lsu_c2_r_clk = rvclkhdr_3_io_l1clk; // @[lsu_clkdomain.scala 89:26] - assign io_lsu_store_c1_m_clk = rvclkhdr_4_io_l1clk; // @[lsu_clkdomain.scala 90:26] - assign io_lsu_store_c1_r_clk = rvclkhdr_5_io_l1clk; // @[lsu_clkdomain.scala 91:26] - assign io_lsu_stbuf_c1_clk = rvclkhdr_6_io_l1clk; // @[lsu_clkdomain.scala 92:26] - assign io_lsu_bus_obuf_c1_clk = rvclkhdr_8_io_l1clk; // @[lsu_clkdomain.scala 94:26] - assign io_lsu_bus_ibuf_c1_clk = rvclkhdr_7_io_l1clk; // @[lsu_clkdomain.scala 93:26] - assign io_lsu_bus_buf_c1_clk = rvclkhdr_9_io_l1clk; // @[lsu_clkdomain.scala 95:26] - assign io_lsu_busm_clk = rvclkhdr_10_io_l1clk; // @[lsu_clkdomain.scala 96:26] - assign io_lsu_free_c2_clk = rvclkhdr_11_io_l1clk; // @[lsu_clkdomain.scala 97:26] + assign io_lsu_c1_m_clk = rvclkhdr_io_l1clk; // @[lsu_clkdomain.scala 85:26] + assign io_lsu_c1_r_clk = rvclkhdr_1_io_l1clk; // @[lsu_clkdomain.scala 86:26] + assign io_lsu_c2_m_clk = rvclkhdr_2_io_l1clk; // @[lsu_clkdomain.scala 87:26] + assign io_lsu_c2_r_clk = rvclkhdr_3_io_l1clk; // @[lsu_clkdomain.scala 88:26] + assign io_lsu_store_c1_m_clk = rvclkhdr_4_io_l1clk; // @[lsu_clkdomain.scala 89:26] + assign io_lsu_store_c1_r_clk = rvclkhdr_5_io_l1clk; // @[lsu_clkdomain.scala 90:26] + assign io_lsu_stbuf_c1_clk = rvclkhdr_6_io_l1clk; // @[lsu_clkdomain.scala 91:26] + assign io_lsu_bus_obuf_c1_clk = rvclkhdr_8_io_l1clk; // @[lsu_clkdomain.scala 93:26] + assign io_lsu_bus_ibuf_c1_clk = rvclkhdr_7_io_l1clk; // @[lsu_clkdomain.scala 92:26] + assign io_lsu_bus_buf_c1_clk = rvclkhdr_9_io_l1clk; // @[lsu_clkdomain.scala 94:26] + assign io_lsu_busm_clk = rvclkhdr_10_io_l1clk; // @[lsu_clkdomain.scala 95:26] + assign io_lsu_free_c2_clk = rvclkhdr_11_io_l1clk; // @[lsu_clkdomain.scala 96:26] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 484:17] assign rvclkhdr_io_en = _T_1 | io_clk_override; // @[el2_lib.scala 485:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 486:23] @@ -68407,85 +68407,85 @@ module lsu_bus_buffer( wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 141:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 499:60] - wire _T_2621 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 411:93] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 500:60] + wire _T_2621 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 412:93] wire _T_4107 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4130 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4134 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1848; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 351:13] - wire _T_4141 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 454:48] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 352:13] + wire _T_4141 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 455:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_358 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 454:104] - wire _T_4142 = _GEN_358 == 3'h3; // @[lsu_bus_buffer.scala 454:104] - wire _T_4143 = obuf_merge & _T_4142; // @[lsu_bus_buffer.scala 454:91] - wire _T_4144 = _T_4141 | _T_4143; // @[lsu_bus_buffer.scala 454:77] - reg obuf_valid; // @[lsu_bus_buffer.scala 345:54] - wire _T_4145 = _T_4144 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] - reg obuf_wr_enQ; // @[lsu_bus_buffer.scala 344:55] - wire _T_4146 = _T_4145 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] + wire [2:0] _GEN_358 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 455:104] + wire _T_4142 = _GEN_358 == 3'h3; // @[lsu_bus_buffer.scala 455:104] + wire _T_4143 = obuf_merge & _T_4142; // @[lsu_bus_buffer.scala 455:91] + wire _T_4144 = _T_4141 | _T_4143; // @[lsu_bus_buffer.scala 455:77] + reg obuf_valid; // @[lsu_bus_buffer.scala 346:54] + wire _T_4145 = _T_4144 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] + reg obuf_wr_enQ; // @[lsu_bus_buffer.scala 345:55] + wire _T_4146 = _T_4145 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] wire _GEN_280 = _T_4134 & _T_4146; // @[Conditional.scala 39:67] wire _GEN_293 = _T_4130 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 411:103] - wire _T_2623 = ~_T_2622; // @[lsu_bus_buffer.scala 411:78] - wire _T_2624 = buf_ageQ_3[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] - wire _T_2616 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 411:93] + wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 412:103] + wire _T_2623 = ~_T_2622; // @[lsu_bus_buffer.scala 412:78] + wire _T_2624 = buf_ageQ_3[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] + wire _T_2616 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 412:93] wire _T_3914 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3937 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3941 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3948 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 454:48] - wire _T_3949 = _GEN_358 == 3'h2; // @[lsu_bus_buffer.scala 454:104] - wire _T_3950 = obuf_merge & _T_3949; // @[lsu_bus_buffer.scala 454:91] - wire _T_3951 = _T_3948 | _T_3950; // @[lsu_bus_buffer.scala 454:77] - wire _T_3952 = _T_3951 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] - wire _T_3953 = _T_3952 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] + wire _T_3948 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 455:48] + wire _T_3949 = _GEN_358 == 3'h2; // @[lsu_bus_buffer.scala 455:104] + wire _T_3950 = obuf_merge & _T_3949; // @[lsu_bus_buffer.scala 455:91] + wire _T_3951 = _T_3948 | _T_3950; // @[lsu_bus_buffer.scala 455:77] + wire _T_3952 = _T_3951 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] + wire _T_3953 = _T_3952 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] wire _GEN_204 = _T_3941 & _T_3953; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3937 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2617 = _T_2616 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 411:103] - wire _T_2618 = ~_T_2617; // @[lsu_bus_buffer.scala 411:78] - wire _T_2619 = buf_ageQ_3[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] - wire _T_2611 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 411:93] + wire _T_2617 = _T_2616 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 412:103] + wire _T_2618 = ~_T_2617; // @[lsu_bus_buffer.scala 412:78] + wire _T_2619 = buf_ageQ_3[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] + wire _T_2611 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 412:93] wire _T_3721 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3744 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3748 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3755 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 454:48] - wire _T_3756 = _GEN_358 == 3'h1; // @[lsu_bus_buffer.scala 454:104] - wire _T_3757 = obuf_merge & _T_3756; // @[lsu_bus_buffer.scala 454:91] - wire _T_3758 = _T_3755 | _T_3757; // @[lsu_bus_buffer.scala 454:77] - wire _T_3759 = _T_3758 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] - wire _T_3760 = _T_3759 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] + wire _T_3755 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 455:48] + wire _T_3756 = _GEN_358 == 3'h1; // @[lsu_bus_buffer.scala 455:104] + wire _T_3757 = obuf_merge & _T_3756; // @[lsu_bus_buffer.scala 455:91] + wire _T_3758 = _T_3755 | _T_3757; // @[lsu_bus_buffer.scala 455:77] + wire _T_3759 = _T_3758 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] + wire _T_3760 = _T_3759 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] wire _GEN_128 = _T_3748 & _T_3760; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3744 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2612 = _T_2611 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 411:103] - wire _T_2613 = ~_T_2612; // @[lsu_bus_buffer.scala 411:78] - wire _T_2614 = buf_ageQ_3[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] - wire _T_2606 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 411:93] + wire _T_2612 = _T_2611 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 412:103] + wire _T_2613 = ~_T_2612; // @[lsu_bus_buffer.scala 412:78] + wire _T_2614 = buf_ageQ_3[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] + wire _T_2606 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 412:93] wire _T_3528 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3551 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3555 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3562 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 454:48] - wire _T_3563 = _GEN_358 == 3'h0; // @[lsu_bus_buffer.scala 454:104] - wire _T_3564 = obuf_merge & _T_3563; // @[lsu_bus_buffer.scala 454:91] - wire _T_3565 = _T_3562 | _T_3564; // @[lsu_bus_buffer.scala 454:77] - wire _T_3566 = _T_3565 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] - wire _T_3567 = _T_3566 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] + wire _T_3562 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 455:48] + wire _T_3563 = _GEN_358 == 3'h0; // @[lsu_bus_buffer.scala 455:104] + wire _T_3564 = obuf_merge & _T_3563; // @[lsu_bus_buffer.scala 455:91] + wire _T_3565 = _T_3562 | _T_3564; // @[lsu_bus_buffer.scala 455:77] + wire _T_3566 = _T_3565 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] + wire _T_3567 = _T_3566 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] wire _GEN_52 = _T_3555 & _T_3567; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3551 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 411:103] - wire _T_2608 = ~_T_2607; // @[lsu_bus_buffer.scala 411:78] - wire _T_2609 = buf_ageQ_3[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] + wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 412:103] + wire _T_2608 = ~_T_2607; // @[lsu_bus_buffer.scala 412:78] + wire _T_2609 = buf_ageQ_3[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] wire [3:0] buf_age_3 = {_T_2624,_T_2619,_T_2614,_T_2609}; // @[Cat.scala 29:58] - wire _T_2723 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 412:89] - wire _T_2725 = _T_2723 & _T_19; // @[lsu_bus_buffer.scala 412:104] - wire _T_2717 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 412:89] - wire _T_2719 = _T_2717 & _T_12; // @[lsu_bus_buffer.scala 412:104] - wire _T_2711 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 412:89] - wire _T_2713 = _T_2711 & _T_5; // @[lsu_bus_buffer.scala 412:104] + wire _T_2723 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2725 = _T_2723 & _T_19; // @[lsu_bus_buffer.scala 413:104] + wire _T_2717 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2719 = _T_2717 & _T_12; // @[lsu_bus_buffer.scala 413:104] + wire _T_2711 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2713 = _T_2711 & _T_5; // @[lsu_bus_buffer.scala 413:104] wire [3:0] buf_age_younger_3 = {1'h0,_T_2725,_T_2719,_T_2713}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 146:144] @@ -68495,7 +68495,7 @@ module lsu_bus_buffer( wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 152:51] reg ibuf_write; // @[Reg.scala 27:20] wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 152:73] - reg ibuf_valid; // @[lsu_bus_buffer.scala 239:54] + reg ibuf_valid; // @[lsu_bus_buffer.scala 240:54] wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 152:86] wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 152:99] wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] @@ -68504,54 +68504,54 @@ module lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 157:69] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 146:150] wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 146:148] - reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 499:60] - wire _T_2601 = buf_ageQ_2[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] - wire _T_2596 = buf_ageQ_2[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] - wire _T_2591 = buf_ageQ_2[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] - wire _T_2586 = buf_ageQ_2[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 500:60] + wire _T_2601 = buf_ageQ_2[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] + wire _T_2596 = buf_ageQ_2[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] + wire _T_2591 = buf_ageQ_2[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] + wire _T_2586 = buf_ageQ_2[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] wire [3:0] buf_age_2 = {_T_2601,_T_2596,_T_2591,_T_2586}; // @[Cat.scala 29:58] - wire _T_2702 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 412:89] - wire _T_2704 = _T_2702 & _T_26; // @[lsu_bus_buffer.scala 412:104] - wire _T_2690 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 412:89] - wire _T_2692 = _T_2690 & _T_12; // @[lsu_bus_buffer.scala 412:104] - wire _T_2684 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 412:89] - wire _T_2686 = _T_2684 & _T_5; // @[lsu_bus_buffer.scala 412:104] + wire _T_2702 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2704 = _T_2702 & _T_26; // @[lsu_bus_buffer.scala 413:104] + wire _T_2690 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2692 = _T_2690 & _T_12; // @[lsu_bus_buffer.scala 413:104] + wire _T_2684 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2686 = _T_2684 & _T_5; // @[lsu_bus_buffer.scala 413:104] wire [3:0] buf_age_younger_2 = {_T_2704,1'h0,_T_2692,_T_2686}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 146:144] wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 146:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 146:97] wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 146:148] - reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 499:60] - wire _T_2578 = buf_ageQ_1[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] - wire _T_2573 = buf_ageQ_1[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] - wire _T_2568 = buf_ageQ_1[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] - wire _T_2563 = buf_ageQ_1[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 500:60] + wire _T_2578 = buf_ageQ_1[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] + wire _T_2573 = buf_ageQ_1[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] + wire _T_2568 = buf_ageQ_1[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] + wire _T_2563 = buf_ageQ_1[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] wire [3:0] buf_age_1 = {_T_2578,_T_2573,_T_2568,_T_2563}; // @[Cat.scala 29:58] - wire _T_2675 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 412:89] - wire _T_2677 = _T_2675 & _T_26; // @[lsu_bus_buffer.scala 412:104] - wire _T_2669 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 412:89] - wire _T_2671 = _T_2669 & _T_19; // @[lsu_bus_buffer.scala 412:104] - wire _T_2657 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 412:89] - wire _T_2659 = _T_2657 & _T_5; // @[lsu_bus_buffer.scala 412:104] + wire _T_2675 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2677 = _T_2675 & _T_26; // @[lsu_bus_buffer.scala 413:104] + wire _T_2669 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2671 = _T_2669 & _T_19; // @[lsu_bus_buffer.scala 413:104] + wire _T_2657 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2659 = _T_2657 & _T_5; // @[lsu_bus_buffer.scala 413:104] wire [3:0] buf_age_younger_1 = {_T_2677,_T_2671,1'h0,_T_2659}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 146:144] wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 146:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 146:97] wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 146:148] - reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 499:60] - wire _T_2555 = buf_ageQ_0[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] - wire _T_2550 = buf_ageQ_0[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] - wire _T_2545 = buf_ageQ_0[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] - wire _T_2540 = buf_ageQ_0[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 500:60] + wire _T_2555 = buf_ageQ_0[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] + wire _T_2550 = buf_ageQ_0[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] + wire _T_2545 = buf_ageQ_0[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] + wire _T_2540 = buf_ageQ_0[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] wire [3:0] buf_age_0 = {_T_2555,_T_2550,_T_2545,_T_2540}; // @[Cat.scala 29:58] - wire _T_2648 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 412:89] - wire _T_2650 = _T_2648 & _T_26; // @[lsu_bus_buffer.scala 412:104] - wire _T_2642 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 412:89] - wire _T_2644 = _T_2642 & _T_19; // @[lsu_bus_buffer.scala 412:104] - wire _T_2636 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 412:89] - wire _T_2638 = _T_2636 & _T_12; // @[lsu_bus_buffer.scala 412:104] + wire _T_2648 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2650 = _T_2648 & _T_26; // @[lsu_bus_buffer.scala 413:104] + wire _T_2642 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2644 = _T_2642 & _T_19; // @[lsu_bus_buffer.scala 413:104] + wire _T_2636 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2638 = _T_2636 & _T_12; // @[lsu_bus_buffer.scala 413:104] wire [3:0] buf_age_younger_0 = {_T_2650,_T_2644,_T_2638,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 146:144] @@ -68953,162 +68953,162 @@ module lsu_bus_buffer( wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 202:40] - wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 204:31] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 203:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 205:31] wire _T_845 = io_lsu_pkt_r_bits_word & _T_756; // @[Mux.scala 27:72] wire _T_846 = io_lsu_pkt_r_bits_half & _T_844; // @[Mux.scala 27:72] wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] wire is_aligned_r = _T_848 | io_lsu_pkt_r_bits_by; // @[Mux.scala 27:72] - wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 206:60] - wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 206:34] - wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 206:84] - wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 206:82] - wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 207:36] - wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 207:56] - wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 207:54] - wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 209:36] - reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 252:55] - wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 215:62] - wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 215:48] - wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 234:54] - wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 234:80] - wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 234:93] - wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 234:129] - wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 234:106] - wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 234:152] - wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 234:150] - wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 234:175] - wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 234:173] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 235:20] - wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 215:98] - wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 215:82] - wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 215:80] - wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 216:5] - wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 210:44] - wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 210:42] - wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 210:61] - wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 210:120] - wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 210:100] - wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 210:74] - wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 216:16] + wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 207:60] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 207:34] + wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 207:84] + wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 207:82] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 208:36] + wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 208:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 208:54] + wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 210:36] + reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 253:55] + wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 216:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 216:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 235:54] + wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 235:80] + wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 235:93] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 235:129] + wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 235:106] + wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 235:152] + wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 235:150] + wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 235:175] + wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 235:173] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 236:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 216:98] + wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 216:82] + wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 216:80] + wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 217:5] + wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 211:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 211:42] + wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 211:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 211:120] + wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 211:100] + wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 211:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 217:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 216:35] - wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 216:55] - wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 216:53] - wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 216:67] - wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 215:32] - wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 209:34] - wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 209:49] - reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 615:49] - reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 614:49] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 217:35] + wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 217:55] + wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 217:53] + wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 217:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 216:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 210:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 210:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 616:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 615:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] - wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 225:77] - wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 230:8] - wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 231:8] - wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 229:46] - wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 230:8] - wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 231:8] - wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 229:46] - wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 230:8] - wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 231:8] - wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 229:46] - wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 230:8] - wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 231:8] - wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 229:46] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 226:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 232:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 230:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 232:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 230:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 232:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 230:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 232:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 230:46] wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] - wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 232:59] - wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 232:93] - wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 236:65] - wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 236:63] - wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 236:96] - wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 236:48] - wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 236:96] - wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 236:48] - wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 236:96] - wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 236:48] - wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 236:96] - wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 236:48] + wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 233:59] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 233:93] + wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 237:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 237:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 237:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 237:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 237:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 237:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 237:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 237:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 237:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 237:48] wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] - wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 237:45] - wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 237:45] - wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 237:45] - wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 237:45] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 238:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 238:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 238:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 238:45] wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] - wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 239:58] - wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 239:93] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 240:58] + wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 240:93] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4446 = buf_write[3] & _T_2621; // @[lsu_bus_buffer.scala 521:64] - wire _T_4447 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 521:91] - wire _T_4448 = _T_4446 & _T_4447; // @[lsu_bus_buffer.scala 521:89] - wire _T_4441 = buf_write[2] & _T_2616; // @[lsu_bus_buffer.scala 521:64] - wire _T_4442 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 521:91] - wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 521:89] - wire [1:0] _T_4449 = _T_4448 + _T_4443; // @[lsu_bus_buffer.scala 521:142] - wire _T_4436 = buf_write[1] & _T_2611; // @[lsu_bus_buffer.scala 521:64] - wire _T_4437 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 521:91] - wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 521:89] - wire [1:0] _GEN_362 = {{1'd0}, _T_4438}; // @[lsu_bus_buffer.scala 521:142] - wire [2:0] _T_4450 = _T_4449 + _GEN_362; // @[lsu_bus_buffer.scala 521:142] - wire _T_4431 = buf_write[0] & _T_2606; // @[lsu_bus_buffer.scala 521:64] - wire _T_4432 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 521:91] - wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 521:89] - wire [2:0] _GEN_363 = {{2'd0}, _T_4433}; // @[lsu_bus_buffer.scala 521:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4450 + _GEN_363; // @[lsu_bus_buffer.scala 521:142] - wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 262:43] - wire _T_4463 = _T_2621 & _T_4447; // @[lsu_bus_buffer.scala 522:73] - wire _T_4460 = _T_2616 & _T_4442; // @[lsu_bus_buffer.scala 522:73] - wire [1:0] _T_4464 = _T_4463 + _T_4460; // @[lsu_bus_buffer.scala 522:126] - wire _T_4457 = _T_2611 & _T_4437; // @[lsu_bus_buffer.scala 522:73] - wire [1:0] _GEN_364 = {{1'd0}, _T_4457}; // @[lsu_bus_buffer.scala 522:126] - wire [2:0] _T_4465 = _T_4464 + _GEN_364; // @[lsu_bus_buffer.scala 522:126] - wire _T_4454 = _T_2606 & _T_4432; // @[lsu_bus_buffer.scala 522:73] - wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[lsu_bus_buffer.scala 522:126] - wire [3:0] buf_numvld_cmd_any = _T_4465 + _GEN_365; // @[lsu_bus_buffer.scala 522:126] - wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 262:72] - wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 262:51] - reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 360:54] - wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 262:97] - wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 262:80] - wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 262:114] - wire _T_1979 = |buf_age_3; // @[lsu_bus_buffer.scala 377:58] - wire _T_1980 = ~_T_1979; // @[lsu_bus_buffer.scala 377:45] - wire _T_1982 = _T_1980 & _T_2621; // @[lsu_bus_buffer.scala 377:63] - wire _T_1984 = _T_1982 & _T_4447; // @[lsu_bus_buffer.scala 377:88] - wire _T_1973 = |buf_age_2; // @[lsu_bus_buffer.scala 377:58] - wire _T_1974 = ~_T_1973; // @[lsu_bus_buffer.scala 377:45] - wire _T_1976 = _T_1974 & _T_2616; // @[lsu_bus_buffer.scala 377:63] - wire _T_1978 = _T_1976 & _T_4442; // @[lsu_bus_buffer.scala 377:88] - wire _T_1967 = |buf_age_1; // @[lsu_bus_buffer.scala 377:58] - wire _T_1968 = ~_T_1967; // @[lsu_bus_buffer.scala 377:45] - wire _T_1970 = _T_1968 & _T_2611; // @[lsu_bus_buffer.scala 377:63] - wire _T_1972 = _T_1970 & _T_4437; // @[lsu_bus_buffer.scala 377:88] - wire _T_1961 = |buf_age_0; // @[lsu_bus_buffer.scala 377:58] - wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 377:45] - wire _T_1964 = _T_1962 & _T_2606; // @[lsu_bus_buffer.scala 377:63] - wire _T_1966 = _T_1964 & _T_4432; // @[lsu_bus_buffer.scala 377:88] + wire _T_4446 = buf_write[3] & _T_2621; // @[lsu_bus_buffer.scala 522:64] + wire _T_4447 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 522:91] + wire _T_4448 = _T_4446 & _T_4447; // @[lsu_bus_buffer.scala 522:89] + wire _T_4441 = buf_write[2] & _T_2616; // @[lsu_bus_buffer.scala 522:64] + wire _T_4442 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 522:91] + wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 522:89] + wire [1:0] _T_4449 = _T_4448 + _T_4443; // @[lsu_bus_buffer.scala 522:142] + wire _T_4436 = buf_write[1] & _T_2611; // @[lsu_bus_buffer.scala 522:64] + wire _T_4437 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 522:91] + wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 522:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4438}; // @[lsu_bus_buffer.scala 522:142] + wire [2:0] _T_4450 = _T_4449 + _GEN_362; // @[lsu_bus_buffer.scala 522:142] + wire _T_4431 = buf_write[0] & _T_2606; // @[lsu_bus_buffer.scala 522:64] + wire _T_4432 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 522:91] + wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 522:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4433}; // @[lsu_bus_buffer.scala 522:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4450 + _GEN_363; // @[lsu_bus_buffer.scala 522:142] + wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 263:43] + wire _T_4463 = _T_2621 & _T_4447; // @[lsu_bus_buffer.scala 523:73] + wire _T_4460 = _T_2616 & _T_4442; // @[lsu_bus_buffer.scala 523:73] + wire [1:0] _T_4464 = _T_4463 + _T_4460; // @[lsu_bus_buffer.scala 523:126] + wire _T_4457 = _T_2611 & _T_4437; // @[lsu_bus_buffer.scala 523:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4457}; // @[lsu_bus_buffer.scala 523:126] + wire [2:0] _T_4465 = _T_4464 + _GEN_364; // @[lsu_bus_buffer.scala 523:126] + wire _T_4454 = _T_2606 & _T_4432; // @[lsu_bus_buffer.scala 523:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[lsu_bus_buffer.scala 523:126] + wire [3:0] buf_numvld_cmd_any = _T_4465 + _GEN_365; // @[lsu_bus_buffer.scala 523:126] + wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 263:72] + wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 263:51] + reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 361:54] + wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 263:97] + wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 263:80] + wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 263:114] + wire _T_1979 = |buf_age_3; // @[lsu_bus_buffer.scala 378:58] + wire _T_1980 = ~_T_1979; // @[lsu_bus_buffer.scala 378:45] + wire _T_1982 = _T_1980 & _T_2621; // @[lsu_bus_buffer.scala 378:63] + wire _T_1984 = _T_1982 & _T_4447; // @[lsu_bus_buffer.scala 378:88] + wire _T_1973 = |buf_age_2; // @[lsu_bus_buffer.scala 378:58] + wire _T_1974 = ~_T_1973; // @[lsu_bus_buffer.scala 378:45] + wire _T_1976 = _T_1974 & _T_2616; // @[lsu_bus_buffer.scala 378:63] + wire _T_1978 = _T_1976 & _T_4442; // @[lsu_bus_buffer.scala 378:88] + wire _T_1967 = |buf_age_1; // @[lsu_bus_buffer.scala 378:58] + wire _T_1968 = ~_T_1967; // @[lsu_bus_buffer.scala 378:45] + wire _T_1970 = _T_1968 & _T_2611; // @[lsu_bus_buffer.scala 378:63] + wire _T_1972 = _T_1970 & _T_4437; // @[lsu_bus_buffer.scala 378:88] + wire _T_1961 = |buf_age_0; // @[lsu_bus_buffer.scala 378:58] + wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 378:45] + wire _T_1964 = _T_1962 & _T_2606; // @[lsu_bus_buffer.scala 378:63] + wire _T_1966 = _T_1964 & _T_4432; // @[lsu_bus_buffer.scala 378:88] wire [3:0] CmdPtr0Dec = {_T_1984,_T_1978,_T_1972,_T_1966}; // @[Cat.scala 29:58] wire [7:0] _T_2054 = {4'h0,_T_1984,_T_1978,_T_1972,_T_1966}; // @[Cat.scala 29:58] - wire _T_2057 = _T_2054[4] | _T_2054[5]; // @[lsu_bus_buffer.scala 385:42] - wire _T_2059 = _T_2057 | _T_2054[6]; // @[lsu_bus_buffer.scala 385:48] - wire _T_2061 = _T_2059 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:54] - wire _T_2064 = _T_2054[2] | _T_2054[3]; // @[lsu_bus_buffer.scala 385:67] - wire _T_2066 = _T_2064 | _T_2054[6]; // @[lsu_bus_buffer.scala 385:73] - wire _T_2068 = _T_2066 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:79] - wire _T_2071 = _T_2054[1] | _T_2054[3]; // @[lsu_bus_buffer.scala 385:92] - wire _T_2073 = _T_2071 | _T_2054[5]; // @[lsu_bus_buffer.scala 385:98] - wire _T_2075 = _T_2073 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:104] + wire _T_2057 = _T_2054[4] | _T_2054[5]; // @[lsu_bus_buffer.scala 386:42] + wire _T_2059 = _T_2057 | _T_2054[6]; // @[lsu_bus_buffer.scala 386:48] + wire _T_2061 = _T_2059 | _T_2054[7]; // @[lsu_bus_buffer.scala 386:54] + wire _T_2064 = _T_2054[2] | _T_2054[3]; // @[lsu_bus_buffer.scala 386:67] + wire _T_2066 = _T_2064 | _T_2054[6]; // @[lsu_bus_buffer.scala 386:73] + wire _T_2068 = _T_2066 | _T_2054[7]; // @[lsu_bus_buffer.scala 386:79] + wire _T_2071 = _T_2054[1] | _T_2054[3]; // @[lsu_bus_buffer.scala 386:92] + wire _T_2073 = _T_2071 | _T_2054[5]; // @[lsu_bus_buffer.scala 386:98] + wire _T_2075 = _T_2073 | _T_2054[7]; // @[lsu_bus_buffer.scala 386:104] wire [2:0] _T_2077 = {_T_2061,_T_2068,_T_2075}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2077[1:0]; // @[lsu_bus_buffer.scala 390:11] - wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 263:114] - wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 263:114] - wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 263:114] - wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 263:114] + wire [1:0] CmdPtr0 = _T_2077[1:0]; // @[lsu_bus_buffer.scala 391:11] + wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 264:114] + wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 264:114] + wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 264:114] + wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 264:114] reg buf_nomerge_0; // @[Reg.scala 27:20] wire _T_1027 = _T_1023 & buf_nomerge_0; // @[Mux.scala 27:72] reg buf_nomerge_1; // @[Reg.scala 27:20] @@ -69120,8 +69120,8 @@ module lsu_bus_buffer( wire _T_1031 = _T_1027 | _T_1028; // @[Mux.scala 27:72] wire _T_1032 = _T_1031 | _T_1029; // @[Mux.scala 27:72] wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] - wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 263:31] - wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 263:29] + wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 264:31] + wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 264:29] reg _T_4330; // @[Reg.scala 27:20] reg _T_4327; // @[Reg.scala 27:20] reg _T_4324; // @[Reg.scala 27:20] @@ -69134,10 +69134,10 @@ module lsu_bus_buffer( wire _T_1049 = _T_1045 | _T_1046; // @[Mux.scala 27:72] wire _T_1050 = _T_1049 | _T_1047; // @[Mux.scala 27:72] wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] - wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 264:5] - wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 263:140] - wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 266:58] - wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 266:72] + wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 265:5] + wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 264:140] + wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 267:58] + wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 267:72] wire [29:0] _T_1077 = _T_1023 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1078 = _T_1024 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1081 = _T_1077 | _T_1078; // @[Mux.scala 27:72] @@ -69145,55 +69145,55 @@ module lsu_bus_buffer( wire [29:0] _T_1082 = _T_1081 | _T_1079; // @[Mux.scala 27:72] wire [29:0] _T_1080 = _T_1026 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1083 = _T_1082 | _T_1080; // @[Mux.scala 27:72] - wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 266:123] - wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 266:101] - wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 264:119] - wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 264:117] - wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 265:75] - wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 265:95] - wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 265:79] - wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 265:123] - wire _T_4482 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 523:63] - wire _T_4486 = _T_4482 | _T_4463; // @[lsu_bus_buffer.scala 523:74] - wire _T_4477 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 523:63] - wire _T_4481 = _T_4477 | _T_4460; // @[lsu_bus_buffer.scala 523:74] - wire [1:0] _T_4487 = _T_4486 + _T_4481; // @[lsu_bus_buffer.scala 523:154] - wire _T_4472 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 523:63] - wire _T_4476 = _T_4472 | _T_4457; // @[lsu_bus_buffer.scala 523:74] - wire [1:0] _GEN_366 = {{1'd0}, _T_4476}; // @[lsu_bus_buffer.scala 523:154] - wire [2:0] _T_4488 = _T_4487 + _GEN_366; // @[lsu_bus_buffer.scala 523:154] - wire _T_4467 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 523:63] - wire _T_4471 = _T_4467 | _T_4454; // @[lsu_bus_buffer.scala 523:74] - wire [2:0] _GEN_367 = {{2'd0}, _T_4471}; // @[lsu_bus_buffer.scala 523:154] - wire [3:0] buf_numvld_pend_any = _T_4488 + _GEN_367; // @[lsu_bus_buffer.scala 523:154] - wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 268:53] - wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 268:31] - wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 268:64] - wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 268:89] - wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 268:61] - wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 283:32] - wire _T_4778 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 551:62] - wire _T_4780 = _T_4778 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 551:73] - wire _T_4781 = _T_4780 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] - wire _T_4782 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 551:62] - wire _T_4784 = _T_4782 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 551:73] - wire _T_4785 = _T_4784 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] - wire _T_4794 = _T_4781 | _T_4785; // @[lsu_bus_buffer.scala 551:153] - wire _T_4786 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 551:62] - wire _T_4788 = _T_4786 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 551:73] - wire _T_4789 = _T_4788 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] - wire _T_4795 = _T_4794 | _T_4789; // @[lsu_bus_buffer.scala 551:153] - wire _T_4790 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 551:62] - wire _T_4792 = _T_4790 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 551:73] - wire _T_4793 = _T_4792 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] - wire _T_4796 = _T_4795 | _T_4793; // @[lsu_bus_buffer.scala 551:153] + wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 267:123] + wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 267:101] + wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 265:119] + wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 265:117] + wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 266:75] + wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 266:95] + wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 266:79] + wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 266:123] + wire _T_4482 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 524:63] + wire _T_4486 = _T_4482 | _T_4463; // @[lsu_bus_buffer.scala 524:74] + wire _T_4477 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 524:63] + wire _T_4481 = _T_4477 | _T_4460; // @[lsu_bus_buffer.scala 524:74] + wire [1:0] _T_4487 = _T_4486 + _T_4481; // @[lsu_bus_buffer.scala 524:154] + wire _T_4472 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 524:63] + wire _T_4476 = _T_4472 | _T_4457; // @[lsu_bus_buffer.scala 524:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4476}; // @[lsu_bus_buffer.scala 524:154] + wire [2:0] _T_4488 = _T_4487 + _GEN_366; // @[lsu_bus_buffer.scala 524:154] + wire _T_4467 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 524:63] + wire _T_4471 = _T_4467 | _T_4454; // @[lsu_bus_buffer.scala 524:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4471}; // @[lsu_bus_buffer.scala 524:154] + wire [3:0] buf_numvld_pend_any = _T_4488 + _GEN_367; // @[lsu_bus_buffer.scala 524:154] + wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 269:53] + wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 269:31] + wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 269:64] + wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 269:89] + wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 269:61] + wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 284:32] + wire _T_4778 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 552:62] + wire _T_4780 = _T_4778 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 552:73] + wire _T_4781 = _T_4780 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] + wire _T_4782 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 552:62] + wire _T_4784 = _T_4782 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 552:73] + wire _T_4785 = _T_4784 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] + wire _T_4794 = _T_4781 | _T_4785; // @[lsu_bus_buffer.scala 552:153] + wire _T_4786 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 552:62] + wire _T_4788 = _T_4786 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 552:73] + wire _T_4789 = _T_4788 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] + wire _T_4795 = _T_4794 | _T_4789; // @[lsu_bus_buffer.scala 552:153] + wire _T_4790 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 552:62] + wire _T_4792 = _T_4790 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 552:73] + wire _T_4793 = _T_4792 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] + wire _T_4796 = _T_4795 | _T_4793; // @[lsu_bus_buffer.scala 552:153] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_4797 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 551:171] - wire _T_4798 = _T_4797 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:189] - wire bus_sideeffect_pend = _T_4796 | _T_4798; // @[lsu_bus_buffer.scala 551:157] - wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 283:74] - wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 283:52] - wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 283:50] + wire _T_4797 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 552:171] + wire _T_4798 = _T_4797 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:189] + wire bus_sideeffect_pend = _T_4796 | _T_4798; // @[lsu_bus_buffer.scala 552:157] + wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 284:74] + wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 284:52] + wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 284:50] wire [2:0] _T_1099 = _T_1023 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1100 = _T_1024 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1103 = _T_1099 | _T_1100; // @[Mux.scala 27:72] @@ -69201,9 +69201,9 @@ module lsu_bus_buffer( wire [2:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] - wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 284:36] - wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 382:31] - wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 284:47] + wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 285:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 383:31] + wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 285:47] wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] wire _T_1121 = _T_1024 & _T_1111[1]; // @[Mux.scala 27:72] @@ -69212,11 +69212,11 @@ module lsu_bus_buffer( wire _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] wire _T_1123 = _T_1026 & _T_1111[3]; // @[Mux.scala 27:72] wire _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] - wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 285:23] - wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 285:21] - wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 285:141] - wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 285:105] - wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 285:103] + wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 286:23] + wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 286:21] + wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 286:141] + wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 286:105] + wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 286:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] @@ -69241,7 +69241,7 @@ module lsu_bus_buffer( wire _T_1184 = _T_1183 | _T_1181; // @[Mux.scala 27:72] wire _T_1182 = _T_1026 & _T_1170[3]; // @[Mux.scala 27:72] wire _T_1185 = _T_1184 | _T_1182; // @[Mux.scala 27:72] - wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 286:77] + wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 287:77] wire _T_1196 = _T_1023 & buf_write[0]; // @[Mux.scala 27:72] wire _T_1197 = _T_1024 & buf_write[1]; // @[Mux.scala 27:72] wire _T_1200 = _T_1196 | _T_1197; // @[Mux.scala 27:72] @@ -69249,41 +69249,41 @@ module lsu_bus_buffer( wire _T_1201 = _T_1200 | _T_1198; // @[Mux.scala 27:72] wire _T_1199 = _T_1026 & buf_write[3]; // @[Mux.scala 27:72] wire _T_1202 = _T_1201 | _T_1199; // @[Mux.scala 27:72] - wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 286:150] - wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 286:148] - wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 286:8] - wire [3:0] _T_2020 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 378:62] - wire [3:0] _T_2021 = buf_age_3 & _T_2020; // @[lsu_bus_buffer.scala 378:59] - wire _T_2022 = |_T_2021; // @[lsu_bus_buffer.scala 378:76] - wire _T_2023 = ~_T_2022; // @[lsu_bus_buffer.scala 378:45] - wire _T_2025 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 378:83] - wire _T_2026 = _T_2023 & _T_2025; // @[lsu_bus_buffer.scala 378:81] - wire _T_2028 = _T_2026 & _T_2621; // @[lsu_bus_buffer.scala 378:98] - wire _T_2030 = _T_2028 & _T_4447; // @[lsu_bus_buffer.scala 378:123] - wire [3:0] _T_2010 = buf_age_2 & _T_2020; // @[lsu_bus_buffer.scala 378:59] - wire _T_2011 = |_T_2010; // @[lsu_bus_buffer.scala 378:76] - wire _T_2012 = ~_T_2011; // @[lsu_bus_buffer.scala 378:45] - wire _T_2014 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 378:83] - wire _T_2015 = _T_2012 & _T_2014; // @[lsu_bus_buffer.scala 378:81] - wire _T_2017 = _T_2015 & _T_2616; // @[lsu_bus_buffer.scala 378:98] - wire _T_2019 = _T_2017 & _T_4442; // @[lsu_bus_buffer.scala 378:123] - wire [3:0] _T_1999 = buf_age_1 & _T_2020; // @[lsu_bus_buffer.scala 378:59] - wire _T_2000 = |_T_1999; // @[lsu_bus_buffer.scala 378:76] - wire _T_2001 = ~_T_2000; // @[lsu_bus_buffer.scala 378:45] - wire _T_2003 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 378:83] - wire _T_2004 = _T_2001 & _T_2003; // @[lsu_bus_buffer.scala 378:81] - wire _T_2006 = _T_2004 & _T_2611; // @[lsu_bus_buffer.scala 378:98] - wire _T_2008 = _T_2006 & _T_4437; // @[lsu_bus_buffer.scala 378:123] - wire [3:0] _T_1988 = buf_age_0 & _T_2020; // @[lsu_bus_buffer.scala 378:59] - wire _T_1989 = |_T_1988; // @[lsu_bus_buffer.scala 378:76] - wire _T_1990 = ~_T_1989; // @[lsu_bus_buffer.scala 378:45] - wire _T_1992 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 378:83] - wire _T_1993 = _T_1990 & _T_1992; // @[lsu_bus_buffer.scala 378:81] - wire _T_1995 = _T_1993 & _T_2606; // @[lsu_bus_buffer.scala 378:98] - wire _T_1997 = _T_1995 & _T_4432; // @[lsu_bus_buffer.scala 378:123] + wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 287:150] + wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 287:148] + wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 287:8] + wire [3:0] _T_2020 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 379:62] + wire [3:0] _T_2021 = buf_age_3 & _T_2020; // @[lsu_bus_buffer.scala 379:59] + wire _T_2022 = |_T_2021; // @[lsu_bus_buffer.scala 379:76] + wire _T_2023 = ~_T_2022; // @[lsu_bus_buffer.scala 379:45] + wire _T_2025 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 379:83] + wire _T_2026 = _T_2023 & _T_2025; // @[lsu_bus_buffer.scala 379:81] + wire _T_2028 = _T_2026 & _T_2621; // @[lsu_bus_buffer.scala 379:98] + wire _T_2030 = _T_2028 & _T_4447; // @[lsu_bus_buffer.scala 379:123] + wire [3:0] _T_2010 = buf_age_2 & _T_2020; // @[lsu_bus_buffer.scala 379:59] + wire _T_2011 = |_T_2010; // @[lsu_bus_buffer.scala 379:76] + wire _T_2012 = ~_T_2011; // @[lsu_bus_buffer.scala 379:45] + wire _T_2014 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 379:83] + wire _T_2015 = _T_2012 & _T_2014; // @[lsu_bus_buffer.scala 379:81] + wire _T_2017 = _T_2015 & _T_2616; // @[lsu_bus_buffer.scala 379:98] + wire _T_2019 = _T_2017 & _T_4442; // @[lsu_bus_buffer.scala 379:123] + wire [3:0] _T_1999 = buf_age_1 & _T_2020; // @[lsu_bus_buffer.scala 379:59] + wire _T_2000 = |_T_1999; // @[lsu_bus_buffer.scala 379:76] + wire _T_2001 = ~_T_2000; // @[lsu_bus_buffer.scala 379:45] + wire _T_2003 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 379:83] + wire _T_2004 = _T_2001 & _T_2003; // @[lsu_bus_buffer.scala 379:81] + wire _T_2006 = _T_2004 & _T_2611; // @[lsu_bus_buffer.scala 379:98] + wire _T_2008 = _T_2006 & _T_4437; // @[lsu_bus_buffer.scala 379:123] + wire [3:0] _T_1988 = buf_age_0 & _T_2020; // @[lsu_bus_buffer.scala 379:59] + wire _T_1989 = |_T_1988; // @[lsu_bus_buffer.scala 379:76] + wire _T_1990 = ~_T_1989; // @[lsu_bus_buffer.scala 379:45] + wire _T_1992 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 379:83] + wire _T_1993 = _T_1990 & _T_1992; // @[lsu_bus_buffer.scala 379:81] + wire _T_1995 = _T_1993 & _T_2606; // @[lsu_bus_buffer.scala 379:98] + wire _T_1997 = _T_1995 & _T_4432; // @[lsu_bus_buffer.scala 379:123] wire [3:0] CmdPtr1Dec = {_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 383:31] - wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 286:181] + wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 384:31] + wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 287:181] wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] wire _T_1220 = _T_1024 & _T_1210[1]; // @[Mux.scala 27:72] @@ -69292,78 +69292,78 @@ module lsu_bus_buffer( wire _T_1224 = _T_1223 | _T_1221; // @[Mux.scala 27:72] wire _T_1222 = _T_1026 & _T_1210[3]; // @[Mux.scala 27:72] wire _T_1225 = _T_1224 | _T_1222; // @[Mux.scala 27:72] - wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 286:197] - wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 286:269] - wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 285:164] - wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 283:98] + wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 287:197] + wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 287:269] + wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 286:164] + wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 284:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[lsu_bus_buffer.scala 347:54] - reg obuf_data_done; // @[lsu_bus_buffer.scala 348:55] - wire _T_4856 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 555:54] - wire _T_4857 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 555:75] - wire _T_4858 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 555:153] - wire _T_4859 = _T_4856 ? _T_4857 : _T_4858; // @[lsu_bus_buffer.scala 555:39] - wire bus_cmd_ready = obuf_write ? _T_4859 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 555:23] - wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 287:48] - wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 287:46] + reg obuf_cmd_done; // @[lsu_bus_buffer.scala 348:54] + reg obuf_data_done; // @[lsu_bus_buffer.scala 349:55] + wire _T_4856 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 556:54] + wire _T_4857 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 556:75] + wire _T_4858 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 556:153] + wire _T_4859 = _T_4856 ? _T_4857 : _T_4858; // @[lsu_bus_buffer.scala 556:39] + wire bus_cmd_ready = obuf_write ? _T_4859 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 556:23] + wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 288:48] + wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 288:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 287:60] - wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 287:29] - wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 287:77] - wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 287:75] + wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 288:60] + wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 288:29] + wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 288:77] + wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 288:75] reg [31:0] obuf_addr; // @[el2_lib.scala 514:16] - wire _T_4804 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 553:56] - wire _T_4805 = obuf_valid & _T_4804; // @[lsu_bus_buffer.scala 553:38] - wire _T_4807 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 553:126] - wire _T_4808 = obuf_merge & _T_4807; // @[lsu_bus_buffer.scala 553:114] - wire _T_4809 = _T_3562 | _T_4808; // @[lsu_bus_buffer.scala 553:100] - wire _T_4810 = ~_T_4809; // @[lsu_bus_buffer.scala 553:80] - wire _T_4811 = _T_4805 & _T_4810; // @[lsu_bus_buffer.scala 553:78] + wire _T_4804 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 554:56] + wire _T_4805 = obuf_valid & _T_4804; // @[lsu_bus_buffer.scala 554:38] + wire _T_4807 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 554:126] + wire _T_4808 = obuf_merge & _T_4807; // @[lsu_bus_buffer.scala 554:114] + wire _T_4809 = _T_3562 | _T_4808; // @[lsu_bus_buffer.scala 554:100] + wire _T_4810 = ~_T_4809; // @[lsu_bus_buffer.scala 554:80] + wire _T_4811 = _T_4805 & _T_4810; // @[lsu_bus_buffer.scala 554:78] wire _T_4848 = _T_4778 & _T_4811; // @[Mux.scala 27:72] - wire _T_4816 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 553:56] - wire _T_4817 = obuf_valid & _T_4816; // @[lsu_bus_buffer.scala 553:38] - wire _T_4819 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 553:126] - wire _T_4820 = obuf_merge & _T_4819; // @[lsu_bus_buffer.scala 553:114] - wire _T_4821 = _T_3755 | _T_4820; // @[lsu_bus_buffer.scala 553:100] - wire _T_4822 = ~_T_4821; // @[lsu_bus_buffer.scala 553:80] - wire _T_4823 = _T_4817 & _T_4822; // @[lsu_bus_buffer.scala 553:78] + wire _T_4816 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 554:56] + wire _T_4817 = obuf_valid & _T_4816; // @[lsu_bus_buffer.scala 554:38] + wire _T_4819 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 554:126] + wire _T_4820 = obuf_merge & _T_4819; // @[lsu_bus_buffer.scala 554:114] + wire _T_4821 = _T_3755 | _T_4820; // @[lsu_bus_buffer.scala 554:100] + wire _T_4822 = ~_T_4821; // @[lsu_bus_buffer.scala 554:80] + wire _T_4823 = _T_4817 & _T_4822; // @[lsu_bus_buffer.scala 554:78] wire _T_4849 = _T_4782 & _T_4823; // @[Mux.scala 27:72] wire _T_4852 = _T_4848 | _T_4849; // @[Mux.scala 27:72] - wire _T_4828 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 553:56] - wire _T_4829 = obuf_valid & _T_4828; // @[lsu_bus_buffer.scala 553:38] - wire _T_4831 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 553:126] - wire _T_4832 = obuf_merge & _T_4831; // @[lsu_bus_buffer.scala 553:114] - wire _T_4833 = _T_3948 | _T_4832; // @[lsu_bus_buffer.scala 553:100] - wire _T_4834 = ~_T_4833; // @[lsu_bus_buffer.scala 553:80] - wire _T_4835 = _T_4829 & _T_4834; // @[lsu_bus_buffer.scala 553:78] + wire _T_4828 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 554:56] + wire _T_4829 = obuf_valid & _T_4828; // @[lsu_bus_buffer.scala 554:38] + wire _T_4831 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 554:126] + wire _T_4832 = obuf_merge & _T_4831; // @[lsu_bus_buffer.scala 554:114] + wire _T_4833 = _T_3948 | _T_4832; // @[lsu_bus_buffer.scala 554:100] + wire _T_4834 = ~_T_4833; // @[lsu_bus_buffer.scala 554:80] + wire _T_4835 = _T_4829 & _T_4834; // @[lsu_bus_buffer.scala 554:78] wire _T_4850 = _T_4786 & _T_4835; // @[Mux.scala 27:72] wire _T_4853 = _T_4852 | _T_4850; // @[Mux.scala 27:72] - wire _T_4840 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 553:56] - wire _T_4841 = obuf_valid & _T_4840; // @[lsu_bus_buffer.scala 553:38] - wire _T_4843 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 553:126] - wire _T_4844 = obuf_merge & _T_4843; // @[lsu_bus_buffer.scala 553:114] - wire _T_4845 = _T_4141 | _T_4844; // @[lsu_bus_buffer.scala 553:100] - wire _T_4846 = ~_T_4845; // @[lsu_bus_buffer.scala 553:80] - wire _T_4847 = _T_4841 & _T_4846; // @[lsu_bus_buffer.scala 553:78] + wire _T_4840 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 554:56] + wire _T_4841 = obuf_valid & _T_4840; // @[lsu_bus_buffer.scala 554:38] + wire _T_4843 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 554:126] + wire _T_4844 = obuf_merge & _T_4843; // @[lsu_bus_buffer.scala 554:114] + wire _T_4845 = _T_4141 | _T_4844; // @[lsu_bus_buffer.scala 554:100] + wire _T_4846 = ~_T_4845; // @[lsu_bus_buffer.scala 554:80] + wire _T_4847 = _T_4841 & _T_4846; // @[lsu_bus_buffer.scala 554:78] wire _T_4851 = _T_4790 & _T_4847; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4853 | _T_4851; // @[Mux.scala 27:72] - wire _T_1239 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 287:118] - wire _T_1240 = _T_1236 & _T_1239; // @[lsu_bus_buffer.scala 287:116] - wire obuf_wr_en = _T_1240 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 287:142] - wire _T_1242 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 289:47] - wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 556:40] - wire _T_4863 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 558:35] - wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 557:40] - wire _T_4864 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 558:70] - wire _T_4865 = _T_4863 & _T_4864; // @[lsu_bus_buffer.scala 558:52] - wire _T_4866 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 558:112] - wire bus_cmd_sent = _T_4865 | _T_4866; // @[lsu_bus_buffer.scala 558:89] - wire _T_1243 = bus_cmd_sent | _T_1242; // @[lsu_bus_buffer.scala 289:33] - wire _T_1244 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 289:65] - wire _T_1245 = _T_1243 & _T_1244; // @[lsu_bus_buffer.scala 289:63] - wire _T_1246 = _T_1245 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 289:77] - wire obuf_rst = _T_1246 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 289:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 290:26] + wire _T_1239 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 288:118] + wire _T_1240 = _T_1236 & _T_1239; // @[lsu_bus_buffer.scala 288:116] + wire obuf_wr_en = _T_1240 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 288:142] + wire _T_1242 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 290:47] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 557:40] + wire _T_4863 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 559:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 558:40] + wire _T_4864 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 559:70] + wire _T_4865 = _T_4863 & _T_4864; // @[lsu_bus_buffer.scala 559:52] + wire _T_4866 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 559:112] + wire bus_cmd_sent = _T_4865 | _T_4866; // @[lsu_bus_buffer.scala 559:89] + wire _T_1243 = bus_cmd_sent | _T_1242; // @[lsu_bus_buffer.scala 290:33] + wire _T_1244 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 290:65] + wire _T_1245 = _T_1243 & _T_1244; // @[lsu_bus_buffer.scala 290:63] + wire _T_1246 = _T_1245 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 290:77] + wire obuf_rst = _T_1246 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 290:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 291:26] wire [31:0] _T_1283 = _T_1023 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1284 = _T_1024 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1285 = _T_1025 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -69371,7 +69371,7 @@ module lsu_bus_buffer( wire [31:0] _T_1287 = _T_1283 | _T_1284; // @[Mux.scala 27:72] wire [31:0] _T_1288 = _T_1287 | _T_1285; // @[Mux.scala 27:72] wire [31:0] _T_1289 = _T_1288 | _T_1286; // @[Mux.scala 27:72] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1289; // @[lsu_bus_buffer.scala 292:25] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1289; // @[lsu_bus_buffer.scala 293:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] wire [1:0] _T_1296 = _T_1023 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] @@ -69383,62 +69383,62 @@ module lsu_bus_buffer( wire [1:0] _T_1300 = _T_1296 | _T_1297; // @[Mux.scala 27:72] wire [1:0] _T_1301 = _T_1300 | _T_1298; // @[Mux.scala 27:72] wire [1:0] _T_1302 = _T_1301 | _T_1299; // @[Mux.scala 27:72] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1302; // @[lsu_bus_buffer.scala 295:23] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1302; // @[lsu_bus_buffer.scala 296:23] wire [7:0] _T_2079 = {4'h0,_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] - wire _T_2082 = _T_2079[4] | _T_2079[5]; // @[lsu_bus_buffer.scala 385:42] - wire _T_2084 = _T_2082 | _T_2079[6]; // @[lsu_bus_buffer.scala 385:48] - wire _T_2086 = _T_2084 | _T_2079[7]; // @[lsu_bus_buffer.scala 385:54] - wire _T_2089 = _T_2079[2] | _T_2079[3]; // @[lsu_bus_buffer.scala 385:67] - wire _T_2091 = _T_2089 | _T_2079[6]; // @[lsu_bus_buffer.scala 385:73] - wire _T_2093 = _T_2091 | _T_2079[7]; // @[lsu_bus_buffer.scala 385:79] - wire _T_2096 = _T_2079[1] | _T_2079[3]; // @[lsu_bus_buffer.scala 385:92] - wire _T_2098 = _T_2096 | _T_2079[5]; // @[lsu_bus_buffer.scala 385:98] - wire _T_2100 = _T_2098 | _T_2079[7]; // @[lsu_bus_buffer.scala 385:104] + wire _T_2082 = _T_2079[4] | _T_2079[5]; // @[lsu_bus_buffer.scala 386:42] + wire _T_2084 = _T_2082 | _T_2079[6]; // @[lsu_bus_buffer.scala 386:48] + wire _T_2086 = _T_2084 | _T_2079[7]; // @[lsu_bus_buffer.scala 386:54] + wire _T_2089 = _T_2079[2] | _T_2079[3]; // @[lsu_bus_buffer.scala 386:67] + wire _T_2091 = _T_2089 | _T_2079[6]; // @[lsu_bus_buffer.scala 386:73] + wire _T_2093 = _T_2091 | _T_2079[7]; // @[lsu_bus_buffer.scala 386:79] + wire _T_2096 = _T_2079[1] | _T_2079[3]; // @[lsu_bus_buffer.scala 386:92] + wire _T_2098 = _T_2096 | _T_2079[5]; // @[lsu_bus_buffer.scala 386:98] + wire _T_2100 = _T_2098 | _T_2079[7]; // @[lsu_bus_buffer.scala 386:104] wire [2:0] _T_2102 = {_T_2086,_T_2093,_T_2100}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr1 = _T_2102[1:0]; // @[lsu_bus_buffer.scala 392:11] - wire _T_1304 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 303:39] - wire _T_1305 = ~_T_1304; // @[lsu_bus_buffer.scala 303:26] - wire _T_1311 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 307:72] - wire _T_1314 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 307:98] - wire _T_1315 = obuf_sz_in[0] & _T_1314; // @[lsu_bus_buffer.scala 307:96] - wire _T_1316 = _T_1311 | _T_1315; // @[lsu_bus_buffer.scala 307:79] - wire _T_1319 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 307:153] - wire _T_1320 = ~_T_1319; // @[lsu_bus_buffer.scala 307:134] - wire _T_1321 = obuf_sz_in[1] & _T_1320; // @[lsu_bus_buffer.scala 307:132] - wire _T_1322 = _T_1316 | _T_1321; // @[lsu_bus_buffer.scala 307:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1322; // @[lsu_bus_buffer.scala 307:28] - wire _T_1339 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 321:40] - wire _T_1340 = _T_1339 & obuf_aligned_in; // @[lsu_bus_buffer.scala 321:60] - wire _T_1341 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 321:80] - wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 321:78] - wire _T_1343 = ~obuf_write; // @[lsu_bus_buffer.scala 321:99] - wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 321:97] - wire _T_1345 = ~obuf_write_in; // @[lsu_bus_buffer.scala 321:113] - wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 321:111] - wire _T_1347 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 321:130] - wire _T_1348 = _T_1346 & _T_1347; // @[lsu_bus_buffer.scala 321:128] - wire _T_1349 = ~obuf_nosend; // @[lsu_bus_buffer.scala 322:20] - wire _T_1350 = obuf_valid & _T_1349; // @[lsu_bus_buffer.scala 322:18] - reg obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 349:56] - wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 559:38] - reg [2:0] obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 350:55] - wire _T_1351 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 322:90] - wire _T_1352 = bus_rsp_read & _T_1351; // @[lsu_bus_buffer.scala 322:70] - wire _T_1353 = ~_T_1352; // @[lsu_bus_buffer.scala 322:55] - wire _T_1354 = obuf_rdrsp_pend & _T_1353; // @[lsu_bus_buffer.scala 322:53] - wire _T_1355 = _T_1350 | _T_1354; // @[lsu_bus_buffer.scala 322:34] - wire obuf_nosend_in = _T_1348 & _T_1355; // @[lsu_bus_buffer.scala 321:177] - wire _T_1323 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 315:44] - wire _T_1324 = obuf_wr_en & _T_1323; // @[lsu_bus_buffer.scala 315:42] - wire _T_1325 = ~_T_1324; // @[lsu_bus_buffer.scala 315:29] - wire _T_1326 = _T_1325 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 315:61] - wire _T_1330 = _T_1326 & _T_1353; // @[lsu_bus_buffer.scala 315:79] - wire _T_1332 = bus_cmd_sent & _T_1343; // @[lsu_bus_buffer.scala 316:20] - wire _T_1333 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 316:37] - wire _T_1334 = _T_1332 & _T_1333; // @[lsu_bus_buffer.scala 316:35] + wire [1:0] CmdPtr1 = _T_2102[1:0]; // @[lsu_bus_buffer.scala 393:11] + wire _T_1304 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 304:39] + wire _T_1305 = ~_T_1304; // @[lsu_bus_buffer.scala 304:26] + wire _T_1311 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 308:72] + wire _T_1314 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 308:98] + wire _T_1315 = obuf_sz_in[0] & _T_1314; // @[lsu_bus_buffer.scala 308:96] + wire _T_1316 = _T_1311 | _T_1315; // @[lsu_bus_buffer.scala 308:79] + wire _T_1319 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 308:153] + wire _T_1320 = ~_T_1319; // @[lsu_bus_buffer.scala 308:134] + wire _T_1321 = obuf_sz_in[1] & _T_1320; // @[lsu_bus_buffer.scala 308:132] + wire _T_1322 = _T_1316 | _T_1321; // @[lsu_bus_buffer.scala 308:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1322; // @[lsu_bus_buffer.scala 308:28] + wire _T_1339 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 322:40] + wire _T_1340 = _T_1339 & obuf_aligned_in; // @[lsu_bus_buffer.scala 322:60] + wire _T_1341 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 322:80] + wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 322:78] + wire _T_1343 = ~obuf_write; // @[lsu_bus_buffer.scala 322:99] + wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 322:97] + wire _T_1345 = ~obuf_write_in; // @[lsu_bus_buffer.scala 322:113] + wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 322:111] + wire _T_1347 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 322:130] + wire _T_1348 = _T_1346 & _T_1347; // @[lsu_bus_buffer.scala 322:128] + wire _T_1349 = ~obuf_nosend; // @[lsu_bus_buffer.scala 323:20] + wire _T_1350 = obuf_valid & _T_1349; // @[lsu_bus_buffer.scala 323:18] + reg obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 350:56] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 560:38] + reg [2:0] obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 351:55] + wire _T_1351 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 323:90] + wire _T_1352 = bus_rsp_read & _T_1351; // @[lsu_bus_buffer.scala 323:70] + wire _T_1353 = ~_T_1352; // @[lsu_bus_buffer.scala 323:55] + wire _T_1354 = obuf_rdrsp_pend & _T_1353; // @[lsu_bus_buffer.scala 323:53] + wire _T_1355 = _T_1350 | _T_1354; // @[lsu_bus_buffer.scala 323:34] + wire obuf_nosend_in = _T_1348 & _T_1355; // @[lsu_bus_buffer.scala 322:177] + wire _T_1323 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 316:44] + wire _T_1324 = obuf_wr_en & _T_1323; // @[lsu_bus_buffer.scala 316:42] + wire _T_1325 = ~_T_1324; // @[lsu_bus_buffer.scala 316:29] + wire _T_1326 = _T_1325 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 316:61] + wire _T_1330 = _T_1326 & _T_1353; // @[lsu_bus_buffer.scala 316:79] + wire _T_1332 = bus_cmd_sent & _T_1343; // @[lsu_bus_buffer.scala 317:20] + wire _T_1333 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 317:37] + wire _T_1334 = _T_1332 & _T_1333; // @[lsu_bus_buffer.scala 317:35] wire [7:0] _T_1358 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1359 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1360 = io_lsu_addr_r[2] ? _T_1358 : _T_1359; // @[lsu_bus_buffer.scala 323:46] + wire [7:0] _T_1360 = io_lsu_addr_r[2] ? _T_1358 : _T_1359; // @[lsu_bus_buffer.scala 324:46] wire [3:0] _T_1379 = _T_1023 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_1380 = _T_1024 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_1381 = _T_1025 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] @@ -69448,11 +69448,11 @@ module lsu_bus_buffer( wire [3:0] _T_1385 = _T_1384 | _T_1382; // @[Mux.scala 27:72] wire [7:0] _T_1387 = {_T_1385,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1400 = {4'h0,_T_1385}; // @[Cat.scala 29:58] - wire [7:0] _T_1401 = _T_1289[2] ? _T_1387 : _T_1400; // @[lsu_bus_buffer.scala 324:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1360 : _T_1401; // @[lsu_bus_buffer.scala 323:28] + wire [7:0] _T_1401 = _T_1289[2] ? _T_1387 : _T_1400; // @[lsu_bus_buffer.scala 325:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1360 : _T_1401; // @[lsu_bus_buffer.scala 324:28] wire [7:0] _T_1403 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1404 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1405 = io_end_addr_r[2] ? _T_1403 : _T_1404; // @[lsu_bus_buffer.scala 325:46] + wire [7:0] _T_1405 = io_end_addr_r[2] ? _T_1403 : _T_1404; // @[lsu_bus_buffer.scala 326:46] wire _T_1406 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 58:123] wire _T_1407 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 58:123] wire _T_1408 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 58:123] @@ -69473,11 +69473,11 @@ module lsu_bus_buffer( wire [3:0] _T_1430 = _T_1429 | _T_1427; // @[Mux.scala 27:72] wire [7:0] _T_1432 = {_T_1430,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1445 = {4'h0,_T_1430}; // @[Cat.scala 29:58] - wire [7:0] _T_1446 = _T_1416[2] ? _T_1432 : _T_1445; // @[lsu_bus_buffer.scala 326:8] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1405 : _T_1446; // @[lsu_bus_buffer.scala 325:28] + wire [7:0] _T_1446 = _T_1416[2] ? _T_1432 : _T_1445; // @[lsu_bus_buffer.scala 327:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1405 : _T_1446; // @[lsu_bus_buffer.scala 326:28] wire [63:0] _T_1448 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1449 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1450 = io_lsu_addr_r[2] ? _T_1448 : _T_1449; // @[lsu_bus_buffer.scala 328:44] + wire [63:0] _T_1450 = io_lsu_addr_r[2] ? _T_1448 : _T_1449; // @[lsu_bus_buffer.scala 329:44] wire [31:0] _T_1469 = _T_1023 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1470 = _T_1024 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1471 = _T_1025 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -69487,11 +69487,11 @@ module lsu_bus_buffer( wire [31:0] _T_1475 = _T_1474 | _T_1472; // @[Mux.scala 27:72] wire [63:0] _T_1477 = {_T_1475,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1490 = {32'h0,_T_1475}; // @[Cat.scala 29:58] - wire [63:0] _T_1491 = _T_1289[2] ? _T_1477 : _T_1490; // @[lsu_bus_buffer.scala 329:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1450 : _T_1491; // @[lsu_bus_buffer.scala 328:26] + wire [63:0] _T_1491 = _T_1289[2] ? _T_1477 : _T_1490; // @[lsu_bus_buffer.scala 330:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1450 : _T_1491; // @[lsu_bus_buffer.scala 329:26] wire [63:0] _T_1493 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1494 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1495 = io_lsu_addr_r[2] ? _T_1493 : _T_1494; // @[lsu_bus_buffer.scala 330:44] + wire [63:0] _T_1495 = io_lsu_addr_r[2] ? _T_1493 : _T_1494; // @[lsu_bus_buffer.scala 331:44] wire [31:0] _T_1514 = _T_1406 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1515 = _T_1407 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1516 = _T_1408 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -69501,12 +69501,12 @@ module lsu_bus_buffer( wire [31:0] _T_1520 = _T_1519 | _T_1517; // @[Mux.scala 27:72] wire [63:0] _T_1522 = {_T_1520,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1535 = {32'h0,_T_1520}; // @[Cat.scala 29:58] - wire [63:0] _T_1536 = _T_1416[2] ? _T_1522 : _T_1535; // @[lsu_bus_buffer.scala 331:8] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1495 : _T_1536; // @[lsu_bus_buffer.scala 330:26] - wire _T_1621 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 337:30] - wire _T_1622 = _T_1621 & found_cmdptr0; // @[lsu_bus_buffer.scala 337:43] - wire _T_1623 = _T_1622 & found_cmdptr1; // @[lsu_bus_buffer.scala 337:59] - wire _T_1637 = _T_1623 & _T_1107; // @[lsu_bus_buffer.scala 337:75] + wire [63:0] _T_1536 = _T_1416[2] ? _T_1522 : _T_1535; // @[lsu_bus_buffer.scala 332:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1495 : _T_1536; // @[lsu_bus_buffer.scala 331:26] + wire _T_1621 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 338:30] + wire _T_1622 = _T_1621 & found_cmdptr0; // @[lsu_bus_buffer.scala 338:43] + wire _T_1623 = _T_1622 & found_cmdptr1; // @[lsu_bus_buffer.scala 338:59] + wire _T_1637 = _T_1623 & _T_1107; // @[lsu_bus_buffer.scala 338:75] wire [2:0] _T_1642 = _T_1406 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1643 = _T_1407 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1646 = _T_1642 | _T_1643; // @[Mux.scala 27:72] @@ -69514,11 +69514,11 @@ module lsu_bus_buffer( wire [2:0] _T_1647 = _T_1646 | _T_1644; // @[Mux.scala 27:72] wire [2:0] _T_1645 = _T_1409 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1648 = _T_1647 | _T_1645; // @[Mux.scala 27:72] - wire _T_1650 = _T_1648 == 3'h2; // @[lsu_bus_buffer.scala 337:150] - wire _T_1651 = _T_1637 & _T_1650; // @[lsu_bus_buffer.scala 337:118] - wire _T_1672 = _T_1651 & _T_1128; // @[lsu_bus_buffer.scala 337:161] - wire _T_1690 = _T_1672 & _T_1053; // @[lsu_bus_buffer.scala 338:85] - wire _T_1792 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 341:38] + wire _T_1650 = _T_1648 == 3'h2; // @[lsu_bus_buffer.scala 338:150] + wire _T_1651 = _T_1637 & _T_1650; // @[lsu_bus_buffer.scala 338:118] + wire _T_1672 = _T_1651 & _T_1128; // @[lsu_bus_buffer.scala 338:161] + wire _T_1690 = _T_1672 & _T_1053; // @[lsu_bus_buffer.scala 339:85] + wire _T_1792 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 342:38] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] @@ -69531,224 +69531,224 @@ module lsu_bus_buffer( wire _T_1809 = _T_1808 | _T_1806; // @[Mux.scala 27:72] wire _T_1807 = _T_1026 & _T_1795[3]; // @[Mux.scala 27:72] wire _T_1810 = _T_1809 | _T_1807; // @[Mux.scala 27:72] - wire _T_1812 = ~_T_1810; // @[lsu_bus_buffer.scala 341:109] - wire _T_1813 = _T_1792 & _T_1812; // @[lsu_bus_buffer.scala 341:107] - wire _T_1833 = _T_1813 & _T_1185; // @[lsu_bus_buffer.scala 341:179] - wire _T_1835 = _T_1690 & _T_1833; // @[lsu_bus_buffer.scala 338:122] - wire _T_1836 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 342:19] - wire _T_1837 = _T_1836 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 342:35] - wire obuf_merge_en = _T_1835 | _T_1837; // @[lsu_bus_buffer.scala 341:253] - wire _T_1539 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 332:80] - wire _T_1540 = obuf_byteen0_in[0] | _T_1539; // @[lsu_bus_buffer.scala 332:63] - wire _T_1543 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 332:80] - wire _T_1544 = obuf_byteen0_in[1] | _T_1543; // @[lsu_bus_buffer.scala 332:63] - wire _T_1547 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 332:80] - wire _T_1548 = obuf_byteen0_in[2] | _T_1547; // @[lsu_bus_buffer.scala 332:63] - wire _T_1551 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 332:80] - wire _T_1552 = obuf_byteen0_in[3] | _T_1551; // @[lsu_bus_buffer.scala 332:63] - wire _T_1555 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 332:80] - wire _T_1556 = obuf_byteen0_in[4] | _T_1555; // @[lsu_bus_buffer.scala 332:63] - wire _T_1559 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 332:80] - wire _T_1560 = obuf_byteen0_in[5] | _T_1559; // @[lsu_bus_buffer.scala 332:63] - wire _T_1563 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 332:80] - wire _T_1564 = obuf_byteen0_in[6] | _T_1563; // @[lsu_bus_buffer.scala 332:63] - wire _T_1567 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 332:80] - wire _T_1568 = obuf_byteen0_in[7] | _T_1567; // @[lsu_bus_buffer.scala 332:63] + wire _T_1812 = ~_T_1810; // @[lsu_bus_buffer.scala 342:109] + wire _T_1813 = _T_1792 & _T_1812; // @[lsu_bus_buffer.scala 342:107] + wire _T_1833 = _T_1813 & _T_1185; // @[lsu_bus_buffer.scala 342:179] + wire _T_1835 = _T_1690 & _T_1833; // @[lsu_bus_buffer.scala 339:122] + wire _T_1836 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 343:19] + wire _T_1837 = _T_1836 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 343:35] + wire obuf_merge_en = _T_1835 | _T_1837; // @[lsu_bus_buffer.scala 342:253] + wire _T_1539 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1540 = obuf_byteen0_in[0] | _T_1539; // @[lsu_bus_buffer.scala 333:63] + wire _T_1543 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1544 = obuf_byteen0_in[1] | _T_1543; // @[lsu_bus_buffer.scala 333:63] + wire _T_1547 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1548 = obuf_byteen0_in[2] | _T_1547; // @[lsu_bus_buffer.scala 333:63] + wire _T_1551 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1552 = obuf_byteen0_in[3] | _T_1551; // @[lsu_bus_buffer.scala 333:63] + wire _T_1555 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1556 = obuf_byteen0_in[4] | _T_1555; // @[lsu_bus_buffer.scala 333:63] + wire _T_1559 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1560 = obuf_byteen0_in[5] | _T_1559; // @[lsu_bus_buffer.scala 333:63] + wire _T_1563 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1564 = obuf_byteen0_in[6] | _T_1563; // @[lsu_bus_buffer.scala 333:63] + wire _T_1567 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1568 = obuf_byteen0_in[7] | _T_1567; // @[lsu_bus_buffer.scala 333:63] wire [7:0] obuf_byteen_in = {_T_1568,_T_1564,_T_1560,_T_1556,_T_1552,_T_1548,_T_1544,_T_1540}; // @[Cat.scala 29:58] - wire [7:0] _T_1579 = _T_1539 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 333:44] - wire [7:0] _T_1584 = _T_1543 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 333:44] - wire [7:0] _T_1589 = _T_1547 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 333:44] - wire [7:0] _T_1594 = _T_1551 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 333:44] - wire [7:0] _T_1599 = _T_1555 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 333:44] - wire [7:0] _T_1604 = _T_1559 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 333:44] - wire [7:0] _T_1609 = _T_1563 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 333:44] - wire [7:0] _T_1614 = _T_1567 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1579 = _T_1539 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1584 = _T_1543 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1589 = _T_1547 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1594 = _T_1551 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1599 = _T_1555 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1604 = _T_1559 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1609 = _T_1563 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1614 = _T_1567 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 334:44] wire [55:0] _T_1620 = {_T_1614,_T_1609,_T_1604,_T_1599,_T_1594,_T_1589,_T_1584}; // @[Cat.scala 29:58] - wire _T_1839 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 345:58] - wire _T_1840 = ~obuf_rst; // @[lsu_bus_buffer.scala 345:93] + wire _T_1839 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 346:58] + wire _T_1840 = ~obuf_rst; // @[lsu_bus_buffer.scala 346:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 514:16] - wire _T_1853 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 363:65] - wire _T_1854 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 364:30] - wire _T_1855 = ibuf_valid & _T_1854; // @[lsu_bus_buffer.scala 364:19] - wire _T_1856 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 365:18] - wire _T_1857 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 365:57] - wire _T_1858 = io_ldst_dual_r & _T_1857; // @[lsu_bus_buffer.scala 365:45] - wire _T_1859 = _T_1856 | _T_1858; // @[lsu_bus_buffer.scala 365:27] - wire _T_1860 = io_lsu_busreq_r & _T_1859; // @[lsu_bus_buffer.scala 364:58] - wire _T_1861 = _T_1855 | _T_1860; // @[lsu_bus_buffer.scala 364:39] - wire _T_1862 = ~_T_1861; // @[lsu_bus_buffer.scala 364:5] - wire _T_1863 = _T_1853 & _T_1862; // @[lsu_bus_buffer.scala 363:76] - wire _T_1864 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 363:65] - wire _T_1865 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 364:30] - wire _T_1866 = ibuf_valid & _T_1865; // @[lsu_bus_buffer.scala 364:19] - wire _T_1867 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 365:18] - wire _T_1868 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 365:57] - wire _T_1869 = io_ldst_dual_r & _T_1868; // @[lsu_bus_buffer.scala 365:45] - wire _T_1870 = _T_1867 | _T_1869; // @[lsu_bus_buffer.scala 365:27] - wire _T_1871 = io_lsu_busreq_r & _T_1870; // @[lsu_bus_buffer.scala 364:58] - wire _T_1872 = _T_1866 | _T_1871; // @[lsu_bus_buffer.scala 364:39] - wire _T_1873 = ~_T_1872; // @[lsu_bus_buffer.scala 364:5] - wire _T_1874 = _T_1864 & _T_1873; // @[lsu_bus_buffer.scala 363:76] - wire _T_1875 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 363:65] - wire _T_1876 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 364:30] - wire _T_1877 = ibuf_valid & _T_1876; // @[lsu_bus_buffer.scala 364:19] - wire _T_1878 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 365:18] - wire _T_1879 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 365:57] - wire _T_1880 = io_ldst_dual_r & _T_1879; // @[lsu_bus_buffer.scala 365:45] - wire _T_1881 = _T_1878 | _T_1880; // @[lsu_bus_buffer.scala 365:27] - wire _T_1882 = io_lsu_busreq_r & _T_1881; // @[lsu_bus_buffer.scala 364:58] - wire _T_1883 = _T_1877 | _T_1882; // @[lsu_bus_buffer.scala 364:39] - wire _T_1884 = ~_T_1883; // @[lsu_bus_buffer.scala 364:5] - wire _T_1885 = _T_1875 & _T_1884; // @[lsu_bus_buffer.scala 363:76] - wire _T_1886 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 363:65] - wire _T_1887 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 364:30] - wire _T_1889 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 365:18] - wire _T_1890 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 365:57] + wire _T_1853 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 364:65] + wire _T_1854 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 365:30] + wire _T_1855 = ibuf_valid & _T_1854; // @[lsu_bus_buffer.scala 365:19] + wire _T_1856 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 366:18] + wire _T_1857 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 366:57] + wire _T_1858 = io_ldst_dual_r & _T_1857; // @[lsu_bus_buffer.scala 366:45] + wire _T_1859 = _T_1856 | _T_1858; // @[lsu_bus_buffer.scala 366:27] + wire _T_1860 = io_lsu_busreq_r & _T_1859; // @[lsu_bus_buffer.scala 365:58] + wire _T_1861 = _T_1855 | _T_1860; // @[lsu_bus_buffer.scala 365:39] + wire _T_1862 = ~_T_1861; // @[lsu_bus_buffer.scala 365:5] + wire _T_1863 = _T_1853 & _T_1862; // @[lsu_bus_buffer.scala 364:76] + wire _T_1864 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 364:65] + wire _T_1865 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 365:30] + wire _T_1866 = ibuf_valid & _T_1865; // @[lsu_bus_buffer.scala 365:19] + wire _T_1867 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 366:18] + wire _T_1868 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 366:57] + wire _T_1869 = io_ldst_dual_r & _T_1868; // @[lsu_bus_buffer.scala 366:45] + wire _T_1870 = _T_1867 | _T_1869; // @[lsu_bus_buffer.scala 366:27] + wire _T_1871 = io_lsu_busreq_r & _T_1870; // @[lsu_bus_buffer.scala 365:58] + wire _T_1872 = _T_1866 | _T_1871; // @[lsu_bus_buffer.scala 365:39] + wire _T_1873 = ~_T_1872; // @[lsu_bus_buffer.scala 365:5] + wire _T_1874 = _T_1864 & _T_1873; // @[lsu_bus_buffer.scala 364:76] + wire _T_1875 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 364:65] + wire _T_1876 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 365:30] + wire _T_1877 = ibuf_valid & _T_1876; // @[lsu_bus_buffer.scala 365:19] + wire _T_1878 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 366:18] + wire _T_1879 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 366:57] + wire _T_1880 = io_ldst_dual_r & _T_1879; // @[lsu_bus_buffer.scala 366:45] + wire _T_1881 = _T_1878 | _T_1880; // @[lsu_bus_buffer.scala 366:27] + wire _T_1882 = io_lsu_busreq_r & _T_1881; // @[lsu_bus_buffer.scala 365:58] + wire _T_1883 = _T_1877 | _T_1882; // @[lsu_bus_buffer.scala 365:39] + wire _T_1884 = ~_T_1883; // @[lsu_bus_buffer.scala 365:5] + wire _T_1885 = _T_1875 & _T_1884; // @[lsu_bus_buffer.scala 364:76] + wire _T_1886 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 364:65] + wire _T_1887 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 365:30] + wire _T_1889 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 366:18] + wire _T_1890 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 366:57] wire [1:0] _T_1898 = _T_1885 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] wire [1:0] _T_1899 = _T_1874 ? 2'h1 : _T_1898; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1863 ? 2'h0 : _T_1899; // @[Mux.scala 98:16] - wire _T_1904 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 370:33] - wire _T_1905 = io_lsu_busreq_m & _T_1904; // @[lsu_bus_buffer.scala 370:22] - wire _T_1906 = _T_1855 | _T_1905; // @[lsu_bus_buffer.scala 369:112] - wire _T_1912 = _T_1906 | _T_1860; // @[lsu_bus_buffer.scala 370:42] - wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 369:78] - wire _T_1914 = _T_1853 & _T_1913; // @[lsu_bus_buffer.scala 369:76] - wire _T_1918 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 370:33] - wire _T_1919 = io_lsu_busreq_m & _T_1918; // @[lsu_bus_buffer.scala 370:22] - wire _T_1920 = _T_1866 | _T_1919; // @[lsu_bus_buffer.scala 369:112] - wire _T_1926 = _T_1920 | _T_1871; // @[lsu_bus_buffer.scala 370:42] - wire _T_1927 = ~_T_1926; // @[lsu_bus_buffer.scala 369:78] - wire _T_1928 = _T_1864 & _T_1927; // @[lsu_bus_buffer.scala 369:76] - wire _T_1932 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 370:33] - wire _T_1933 = io_lsu_busreq_m & _T_1932; // @[lsu_bus_buffer.scala 370:22] - wire _T_1934 = _T_1877 | _T_1933; // @[lsu_bus_buffer.scala 369:112] - wire _T_1940 = _T_1934 | _T_1882; // @[lsu_bus_buffer.scala 370:42] - wire _T_1941 = ~_T_1940; // @[lsu_bus_buffer.scala 369:78] - wire _T_1942 = _T_1875 & _T_1941; // @[lsu_bus_buffer.scala 369:76] - reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 500:63] - wire _T_2746 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 413:102] - wire _T_2747 = buf_rspageQ_0[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] - wire _T_2743 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 413:102] - wire _T_2744 = buf_rspageQ_0[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] - wire _T_2740 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 413:102] - wire _T_2741 = buf_rspageQ_0[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] - wire _T_2737 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 413:102] - wire _T_2738 = buf_rspageQ_0[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] + wire _T_1904 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 371:33] + wire _T_1905 = io_lsu_busreq_m & _T_1904; // @[lsu_bus_buffer.scala 371:22] + wire _T_1906 = _T_1855 | _T_1905; // @[lsu_bus_buffer.scala 370:112] + wire _T_1912 = _T_1906 | _T_1860; // @[lsu_bus_buffer.scala 371:42] + wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 370:78] + wire _T_1914 = _T_1853 & _T_1913; // @[lsu_bus_buffer.scala 370:76] + wire _T_1918 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 371:33] + wire _T_1919 = io_lsu_busreq_m & _T_1918; // @[lsu_bus_buffer.scala 371:22] + wire _T_1920 = _T_1866 | _T_1919; // @[lsu_bus_buffer.scala 370:112] + wire _T_1926 = _T_1920 | _T_1871; // @[lsu_bus_buffer.scala 371:42] + wire _T_1927 = ~_T_1926; // @[lsu_bus_buffer.scala 370:78] + wire _T_1928 = _T_1864 & _T_1927; // @[lsu_bus_buffer.scala 370:76] + wire _T_1932 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 371:33] + wire _T_1933 = io_lsu_busreq_m & _T_1932; // @[lsu_bus_buffer.scala 371:22] + wire _T_1934 = _T_1877 | _T_1933; // @[lsu_bus_buffer.scala 370:112] + wire _T_1940 = _T_1934 | _T_1882; // @[lsu_bus_buffer.scala 371:42] + wire _T_1941 = ~_T_1940; // @[lsu_bus_buffer.scala 370:78] + wire _T_1942 = _T_1875 & _T_1941; // @[lsu_bus_buffer.scala 370:76] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 501:63] + wire _T_2746 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 414:102] + wire _T_2747 = buf_rspageQ_0[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] + wire _T_2743 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 414:102] + wire _T_2744 = buf_rspageQ_0[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] + wire _T_2740 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 414:102] + wire _T_2741 = buf_rspageQ_0[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] + wire _T_2737 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 414:102] + wire _T_2738 = buf_rspageQ_0[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] wire [3:0] buf_rsp_pickage_0 = {_T_2747,_T_2744,_T_2741,_T_2738}; // @[Cat.scala 29:58] - wire _T_2033 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 381:65] - wire _T_2034 = ~_T_2033; // @[lsu_bus_buffer.scala 381:44] - wire _T_2036 = _T_2034 & _T_2737; // @[lsu_bus_buffer.scala 381:70] - reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 500:63] - wire _T_2762 = buf_rspageQ_1[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] - wire _T_2759 = buf_rspageQ_1[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] - wire _T_2756 = buf_rspageQ_1[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] - wire _T_2753 = buf_rspageQ_1[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] + wire _T_2033 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 382:65] + wire _T_2034 = ~_T_2033; // @[lsu_bus_buffer.scala 382:44] + wire _T_2036 = _T_2034 & _T_2737; // @[lsu_bus_buffer.scala 382:70] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 501:63] + wire _T_2762 = buf_rspageQ_1[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] + wire _T_2759 = buf_rspageQ_1[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] + wire _T_2756 = buf_rspageQ_1[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] + wire _T_2753 = buf_rspageQ_1[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] wire [3:0] buf_rsp_pickage_1 = {_T_2762,_T_2759,_T_2756,_T_2753}; // @[Cat.scala 29:58] - wire _T_2037 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 381:65] - wire _T_2038 = ~_T_2037; // @[lsu_bus_buffer.scala 381:44] - wire _T_2040 = _T_2038 & _T_2740; // @[lsu_bus_buffer.scala 381:70] - reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 500:63] - wire _T_2777 = buf_rspageQ_2[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] - wire _T_2774 = buf_rspageQ_2[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] - wire _T_2771 = buf_rspageQ_2[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] - wire _T_2768 = buf_rspageQ_2[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] + wire _T_2037 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 382:65] + wire _T_2038 = ~_T_2037; // @[lsu_bus_buffer.scala 382:44] + wire _T_2040 = _T_2038 & _T_2740; // @[lsu_bus_buffer.scala 382:70] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 501:63] + wire _T_2777 = buf_rspageQ_2[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] + wire _T_2774 = buf_rspageQ_2[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] + wire _T_2771 = buf_rspageQ_2[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] + wire _T_2768 = buf_rspageQ_2[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] wire [3:0] buf_rsp_pickage_2 = {_T_2777,_T_2774,_T_2771,_T_2768}; // @[Cat.scala 29:58] - wire _T_2041 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 381:65] - wire _T_2042 = ~_T_2041; // @[lsu_bus_buffer.scala 381:44] - wire _T_2044 = _T_2042 & _T_2743; // @[lsu_bus_buffer.scala 381:70] - reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 500:63] - wire _T_2792 = buf_rspageQ_3[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] - wire _T_2789 = buf_rspageQ_3[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] - wire _T_2786 = buf_rspageQ_3[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] - wire _T_2783 = buf_rspageQ_3[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] + wire _T_2041 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 382:65] + wire _T_2042 = ~_T_2041; // @[lsu_bus_buffer.scala 382:44] + wire _T_2044 = _T_2042 & _T_2743; // @[lsu_bus_buffer.scala 382:70] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 501:63] + wire _T_2792 = buf_rspageQ_3[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] + wire _T_2789 = buf_rspageQ_3[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] + wire _T_2786 = buf_rspageQ_3[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] + wire _T_2783 = buf_rspageQ_3[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] wire [3:0] buf_rsp_pickage_3 = {_T_2792,_T_2789,_T_2786,_T_2783}; // @[Cat.scala 29:58] - wire _T_2045 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 381:65] - wire _T_2046 = ~_T_2045; // @[lsu_bus_buffer.scala 381:44] - wire _T_2048 = _T_2046 & _T_2746; // @[lsu_bus_buffer.scala 381:70] + wire _T_2045 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 382:65] + wire _T_2046 = ~_T_2045; // @[lsu_bus_buffer.scala 382:44] + wire _T_2048 = _T_2046 & _T_2746; // @[lsu_bus_buffer.scala 382:70] wire [7:0] _T_2104 = {4'h0,_T_2048,_T_2044,_T_2040,_T_2036}; // @[Cat.scala 29:58] - wire _T_2107 = _T_2104[4] | _T_2104[5]; // @[lsu_bus_buffer.scala 385:42] - wire _T_2109 = _T_2107 | _T_2104[6]; // @[lsu_bus_buffer.scala 385:48] - wire _T_2111 = _T_2109 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:54] - wire _T_2114 = _T_2104[2] | _T_2104[3]; // @[lsu_bus_buffer.scala 385:67] - wire _T_2116 = _T_2114 | _T_2104[6]; // @[lsu_bus_buffer.scala 385:73] - wire _T_2118 = _T_2116 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:79] - wire _T_2121 = _T_2104[1] | _T_2104[3]; // @[lsu_bus_buffer.scala 385:92] - wire _T_2123 = _T_2121 | _T_2104[5]; // @[lsu_bus_buffer.scala 385:98] - wire _T_2125 = _T_2123 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:104] + wire _T_2107 = _T_2104[4] | _T_2104[5]; // @[lsu_bus_buffer.scala 386:42] + wire _T_2109 = _T_2107 | _T_2104[6]; // @[lsu_bus_buffer.scala 386:48] + wire _T_2111 = _T_2109 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:54] + wire _T_2114 = _T_2104[2] | _T_2104[3]; // @[lsu_bus_buffer.scala 386:67] + wire _T_2116 = _T_2114 | _T_2104[6]; // @[lsu_bus_buffer.scala 386:73] + wire _T_2118 = _T_2116 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:79] + wire _T_2121 = _T_2104[1] | _T_2104[3]; // @[lsu_bus_buffer.scala 386:92] + wire _T_2123 = _T_2121 | _T_2104[5]; // @[lsu_bus_buffer.scala 386:98] + wire _T_2125 = _T_2123 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:104] wire [2:0] _T_2127 = {_T_2111,_T_2118,_T_2125}; // @[Cat.scala 29:58] - wire _T_3532 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 443:77] - wire _T_3533 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 443:97] - wire _T_3534 = _T_3532 & _T_3533; // @[lsu_bus_buffer.scala 443:95] - wire _T_3535 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] - wire _T_3536 = _T_3534 & _T_3535; // @[lsu_bus_buffer.scala 443:112] - wire _T_3537 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 443:144] - wire _T_3538 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] - wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 443:161] - wire _T_3540 = _T_3536 | _T_3539; // @[lsu_bus_buffer.scala 443:132] - wire _T_3541 = _T_853 & _T_3540; // @[lsu_bus_buffer.scala 443:63] - wire _T_3542 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] - wire _T_3543 = ibuf_drain_vld & _T_3542; // @[lsu_bus_buffer.scala 443:201] - wire _T_3544 = _T_3541 | _T_3543; // @[lsu_bus_buffer.scala 443:183] - wire _T_3554 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 450:46] + wire _T_3532 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 444:77] + wire _T_3533 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 444:97] + wire _T_3534 = _T_3532 & _T_3533; // @[lsu_bus_buffer.scala 444:95] + wire _T_3535 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] + wire _T_3536 = _T_3534 & _T_3535; // @[lsu_bus_buffer.scala 444:112] + wire _T_3537 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 444:144] + wire _T_3538 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] + wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 444:161] + wire _T_3540 = _T_3536 | _T_3539; // @[lsu_bus_buffer.scala 444:132] + wire _T_3541 = _T_853 & _T_3540; // @[lsu_bus_buffer.scala 444:63] + wire _T_3542 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] + wire _T_3543 = ibuf_drain_vld & _T_3542; // @[lsu_bus_buffer.scala 444:201] + wire _T_3544 = _T_3541 | _T_3543; // @[lsu_bus_buffer.scala 444:183] + wire _T_3554 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 451:46] wire _T_3589 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 560:39] - wire _T_3634 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 468:73] - wire _T_3635 = bus_rsp_write & _T_3634; // @[lsu_bus_buffer.scala 468:52] - wire _T_3636 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 469:46] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 561:39] + wire _T_3634 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 469:73] + wire _T_3635 = bus_rsp_write & _T_3634; // @[lsu_bus_buffer.scala 469:52] + wire _T_3636 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 470:46] reg _T_4307; // @[Reg.scala 27:20] reg _T_4305; // @[Reg.scala 27:20] reg _T_4303; // @[Reg.scala 27:20] reg _T_4301; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4307,_T_4305,_T_4303,_T_4301}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 470:47] - wire _T_3638 = io_lsu_axi_r_bits_id == _GEN_368; // @[lsu_bus_buffer.scala 470:47] - wire _T_3639 = buf_ldfwd[0] & _T_3638; // @[lsu_bus_buffer.scala 470:27] - wire _T_3640 = _T_3636 | _T_3639; // @[lsu_bus_buffer.scala 469:77] - wire _T_3641 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 471:26] - wire _T_3643 = ~buf_write[0]; // @[lsu_bus_buffer.scala 471:44] - wire _T_3644 = _T_3641 & _T_3643; // @[lsu_bus_buffer.scala 471:42] - wire _T_3645 = _T_3644 & buf_samedw_0; // @[lsu_bus_buffer.scala 471:58] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 471:47] + wire _T_3638 = io_lsu_axi_r_bits_id == _GEN_368; // @[lsu_bus_buffer.scala 471:47] + wire _T_3639 = buf_ldfwd[0] & _T_3638; // @[lsu_bus_buffer.scala 471:27] + wire _T_3640 = _T_3636 | _T_3639; // @[lsu_bus_buffer.scala 470:77] + wire _T_3641 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 472:26] + wire _T_3643 = ~buf_write[0]; // @[lsu_bus_buffer.scala 472:44] + wire _T_3644 = _T_3641 & _T_3643; // @[lsu_bus_buffer.scala 472:42] + wire _T_3645 = _T_3644 & buf_samedw_0; // @[lsu_bus_buffer.scala 472:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 471:94] - wire _T_3646 = io_lsu_axi_r_bits_id == _GEN_369; // @[lsu_bus_buffer.scala 471:94] - wire _T_3647 = _T_3645 & _T_3646; // @[lsu_bus_buffer.scala 471:74] - wire _T_3648 = _T_3640 | _T_3647; // @[lsu_bus_buffer.scala 470:71] - wire _T_3649 = bus_rsp_read & _T_3648; // @[lsu_bus_buffer.scala 469:25] - wire _T_3650 = _T_3635 | _T_3649; // @[lsu_bus_buffer.scala 468:105] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 472:94] + wire _T_3646 = io_lsu_axi_r_bits_id == _GEN_369; // @[lsu_bus_buffer.scala 472:94] + wire _T_3647 = _T_3645 & _T_3646; // @[lsu_bus_buffer.scala 472:74] + wire _T_3648 = _T_3640 | _T_3647; // @[lsu_bus_buffer.scala 471:71] + wire _T_3649 = bus_rsp_read & _T_3648; // @[lsu_bus_buffer.scala 470:25] + wire _T_3650 = _T_3635 | _T_3649; // @[lsu_bus_buffer.scala 469:105] wire _GEN_42 = _T_3589 & _T_3650; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3555 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3551 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3676 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3686 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 483:21] + wire [3:0] _T_3686 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 484:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[lsu_bus_buffer.scala 483:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[lsu_bus_buffer.scala 483:58] - wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[lsu_bus_buffer.scala 483:58] - wire _T_3688 = io_lsu_axi_r_bits_id == _GEN_371; // @[lsu_bus_buffer.scala 483:58] - wire _T_3689 = _T_3686[0] & _T_3688; // @[lsu_bus_buffer.scala 483:38] - wire _T_3690 = _T_3646 | _T_3689; // @[lsu_bus_buffer.scala 482:95] - wire _T_3691 = bus_rsp_read & _T_3690; // @[lsu_bus_buffer.scala 482:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[lsu_bus_buffer.scala 484:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[lsu_bus_buffer.scala 484:58] + wire _T_3688 = io_lsu_axi_r_bits_id == _GEN_371; // @[lsu_bus_buffer.scala 484:58] + wire _T_3689 = _T_3686[0] & _T_3688; // @[lsu_bus_buffer.scala 484:38] + wire _T_3690 = _T_3646 | _T_3689; // @[lsu_bus_buffer.scala 483:95] + wire _T_3691 = bus_rsp_read & _T_3690; // @[lsu_bus_buffer.scala 483:45] wire _GEN_36 = _T_3676 & _T_3691; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3589 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3555 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3551 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3568 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] - wire _T_3569 = _T_3568 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] + wire _T_3568 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] + wire _T_3569 = _T_3568 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] wire _T_3694 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2127[1:0]; // @[lsu_bus_buffer.scala 393:10] - wire _T_3697 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 488:37] - wire _T_3698 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 488:98] - wire _T_3699 = buf_dual_0 & _T_3698; // @[lsu_bus_buffer.scala 488:80] - wire _T_3700 = _T_3697 | _T_3699; // @[lsu_bus_buffer.scala 488:65] - wire _T_3701 = _T_3700 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] + wire [1:0] RspPtr = _T_2127[1:0]; // @[lsu_bus_buffer.scala 394:10] + wire _T_3697 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 489:37] + wire _T_3698 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 489:98] + wire _T_3699 = buf_dual_0 & _T_3698; // @[lsu_bus_buffer.scala 489:80] + wire _T_3700 = _T_3697 | _T_3699; // @[lsu_bus_buffer.scala 489:65] + wire _T_3701 = _T_3700 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] wire _T_3702 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3694 ? _T_3701 : _T_3702; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3676 ? _T_3569 : _GEN_31; // @[Conditional.scala 39:67] @@ -69756,93 +69756,93 @@ module lsu_bus_buffer( wire _GEN_54 = _T_3555 ? _T_3569 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3551 ? _T_3554 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3528 ? _T_3544 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2129 = _T_1853 & buf_state_en_0; // @[lsu_bus_buffer.scala 405:94] - wire _T_2135 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 407:23] - wire _T_2137 = _T_2135 & _T_3532; // @[lsu_bus_buffer.scala 407:41] - wire _T_2139 = _T_2137 & _T_1856; // @[lsu_bus_buffer.scala 407:71] - wire _T_2141 = _T_2139 & _T_1854; // @[lsu_bus_buffer.scala 407:92] - wire _T_2142 = _T_4471 | _T_2141; // @[lsu_bus_buffer.scala 406:86] - wire _T_2143 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 408:17] - wire _T_2144 = _T_2143 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 408:35] - wire _T_2146 = _T_2144 & _T_1857; // @[lsu_bus_buffer.scala 408:52] - wire _T_2148 = _T_2146 & _T_1856; // @[lsu_bus_buffer.scala 408:73] - wire _T_2149 = _T_2142 | _T_2148; // @[lsu_bus_buffer.scala 407:114] - wire _T_2150 = _T_2129 & _T_2149; // @[lsu_bus_buffer.scala 405:113] - wire _T_2152 = _T_2150 | buf_age_0[0]; // @[lsu_bus_buffer.scala 408:97] - wire _T_2166 = _T_2139 & _T_1865; // @[lsu_bus_buffer.scala 407:92] - wire _T_2167 = _T_4476 | _T_2166; // @[lsu_bus_buffer.scala 406:86] - wire _T_2173 = _T_2146 & _T_1867; // @[lsu_bus_buffer.scala 408:73] - wire _T_2174 = _T_2167 | _T_2173; // @[lsu_bus_buffer.scala 407:114] - wire _T_2175 = _T_2129 & _T_2174; // @[lsu_bus_buffer.scala 405:113] - wire _T_2177 = _T_2175 | buf_age_0[1]; // @[lsu_bus_buffer.scala 408:97] - wire _T_2191 = _T_2139 & _T_1876; // @[lsu_bus_buffer.scala 407:92] - wire _T_2192 = _T_4481 | _T_2191; // @[lsu_bus_buffer.scala 406:86] - wire _T_2198 = _T_2146 & _T_1878; // @[lsu_bus_buffer.scala 408:73] - wire _T_2199 = _T_2192 | _T_2198; // @[lsu_bus_buffer.scala 407:114] - wire _T_2200 = _T_2129 & _T_2199; // @[lsu_bus_buffer.scala 405:113] - wire _T_2202 = _T_2200 | buf_age_0[2]; // @[lsu_bus_buffer.scala 408:97] - wire _T_2216 = _T_2139 & _T_1887; // @[lsu_bus_buffer.scala 407:92] - wire _T_2217 = _T_4486 | _T_2216; // @[lsu_bus_buffer.scala 406:86] - wire _T_2223 = _T_2146 & _T_1889; // @[lsu_bus_buffer.scala 408:73] - wire _T_2224 = _T_2217 | _T_2223; // @[lsu_bus_buffer.scala 407:114] - wire _T_2225 = _T_2129 & _T_2224; // @[lsu_bus_buffer.scala 405:113] - wire _T_2227 = _T_2225 | buf_age_0[3]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2129 = _T_1853 & buf_state_en_0; // @[lsu_bus_buffer.scala 406:94] + wire _T_2135 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 408:23] + wire _T_2137 = _T_2135 & _T_3532; // @[lsu_bus_buffer.scala 408:41] + wire _T_2139 = _T_2137 & _T_1856; // @[lsu_bus_buffer.scala 408:71] + wire _T_2141 = _T_2139 & _T_1854; // @[lsu_bus_buffer.scala 408:92] + wire _T_2142 = _T_4471 | _T_2141; // @[lsu_bus_buffer.scala 407:86] + wire _T_2143 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 409:17] + wire _T_2144 = _T_2143 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 409:35] + wire _T_2146 = _T_2144 & _T_1857; // @[lsu_bus_buffer.scala 409:52] + wire _T_2148 = _T_2146 & _T_1856; // @[lsu_bus_buffer.scala 409:73] + wire _T_2149 = _T_2142 | _T_2148; // @[lsu_bus_buffer.scala 408:114] + wire _T_2150 = _T_2129 & _T_2149; // @[lsu_bus_buffer.scala 406:113] + wire _T_2152 = _T_2150 | buf_age_0[0]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2166 = _T_2139 & _T_1865; // @[lsu_bus_buffer.scala 408:92] + wire _T_2167 = _T_4476 | _T_2166; // @[lsu_bus_buffer.scala 407:86] + wire _T_2173 = _T_2146 & _T_1867; // @[lsu_bus_buffer.scala 409:73] + wire _T_2174 = _T_2167 | _T_2173; // @[lsu_bus_buffer.scala 408:114] + wire _T_2175 = _T_2129 & _T_2174; // @[lsu_bus_buffer.scala 406:113] + wire _T_2177 = _T_2175 | buf_age_0[1]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2191 = _T_2139 & _T_1876; // @[lsu_bus_buffer.scala 408:92] + wire _T_2192 = _T_4481 | _T_2191; // @[lsu_bus_buffer.scala 407:86] + wire _T_2198 = _T_2146 & _T_1878; // @[lsu_bus_buffer.scala 409:73] + wire _T_2199 = _T_2192 | _T_2198; // @[lsu_bus_buffer.scala 408:114] + wire _T_2200 = _T_2129 & _T_2199; // @[lsu_bus_buffer.scala 406:113] + wire _T_2202 = _T_2200 | buf_age_0[2]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2216 = _T_2139 & _T_1887; // @[lsu_bus_buffer.scala 408:92] + wire _T_2217 = _T_4486 | _T_2216; // @[lsu_bus_buffer.scala 407:86] + wire _T_2223 = _T_2146 & _T_1889; // @[lsu_bus_buffer.scala 409:73] + wire _T_2224 = _T_2217 | _T_2223; // @[lsu_bus_buffer.scala 408:114] + wire _T_2225 = _T_2129 & _T_2224; // @[lsu_bus_buffer.scala 406:113] + wire _T_2227 = _T_2225 | buf_age_0[3]; // @[lsu_bus_buffer.scala 409:97] wire [2:0] _T_2229 = {_T_2227,_T_2202,_T_2177}; // @[Cat.scala 29:58] - wire _T_3728 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] - wire _T_3729 = _T_3534 & _T_3728; // @[lsu_bus_buffer.scala 443:112] - wire _T_3731 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] - wire _T_3732 = _T_3537 & _T_3731; // @[lsu_bus_buffer.scala 443:161] - wire _T_3733 = _T_3729 | _T_3732; // @[lsu_bus_buffer.scala 443:132] - wire _T_3734 = _T_853 & _T_3733; // @[lsu_bus_buffer.scala 443:63] - wire _T_3735 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] - wire _T_3736 = ibuf_drain_vld & _T_3735; // @[lsu_bus_buffer.scala 443:201] - wire _T_3737 = _T_3734 | _T_3736; // @[lsu_bus_buffer.scala 443:183] + wire _T_3728 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] + wire _T_3729 = _T_3534 & _T_3728; // @[lsu_bus_buffer.scala 444:112] + wire _T_3731 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] + wire _T_3732 = _T_3537 & _T_3731; // @[lsu_bus_buffer.scala 444:161] + wire _T_3733 = _T_3729 | _T_3732; // @[lsu_bus_buffer.scala 444:132] + wire _T_3734 = _T_853 & _T_3733; // @[lsu_bus_buffer.scala 444:63] + wire _T_3735 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] + wire _T_3736 = ibuf_drain_vld & _T_3735; // @[lsu_bus_buffer.scala 444:201] + wire _T_3737 = _T_3734 | _T_3736; // @[lsu_bus_buffer.scala 444:183] wire _T_3782 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 468:73] - wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 468:52] - wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 469:46] - wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 470:47] - wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_372; // @[lsu_bus_buffer.scala 470:47] - wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 470:27] - wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 469:77] - wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 471:26] - wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 471:44] - wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 471:42] - wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 471:58] + wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 469:73] + wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 469:52] + wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 470:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 471:47] + wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_372; // @[lsu_bus_buffer.scala 471:47] + wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 471:27] + wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 470:77] + wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 472:26] + wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 472:44] + wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 472:42] + wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 472:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 471:94] - wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_373; // @[lsu_bus_buffer.scala 471:94] - wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 471:74] - wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 470:71] - wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 469:25] - wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 468:105] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 472:94] + wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_373; // @[lsu_bus_buffer.scala 472:94] + wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 472:74] + wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 471:71] + wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 470:25] + wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 469:105] wire _GEN_118 = _T_3782 & _T_3843; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3748 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3744 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3869 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3879 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 483:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[lsu_bus_buffer.scala 483:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[lsu_bus_buffer.scala 483:58] - wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[lsu_bus_buffer.scala 483:58] - wire _T_3881 = io_lsu_axi_r_bits_id == _GEN_375; // @[lsu_bus_buffer.scala 483:58] - wire _T_3882 = _T_3879[0] & _T_3881; // @[lsu_bus_buffer.scala 483:38] - wire _T_3883 = _T_3839 | _T_3882; // @[lsu_bus_buffer.scala 482:95] - wire _T_3884 = bus_rsp_read & _T_3883; // @[lsu_bus_buffer.scala 482:45] + wire [3:0] _T_3879 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 484:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[lsu_bus_buffer.scala 484:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[lsu_bus_buffer.scala 484:58] + wire _T_3881 = io_lsu_axi_r_bits_id == _GEN_375; // @[lsu_bus_buffer.scala 484:58] + wire _T_3882 = _T_3879[0] & _T_3881; // @[lsu_bus_buffer.scala 484:38] + wire _T_3883 = _T_3839 | _T_3882; // @[lsu_bus_buffer.scala 483:95] + wire _T_3884 = bus_rsp_read & _T_3883; // @[lsu_bus_buffer.scala 483:45] wire _GEN_112 = _T_3869 & _T_3884; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3782 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3748 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3744 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3761 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] - wire _T_3762 = _T_3761 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] + wire _T_3761 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] + wire _T_3762 = _T_3761 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] wire _T_3887 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3890 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 488:37] - wire _T_3891 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 488:98] - wire _T_3892 = buf_dual_1 & _T_3891; // @[lsu_bus_buffer.scala 488:80] - wire _T_3893 = _T_3890 | _T_3892; // @[lsu_bus_buffer.scala 488:65] - wire _T_3894 = _T_3893 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] + wire _T_3890 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 489:37] + wire _T_3891 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 489:98] + wire _T_3892 = buf_dual_1 & _T_3891; // @[lsu_bus_buffer.scala 489:80] + wire _T_3893 = _T_3890 | _T_3892; // @[lsu_bus_buffer.scala 489:65] + wire _T_3894 = _T_3893 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] wire _T_3895 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3887 ? _T_3894 : _T_3895; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3869 ? _T_3762 : _GEN_107; // @[Conditional.scala 39:67] @@ -69850,89 +69850,89 @@ module lsu_bus_buffer( wire _GEN_130 = _T_3748 ? _T_3762 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3744 ? _T_3554 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3721 ? _T_3737 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2231 = _T_1864 & buf_state_en_1; // @[lsu_bus_buffer.scala 405:94] - wire _T_2241 = _T_2137 & _T_1867; // @[lsu_bus_buffer.scala 407:71] - wire _T_2243 = _T_2241 & _T_1854; // @[lsu_bus_buffer.scala 407:92] - wire _T_2244 = _T_4471 | _T_2243; // @[lsu_bus_buffer.scala 406:86] - wire _T_2248 = _T_2144 & _T_1868; // @[lsu_bus_buffer.scala 408:52] - wire _T_2250 = _T_2248 & _T_1856; // @[lsu_bus_buffer.scala 408:73] - wire _T_2251 = _T_2244 | _T_2250; // @[lsu_bus_buffer.scala 407:114] - wire _T_2252 = _T_2231 & _T_2251; // @[lsu_bus_buffer.scala 405:113] - wire _T_2254 = _T_2252 | buf_age_1[0]; // @[lsu_bus_buffer.scala 408:97] - wire _T_2268 = _T_2241 & _T_1865; // @[lsu_bus_buffer.scala 407:92] - wire _T_2269 = _T_4476 | _T_2268; // @[lsu_bus_buffer.scala 406:86] - wire _T_2275 = _T_2248 & _T_1867; // @[lsu_bus_buffer.scala 408:73] - wire _T_2276 = _T_2269 | _T_2275; // @[lsu_bus_buffer.scala 407:114] - wire _T_2277 = _T_2231 & _T_2276; // @[lsu_bus_buffer.scala 405:113] - wire _T_2279 = _T_2277 | buf_age_1[1]; // @[lsu_bus_buffer.scala 408:97] - wire _T_2293 = _T_2241 & _T_1876; // @[lsu_bus_buffer.scala 407:92] - wire _T_2294 = _T_4481 | _T_2293; // @[lsu_bus_buffer.scala 406:86] - wire _T_2300 = _T_2248 & _T_1878; // @[lsu_bus_buffer.scala 408:73] - wire _T_2301 = _T_2294 | _T_2300; // @[lsu_bus_buffer.scala 407:114] - wire _T_2302 = _T_2231 & _T_2301; // @[lsu_bus_buffer.scala 405:113] - wire _T_2304 = _T_2302 | buf_age_1[2]; // @[lsu_bus_buffer.scala 408:97] - wire _T_2318 = _T_2241 & _T_1887; // @[lsu_bus_buffer.scala 407:92] - wire _T_2319 = _T_4486 | _T_2318; // @[lsu_bus_buffer.scala 406:86] - wire _T_2325 = _T_2248 & _T_1889; // @[lsu_bus_buffer.scala 408:73] - wire _T_2326 = _T_2319 | _T_2325; // @[lsu_bus_buffer.scala 407:114] - wire _T_2327 = _T_2231 & _T_2326; // @[lsu_bus_buffer.scala 405:113] - wire _T_2329 = _T_2327 | buf_age_1[3]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2231 = _T_1864 & buf_state_en_1; // @[lsu_bus_buffer.scala 406:94] + wire _T_2241 = _T_2137 & _T_1867; // @[lsu_bus_buffer.scala 408:71] + wire _T_2243 = _T_2241 & _T_1854; // @[lsu_bus_buffer.scala 408:92] + wire _T_2244 = _T_4471 | _T_2243; // @[lsu_bus_buffer.scala 407:86] + wire _T_2248 = _T_2144 & _T_1868; // @[lsu_bus_buffer.scala 409:52] + wire _T_2250 = _T_2248 & _T_1856; // @[lsu_bus_buffer.scala 409:73] + wire _T_2251 = _T_2244 | _T_2250; // @[lsu_bus_buffer.scala 408:114] + wire _T_2252 = _T_2231 & _T_2251; // @[lsu_bus_buffer.scala 406:113] + wire _T_2254 = _T_2252 | buf_age_1[0]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2268 = _T_2241 & _T_1865; // @[lsu_bus_buffer.scala 408:92] + wire _T_2269 = _T_4476 | _T_2268; // @[lsu_bus_buffer.scala 407:86] + wire _T_2275 = _T_2248 & _T_1867; // @[lsu_bus_buffer.scala 409:73] + wire _T_2276 = _T_2269 | _T_2275; // @[lsu_bus_buffer.scala 408:114] + wire _T_2277 = _T_2231 & _T_2276; // @[lsu_bus_buffer.scala 406:113] + wire _T_2279 = _T_2277 | buf_age_1[1]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2293 = _T_2241 & _T_1876; // @[lsu_bus_buffer.scala 408:92] + wire _T_2294 = _T_4481 | _T_2293; // @[lsu_bus_buffer.scala 407:86] + wire _T_2300 = _T_2248 & _T_1878; // @[lsu_bus_buffer.scala 409:73] + wire _T_2301 = _T_2294 | _T_2300; // @[lsu_bus_buffer.scala 408:114] + wire _T_2302 = _T_2231 & _T_2301; // @[lsu_bus_buffer.scala 406:113] + wire _T_2304 = _T_2302 | buf_age_1[2]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2318 = _T_2241 & _T_1887; // @[lsu_bus_buffer.scala 408:92] + wire _T_2319 = _T_4486 | _T_2318; // @[lsu_bus_buffer.scala 407:86] + wire _T_2325 = _T_2248 & _T_1889; // @[lsu_bus_buffer.scala 409:73] + wire _T_2326 = _T_2319 | _T_2325; // @[lsu_bus_buffer.scala 408:114] + wire _T_2327 = _T_2231 & _T_2326; // @[lsu_bus_buffer.scala 406:113] + wire _T_2329 = _T_2327 | buf_age_1[3]; // @[lsu_bus_buffer.scala 409:97] wire [2:0] _T_2331 = {_T_2329,_T_2304,_T_2279}; // @[Cat.scala 29:58] - wire _T_3921 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] - wire _T_3922 = _T_3534 & _T_3921; // @[lsu_bus_buffer.scala 443:112] - wire _T_3924 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] - wire _T_3925 = _T_3537 & _T_3924; // @[lsu_bus_buffer.scala 443:161] - wire _T_3926 = _T_3922 | _T_3925; // @[lsu_bus_buffer.scala 443:132] - wire _T_3927 = _T_853 & _T_3926; // @[lsu_bus_buffer.scala 443:63] - wire _T_3928 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] - wire _T_3929 = ibuf_drain_vld & _T_3928; // @[lsu_bus_buffer.scala 443:201] - wire _T_3930 = _T_3927 | _T_3929; // @[lsu_bus_buffer.scala 443:183] + wire _T_3921 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] + wire _T_3922 = _T_3534 & _T_3921; // @[lsu_bus_buffer.scala 444:112] + wire _T_3924 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] + wire _T_3925 = _T_3537 & _T_3924; // @[lsu_bus_buffer.scala 444:161] + wire _T_3926 = _T_3922 | _T_3925; // @[lsu_bus_buffer.scala 444:132] + wire _T_3927 = _T_853 & _T_3926; // @[lsu_bus_buffer.scala 444:63] + wire _T_3928 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] + wire _T_3929 = ibuf_drain_vld & _T_3928; // @[lsu_bus_buffer.scala 444:201] + wire _T_3930 = _T_3927 | _T_3929; // @[lsu_bus_buffer.scala 444:183] wire _T_3975 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4020 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 468:73] - wire _T_4021 = bus_rsp_write & _T_4020; // @[lsu_bus_buffer.scala 468:52] - wire _T_4022 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 469:46] - wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 470:47] - wire _T_4024 = io_lsu_axi_r_bits_id == _GEN_376; // @[lsu_bus_buffer.scala 470:47] - wire _T_4025 = buf_ldfwd[2] & _T_4024; // @[lsu_bus_buffer.scala 470:27] - wire _T_4026 = _T_4022 | _T_4025; // @[lsu_bus_buffer.scala 469:77] - wire _T_4027 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 471:26] - wire _T_4029 = ~buf_write[2]; // @[lsu_bus_buffer.scala 471:44] - wire _T_4030 = _T_4027 & _T_4029; // @[lsu_bus_buffer.scala 471:42] - wire _T_4031 = _T_4030 & buf_samedw_2; // @[lsu_bus_buffer.scala 471:58] + wire _T_4020 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 469:73] + wire _T_4021 = bus_rsp_write & _T_4020; // @[lsu_bus_buffer.scala 469:52] + wire _T_4022 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 470:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 471:47] + wire _T_4024 = io_lsu_axi_r_bits_id == _GEN_376; // @[lsu_bus_buffer.scala 471:47] + wire _T_4025 = buf_ldfwd[2] & _T_4024; // @[lsu_bus_buffer.scala 471:27] + wire _T_4026 = _T_4022 | _T_4025; // @[lsu_bus_buffer.scala 470:77] + wire _T_4027 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 472:26] + wire _T_4029 = ~buf_write[2]; // @[lsu_bus_buffer.scala 472:44] + wire _T_4030 = _T_4027 & _T_4029; // @[lsu_bus_buffer.scala 472:42] + wire _T_4031 = _T_4030 & buf_samedw_2; // @[lsu_bus_buffer.scala 472:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 471:94] - wire _T_4032 = io_lsu_axi_r_bits_id == _GEN_377; // @[lsu_bus_buffer.scala 471:94] - wire _T_4033 = _T_4031 & _T_4032; // @[lsu_bus_buffer.scala 471:74] - wire _T_4034 = _T_4026 | _T_4033; // @[lsu_bus_buffer.scala 470:71] - wire _T_4035 = bus_rsp_read & _T_4034; // @[lsu_bus_buffer.scala 469:25] - wire _T_4036 = _T_4021 | _T_4035; // @[lsu_bus_buffer.scala 468:105] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 472:94] + wire _T_4032 = io_lsu_axi_r_bits_id == _GEN_377; // @[lsu_bus_buffer.scala 472:94] + wire _T_4033 = _T_4031 & _T_4032; // @[lsu_bus_buffer.scala 472:74] + wire _T_4034 = _T_4026 | _T_4033; // @[lsu_bus_buffer.scala 471:71] + wire _T_4035 = bus_rsp_read & _T_4034; // @[lsu_bus_buffer.scala 470:25] + wire _T_4036 = _T_4021 | _T_4035; // @[lsu_bus_buffer.scala 469:105] wire _GEN_194 = _T_3975 & _T_4036; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3941 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3937 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_4062 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4072 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 483:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[lsu_bus_buffer.scala 483:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[lsu_bus_buffer.scala 483:58] - wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[lsu_bus_buffer.scala 483:58] - wire _T_4074 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 483:58] - wire _T_4075 = _T_4072[0] & _T_4074; // @[lsu_bus_buffer.scala 483:38] - wire _T_4076 = _T_4032 | _T_4075; // @[lsu_bus_buffer.scala 482:95] - wire _T_4077 = bus_rsp_read & _T_4076; // @[lsu_bus_buffer.scala 482:45] + wire [3:0] _T_4072 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 484:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[lsu_bus_buffer.scala 484:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[lsu_bus_buffer.scala 484:58] + wire _T_4074 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 484:58] + wire _T_4075 = _T_4072[0] & _T_4074; // @[lsu_bus_buffer.scala 484:38] + wire _T_4076 = _T_4032 | _T_4075; // @[lsu_bus_buffer.scala 483:95] + wire _T_4077 = bus_rsp_read & _T_4076; // @[lsu_bus_buffer.scala 483:45] wire _GEN_188 = _T_4062 & _T_4077; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3975 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3941 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3937 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3954 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] - wire _T_3955 = _T_3954 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] + wire _T_3954 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] + wire _T_3955 = _T_3954 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] wire _T_4080 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4083 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 488:37] - wire _T_4084 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 488:98] - wire _T_4085 = buf_dual_2 & _T_4084; // @[lsu_bus_buffer.scala 488:80] - wire _T_4086 = _T_4083 | _T_4085; // @[lsu_bus_buffer.scala 488:65] - wire _T_4087 = _T_4086 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] + wire _T_4083 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 489:37] + wire _T_4084 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 489:98] + wire _T_4085 = buf_dual_2 & _T_4084; // @[lsu_bus_buffer.scala 489:80] + wire _T_4086 = _T_4083 | _T_4085; // @[lsu_bus_buffer.scala 489:65] + wire _T_4087 = _T_4086 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] wire _T_4088 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_4080 ? _T_4087 : _T_4088; // @[Conditional.scala 39:67] wire _GEN_189 = _T_4062 ? _T_3955 : _GEN_183; // @[Conditional.scala 39:67] @@ -69940,89 +69940,89 @@ module lsu_bus_buffer( wire _GEN_206 = _T_3941 ? _T_3955 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3937 ? _T_3554 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3914 ? _T_3930 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2333 = _T_1875 & buf_state_en_2; // @[lsu_bus_buffer.scala 405:94] - wire _T_2343 = _T_2137 & _T_1878; // @[lsu_bus_buffer.scala 407:71] - wire _T_2345 = _T_2343 & _T_1854; // @[lsu_bus_buffer.scala 407:92] - wire _T_2346 = _T_4471 | _T_2345; // @[lsu_bus_buffer.scala 406:86] - wire _T_2350 = _T_2144 & _T_1879; // @[lsu_bus_buffer.scala 408:52] - wire _T_2352 = _T_2350 & _T_1856; // @[lsu_bus_buffer.scala 408:73] - wire _T_2353 = _T_2346 | _T_2352; // @[lsu_bus_buffer.scala 407:114] - wire _T_2354 = _T_2333 & _T_2353; // @[lsu_bus_buffer.scala 405:113] - wire _T_2356 = _T_2354 | buf_age_2[0]; // @[lsu_bus_buffer.scala 408:97] - wire _T_2370 = _T_2343 & _T_1865; // @[lsu_bus_buffer.scala 407:92] - wire _T_2371 = _T_4476 | _T_2370; // @[lsu_bus_buffer.scala 406:86] - wire _T_2377 = _T_2350 & _T_1867; // @[lsu_bus_buffer.scala 408:73] - wire _T_2378 = _T_2371 | _T_2377; // @[lsu_bus_buffer.scala 407:114] - wire _T_2379 = _T_2333 & _T_2378; // @[lsu_bus_buffer.scala 405:113] - wire _T_2381 = _T_2379 | buf_age_2[1]; // @[lsu_bus_buffer.scala 408:97] - wire _T_2395 = _T_2343 & _T_1876; // @[lsu_bus_buffer.scala 407:92] - wire _T_2396 = _T_4481 | _T_2395; // @[lsu_bus_buffer.scala 406:86] - wire _T_2402 = _T_2350 & _T_1878; // @[lsu_bus_buffer.scala 408:73] - wire _T_2403 = _T_2396 | _T_2402; // @[lsu_bus_buffer.scala 407:114] - wire _T_2404 = _T_2333 & _T_2403; // @[lsu_bus_buffer.scala 405:113] - wire _T_2406 = _T_2404 | buf_age_2[2]; // @[lsu_bus_buffer.scala 408:97] - wire _T_2420 = _T_2343 & _T_1887; // @[lsu_bus_buffer.scala 407:92] - wire _T_2421 = _T_4486 | _T_2420; // @[lsu_bus_buffer.scala 406:86] - wire _T_2427 = _T_2350 & _T_1889; // @[lsu_bus_buffer.scala 408:73] - wire _T_2428 = _T_2421 | _T_2427; // @[lsu_bus_buffer.scala 407:114] - wire _T_2429 = _T_2333 & _T_2428; // @[lsu_bus_buffer.scala 405:113] - wire _T_2431 = _T_2429 | buf_age_2[3]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2333 = _T_1875 & buf_state_en_2; // @[lsu_bus_buffer.scala 406:94] + wire _T_2343 = _T_2137 & _T_1878; // @[lsu_bus_buffer.scala 408:71] + wire _T_2345 = _T_2343 & _T_1854; // @[lsu_bus_buffer.scala 408:92] + wire _T_2346 = _T_4471 | _T_2345; // @[lsu_bus_buffer.scala 407:86] + wire _T_2350 = _T_2144 & _T_1879; // @[lsu_bus_buffer.scala 409:52] + wire _T_2352 = _T_2350 & _T_1856; // @[lsu_bus_buffer.scala 409:73] + wire _T_2353 = _T_2346 | _T_2352; // @[lsu_bus_buffer.scala 408:114] + wire _T_2354 = _T_2333 & _T_2353; // @[lsu_bus_buffer.scala 406:113] + wire _T_2356 = _T_2354 | buf_age_2[0]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2370 = _T_2343 & _T_1865; // @[lsu_bus_buffer.scala 408:92] + wire _T_2371 = _T_4476 | _T_2370; // @[lsu_bus_buffer.scala 407:86] + wire _T_2377 = _T_2350 & _T_1867; // @[lsu_bus_buffer.scala 409:73] + wire _T_2378 = _T_2371 | _T_2377; // @[lsu_bus_buffer.scala 408:114] + wire _T_2379 = _T_2333 & _T_2378; // @[lsu_bus_buffer.scala 406:113] + wire _T_2381 = _T_2379 | buf_age_2[1]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2395 = _T_2343 & _T_1876; // @[lsu_bus_buffer.scala 408:92] + wire _T_2396 = _T_4481 | _T_2395; // @[lsu_bus_buffer.scala 407:86] + wire _T_2402 = _T_2350 & _T_1878; // @[lsu_bus_buffer.scala 409:73] + wire _T_2403 = _T_2396 | _T_2402; // @[lsu_bus_buffer.scala 408:114] + wire _T_2404 = _T_2333 & _T_2403; // @[lsu_bus_buffer.scala 406:113] + wire _T_2406 = _T_2404 | buf_age_2[2]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2420 = _T_2343 & _T_1887; // @[lsu_bus_buffer.scala 408:92] + wire _T_2421 = _T_4486 | _T_2420; // @[lsu_bus_buffer.scala 407:86] + wire _T_2427 = _T_2350 & _T_1889; // @[lsu_bus_buffer.scala 409:73] + wire _T_2428 = _T_2421 | _T_2427; // @[lsu_bus_buffer.scala 408:114] + wire _T_2429 = _T_2333 & _T_2428; // @[lsu_bus_buffer.scala 406:113] + wire _T_2431 = _T_2429 | buf_age_2[3]; // @[lsu_bus_buffer.scala 409:97] wire [2:0] _T_2433 = {_T_2431,_T_2406,_T_2381}; // @[Cat.scala 29:58] - wire _T_4114 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] - wire _T_4115 = _T_3534 & _T_4114; // @[lsu_bus_buffer.scala 443:112] - wire _T_4117 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] - wire _T_4118 = _T_3537 & _T_4117; // @[lsu_bus_buffer.scala 443:161] - wire _T_4119 = _T_4115 | _T_4118; // @[lsu_bus_buffer.scala 443:132] - wire _T_4120 = _T_853 & _T_4119; // @[lsu_bus_buffer.scala 443:63] - wire _T_4121 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] - wire _T_4122 = ibuf_drain_vld & _T_4121; // @[lsu_bus_buffer.scala 443:201] - wire _T_4123 = _T_4120 | _T_4122; // @[lsu_bus_buffer.scala 443:183] + wire _T_4114 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] + wire _T_4115 = _T_3534 & _T_4114; // @[lsu_bus_buffer.scala 444:112] + wire _T_4117 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] + wire _T_4118 = _T_3537 & _T_4117; // @[lsu_bus_buffer.scala 444:161] + wire _T_4119 = _T_4115 | _T_4118; // @[lsu_bus_buffer.scala 444:132] + wire _T_4120 = _T_853 & _T_4119; // @[lsu_bus_buffer.scala 444:63] + wire _T_4121 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] + wire _T_4122 = ibuf_drain_vld & _T_4121; // @[lsu_bus_buffer.scala 444:201] + wire _T_4123 = _T_4120 | _T_4122; // @[lsu_bus_buffer.scala 444:183] wire _T_4168 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4213 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 468:73] - wire _T_4214 = bus_rsp_write & _T_4213; // @[lsu_bus_buffer.scala 468:52] - wire _T_4215 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 469:46] - wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 470:47] - wire _T_4217 = io_lsu_axi_r_bits_id == _GEN_380; // @[lsu_bus_buffer.scala 470:47] - wire _T_4218 = buf_ldfwd[3] & _T_4217; // @[lsu_bus_buffer.scala 470:27] - wire _T_4219 = _T_4215 | _T_4218; // @[lsu_bus_buffer.scala 469:77] - wire _T_4220 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 471:26] - wire _T_4222 = ~buf_write[3]; // @[lsu_bus_buffer.scala 471:44] - wire _T_4223 = _T_4220 & _T_4222; // @[lsu_bus_buffer.scala 471:42] - wire _T_4224 = _T_4223 & buf_samedw_3; // @[lsu_bus_buffer.scala 471:58] + wire _T_4213 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 469:73] + wire _T_4214 = bus_rsp_write & _T_4213; // @[lsu_bus_buffer.scala 469:52] + wire _T_4215 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 470:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 471:47] + wire _T_4217 = io_lsu_axi_r_bits_id == _GEN_380; // @[lsu_bus_buffer.scala 471:47] + wire _T_4218 = buf_ldfwd[3] & _T_4217; // @[lsu_bus_buffer.scala 471:27] + wire _T_4219 = _T_4215 | _T_4218; // @[lsu_bus_buffer.scala 470:77] + wire _T_4220 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 472:26] + wire _T_4222 = ~buf_write[3]; // @[lsu_bus_buffer.scala 472:44] + wire _T_4223 = _T_4220 & _T_4222; // @[lsu_bus_buffer.scala 472:42] + wire _T_4224 = _T_4223 & buf_samedw_3; // @[lsu_bus_buffer.scala 472:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 471:94] - wire _T_4225 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 471:94] - wire _T_4226 = _T_4224 & _T_4225; // @[lsu_bus_buffer.scala 471:74] - wire _T_4227 = _T_4219 | _T_4226; // @[lsu_bus_buffer.scala 470:71] - wire _T_4228 = bus_rsp_read & _T_4227; // @[lsu_bus_buffer.scala 469:25] - wire _T_4229 = _T_4214 | _T_4228; // @[lsu_bus_buffer.scala 468:105] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 472:94] + wire _T_4225 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 472:94] + wire _T_4226 = _T_4224 & _T_4225; // @[lsu_bus_buffer.scala 472:74] + wire _T_4227 = _T_4219 | _T_4226; // @[lsu_bus_buffer.scala 471:71] + wire _T_4228 = bus_rsp_read & _T_4227; // @[lsu_bus_buffer.scala 470:25] + wire _T_4229 = _T_4214 | _T_4228; // @[lsu_bus_buffer.scala 469:105] wire _GEN_270 = _T_4168 & _T_4229; // @[Conditional.scala 39:67] wire _GEN_289 = _T_4134 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_4130 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4255 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4265 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 483:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[lsu_bus_buffer.scala 483:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[lsu_bus_buffer.scala 483:58] - wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[lsu_bus_buffer.scala 483:58] - wire _T_4267 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 483:58] - wire _T_4268 = _T_4265[0] & _T_4267; // @[lsu_bus_buffer.scala 483:38] - wire _T_4269 = _T_4225 | _T_4268; // @[lsu_bus_buffer.scala 482:95] - wire _T_4270 = bus_rsp_read & _T_4269; // @[lsu_bus_buffer.scala 482:45] + wire [3:0] _T_4265 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 484:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[lsu_bus_buffer.scala 484:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[lsu_bus_buffer.scala 484:58] + wire _T_4267 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 484:58] + wire _T_4268 = _T_4265[0] & _T_4267; // @[lsu_bus_buffer.scala 484:38] + wire _T_4269 = _T_4225 | _T_4268; // @[lsu_bus_buffer.scala 483:95] + wire _T_4270 = bus_rsp_read & _T_4269; // @[lsu_bus_buffer.scala 483:45] wire _GEN_264 = _T_4255 & _T_4270; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4168 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4134 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4130 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4147 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] - wire _T_4148 = _T_4147 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] + wire _T_4147 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] + wire _T_4148 = _T_4147 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] wire _T_4273 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4276 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 488:37] - wire _T_4277 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 488:98] - wire _T_4278 = buf_dual_3 & _T_4277; // @[lsu_bus_buffer.scala 488:80] - wire _T_4279 = _T_4276 | _T_4278; // @[lsu_bus_buffer.scala 488:65] - wire _T_4280 = _T_4279 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] + wire _T_4276 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 489:37] + wire _T_4277 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 489:98] + wire _T_4278 = buf_dual_3 & _T_4277; // @[lsu_bus_buffer.scala 489:80] + wire _T_4279 = _T_4276 | _T_4278; // @[lsu_bus_buffer.scala 489:65] + wire _T_4280 = _T_4279 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] wire _T_4281 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4273 ? _T_4280 : _T_4281; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4255 ? _T_4148 : _GEN_259; // @[Conditional.scala 39:67] @@ -70030,229 +70030,229 @@ module lsu_bus_buffer( wire _GEN_282 = _T_4134 ? _T_4148 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4130 ? _T_3554 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4107 ? _T_4123 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2435 = _T_1886 & buf_state_en_3; // @[lsu_bus_buffer.scala 405:94] - wire _T_2445 = _T_2137 & _T_1889; // @[lsu_bus_buffer.scala 407:71] - wire _T_2447 = _T_2445 & _T_1854; // @[lsu_bus_buffer.scala 407:92] - wire _T_2448 = _T_4471 | _T_2447; // @[lsu_bus_buffer.scala 406:86] - wire _T_2452 = _T_2144 & _T_1890; // @[lsu_bus_buffer.scala 408:52] - wire _T_2454 = _T_2452 & _T_1856; // @[lsu_bus_buffer.scala 408:73] - wire _T_2455 = _T_2448 | _T_2454; // @[lsu_bus_buffer.scala 407:114] - wire _T_2456 = _T_2435 & _T_2455; // @[lsu_bus_buffer.scala 405:113] - wire _T_2458 = _T_2456 | buf_age_3[0]; // @[lsu_bus_buffer.scala 408:97] - wire _T_2472 = _T_2445 & _T_1865; // @[lsu_bus_buffer.scala 407:92] - wire _T_2473 = _T_4476 | _T_2472; // @[lsu_bus_buffer.scala 406:86] - wire _T_2479 = _T_2452 & _T_1867; // @[lsu_bus_buffer.scala 408:73] - wire _T_2480 = _T_2473 | _T_2479; // @[lsu_bus_buffer.scala 407:114] - wire _T_2481 = _T_2435 & _T_2480; // @[lsu_bus_buffer.scala 405:113] - wire _T_2483 = _T_2481 | buf_age_3[1]; // @[lsu_bus_buffer.scala 408:97] - wire _T_2497 = _T_2445 & _T_1876; // @[lsu_bus_buffer.scala 407:92] - wire _T_2498 = _T_4481 | _T_2497; // @[lsu_bus_buffer.scala 406:86] - wire _T_2504 = _T_2452 & _T_1878; // @[lsu_bus_buffer.scala 408:73] - wire _T_2505 = _T_2498 | _T_2504; // @[lsu_bus_buffer.scala 407:114] - wire _T_2506 = _T_2435 & _T_2505; // @[lsu_bus_buffer.scala 405:113] - wire _T_2508 = _T_2506 | buf_age_3[2]; // @[lsu_bus_buffer.scala 408:97] - wire _T_2522 = _T_2445 & _T_1887; // @[lsu_bus_buffer.scala 407:92] - wire _T_2523 = _T_4486 | _T_2522; // @[lsu_bus_buffer.scala 406:86] - wire _T_2529 = _T_2452 & _T_1889; // @[lsu_bus_buffer.scala 408:73] - wire _T_2530 = _T_2523 | _T_2529; // @[lsu_bus_buffer.scala 407:114] - wire _T_2531 = _T_2435 & _T_2530; // @[lsu_bus_buffer.scala 405:113] - wire _T_2533 = _T_2531 | buf_age_3[3]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2435 = _T_1886 & buf_state_en_3; // @[lsu_bus_buffer.scala 406:94] + wire _T_2445 = _T_2137 & _T_1889; // @[lsu_bus_buffer.scala 408:71] + wire _T_2447 = _T_2445 & _T_1854; // @[lsu_bus_buffer.scala 408:92] + wire _T_2448 = _T_4471 | _T_2447; // @[lsu_bus_buffer.scala 407:86] + wire _T_2452 = _T_2144 & _T_1890; // @[lsu_bus_buffer.scala 409:52] + wire _T_2454 = _T_2452 & _T_1856; // @[lsu_bus_buffer.scala 409:73] + wire _T_2455 = _T_2448 | _T_2454; // @[lsu_bus_buffer.scala 408:114] + wire _T_2456 = _T_2435 & _T_2455; // @[lsu_bus_buffer.scala 406:113] + wire _T_2458 = _T_2456 | buf_age_3[0]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2472 = _T_2445 & _T_1865; // @[lsu_bus_buffer.scala 408:92] + wire _T_2473 = _T_4476 | _T_2472; // @[lsu_bus_buffer.scala 407:86] + wire _T_2479 = _T_2452 & _T_1867; // @[lsu_bus_buffer.scala 409:73] + wire _T_2480 = _T_2473 | _T_2479; // @[lsu_bus_buffer.scala 408:114] + wire _T_2481 = _T_2435 & _T_2480; // @[lsu_bus_buffer.scala 406:113] + wire _T_2483 = _T_2481 | buf_age_3[1]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2497 = _T_2445 & _T_1876; // @[lsu_bus_buffer.scala 408:92] + wire _T_2498 = _T_4481 | _T_2497; // @[lsu_bus_buffer.scala 407:86] + wire _T_2504 = _T_2452 & _T_1878; // @[lsu_bus_buffer.scala 409:73] + wire _T_2505 = _T_2498 | _T_2504; // @[lsu_bus_buffer.scala 408:114] + wire _T_2506 = _T_2435 & _T_2505; // @[lsu_bus_buffer.scala 406:113] + wire _T_2508 = _T_2506 | buf_age_3[2]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2522 = _T_2445 & _T_1887; // @[lsu_bus_buffer.scala 408:92] + wire _T_2523 = _T_4486 | _T_2522; // @[lsu_bus_buffer.scala 407:86] + wire _T_2529 = _T_2452 & _T_1889; // @[lsu_bus_buffer.scala 409:73] + wire _T_2530 = _T_2523 | _T_2529; // @[lsu_bus_buffer.scala 408:114] + wire _T_2531 = _T_2435 & _T_2530; // @[lsu_bus_buffer.scala 406:113] + wire _T_2533 = _T_2531 | buf_age_3[3]; // @[lsu_bus_buffer.scala 409:97] wire [2:0] _T_2535 = {_T_2533,_T_2508,_T_2483}; // @[Cat.scala 29:58] - wire _T_2799 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 416:47] - wire _T_2800 = _T_1853 | _T_2799; // @[lsu_bus_buffer.scala 416:32] - wire _T_2801 = ~_T_2800; // @[lsu_bus_buffer.scala 416:6] - wire _T_2809 = _T_2801 | _T_2141; // @[lsu_bus_buffer.scala 416:59] - wire _T_2816 = _T_2809 | _T_2148; // @[lsu_bus_buffer.scala 417:110] - wire _T_2817 = _T_2129 & _T_2816; // @[lsu_bus_buffer.scala 415:112] - wire _T_2821 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 416:47] - wire _T_2822 = _T_1864 | _T_2821; // @[lsu_bus_buffer.scala 416:32] - wire _T_2823 = ~_T_2822; // @[lsu_bus_buffer.scala 416:6] - wire _T_2831 = _T_2823 | _T_2166; // @[lsu_bus_buffer.scala 416:59] - wire _T_2838 = _T_2831 | _T_2173; // @[lsu_bus_buffer.scala 417:110] - wire _T_2839 = _T_2129 & _T_2838; // @[lsu_bus_buffer.scala 415:112] - wire _T_2843 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 416:47] - wire _T_2844 = _T_1875 | _T_2843; // @[lsu_bus_buffer.scala 416:32] - wire _T_2845 = ~_T_2844; // @[lsu_bus_buffer.scala 416:6] - wire _T_2853 = _T_2845 | _T_2191; // @[lsu_bus_buffer.scala 416:59] - wire _T_2860 = _T_2853 | _T_2198; // @[lsu_bus_buffer.scala 417:110] - wire _T_2861 = _T_2129 & _T_2860; // @[lsu_bus_buffer.scala 415:112] - wire _T_2865 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 416:47] - wire _T_2866 = _T_1886 | _T_2865; // @[lsu_bus_buffer.scala 416:32] - wire _T_2867 = ~_T_2866; // @[lsu_bus_buffer.scala 416:6] - wire _T_2875 = _T_2867 | _T_2216; // @[lsu_bus_buffer.scala 416:59] - wire _T_2882 = _T_2875 | _T_2223; // @[lsu_bus_buffer.scala 417:110] - wire _T_2883 = _T_2129 & _T_2882; // @[lsu_bus_buffer.scala 415:112] + wire _T_2799 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 417:47] + wire _T_2800 = _T_1853 | _T_2799; // @[lsu_bus_buffer.scala 417:32] + wire _T_2801 = ~_T_2800; // @[lsu_bus_buffer.scala 417:6] + wire _T_2809 = _T_2801 | _T_2141; // @[lsu_bus_buffer.scala 417:59] + wire _T_2816 = _T_2809 | _T_2148; // @[lsu_bus_buffer.scala 418:110] + wire _T_2817 = _T_2129 & _T_2816; // @[lsu_bus_buffer.scala 416:112] + wire _T_2821 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 417:47] + wire _T_2822 = _T_1864 | _T_2821; // @[lsu_bus_buffer.scala 417:32] + wire _T_2823 = ~_T_2822; // @[lsu_bus_buffer.scala 417:6] + wire _T_2831 = _T_2823 | _T_2166; // @[lsu_bus_buffer.scala 417:59] + wire _T_2838 = _T_2831 | _T_2173; // @[lsu_bus_buffer.scala 418:110] + wire _T_2839 = _T_2129 & _T_2838; // @[lsu_bus_buffer.scala 416:112] + wire _T_2843 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 417:47] + wire _T_2844 = _T_1875 | _T_2843; // @[lsu_bus_buffer.scala 417:32] + wire _T_2845 = ~_T_2844; // @[lsu_bus_buffer.scala 417:6] + wire _T_2853 = _T_2845 | _T_2191; // @[lsu_bus_buffer.scala 417:59] + wire _T_2860 = _T_2853 | _T_2198; // @[lsu_bus_buffer.scala 418:110] + wire _T_2861 = _T_2129 & _T_2860; // @[lsu_bus_buffer.scala 416:112] + wire _T_2865 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 417:47] + wire _T_2866 = _T_1886 | _T_2865; // @[lsu_bus_buffer.scala 417:32] + wire _T_2867 = ~_T_2866; // @[lsu_bus_buffer.scala 417:6] + wire _T_2875 = _T_2867 | _T_2216; // @[lsu_bus_buffer.scala 417:59] + wire _T_2882 = _T_2875 | _T_2223; // @[lsu_bus_buffer.scala 418:110] + wire _T_2883 = _T_2129 & _T_2882; // @[lsu_bus_buffer.scala 416:112] wire [3:0] buf_rspage_set_0 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] - wire _T_2900 = _T_2801 | _T_2243; // @[lsu_bus_buffer.scala 416:59] - wire _T_2907 = _T_2900 | _T_2250; // @[lsu_bus_buffer.scala 417:110] - wire _T_2908 = _T_2231 & _T_2907; // @[lsu_bus_buffer.scala 415:112] - wire _T_2922 = _T_2823 | _T_2268; // @[lsu_bus_buffer.scala 416:59] - wire _T_2929 = _T_2922 | _T_2275; // @[lsu_bus_buffer.scala 417:110] - wire _T_2930 = _T_2231 & _T_2929; // @[lsu_bus_buffer.scala 415:112] - wire _T_2944 = _T_2845 | _T_2293; // @[lsu_bus_buffer.scala 416:59] - wire _T_2951 = _T_2944 | _T_2300; // @[lsu_bus_buffer.scala 417:110] - wire _T_2952 = _T_2231 & _T_2951; // @[lsu_bus_buffer.scala 415:112] - wire _T_2966 = _T_2867 | _T_2318; // @[lsu_bus_buffer.scala 416:59] - wire _T_2973 = _T_2966 | _T_2325; // @[lsu_bus_buffer.scala 417:110] - wire _T_2974 = _T_2231 & _T_2973; // @[lsu_bus_buffer.scala 415:112] + wire _T_2900 = _T_2801 | _T_2243; // @[lsu_bus_buffer.scala 417:59] + wire _T_2907 = _T_2900 | _T_2250; // @[lsu_bus_buffer.scala 418:110] + wire _T_2908 = _T_2231 & _T_2907; // @[lsu_bus_buffer.scala 416:112] + wire _T_2922 = _T_2823 | _T_2268; // @[lsu_bus_buffer.scala 417:59] + wire _T_2929 = _T_2922 | _T_2275; // @[lsu_bus_buffer.scala 418:110] + wire _T_2930 = _T_2231 & _T_2929; // @[lsu_bus_buffer.scala 416:112] + wire _T_2944 = _T_2845 | _T_2293; // @[lsu_bus_buffer.scala 417:59] + wire _T_2951 = _T_2944 | _T_2300; // @[lsu_bus_buffer.scala 418:110] + wire _T_2952 = _T_2231 & _T_2951; // @[lsu_bus_buffer.scala 416:112] + wire _T_2966 = _T_2867 | _T_2318; // @[lsu_bus_buffer.scala 417:59] + wire _T_2973 = _T_2966 | _T_2325; // @[lsu_bus_buffer.scala 418:110] + wire _T_2974 = _T_2231 & _T_2973; // @[lsu_bus_buffer.scala 416:112] wire [3:0] buf_rspage_set_1 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] - wire _T_2991 = _T_2801 | _T_2345; // @[lsu_bus_buffer.scala 416:59] - wire _T_2998 = _T_2991 | _T_2352; // @[lsu_bus_buffer.scala 417:110] - wire _T_2999 = _T_2333 & _T_2998; // @[lsu_bus_buffer.scala 415:112] - wire _T_3013 = _T_2823 | _T_2370; // @[lsu_bus_buffer.scala 416:59] - wire _T_3020 = _T_3013 | _T_2377; // @[lsu_bus_buffer.scala 417:110] - wire _T_3021 = _T_2333 & _T_3020; // @[lsu_bus_buffer.scala 415:112] - wire _T_3035 = _T_2845 | _T_2395; // @[lsu_bus_buffer.scala 416:59] - wire _T_3042 = _T_3035 | _T_2402; // @[lsu_bus_buffer.scala 417:110] - wire _T_3043 = _T_2333 & _T_3042; // @[lsu_bus_buffer.scala 415:112] - wire _T_3057 = _T_2867 | _T_2420; // @[lsu_bus_buffer.scala 416:59] - wire _T_3064 = _T_3057 | _T_2427; // @[lsu_bus_buffer.scala 417:110] - wire _T_3065 = _T_2333 & _T_3064; // @[lsu_bus_buffer.scala 415:112] + wire _T_2991 = _T_2801 | _T_2345; // @[lsu_bus_buffer.scala 417:59] + wire _T_2998 = _T_2991 | _T_2352; // @[lsu_bus_buffer.scala 418:110] + wire _T_2999 = _T_2333 & _T_2998; // @[lsu_bus_buffer.scala 416:112] + wire _T_3013 = _T_2823 | _T_2370; // @[lsu_bus_buffer.scala 417:59] + wire _T_3020 = _T_3013 | _T_2377; // @[lsu_bus_buffer.scala 418:110] + wire _T_3021 = _T_2333 & _T_3020; // @[lsu_bus_buffer.scala 416:112] + wire _T_3035 = _T_2845 | _T_2395; // @[lsu_bus_buffer.scala 417:59] + wire _T_3042 = _T_3035 | _T_2402; // @[lsu_bus_buffer.scala 418:110] + wire _T_3043 = _T_2333 & _T_3042; // @[lsu_bus_buffer.scala 416:112] + wire _T_3057 = _T_2867 | _T_2420; // @[lsu_bus_buffer.scala 417:59] + wire _T_3064 = _T_3057 | _T_2427; // @[lsu_bus_buffer.scala 418:110] + wire _T_3065 = _T_2333 & _T_3064; // @[lsu_bus_buffer.scala 416:112] wire [3:0] buf_rspage_set_2 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] - wire _T_3082 = _T_2801 | _T_2447; // @[lsu_bus_buffer.scala 416:59] - wire _T_3089 = _T_3082 | _T_2454; // @[lsu_bus_buffer.scala 417:110] - wire _T_3090 = _T_2435 & _T_3089; // @[lsu_bus_buffer.scala 415:112] - wire _T_3104 = _T_2823 | _T_2472; // @[lsu_bus_buffer.scala 416:59] - wire _T_3111 = _T_3104 | _T_2479; // @[lsu_bus_buffer.scala 417:110] - wire _T_3112 = _T_2435 & _T_3111; // @[lsu_bus_buffer.scala 415:112] - wire _T_3126 = _T_2845 | _T_2497; // @[lsu_bus_buffer.scala 416:59] - wire _T_3133 = _T_3126 | _T_2504; // @[lsu_bus_buffer.scala 417:110] - wire _T_3134 = _T_2435 & _T_3133; // @[lsu_bus_buffer.scala 415:112] - wire _T_3148 = _T_2867 | _T_2522; // @[lsu_bus_buffer.scala 416:59] - wire _T_3155 = _T_3148 | _T_2529; // @[lsu_bus_buffer.scala 417:110] - wire _T_3156 = _T_2435 & _T_3155; // @[lsu_bus_buffer.scala 415:112] + wire _T_3082 = _T_2801 | _T_2447; // @[lsu_bus_buffer.scala 417:59] + wire _T_3089 = _T_3082 | _T_2454; // @[lsu_bus_buffer.scala 418:110] + wire _T_3090 = _T_2435 & _T_3089; // @[lsu_bus_buffer.scala 416:112] + wire _T_3104 = _T_2823 | _T_2472; // @[lsu_bus_buffer.scala 417:59] + wire _T_3111 = _T_3104 | _T_2479; // @[lsu_bus_buffer.scala 418:110] + wire _T_3112 = _T_2435 & _T_3111; // @[lsu_bus_buffer.scala 416:112] + wire _T_3126 = _T_2845 | _T_2497; // @[lsu_bus_buffer.scala 417:59] + wire _T_3133 = _T_3126 | _T_2504; // @[lsu_bus_buffer.scala 418:110] + wire _T_3134 = _T_2435 & _T_3133; // @[lsu_bus_buffer.scala 416:112] + wire _T_3148 = _T_2867 | _T_2522; // @[lsu_bus_buffer.scala 417:59] + wire _T_3155 = _T_3148 | _T_2529; // @[lsu_bus_buffer.scala 418:110] + wire _T_3156 = _T_2435 & _T_3155; // @[lsu_bus_buffer.scala 416:112] wire [3:0] buf_rspage_set_3 = {_T_3156,_T_3134,_T_3112,_T_3090}; // @[Cat.scala 29:58] - wire _T_3241 = _T_2865 | _T_1886; // @[lsu_bus_buffer.scala 420:110] - wire _T_3242 = ~_T_3241; // @[lsu_bus_buffer.scala 420:84] - wire _T_3243 = buf_rspageQ_0[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] - wire _T_3235 = _T_2843 | _T_1875; // @[lsu_bus_buffer.scala 420:110] - wire _T_3236 = ~_T_3235; // @[lsu_bus_buffer.scala 420:84] - wire _T_3237 = buf_rspageQ_0[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] - wire _T_3229 = _T_2821 | _T_1864; // @[lsu_bus_buffer.scala 420:110] - wire _T_3230 = ~_T_3229; // @[lsu_bus_buffer.scala 420:84] - wire _T_3231 = buf_rspageQ_0[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] - wire _T_3223 = _T_2799 | _T_1853; // @[lsu_bus_buffer.scala 420:110] - wire _T_3224 = ~_T_3223; // @[lsu_bus_buffer.scala 420:84] - wire _T_3225 = buf_rspageQ_0[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] + wire _T_3241 = _T_2865 | _T_1886; // @[lsu_bus_buffer.scala 421:110] + wire _T_3242 = ~_T_3241; // @[lsu_bus_buffer.scala 421:84] + wire _T_3243 = buf_rspageQ_0[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] + wire _T_3235 = _T_2843 | _T_1875; // @[lsu_bus_buffer.scala 421:110] + wire _T_3236 = ~_T_3235; // @[lsu_bus_buffer.scala 421:84] + wire _T_3237 = buf_rspageQ_0[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] + wire _T_3229 = _T_2821 | _T_1864; // @[lsu_bus_buffer.scala 421:110] + wire _T_3230 = ~_T_3229; // @[lsu_bus_buffer.scala 421:84] + wire _T_3231 = buf_rspageQ_0[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] + wire _T_3223 = _T_2799 | _T_1853; // @[lsu_bus_buffer.scala 421:110] + wire _T_3224 = ~_T_3223; // @[lsu_bus_buffer.scala 421:84] + wire _T_3225 = buf_rspageQ_0[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] wire [3:0] buf_rspage_0 = {_T_3243,_T_3237,_T_3231,_T_3225}; // @[Cat.scala 29:58] - wire _T_3162 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 419:88] - wire _T_3165 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 419:88] - wire _T_3168 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 419:88] - wire _T_3171 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3162 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3165 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3168 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3171 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 420:88] wire [2:0] _T_3173 = {_T_3171,_T_3168,_T_3165}; // @[Cat.scala 29:58] - wire _T_3270 = buf_rspageQ_1[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] - wire _T_3264 = buf_rspageQ_1[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] - wire _T_3258 = buf_rspageQ_1[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] - wire _T_3252 = buf_rspageQ_1[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] + wire _T_3270 = buf_rspageQ_1[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] + wire _T_3264 = buf_rspageQ_1[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] + wire _T_3258 = buf_rspageQ_1[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] + wire _T_3252 = buf_rspageQ_1[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] wire [3:0] buf_rspage_1 = {_T_3270,_T_3264,_T_3258,_T_3252}; // @[Cat.scala 29:58] - wire _T_3177 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 419:88] - wire _T_3180 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 419:88] - wire _T_3183 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 419:88] - wire _T_3186 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3177 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3180 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3183 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3186 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 420:88] wire [2:0] _T_3188 = {_T_3186,_T_3183,_T_3180}; // @[Cat.scala 29:58] - wire _T_3297 = buf_rspageQ_2[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] - wire _T_3291 = buf_rspageQ_2[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] - wire _T_3285 = buf_rspageQ_2[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] - wire _T_3279 = buf_rspageQ_2[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] + wire _T_3297 = buf_rspageQ_2[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] + wire _T_3291 = buf_rspageQ_2[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] + wire _T_3285 = buf_rspageQ_2[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] + wire _T_3279 = buf_rspageQ_2[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] wire [3:0] buf_rspage_2 = {_T_3297,_T_3291,_T_3285,_T_3279}; // @[Cat.scala 29:58] - wire _T_3192 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 419:88] - wire _T_3195 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 419:88] - wire _T_3198 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 419:88] - wire _T_3201 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3192 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3195 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3198 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3201 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 420:88] wire [2:0] _T_3203 = {_T_3201,_T_3198,_T_3195}; // @[Cat.scala 29:58] - wire _T_3324 = buf_rspageQ_3[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] - wire _T_3318 = buf_rspageQ_3[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] - wire _T_3312 = buf_rspageQ_3[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] - wire _T_3306 = buf_rspageQ_3[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] + wire _T_3324 = buf_rspageQ_3[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] + wire _T_3318 = buf_rspageQ_3[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] + wire _T_3312 = buf_rspageQ_3[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] + wire _T_3306 = buf_rspageQ_3[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] wire [3:0] buf_rspage_3 = {_T_3324,_T_3318,_T_3312,_T_3306}; // @[Cat.scala 29:58] - wire _T_3207 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 419:88] - wire _T_3210 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 419:88] - wire _T_3213 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 419:88] - wire _T_3216 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3207 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3210 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3213 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3216 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 420:88] wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] - wire _T_3329 = ibuf_drain_vld & _T_1854; // @[lsu_bus_buffer.scala 425:63] - wire _T_3331 = ibuf_drain_vld & _T_1865; // @[lsu_bus_buffer.scala 425:63] - wire _T_3333 = ibuf_drain_vld & _T_1876; // @[lsu_bus_buffer.scala 425:63] - wire _T_3335 = ibuf_drain_vld & _T_1887; // @[lsu_bus_buffer.scala 425:63] + wire _T_3329 = ibuf_drain_vld & _T_1854; // @[lsu_bus_buffer.scala 426:63] + wire _T_3331 = ibuf_drain_vld & _T_1865; // @[lsu_bus_buffer.scala 426:63] + wire _T_3333 = ibuf_drain_vld & _T_1876; // @[lsu_bus_buffer.scala 426:63] + wire _T_3335 = ibuf_drain_vld & _T_1887; // @[lsu_bus_buffer.scala 426:63] wire [3:0] ibuf_drainvec_vld = {_T_3335,_T_3333,_T_3331,_T_3329}; // @[Cat.scala 29:58] - wire _T_3343 = _T_3537 & _T_1857; // @[lsu_bus_buffer.scala 427:35] - wire _T_3352 = _T_3537 & _T_1868; // @[lsu_bus_buffer.scala 427:35] - wire _T_3361 = _T_3537 & _T_1879; // @[lsu_bus_buffer.scala 427:35] - wire _T_3370 = _T_3537 & _T_1890; // @[lsu_bus_buffer.scala 427:35] - wire _T_3400 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] - wire _T_3402 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] - wire _T_3404 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] - wire _T_3406 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3343 = _T_3537 & _T_1857; // @[lsu_bus_buffer.scala 428:35] + wire _T_3352 = _T_3537 & _T_1868; // @[lsu_bus_buffer.scala 428:35] + wire _T_3361 = _T_3537 & _T_1879; // @[lsu_bus_buffer.scala 428:35] + wire _T_3370 = _T_3537 & _T_1890; // @[lsu_bus_buffer.scala 428:35] + wire _T_3400 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] + wire _T_3402 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] + wire _T_3404 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] + wire _T_3406 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] wire [3:0] buf_dual_in = {_T_3406,_T_3404,_T_3402,_T_3400}; // @[Cat.scala 29:58] - wire _T_3411 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] - wire _T_3413 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] - wire _T_3415 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] - wire _T_3417 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3411 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] + wire _T_3413 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] + wire _T_3415 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] + wire _T_3417 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] wire [3:0] buf_samedw_in = {_T_3417,_T_3415,_T_3413,_T_3411}; // @[Cat.scala 29:58] - wire _T_3422 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 431:84] - wire _T_3423 = ibuf_drainvec_vld[0] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] - wire _T_3426 = ibuf_drainvec_vld[1] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] - wire _T_3429 = ibuf_drainvec_vld[2] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] - wire _T_3432 = ibuf_drainvec_vld[3] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3422 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 432:84] + wire _T_3423 = ibuf_drainvec_vld[0] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] + wire _T_3426 = ibuf_drainvec_vld[1] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] + wire _T_3429 = ibuf_drainvec_vld[2] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] + wire _T_3432 = ibuf_drainvec_vld[3] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] wire [3:0] buf_nomerge_in = {_T_3432,_T_3429,_T_3426,_T_3423}; // @[Cat.scala 29:58] - wire _T_3440 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3343; // @[lsu_bus_buffer.scala 432:47] - wire _T_3445 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3352; // @[lsu_bus_buffer.scala 432:47] - wire _T_3450 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3361; // @[lsu_bus_buffer.scala 432:47] - wire _T_3455 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3370; // @[lsu_bus_buffer.scala 432:47] + wire _T_3440 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3343; // @[lsu_bus_buffer.scala 433:47] + wire _T_3445 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3352; // @[lsu_bus_buffer.scala 433:47] + wire _T_3450 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3361; // @[lsu_bus_buffer.scala 433:47] + wire _T_3455 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3370; // @[lsu_bus_buffer.scala 433:47] wire [3:0] buf_dualhi_in = {_T_3455,_T_3450,_T_3445,_T_3440}; // @[Cat.scala 29:58] - wire _T_3484 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] - wire _T_3486 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] - wire _T_3488 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] - wire _T_3490 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3484 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] + wire _T_3486 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] + wire _T_3488 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] + wire _T_3490 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] wire [3:0] buf_sideeffect_in = {_T_3490,_T_3488,_T_3486,_T_3484}; // @[Cat.scala 29:58] - wire _T_3495 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] - wire _T_3497 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] - wire _T_3499 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] - wire _T_3501 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3495 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] + wire _T_3497 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] + wire _T_3499 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] + wire _T_3501 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] wire [3:0] buf_unsign_in = {_T_3501,_T_3499,_T_3497,_T_3495}; // @[Cat.scala 29:58] - wire _T_3518 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] - wire _T_3520 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] - wire _T_3522 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] - wire _T_3524 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3518 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] + wire _T_3520 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] + wire _T_3522 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] + wire _T_3524 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] wire [3:0] buf_write_in = {_T_3524,_T_3522,_T_3520,_T_3518}; // @[Cat.scala 29:58] - wire _T_3557 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 453:89] - wire _T_3559 = _T_3557 & _T_1351; // @[lsu_bus_buffer.scala 453:104] - wire _T_3572 = buf_state_en_0 & _T_3643; // @[lsu_bus_buffer.scala 458:44] - wire _T_3573 = _T_3572 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] - wire _T_3575 = _T_3573 & _T_1333; // @[lsu_bus_buffer.scala 458:74] - wire _T_3578 = _T_3568 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] - wire _T_3579 = _T_3578 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] - wire _T_4872 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 564:64] - wire bus_rsp_read_error = bus_rsp_read & _T_4872; // @[lsu_bus_buffer.scala 564:38] - wire _T_3582 = _T_3578 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] - wire _T_3657 = bus_rsp_read_error & _T_3636; // @[lsu_bus_buffer.scala 475:91] - wire _T_3659 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 476:31] - wire _T_3661 = _T_3659 & _T_3638; // @[lsu_bus_buffer.scala 476:46] - wire _T_3662 = _T_3657 | _T_3661; // @[lsu_bus_buffer.scala 475:143] - wire _T_4870 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 563:66] - wire bus_rsp_write_error = bus_rsp_write & _T_4870; // @[lsu_bus_buffer.scala 563:40] - wire _T_3665 = bus_rsp_write_error & _T_3634; // @[lsu_bus_buffer.scala 477:53] - wire _T_3666 = _T_3662 | _T_3665; // @[lsu_bus_buffer.scala 476:88] - wire _T_3667 = _T_3568 & _T_3666; // @[lsu_bus_buffer.scala 475:68] + wire _T_3557 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 454:89] + wire _T_3559 = _T_3557 & _T_1351; // @[lsu_bus_buffer.scala 454:104] + wire _T_3572 = buf_state_en_0 & _T_3643; // @[lsu_bus_buffer.scala 459:44] + wire _T_3573 = _T_3572 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] + wire _T_3575 = _T_3573 & _T_1333; // @[lsu_bus_buffer.scala 459:74] + wire _T_3578 = _T_3568 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] + wire _T_3579 = _T_3578 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] + wire _T_4872 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 565:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4872; // @[lsu_bus_buffer.scala 565:38] + wire _T_3582 = _T_3578 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] + wire _T_3657 = bus_rsp_read_error & _T_3636; // @[lsu_bus_buffer.scala 476:91] + wire _T_3659 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 477:31] + wire _T_3661 = _T_3659 & _T_3638; // @[lsu_bus_buffer.scala 477:46] + wire _T_3662 = _T_3657 | _T_3661; // @[lsu_bus_buffer.scala 476:143] + wire _T_4870 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 564:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4870; // @[lsu_bus_buffer.scala 564:40] + wire _T_3665 = bus_rsp_write_error & _T_3634; // @[lsu_bus_buffer.scala 478:53] + wire _T_3666 = _T_3662 | _T_3665; // @[lsu_bus_buffer.scala 477:88] + wire _T_3667 = _T_3568 & _T_3666; // @[lsu_bus_buffer.scala 476:68] wire _GEN_46 = _T_3589 & _T_3667; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3555 ? _T_3582 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3551 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3528 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3592 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 465:73] - wire _T_3593 = buf_write[0] & _T_3592; // @[lsu_bus_buffer.scala 465:71] - wire _T_3594 = io_dec_tlu_force_halt | _T_3593; // @[lsu_bus_buffer.scala 465:55] - wire _T_3596 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 466:30] - wire _T_3597 = buf_dual_0 & _T_3596; // @[lsu_bus_buffer.scala 466:28] - wire _T_3600 = _T_3597 & _T_3643; // @[lsu_bus_buffer.scala 466:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[lsu_bus_buffer.scala 466:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[lsu_bus_buffer.scala 466:90] - wire _T_3601 = _GEN_21 != 3'h4; // @[lsu_bus_buffer.scala 466:90] - wire _T_3602 = _T_3600 & _T_3601; // @[lsu_bus_buffer.scala 466:61] - wire _T_4494 = _T_2746 | _T_2743; // @[lsu_bus_buffer.scala 524:93] - wire _T_4495 = _T_4494 | _T_2740; // @[lsu_bus_buffer.scala 524:93] - wire any_done_wait_state = _T_4495 | _T_2737; // @[lsu_bus_buffer.scala 524:93] - wire _T_3604 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] + wire _T_3592 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 466:73] + wire _T_3593 = buf_write[0] & _T_3592; // @[lsu_bus_buffer.scala 466:71] + wire _T_3594 = io_dec_tlu_force_halt | _T_3593; // @[lsu_bus_buffer.scala 466:55] + wire _T_3596 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 467:30] + wire _T_3597 = buf_dual_0 & _T_3596; // @[lsu_bus_buffer.scala 467:28] + wire _T_3600 = _T_3597 & _T_3643; // @[lsu_bus_buffer.scala 467:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[lsu_bus_buffer.scala 467:90] + wire _T_3601 = _GEN_21 != 3'h4; // @[lsu_bus_buffer.scala 467:90] + wire _T_3602 = _T_3600 & _T_3601; // @[lsu_bus_buffer.scala 467:61] + wire _T_4494 = _T_2746 | _T_2743; // @[lsu_bus_buffer.scala 525:93] + wire _T_4495 = _T_4494 | _T_2740; // @[lsu_bus_buffer.scala 525:93] + wire any_done_wait_state = _T_4495 | _T_2737; // @[lsu_bus_buffer.scala 525:93] + wire _T_3604 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] wire _T_3610 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 57:118] wire _T_3612 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 57:118] wire _T_3614 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 57:118] @@ -70264,17 +70264,17 @@ module lsu_bus_buffer( wire _T_3622 = _T_3618 | _T_3619; // @[Mux.scala 27:72] wire _T_3623 = _T_3622 | _T_3620; // @[Mux.scala 27:72] wire _T_3624 = _T_3623 | _T_3621; // @[Mux.scala 27:72] - wire _T_3626 = _T_3600 & _T_3624; // @[lsu_bus_buffer.scala 467:101] - wire _T_3627 = _GEN_21 == 3'h4; // @[lsu_bus_buffer.scala 467:167] - wire _T_3628 = _T_3626 & _T_3627; // @[lsu_bus_buffer.scala 467:138] - wire _T_3629 = _T_3628 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] - wire _T_3630 = _T_3604 | _T_3629; // @[lsu_bus_buffer.scala 467:53] - wire _T_3653 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] - wire _T_3654 = _T_3653 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] - wire _T_3668 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 478:50] - wire _T_3669 = buf_state_en_0 & _T_3668; // @[lsu_bus_buffer.scala 478:48] - wire _T_3681 = buf_ldfwd[0] | _T_3686[0]; // @[lsu_bus_buffer.scala 481:90] - wire _T_3682 = _T_3681 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] + wire _T_3626 = _T_3600 & _T_3624; // @[lsu_bus_buffer.scala 468:101] + wire _T_3627 = _GEN_21 == 3'h4; // @[lsu_bus_buffer.scala 468:167] + wire _T_3628 = _T_3626 & _T_3627; // @[lsu_bus_buffer.scala 468:138] + wire _T_3629 = _T_3628 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] + wire _T_3630 = _T_3604 | _T_3629; // @[lsu_bus_buffer.scala 468:53] + wire _T_3653 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] + wire _T_3654 = _T_3653 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] + wire _T_3668 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 479:50] + wire _T_3669 = buf_state_en_0 & _T_3668; // @[lsu_bus_buffer.scala 479:48] + wire _T_3681 = buf_ldfwd[0] | _T_3686[0]; // @[lsu_bus_buffer.scala 482:90] + wire _T_3682 = _T_3681 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] wire _GEN_29 = _T_3702 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3694 ? 1'h0 : _T_3702; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3694 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -70292,34 +70292,34 @@ module lsu_bus_buffer( wire buf_wr_en_0 = _T_3528 & buf_state_en_0; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3528 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3528 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3765 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 458:44] - wire _T_3766 = _T_3765 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] - wire _T_3768 = _T_3766 & _T_1333; // @[lsu_bus_buffer.scala 458:74] - wire _T_3771 = _T_3761 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] - wire _T_3772 = _T_3771 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] - wire _T_3775 = _T_3771 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] - wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 475:91] - wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 476:31] - wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 476:46] - wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 475:143] - wire _T_3858 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 477:53] - wire _T_3859 = _T_3855 | _T_3858; // @[lsu_bus_buffer.scala 476:88] - wire _T_3860 = _T_3761 & _T_3859; // @[lsu_bus_buffer.scala 475:68] + wire _T_3765 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 459:44] + wire _T_3766 = _T_3765 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] + wire _T_3768 = _T_3766 & _T_1333; // @[lsu_bus_buffer.scala 459:74] + wire _T_3771 = _T_3761 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] + wire _T_3772 = _T_3771 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] + wire _T_3775 = _T_3771 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] + wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 476:91] + wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 477:31] + wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 477:46] + wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 476:143] + wire _T_3858 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 478:53] + wire _T_3859 = _T_3855 | _T_3858; // @[lsu_bus_buffer.scala 477:88] + wire _T_3860 = _T_3761 & _T_3859; // @[lsu_bus_buffer.scala 476:68] wire _GEN_122 = _T_3782 & _T_3860; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3748 ? _T_3775 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3744 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3721 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3786 = buf_write[1] & _T_3592; // @[lsu_bus_buffer.scala 465:71] - wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 465:55] - wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 466:30] - wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 466:28] - wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 466:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[lsu_bus_buffer.scala 466:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[lsu_bus_buffer.scala 466:90] - wire _T_3794 = _GEN_97 != 3'h4; // @[lsu_bus_buffer.scala 466:90] - wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 466:61] - wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] + wire _T_3786 = buf_write[1] & _T_3592; // @[lsu_bus_buffer.scala 466:71] + wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 466:55] + wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 467:30] + wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 467:28] + wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 467:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[lsu_bus_buffer.scala 467:90] + wire _T_3794 = _GEN_97 != 3'h4; // @[lsu_bus_buffer.scala 467:90] + wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 467:61] + wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 57:118] wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 57:118] wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 57:118] @@ -70331,17 +70331,17 @@ module lsu_bus_buffer( wire _T_3815 = _T_3811 | _T_3812; // @[Mux.scala 27:72] wire _T_3816 = _T_3815 | _T_3813; // @[Mux.scala 27:72] wire _T_3817 = _T_3816 | _T_3814; // @[Mux.scala 27:72] - wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 467:101] - wire _T_3820 = _GEN_97 == 3'h4; // @[lsu_bus_buffer.scala 467:167] - wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 467:138] - wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] - wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 467:53] - wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] - wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] - wire _T_3861 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 478:50] - wire _T_3862 = buf_state_en_1 & _T_3861; // @[lsu_bus_buffer.scala 478:48] - wire _T_3874 = buf_ldfwd[1] | _T_3879[0]; // @[lsu_bus_buffer.scala 481:90] - wire _T_3875 = _T_3874 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] + wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 468:101] + wire _T_3820 = _GEN_97 == 3'h4; // @[lsu_bus_buffer.scala 468:167] + wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 468:138] + wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] + wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 468:53] + wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] + wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] + wire _T_3861 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 479:50] + wire _T_3862 = buf_state_en_1 & _T_3861; // @[lsu_bus_buffer.scala 479:48] + wire _T_3874 = buf_ldfwd[1] | _T_3879[0]; // @[lsu_bus_buffer.scala 482:90] + wire _T_3875 = _T_3874 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] wire _GEN_105 = _T_3895 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3887 ? 1'h0 : _T_3895; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3887 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -70359,34 +70359,34 @@ module lsu_bus_buffer( wire buf_wr_en_1 = _T_3721 & buf_state_en_1; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3721 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3721 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3958 = buf_state_en_2 & _T_4029; // @[lsu_bus_buffer.scala 458:44] - wire _T_3959 = _T_3958 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] - wire _T_3961 = _T_3959 & _T_1333; // @[lsu_bus_buffer.scala 458:74] - wire _T_3964 = _T_3954 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] - wire _T_3965 = _T_3964 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] - wire _T_3968 = _T_3964 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] - wire _T_4043 = bus_rsp_read_error & _T_4022; // @[lsu_bus_buffer.scala 475:91] - wire _T_4045 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 476:31] - wire _T_4047 = _T_4045 & _T_4024; // @[lsu_bus_buffer.scala 476:46] - wire _T_4048 = _T_4043 | _T_4047; // @[lsu_bus_buffer.scala 475:143] - wire _T_4051 = bus_rsp_write_error & _T_4020; // @[lsu_bus_buffer.scala 477:53] - wire _T_4052 = _T_4048 | _T_4051; // @[lsu_bus_buffer.scala 476:88] - wire _T_4053 = _T_3954 & _T_4052; // @[lsu_bus_buffer.scala 475:68] + wire _T_3958 = buf_state_en_2 & _T_4029; // @[lsu_bus_buffer.scala 459:44] + wire _T_3959 = _T_3958 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] + wire _T_3961 = _T_3959 & _T_1333; // @[lsu_bus_buffer.scala 459:74] + wire _T_3964 = _T_3954 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] + wire _T_3965 = _T_3964 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] + wire _T_3968 = _T_3964 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] + wire _T_4043 = bus_rsp_read_error & _T_4022; // @[lsu_bus_buffer.scala 476:91] + wire _T_4045 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 477:31] + wire _T_4047 = _T_4045 & _T_4024; // @[lsu_bus_buffer.scala 477:46] + wire _T_4048 = _T_4043 | _T_4047; // @[lsu_bus_buffer.scala 476:143] + wire _T_4051 = bus_rsp_write_error & _T_4020; // @[lsu_bus_buffer.scala 478:53] + wire _T_4052 = _T_4048 | _T_4051; // @[lsu_bus_buffer.scala 477:88] + wire _T_4053 = _T_3954 & _T_4052; // @[lsu_bus_buffer.scala 476:68] wire _GEN_198 = _T_3975 & _T_4053; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3941 ? _T_3968 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3937 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3914 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_3979 = buf_write[2] & _T_3592; // @[lsu_bus_buffer.scala 465:71] - wire _T_3980 = io_dec_tlu_force_halt | _T_3979; // @[lsu_bus_buffer.scala 465:55] - wire _T_3982 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 466:30] - wire _T_3983 = buf_dual_2 & _T_3982; // @[lsu_bus_buffer.scala 466:28] - wire _T_3986 = _T_3983 & _T_4029; // @[lsu_bus_buffer.scala 466:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[lsu_bus_buffer.scala 466:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[lsu_bus_buffer.scala 466:90] - wire _T_3987 = _GEN_173 != 3'h4; // @[lsu_bus_buffer.scala 466:90] - wire _T_3988 = _T_3986 & _T_3987; // @[lsu_bus_buffer.scala 466:61] - wire _T_3990 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] + wire _T_3979 = buf_write[2] & _T_3592; // @[lsu_bus_buffer.scala 466:71] + wire _T_3980 = io_dec_tlu_force_halt | _T_3979; // @[lsu_bus_buffer.scala 466:55] + wire _T_3982 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 467:30] + wire _T_3983 = buf_dual_2 & _T_3982; // @[lsu_bus_buffer.scala 467:28] + wire _T_3986 = _T_3983 & _T_4029; // @[lsu_bus_buffer.scala 467:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[lsu_bus_buffer.scala 467:90] + wire _T_3987 = _GEN_173 != 3'h4; // @[lsu_bus_buffer.scala 467:90] + wire _T_3988 = _T_3986 & _T_3987; // @[lsu_bus_buffer.scala 467:61] + wire _T_3990 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] wire _T_3996 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 57:118] wire _T_3998 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 57:118] wire _T_4000 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 57:118] @@ -70398,17 +70398,17 @@ module lsu_bus_buffer( wire _T_4008 = _T_4004 | _T_4005; // @[Mux.scala 27:72] wire _T_4009 = _T_4008 | _T_4006; // @[Mux.scala 27:72] wire _T_4010 = _T_4009 | _T_4007; // @[Mux.scala 27:72] - wire _T_4012 = _T_3986 & _T_4010; // @[lsu_bus_buffer.scala 467:101] - wire _T_4013 = _GEN_173 == 3'h4; // @[lsu_bus_buffer.scala 467:167] - wire _T_4014 = _T_4012 & _T_4013; // @[lsu_bus_buffer.scala 467:138] - wire _T_4015 = _T_4014 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] - wire _T_4016 = _T_3990 | _T_4015; // @[lsu_bus_buffer.scala 467:53] - wire _T_4039 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] - wire _T_4040 = _T_4039 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] - wire _T_4054 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 478:50] - wire _T_4055 = buf_state_en_2 & _T_4054; // @[lsu_bus_buffer.scala 478:48] - wire _T_4067 = buf_ldfwd[2] | _T_4072[0]; // @[lsu_bus_buffer.scala 481:90] - wire _T_4068 = _T_4067 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] + wire _T_4012 = _T_3986 & _T_4010; // @[lsu_bus_buffer.scala 468:101] + wire _T_4013 = _GEN_173 == 3'h4; // @[lsu_bus_buffer.scala 468:167] + wire _T_4014 = _T_4012 & _T_4013; // @[lsu_bus_buffer.scala 468:138] + wire _T_4015 = _T_4014 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] + wire _T_4016 = _T_3990 | _T_4015; // @[lsu_bus_buffer.scala 468:53] + wire _T_4039 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] + wire _T_4040 = _T_4039 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] + wire _T_4054 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 479:50] + wire _T_4055 = buf_state_en_2 & _T_4054; // @[lsu_bus_buffer.scala 479:48] + wire _T_4067 = buf_ldfwd[2] | _T_4072[0]; // @[lsu_bus_buffer.scala 482:90] + wire _T_4068 = _T_4067 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] wire _GEN_181 = _T_4088 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_4080 ? 1'h0 : _T_4088; // @[Conditional.scala 39:67] wire _GEN_186 = _T_4080 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -70426,34 +70426,34 @@ module lsu_bus_buffer( wire buf_wr_en_2 = _T_3914 & buf_state_en_2; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3914 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3914 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4151 = buf_state_en_3 & _T_4222; // @[lsu_bus_buffer.scala 458:44] - wire _T_4152 = _T_4151 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] - wire _T_4154 = _T_4152 & _T_1333; // @[lsu_bus_buffer.scala 458:74] - wire _T_4157 = _T_4147 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] - wire _T_4158 = _T_4157 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] - wire _T_4161 = _T_4157 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] - wire _T_4236 = bus_rsp_read_error & _T_4215; // @[lsu_bus_buffer.scala 475:91] - wire _T_4238 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 476:31] - wire _T_4240 = _T_4238 & _T_4217; // @[lsu_bus_buffer.scala 476:46] - wire _T_4241 = _T_4236 | _T_4240; // @[lsu_bus_buffer.scala 475:143] - wire _T_4244 = bus_rsp_write_error & _T_4213; // @[lsu_bus_buffer.scala 477:53] - wire _T_4245 = _T_4241 | _T_4244; // @[lsu_bus_buffer.scala 476:88] - wire _T_4246 = _T_4147 & _T_4245; // @[lsu_bus_buffer.scala 475:68] + wire _T_4151 = buf_state_en_3 & _T_4222; // @[lsu_bus_buffer.scala 459:44] + wire _T_4152 = _T_4151 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] + wire _T_4154 = _T_4152 & _T_1333; // @[lsu_bus_buffer.scala 459:74] + wire _T_4157 = _T_4147 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] + wire _T_4158 = _T_4157 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] + wire _T_4161 = _T_4157 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] + wire _T_4236 = bus_rsp_read_error & _T_4215; // @[lsu_bus_buffer.scala 476:91] + wire _T_4238 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 477:31] + wire _T_4240 = _T_4238 & _T_4217; // @[lsu_bus_buffer.scala 477:46] + wire _T_4241 = _T_4236 | _T_4240; // @[lsu_bus_buffer.scala 476:143] + wire _T_4244 = bus_rsp_write_error & _T_4213; // @[lsu_bus_buffer.scala 478:53] + wire _T_4245 = _T_4241 | _T_4244; // @[lsu_bus_buffer.scala 477:88] + wire _T_4246 = _T_4147 & _T_4245; // @[lsu_bus_buffer.scala 476:68] wire _GEN_274 = _T_4168 & _T_4246; // @[Conditional.scala 39:67] wire _GEN_287 = _T_4134 ? _T_4161 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4130 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4107 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4172 = buf_write[3] & _T_3592; // @[lsu_bus_buffer.scala 465:71] - wire _T_4173 = io_dec_tlu_force_halt | _T_4172; // @[lsu_bus_buffer.scala 465:55] - wire _T_4175 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 466:30] - wire _T_4176 = buf_dual_3 & _T_4175; // @[lsu_bus_buffer.scala 466:28] - wire _T_4179 = _T_4176 & _T_4222; // @[lsu_bus_buffer.scala 466:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[lsu_bus_buffer.scala 466:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[lsu_bus_buffer.scala 466:90] - wire _T_4180 = _GEN_249 != 3'h4; // @[lsu_bus_buffer.scala 466:90] - wire _T_4181 = _T_4179 & _T_4180; // @[lsu_bus_buffer.scala 466:61] - wire _T_4183 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] + wire _T_4172 = buf_write[3] & _T_3592; // @[lsu_bus_buffer.scala 466:71] + wire _T_4173 = io_dec_tlu_force_halt | _T_4172; // @[lsu_bus_buffer.scala 466:55] + wire _T_4175 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 467:30] + wire _T_4176 = buf_dual_3 & _T_4175; // @[lsu_bus_buffer.scala 467:28] + wire _T_4179 = _T_4176 & _T_4222; // @[lsu_bus_buffer.scala 467:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[lsu_bus_buffer.scala 467:90] + wire _T_4180 = _GEN_249 != 3'h4; // @[lsu_bus_buffer.scala 467:90] + wire _T_4181 = _T_4179 & _T_4180; // @[lsu_bus_buffer.scala 467:61] + wire _T_4183 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] wire _T_4189 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 57:118] wire _T_4191 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 57:118] wire _T_4193 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 57:118] @@ -70465,17 +70465,17 @@ module lsu_bus_buffer( wire _T_4201 = _T_4197 | _T_4198; // @[Mux.scala 27:72] wire _T_4202 = _T_4201 | _T_4199; // @[Mux.scala 27:72] wire _T_4203 = _T_4202 | _T_4200; // @[Mux.scala 27:72] - wire _T_4205 = _T_4179 & _T_4203; // @[lsu_bus_buffer.scala 467:101] - wire _T_4206 = _GEN_249 == 3'h4; // @[lsu_bus_buffer.scala 467:167] - wire _T_4207 = _T_4205 & _T_4206; // @[lsu_bus_buffer.scala 467:138] - wire _T_4208 = _T_4207 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] - wire _T_4209 = _T_4183 | _T_4208; // @[lsu_bus_buffer.scala 467:53] - wire _T_4232 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] - wire _T_4233 = _T_4232 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] - wire _T_4247 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 478:50] - wire _T_4248 = buf_state_en_3 & _T_4247; // @[lsu_bus_buffer.scala 478:48] - wire _T_4260 = buf_ldfwd[3] | _T_4265[0]; // @[lsu_bus_buffer.scala 481:90] - wire _T_4261 = _T_4260 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] + wire _T_4205 = _T_4179 & _T_4203; // @[lsu_bus_buffer.scala 468:101] + wire _T_4206 = _GEN_249 == 3'h4; // @[lsu_bus_buffer.scala 468:167] + wire _T_4207 = _T_4205 & _T_4206; // @[lsu_bus_buffer.scala 468:138] + wire _T_4208 = _T_4207 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] + wire _T_4209 = _T_4183 | _T_4208; // @[lsu_bus_buffer.scala 468:53] + wire _T_4232 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] + wire _T_4233 = _T_4232 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] + wire _T_4247 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 479:50] + wire _T_4248 = buf_state_en_3 & _T_4247; // @[lsu_bus_buffer.scala 479:48] + wire _T_4260 = buf_ldfwd[3] | _T_4265[0]; // @[lsu_bus_buffer.scala 482:90] + wire _T_4261 = _T_4260 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] wire _GEN_257 = _T_4281 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4273 ? 1'h0 : _T_4281; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4273 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -70498,51 +70498,51 @@ module lsu_bus_buffer( reg _T_4342; // @[Reg.scala 27:20] reg _T_4345; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4345,_T_4342,_T_4339,_T_4336}; // @[Cat.scala 29:58] - reg _T_4411; // @[lsu_bus_buffer.scala 517:80] - reg _T_4406; // @[lsu_bus_buffer.scala 517:80] - reg _T_4401; // @[lsu_bus_buffer.scala 517:80] - reg _T_4396; // @[lsu_bus_buffer.scala 517:80] + reg _T_4411; // @[lsu_bus_buffer.scala 518:80] + reg _T_4406; // @[lsu_bus_buffer.scala 518:80] + reg _T_4401; // @[lsu_bus_buffer.scala 518:80] + reg _T_4396; // @[lsu_bus_buffer.scala 518:80] wire [3:0] buf_error = {_T_4411,_T_4406,_T_4401,_T_4396}; // @[Cat.scala 29:58] - wire _T_4393 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 517:84] - wire _T_4394 = ~buf_rst_0; // @[lsu_bus_buffer.scala 517:126] - wire _T_4398 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 517:84] - wire _T_4399 = ~buf_rst_1; // @[lsu_bus_buffer.scala 517:126] - wire _T_4403 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 517:84] - wire _T_4404 = ~buf_rst_2; // @[lsu_bus_buffer.scala 517:126] - wire _T_4408 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 517:84] - wire _T_4409 = ~buf_rst_3; // @[lsu_bus_buffer.scala 517:126] + wire _T_4393 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 518:84] + wire _T_4394 = ~buf_rst_0; // @[lsu_bus_buffer.scala 518:126] + wire _T_4398 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 518:84] + wire _T_4399 = ~buf_rst_1; // @[lsu_bus_buffer.scala 518:126] + wire _T_4403 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 518:84] + wire _T_4404 = ~buf_rst_2; // @[lsu_bus_buffer.scala 518:126] + wire _T_4408 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 518:84] + wire _T_4409 = ~buf_rst_3; // @[lsu_bus_buffer.scala 518:126] wire [1:0] _T_4415 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4416 = io_ldst_dual_m ? _T_4415 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 520:28] + wire [1:0] _T_4416 = io_ldst_dual_m ? _T_4415 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 521:28] wire [1:0] _T_4417 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4418 = io_ldst_dual_r ? _T_4417 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 520:94] - wire [2:0] _T_4419 = _T_4416 + _T_4418; // @[lsu_bus_buffer.scala 520:88] - wire [2:0] _GEN_388 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 520:154] - wire [3:0] _T_4420 = _T_4419 + _GEN_388; // @[lsu_bus_buffer.scala 520:154] - wire [1:0] _T_4425 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 520:217] - wire [1:0] _GEN_389 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 520:217] - wire [2:0] _T_4426 = _T_4425 + _GEN_389; // @[lsu_bus_buffer.scala 520:217] - wire [2:0] _GEN_390 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 520:217] - wire [3:0] _T_4427 = _T_4426 + _GEN_390; // @[lsu_bus_buffer.scala 520:217] - wire [3:0] buf_numvld_any = _T_4420 + _T_4427; // @[lsu_bus_buffer.scala 520:169] - wire _T_4498 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 526:52] - wire _T_4499 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 526:92] - wire _T_4500 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 526:121] - wire _T_4502 = |buf_state_0; // @[lsu_bus_buffer.scala 527:52] - wire _T_4503 = |buf_state_1; // @[lsu_bus_buffer.scala 527:52] - wire _T_4504 = |buf_state_2; // @[lsu_bus_buffer.scala 527:52] - wire _T_4505 = |buf_state_3; // @[lsu_bus_buffer.scala 527:52] - wire _T_4506 = _T_4502 | _T_4503; // @[lsu_bus_buffer.scala 527:65] - wire _T_4507 = _T_4506 | _T_4504; // @[lsu_bus_buffer.scala 527:65] - wire _T_4508 = _T_4507 | _T_4505; // @[lsu_bus_buffer.scala 527:65] - wire _T_4509 = ~_T_4508; // @[lsu_bus_buffer.scala 527:34] - wire _T_4511 = _T_4509 & _T_852; // @[lsu_bus_buffer.scala 527:70] - wire _T_4514 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 529:64] - wire _T_4515 = _T_4514 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 529:85] - wire _T_4516 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 529:112] - wire _T_4517 = _T_4515 & _T_4516; // @[lsu_bus_buffer.scala 529:110] - wire _T_4518 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 529:129] - wire _T_4520 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 532:74] - reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 617:66] + wire [1:0] _T_4418 = io_ldst_dual_r ? _T_4417 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 521:94] + wire [2:0] _T_4419 = _T_4416 + _T_4418; // @[lsu_bus_buffer.scala 521:88] + wire [2:0] _GEN_388 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 521:154] + wire [3:0] _T_4420 = _T_4419 + _GEN_388; // @[lsu_bus_buffer.scala 521:154] + wire [1:0] _T_4425 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 521:217] + wire [1:0] _GEN_389 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 521:217] + wire [2:0] _T_4426 = _T_4425 + _GEN_389; // @[lsu_bus_buffer.scala 521:217] + wire [2:0] _GEN_390 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 521:217] + wire [3:0] _T_4427 = _T_4426 + _GEN_390; // @[lsu_bus_buffer.scala 521:217] + wire [3:0] buf_numvld_any = _T_4420 + _T_4427; // @[lsu_bus_buffer.scala 521:169] + wire _T_4498 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 527:52] + wire _T_4499 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 527:92] + wire _T_4500 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 527:121] + wire _T_4502 = |buf_state_0; // @[lsu_bus_buffer.scala 528:52] + wire _T_4503 = |buf_state_1; // @[lsu_bus_buffer.scala 528:52] + wire _T_4504 = |buf_state_2; // @[lsu_bus_buffer.scala 528:52] + wire _T_4505 = |buf_state_3; // @[lsu_bus_buffer.scala 528:52] + wire _T_4506 = _T_4502 | _T_4503; // @[lsu_bus_buffer.scala 528:65] + wire _T_4507 = _T_4506 | _T_4504; // @[lsu_bus_buffer.scala 528:65] + wire _T_4508 = _T_4507 | _T_4505; // @[lsu_bus_buffer.scala 528:65] + wire _T_4509 = ~_T_4508; // @[lsu_bus_buffer.scala 528:34] + wire _T_4511 = _T_4509 & _T_852; // @[lsu_bus_buffer.scala 528:70] + wire _T_4514 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 530:64] + wire _T_4515 = _T_4514 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 530:85] + wire _T_4516 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 530:112] + wire _T_4517 = _T_4515 & _T_4516; // @[lsu_bus_buffer.scala 530:110] + wire _T_4518 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 530:129] + wire _T_4520 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 533:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 618:66] wire _T_4538 = _T_2799 & _T_3643; // @[Mux.scala 27:72] wire _T_4539 = _T_2821 & _T_3836; // @[Mux.scala 27:72] wire _T_4540 = _T_2843 & _T_4029; // @[Mux.scala 27:72] @@ -70550,32 +70550,32 @@ module lsu_bus_buffer( wire _T_4542 = _T_4538 | _T_4539; // @[Mux.scala 27:72] wire _T_4543 = _T_4542 | _T_4540; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4543 | _T_4541; // @[Mux.scala 27:72] - wire _T_4549 = buf_error[0] & _T_3643; // @[lsu_bus_buffer.scala 535:121] - wire _T_4554 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 535:121] - wire _T_4559 = buf_error[2] & _T_4029; // @[lsu_bus_buffer.scala 535:121] - wire _T_4564 = buf_error[3] & _T_4222; // @[lsu_bus_buffer.scala 535:121] + wire _T_4549 = buf_error[0] & _T_3643; // @[lsu_bus_buffer.scala 536:121] + wire _T_4554 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 536:121] + wire _T_4559 = buf_error[2] & _T_4029; // @[lsu_bus_buffer.scala 536:121] + wire _T_4564 = buf_error[3] & _T_4222; // @[lsu_bus_buffer.scala 536:121] wire _T_4565 = _T_2799 & _T_4549; // @[Mux.scala 27:72] wire _T_4566 = _T_2821 & _T_4554; // @[Mux.scala 27:72] wire _T_4567 = _T_2843 & _T_4559; // @[Mux.scala 27:72] wire _T_4568 = _T_2865 & _T_4564; // @[Mux.scala 27:72] wire _T_4569 = _T_4565 | _T_4566; // @[Mux.scala 27:72] wire _T_4570 = _T_4569 | _T_4567; // @[Mux.scala 27:72] - wire _T_4577 = ~buf_dual_0; // @[lsu_bus_buffer.scala 536:122] - wire _T_4578 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 536:137] - wire _T_4579 = _T_4577 | _T_4578; // @[lsu_bus_buffer.scala 536:135] - wire _T_4580 = _T_4538 & _T_4579; // @[lsu_bus_buffer.scala 536:119] - wire _T_4585 = ~buf_dual_1; // @[lsu_bus_buffer.scala 536:122] - wire _T_4586 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 536:137] - wire _T_4587 = _T_4585 | _T_4586; // @[lsu_bus_buffer.scala 536:135] - wire _T_4588 = _T_4539 & _T_4587; // @[lsu_bus_buffer.scala 536:119] - wire _T_4593 = ~buf_dual_2; // @[lsu_bus_buffer.scala 536:122] - wire _T_4594 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 536:137] - wire _T_4595 = _T_4593 | _T_4594; // @[lsu_bus_buffer.scala 536:135] - wire _T_4596 = _T_4540 & _T_4595; // @[lsu_bus_buffer.scala 536:119] - wire _T_4601 = ~buf_dual_3; // @[lsu_bus_buffer.scala 536:122] - wire _T_4602 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 536:137] - wire _T_4603 = _T_4601 | _T_4602; // @[lsu_bus_buffer.scala 536:135] - wire _T_4604 = _T_4541 & _T_4603; // @[lsu_bus_buffer.scala 536:119] + wire _T_4577 = ~buf_dual_0; // @[lsu_bus_buffer.scala 537:122] + wire _T_4578 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 537:137] + wire _T_4579 = _T_4577 | _T_4578; // @[lsu_bus_buffer.scala 537:135] + wire _T_4580 = _T_4538 & _T_4579; // @[lsu_bus_buffer.scala 537:119] + wire _T_4585 = ~buf_dual_1; // @[lsu_bus_buffer.scala 537:122] + wire _T_4586 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 537:137] + wire _T_4587 = _T_4585 | _T_4586; // @[lsu_bus_buffer.scala 537:135] + wire _T_4588 = _T_4539 & _T_4587; // @[lsu_bus_buffer.scala 537:119] + wire _T_4593 = ~buf_dual_2; // @[lsu_bus_buffer.scala 537:122] + wire _T_4594 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 537:137] + wire _T_4595 = _T_4593 | _T_4594; // @[lsu_bus_buffer.scala 537:135] + wire _T_4596 = _T_4540 & _T_4595; // @[lsu_bus_buffer.scala 537:119] + wire _T_4601 = ~buf_dual_3; // @[lsu_bus_buffer.scala 537:122] + wire _T_4602 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 537:137] + wire _T_4603 = _T_4601 | _T_4602; // @[lsu_bus_buffer.scala 537:135] + wire _T_4604 = _T_4541 & _T_4603; // @[lsu_bus_buffer.scala 537:119] wire [1:0] _T_4607 = _T_4596 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4608 = _T_4604 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_391 = {{1'd0}, _T_4588}; // @[Mux.scala 27:72] @@ -70587,10 +70587,10 @@ module lsu_bus_buffer( wire [31:0] _T_4649 = _T_4645 | _T_4646; // @[Mux.scala 27:72] wire [31:0] _T_4650 = _T_4649 | _T_4647; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4650 | _T_4648; // @[Mux.scala 27:72] - wire _T_4657 = _T_4538 & _T_3641; // @[lsu_bus_buffer.scala 538:105] - wire _T_4663 = _T_4539 & _T_3834; // @[lsu_bus_buffer.scala 538:105] - wire _T_4669 = _T_4540 & _T_4027; // @[lsu_bus_buffer.scala 538:105] - wire _T_4675 = _T_4541 & _T_4220; // @[lsu_bus_buffer.scala 538:105] + wire _T_4657 = _T_4538 & _T_3641; // @[lsu_bus_buffer.scala 539:105] + wire _T_4663 = _T_4539 & _T_3834; // @[lsu_bus_buffer.scala 539:105] + wire _T_4669 = _T_4540 & _T_4027; // @[lsu_bus_buffer.scala 539:105] + wire _T_4675 = _T_4541 & _T_4220; // @[lsu_bus_buffer.scala 539:105] wire [31:0] _T_4676 = _T_4657 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4677 = _T_4663 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4678 = _T_4669 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -70609,7 +70609,7 @@ module lsu_bus_buffer( wire [31:0] _T_4691 = _T_4687 | _T_4688; // @[Mux.scala 27:72] wire [31:0] _T_4692 = _T_4691 | _T_4689; // @[Mux.scala 27:72] wire [31:0] _T_4693 = _T_4692 | _T_4690; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4693[1:0]; // @[lsu_bus_buffer.scala 539:96] + wire [1:0] lsu_nonblock_addr_offset = _T_4693[1:0]; // @[lsu_bus_buffer.scala 540:96] wire [1:0] _T_4699 = _T_4683 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4700 = _T_4684 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4701 = _T_4685 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -70625,24 +70625,24 @@ module lsu_bus_buffer( wire _T_4719 = _T_4718 | _T_4716; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4719 | _T_4717; // @[Mux.scala 27:72] wire [63:0] _T_4739 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_392 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 543:121] - wire [5:0] _T_4740 = _GEN_392 * 4'h8; // @[lsu_bus_buffer.scala 543:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4739 >> _T_4740; // @[lsu_bus_buffer.scala 543:92] - wire _T_4741 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 545:82] - wire _T_4743 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 546:94] - wire _T_4744 = lsu_nonblock_unsign & _T_4743; // @[lsu_bus_buffer.scala 546:76] + wire [3:0] _GEN_392 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 544:121] + wire [5:0] _T_4740 = _GEN_392 * 4'h8; // @[lsu_bus_buffer.scala 544:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4739 >> _T_4740; // @[lsu_bus_buffer.scala 544:92] + wire _T_4741 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 546:82] + wire _T_4743 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 547:94] + wire _T_4744 = lsu_nonblock_unsign & _T_4743; // @[lsu_bus_buffer.scala 547:76] wire [31:0] _T_4746 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4747 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 547:45] - wire _T_4748 = lsu_nonblock_unsign & _T_4747; // @[lsu_bus_buffer.scala 547:26] + wire _T_4747 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 548:45] + wire _T_4748 = lsu_nonblock_unsign & _T_4747; // @[lsu_bus_buffer.scala 548:26] wire [31:0] _T_4750 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4751 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 548:6] - wire _T_4753 = _T_4751 & _T_4743; // @[lsu_bus_buffer.scala 548:27] + wire _T_4751 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 549:6] + wire _T_4753 = _T_4751 & _T_4743; // @[lsu_bus_buffer.scala 549:27] wire [23:0] _T_4756 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4758 = {_T_4756,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4761 = _T_4751 & _T_4747; // @[lsu_bus_buffer.scala 549:27] + wire _T_4761 = _T_4751 & _T_4747; // @[lsu_bus_buffer.scala 550:27] wire [15:0] _T_4764 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4766 = {_T_4764,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4767 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 550:21] + wire _T_4767 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 551:21] wire [31:0] _T_4768 = _T_4744 ? _T_4746 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4769 = _T_4748 ? _T_4750 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4770 = _T_4753 ? _T_4758 : 32'h0; // @[Mux.scala 27:72] @@ -70653,60 +70653,60 @@ module lsu_bus_buffer( wire [31:0] _T_4775 = _T_4774 | _T_4771; // @[Mux.scala 27:72] wire [63:0] _GEN_393 = {{32'd0}, _T_4775}; // @[Mux.scala 27:72] wire [63:0] _T_4776 = _GEN_393 | _T_4772; // @[Mux.scala 27:72] - wire _T_4874 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 568:37] - wire _T_4875 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 568:52] - wire _T_4876 = _T_4874 & _T_4875; // @[lsu_bus_buffer.scala 568:50] + wire _T_4874 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 569:37] + wire _T_4875 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 569:52] + wire _T_4876 = _T_4874 & _T_4875; // @[lsu_bus_buffer.scala 569:50] wire [31:0] _T_4880 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4882 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4887 = ~obuf_data_done; // @[lsu_bus_buffer.scala 580:51] - wire _T_4888 = _T_4874 & _T_4887; // @[lsu_bus_buffer.scala 580:49] + wire _T_4887 = ~obuf_data_done; // @[lsu_bus_buffer.scala 581:51] + wire _T_4888 = _T_4874 & _T_4887; // @[lsu_bus_buffer.scala 581:49] wire [7:0] _T_4892 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4895 = obuf_valid & _T_1343; // @[lsu_bus_buffer.scala 585:37] - wire _T_4897 = _T_4895 & _T_1349; // @[lsu_bus_buffer.scala 585:51] - wire _T_4909 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 598:126] - wire _T_4911 = _T_4909 & buf_write[0]; // @[lsu_bus_buffer.scala 598:141] - wire _T_4914 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 598:126] - wire _T_4916 = _T_4914 & buf_write[1]; // @[lsu_bus_buffer.scala 598:141] - wire _T_4919 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 598:126] - wire _T_4921 = _T_4919 & buf_write[2]; // @[lsu_bus_buffer.scala 598:141] - wire _T_4924 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 598:126] - wire _T_4926 = _T_4924 & buf_write[3]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4895 = obuf_valid & _T_1343; // @[lsu_bus_buffer.scala 586:37] + wire _T_4897 = _T_4895 & _T_1349; // @[lsu_bus_buffer.scala 586:51] + wire _T_4909 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 599:126] + wire _T_4911 = _T_4909 & buf_write[0]; // @[lsu_bus_buffer.scala 599:141] + wire _T_4914 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 599:126] + wire _T_4916 = _T_4914 & buf_write[1]; // @[lsu_bus_buffer.scala 599:141] + wire _T_4919 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 599:126] + wire _T_4921 = _T_4919 & buf_write[2]; // @[lsu_bus_buffer.scala 599:141] + wire _T_4924 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 599:126] + wire _T_4926 = _T_4924 & buf_write[3]; // @[lsu_bus_buffer.scala 599:141] wire _T_4927 = _T_2799 & _T_4911; // @[Mux.scala 27:72] wire _T_4928 = _T_2821 & _T_4916; // @[Mux.scala 27:72] wire _T_4929 = _T_2843 & _T_4921; // @[Mux.scala 27:72] wire _T_4930 = _T_2865 & _T_4926; // @[Mux.scala 27:72] wire _T_4931 = _T_4927 | _T_4928; // @[Mux.scala 27:72] wire _T_4932 = _T_4931 | _T_4929; // @[Mux.scala 27:72] - wire _T_4942 = _T_2821 & buf_error[1]; // @[lsu_bus_buffer.scala 599:93] - wire _T_4944 = _T_4942 & buf_write[1]; // @[lsu_bus_buffer.scala 599:108] - wire _T_4947 = _T_2843 & buf_error[2]; // @[lsu_bus_buffer.scala 599:93] - wire _T_4949 = _T_4947 & buf_write[2]; // @[lsu_bus_buffer.scala 599:108] - wire _T_4952 = _T_2865 & buf_error[3]; // @[lsu_bus_buffer.scala 599:93] - wire _T_4954 = _T_4952 & buf_write[3]; // @[lsu_bus_buffer.scala 599:108] + wire _T_4942 = _T_2821 & buf_error[1]; // @[lsu_bus_buffer.scala 600:93] + wire _T_4944 = _T_4942 & buf_write[1]; // @[lsu_bus_buffer.scala 600:108] + wire _T_4947 = _T_2843 & buf_error[2]; // @[lsu_bus_buffer.scala 600:93] + wire _T_4949 = _T_4947 & buf_write[2]; // @[lsu_bus_buffer.scala 600:108] + wire _T_4952 = _T_2865 & buf_error[3]; // @[lsu_bus_buffer.scala 600:93] + wire _T_4954 = _T_4952 & buf_write[3]; // @[lsu_bus_buffer.scala 600:108] wire [1:0] _T_4957 = _T_4949 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4958 = _T_4954 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_394 = {{1'd0}, _T_4944}; // @[Mux.scala 27:72] wire [1:0] _T_4960 = _GEN_394 | _T_4957; // @[Mux.scala 27:72] wire [1:0] lsu_imprecise_error_store_tag = _T_4960 | _T_4958; // @[Mux.scala 27:72] - wire _T_4962 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 601:97] - wire [31:0] _GEN_351 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 602:53] - wire [31:0] _GEN_352 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_351; // @[lsu_bus_buffer.scala 602:53] - wire [31:0] _GEN_353 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_352; // @[lsu_bus_buffer.scala 602:53] - wire [31:0] _GEN_355 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 602:53] - wire [31:0] _GEN_356 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_355; // @[lsu_bus_buffer.scala 602:53] - wire [31:0] _GEN_357 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_356; // @[lsu_bus_buffer.scala 602:53] - wire _T_4967 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 608:82] - wire _T_4970 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 609:60] - wire _T_4973 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 612:61] - wire _T_4974 = io_lsu_axi_aw_valid & _T_4973; // @[lsu_bus_buffer.scala 612:59] - wire _T_4975 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 612:107] - wire _T_4976 = io_lsu_axi_w_valid & _T_4975; // @[lsu_bus_buffer.scala 612:105] - wire _T_4977 = _T_4974 | _T_4976; // @[lsu_bus_buffer.scala 612:83] - wire _T_4978 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 612:153] - wire _T_4979 = io_lsu_axi_ar_valid & _T_4978; // @[lsu_bus_buffer.scala 612:151] - wire _T_4983 = ~io_flush_r; // @[lsu_bus_buffer.scala 616:75] - wire _T_4984 = io_lsu_busreq_m & _T_4983; // @[lsu_bus_buffer.scala 616:73] - reg _T_4987; // @[lsu_bus_buffer.scala 616:56] + wire _T_4962 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 602:97] + wire [31:0] _GEN_351 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_352 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_351; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_353 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_352; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_355 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_356 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_355; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_357 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_356; // @[lsu_bus_buffer.scala 603:53] + wire _T_4967 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 609:82] + wire _T_4970 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 610:60] + wire _T_4973 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 613:61] + wire _T_4974 = io_lsu_axi_aw_valid & _T_4973; // @[lsu_bus_buffer.scala 613:59] + wire _T_4975 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 613:107] + wire _T_4976 = io_lsu_axi_w_valid & _T_4975; // @[lsu_bus_buffer.scala 613:105] + wire _T_4977 = _T_4974 | _T_4976; // @[lsu_bus_buffer.scala 613:83] + wire _T_4978 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 613:153] + wire _T_4979 = io_lsu_axi_ar_valid & _T_4978; // @[lsu_bus_buffer.scala 613:151] + wire _T_4983 = ~io_flush_r; // @[lsu_bus_buffer.scala 617:75] + wire _T_4984 = io_lsu_busreq_m & _T_4983; // @[lsu_bus_buffer.scala 617:73] + reg _T_4987; // @[lsu_bus_buffer.scala 617:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 508:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -70779,42 +70779,42 @@ module lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4967 | _T_4866; // @[lsu_bus_buffer.scala 608:35] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4970 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 609:41] - assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 610:36] - assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4977 | _T_4979; // @[lsu_bus_buffer.scala 612:35] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4962; // @[lsu_bus_buffer.scala 601:47] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4932 | _T_4930; // @[lsu_bus_buffer.scala 598:48] - assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_353 : _GEN_357; // @[lsu_bus_buffer.scala 602:47] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4517 & _T_4518; // @[lsu_bus_buffer.scala 529:45] - assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1863 ? 2'h0 : _T_1899; // @[lsu_bus_buffer.scala 530:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4520; // @[lsu_bus_buffer.scala 532:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 533:47] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4741; // @[lsu_bus_buffer.scala 545:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4570 | _T_4568; // @[lsu_bus_buffer.scala 535:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4610 | _T_4608; // @[lsu_bus_buffer.scala 536:46] - assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4776[31:0]; // @[lsu_bus_buffer.scala 546:42] - assign io_lsu_axi_aw_valid = _T_4876 & _T_1239; // @[lsu_bus_buffer.scala 568:23] - assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 569:25] - assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 570:27] - assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 574:29] - assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 571:27] - assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 573:28] - assign io_lsu_axi_w_valid = _T_4888 & _T_1239; // @[lsu_bus_buffer.scala 580:22] - assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 582:26] - assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4892; // @[lsu_bus_buffer.scala 581:26] - assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 596:22] - assign io_lsu_axi_ar_valid = _T_4897 & _T_1239; // @[lsu_bus_buffer.scala 585:23] - assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 586:25] - assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 587:27] - assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 591:29] - assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 588:27] - assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 590:28] - assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 597:22] - assign io_lsu_busreq_r = _T_4987; // @[lsu_bus_buffer.scala 616:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 525:30] - assign io_lsu_bus_buffer_full_any = _T_4498 ? _T_4499 : _T_4500; // @[lsu_bus_buffer.scala 526:30] - assign io_lsu_bus_buffer_empty_any = _T_4511 & _T_1231; // @[lsu_bus_buffer.scala 527:31] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4967 | _T_4866; // @[lsu_bus_buffer.scala 609:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4970 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 610:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 611:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4977 | _T_4979; // @[lsu_bus_buffer.scala 613:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4962; // @[lsu_bus_buffer.scala 602:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4932 | _T_4930; // @[lsu_bus_buffer.scala 599:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_353 : _GEN_357; // @[lsu_bus_buffer.scala 603:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4517 & _T_4518; // @[lsu_bus_buffer.scala 530:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1863 ? 2'h0 : _T_1899; // @[lsu_bus_buffer.scala 531:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4520; // @[lsu_bus_buffer.scala 533:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 534:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4741; // @[lsu_bus_buffer.scala 546:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4570 | _T_4568; // @[lsu_bus_buffer.scala 536:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4610 | _T_4608; // @[lsu_bus_buffer.scala 537:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4776[31:0]; // @[lsu_bus_buffer.scala 547:42] + assign io_lsu_axi_aw_valid = _T_4876 & _T_1239; // @[lsu_bus_buffer.scala 569:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 570:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 571:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 575:29] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 572:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 574:28] + assign io_lsu_axi_w_valid = _T_4888 & _T_1239; // @[lsu_bus_buffer.scala 581:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 583:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4892; // @[lsu_bus_buffer.scala 582:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 597:22] + assign io_lsu_axi_ar_valid = _T_4897 & _T_1239; // @[lsu_bus_buffer.scala 586:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 587:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 588:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 592:29] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 589:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 591:28] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 598:22] + assign io_lsu_busreq_r = _T_4987; // @[lsu_bus_buffer.scala 617:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 526:30] + assign io_lsu_bus_buffer_full_any = _T_4498 ? _T_4499 : _T_4500; // @[lsu_bus_buffer.scala 527:30] + assign io_lsu_bus_buffer_empty_any = _T_4511 & _T_1231; // @[lsu_bus_buffer.scala 528:31] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 138:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 139:25] assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 165:24] @@ -72754,202 +72754,202 @@ module lsu_bus_intf( reg [31:0] _RAND_3; reg [31:0] _RAND_4; `endif // RANDOMIZE_REG_INIT - wire bus_buffer_clock; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_reset; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_scan_mode; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 102:39] - wire [31:0] bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 102:39] - wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 102:39] - wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 102:39] - wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 102:39] - wire [31:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_busm_clk; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 102:39] - wire [31:0] bus_buffer_io_lsu_addr_m; // @[lsu_bus_intf.scala 102:39] - wire [31:0] bus_buffer_io_end_addr_m; // @[lsu_bus_intf.scala 102:39] - wire [31:0] bus_buffer_io_lsu_addr_r; // @[lsu_bus_intf.scala 102:39] - wire [31:0] bus_buffer_io_end_addr_r; // @[lsu_bus_intf.scala 102:39] - wire [31:0] bus_buffer_io_store_data_r; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_no_word_merge_r; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_no_dword_merge_r; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_busreq_m; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_ld_full_hit_m; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_flush_m_up; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_flush_r; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_commit_r; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_is_sideeffects_r; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_ldst_dual_d; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_ldst_dual_m; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_ldst_dual_r; // @[lsu_bus_intf.scala 102:39] - wire [7:0] bus_buffer_io_ldst_byteen_ext_m; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_axi_aw_ready; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 102:39] - wire [2:0] bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 102:39] - wire [31:0] bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 102:39] - wire [3:0] bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 102:39] - wire [2:0] bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 102:39] - wire [3:0] bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_axi_w_ready; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 102:39] - wire [63:0] bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 102:39] - wire [7:0] bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_axi_b_ready; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_axi_b_valid; // @[lsu_bus_intf.scala 102:39] - wire [1:0] bus_buffer_io_lsu_axi_b_bits_resp; // @[lsu_bus_intf.scala 102:39] - wire [2:0] bus_buffer_io_lsu_axi_b_bits_id; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_axi_ar_ready; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 102:39] - wire [2:0] bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 102:39] - wire [31:0] bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 102:39] - wire [3:0] bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 102:39] - wire [2:0] bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 102:39] - wire [3:0] bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_axi_r_ready; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_axi_r_valid; // @[lsu_bus_intf.scala 102:39] - wire [2:0] bus_buffer_io_lsu_axi_r_bits_id; // @[lsu_bus_intf.scala 102:39] - wire [63:0] bus_buffer_io_lsu_axi_r_bits_data; // @[lsu_bus_intf.scala 102:39] - wire [1:0] bus_buffer_io_lsu_axi_r_bits_resp; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 102:39] - wire bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 102:39] - wire [3:0] bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 102:39] - wire [3:0] bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 102:39] - wire [31:0] bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 102:39] - wire [31:0] bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 102:39] + wire bus_buffer_clock; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_reset; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_scan_mode; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busm_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_addr_m; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_end_addr_m; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_addr_r; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_end_addr_r; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_store_data_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_no_word_merge_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_no_dword_merge_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busreq_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ld_full_hit_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_flush_m_up; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_flush_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_commit_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_is_sideeffects_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_d; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_r; // @[lsu_bus_intf.scala 100:39] + wire [7:0] bus_buffer_io_ldst_byteen_ext_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_aw_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_w_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 100:39] + wire [63:0] bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 100:39] + wire [7:0] bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_b_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_b_valid; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_lsu_axi_b_bits_resp; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_b_bits_id; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_ar_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_r_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_r_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_r_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [63:0] bus_buffer_io_lsu_axi_r_bits_data; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_lsu_axi_r_bits_resp; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 100:39] wire [3:0] _T_3 = io_lsu_pkt_m_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_4 = io_lsu_pkt_m_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_5 = io_lsu_pkt_m_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_6 = _T_3 | _T_4; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_m = _T_6 | _T_5; // @[Mux.scala 27:72] - wire addr_match_dw_lo_r_m = io_lsu_addr_r[31:3] == io_lsu_addr_m[31:3]; // @[lsu_bus_intf.scala 156:51] - wire _T_17 = io_lsu_addr_r[2] ^ io_lsu_addr_m[2]; // @[lsu_bus_intf.scala 157:71] - wire _T_18 = ~_T_17; // @[lsu_bus_intf.scala 157:53] - wire addr_match_word_lo_r_m = addr_match_dw_lo_r_m & _T_18; // @[lsu_bus_intf.scala 157:51] - reg ldst_dual_r; // @[lsu_bus_intf.scala 202:33] - wire _T_20 = ~ldst_dual_r; // @[lsu_bus_intf.scala 158:48] - wire _T_21 = io_lsu_busreq_r & _T_20; // @[lsu_bus_intf.scala 158:46] - wire _T_22 = _T_21 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 158:61] - wire _T_23 = ~addr_match_word_lo_r_m; // @[lsu_bus_intf.scala 158:107] - wire _T_24 = io_lsu_pkt_m_bits_load | _T_23; // @[lsu_bus_intf.scala 158:105] - wire _T_29 = ~addr_match_dw_lo_r_m; // @[lsu_bus_intf.scala 159:107] - wire _T_30 = io_lsu_pkt_m_bits_load | _T_29; // @[lsu_bus_intf.scala 159:105] - wire [6:0] _GEN_0 = {{3'd0}, ldst_byteen_m}; // @[lsu_bus_intf.scala 161:49] - wire [6:0] _T_34 = _GEN_0 << io_lsu_addr_m[1:0]; // @[lsu_bus_intf.scala 161:49] - reg [3:0] ldst_byteen_r; // @[lsu_bus_intf.scala 204:33] - wire [6:0] _GEN_1 = {{3'd0}, ldst_byteen_r}; // @[lsu_bus_intf.scala 162:49] - wire [6:0] _T_37 = _GEN_1 << io_lsu_addr_r[1:0]; // @[lsu_bus_intf.scala 162:49] + wire addr_match_dw_lo_r_m = io_lsu_addr_r[31:3] == io_lsu_addr_m[31:3]; // @[lsu_bus_intf.scala 154:51] + wire _T_17 = io_lsu_addr_r[2] ^ io_lsu_addr_m[2]; // @[lsu_bus_intf.scala 155:71] + wire _T_18 = ~_T_17; // @[lsu_bus_intf.scala 155:53] + wire addr_match_word_lo_r_m = addr_match_dw_lo_r_m & _T_18; // @[lsu_bus_intf.scala 155:51] + reg ldst_dual_r; // @[lsu_bus_intf.scala 200:33] + wire _T_20 = ~ldst_dual_r; // @[lsu_bus_intf.scala 156:48] + wire _T_21 = io_lsu_busreq_r & _T_20; // @[lsu_bus_intf.scala 156:46] + wire _T_22 = _T_21 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 156:61] + wire _T_23 = ~addr_match_word_lo_r_m; // @[lsu_bus_intf.scala 156:107] + wire _T_24 = io_lsu_pkt_m_bits_load | _T_23; // @[lsu_bus_intf.scala 156:105] + wire _T_29 = ~addr_match_dw_lo_r_m; // @[lsu_bus_intf.scala 157:107] + wire _T_30 = io_lsu_pkt_m_bits_load | _T_29; // @[lsu_bus_intf.scala 157:105] + wire [6:0] _GEN_0 = {{3'd0}, ldst_byteen_m}; // @[lsu_bus_intf.scala 159:49] + wire [6:0] _T_34 = _GEN_0 << io_lsu_addr_m[1:0]; // @[lsu_bus_intf.scala 159:49] + reg [3:0] ldst_byteen_r; // @[lsu_bus_intf.scala 202:33] + wire [6:0] _GEN_1 = {{3'd0}, ldst_byteen_r}; // @[lsu_bus_intf.scala 160:49] + wire [6:0] _T_37 = _GEN_1 << io_lsu_addr_r[1:0]; // @[lsu_bus_intf.scala 160:49] wire [4:0] _T_40 = {io_lsu_addr_r[1:0],3'h0}; // @[Cat.scala 29:58] - wire [62:0] _GEN_2 = {{31'd0}, io_store_data_r}; // @[lsu_bus_intf.scala 163:52] - wire [62:0] _T_41 = _GEN_2 << _T_40; // @[lsu_bus_intf.scala 163:52] - wire [7:0] ldst_byteen_ext_m = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 161:27] - wire [3:0] ldst_byteen_hi_m = ldst_byteen_ext_m[7:4]; // @[lsu_bus_intf.scala 164:47] - wire [3:0] ldst_byteen_lo_m = ldst_byteen_ext_m[3:0]; // @[lsu_bus_intf.scala 165:47] - wire [7:0] ldst_byteen_ext_r = {{1'd0}, _T_37}; // @[lsu_bus_intf.scala 162:27] - wire [3:0] ldst_byteen_hi_r = ldst_byteen_ext_r[7:4]; // @[lsu_bus_intf.scala 166:47] - wire [3:0] ldst_byteen_lo_r = ldst_byteen_ext_r[3:0]; // @[lsu_bus_intf.scala 167:47] - wire [63:0] store_data_ext_r = {{1'd0}, _T_41}; // @[lsu_bus_intf.scala 163:27] - wire [31:0] store_data_hi_r = store_data_ext_r[63:32]; // @[lsu_bus_intf.scala 169:46] - wire [31:0] store_data_lo_r = store_data_ext_r[31:0]; // @[lsu_bus_intf.scala 170:46] - wire _T_50 = io_lsu_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 171:51] - wire _T_51 = _T_50 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 171:76] - wire _T_52 = _T_51 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 171:97] - wire ld_addr_rhit_lo_lo = _T_52 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 171:123] - wire _T_56 = io_end_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 172:51] - wire _T_57 = _T_56 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 172:76] - wire _T_58 = _T_57 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 172:97] - wire ld_addr_rhit_lo_hi = _T_58 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 172:123] - wire _T_62 = io_lsu_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 173:51] - wire _T_63 = _T_62 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 173:76] - wire _T_64 = _T_63 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 173:97] - wire ld_addr_rhit_hi_lo = _T_64 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 173:123] - wire _T_68 = io_end_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 174:51] - wire _T_69 = _T_68 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 174:76] - wire _T_70 = _T_69 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 174:97] - wire ld_addr_rhit_hi_hi = _T_70 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 174:123] - wire _T_73 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 176:70] - wire _T_75 = _T_73 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 176:92] - wire _T_77 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 176:70] - wire _T_79 = _T_77 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 176:92] - wire _T_81 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 176:70] - wire _T_83 = _T_81 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 176:92] - wire _T_85 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 176:70] - wire _T_87 = _T_85 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 176:92] + wire [62:0] _GEN_2 = {{31'd0}, io_store_data_r}; // @[lsu_bus_intf.scala 161:52] + wire [62:0] _T_41 = _GEN_2 << _T_40; // @[lsu_bus_intf.scala 161:52] + wire [7:0] ldst_byteen_ext_m = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 159:27] + wire [3:0] ldst_byteen_hi_m = ldst_byteen_ext_m[7:4]; // @[lsu_bus_intf.scala 162:47] + wire [3:0] ldst_byteen_lo_m = ldst_byteen_ext_m[3:0]; // @[lsu_bus_intf.scala 163:47] + wire [7:0] ldst_byteen_ext_r = {{1'd0}, _T_37}; // @[lsu_bus_intf.scala 160:27] + wire [3:0] ldst_byteen_hi_r = ldst_byteen_ext_r[7:4]; // @[lsu_bus_intf.scala 164:47] + wire [3:0] ldst_byteen_lo_r = ldst_byteen_ext_r[3:0]; // @[lsu_bus_intf.scala 165:47] + wire [63:0] store_data_ext_r = {{1'd0}, _T_41}; // @[lsu_bus_intf.scala 161:27] + wire [31:0] store_data_hi_r = store_data_ext_r[63:32]; // @[lsu_bus_intf.scala 167:46] + wire [31:0] store_data_lo_r = store_data_ext_r[31:0]; // @[lsu_bus_intf.scala 168:46] + wire _T_50 = io_lsu_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 169:51] + wire _T_51 = _T_50 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 169:76] + wire _T_52 = _T_51 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 169:97] + wire ld_addr_rhit_lo_lo = _T_52 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 169:123] + wire _T_56 = io_end_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 170:51] + wire _T_57 = _T_56 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 170:76] + wire _T_58 = _T_57 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 170:97] + wire ld_addr_rhit_lo_hi = _T_58 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 170:123] + wire _T_62 = io_lsu_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 171:51] + wire _T_63 = _T_62 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 171:76] + wire _T_64 = _T_63 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 171:97] + wire ld_addr_rhit_hi_lo = _T_64 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 171:123] + wire _T_68 = io_end_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 172:51] + wire _T_69 = _T_68 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 172:76] + wire _T_70 = _T_69 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 172:97] + wire ld_addr_rhit_hi_hi = _T_70 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 172:123] + wire _T_73 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 174:70] + wire _T_75 = _T_73 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 174:92] + wire _T_77 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 174:70] + wire _T_79 = _T_77 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 174:92] + wire _T_81 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 174:70] + wire _T_83 = _T_81 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 174:92] + wire _T_85 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 174:70] + wire _T_87 = _T_85 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 174:92] wire [3:0] ld_byte_rhit_lo_lo = {_T_87,_T_83,_T_79,_T_75}; // @[Cat.scala 29:58] - wire _T_92 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 177:70] - wire _T_94 = _T_92 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 177:92] - wire _T_96 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 177:70] - wire _T_98 = _T_96 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 177:92] - wire _T_100 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 177:70] - wire _T_102 = _T_100 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 177:92] - wire _T_104 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 177:70] - wire _T_106 = _T_104 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 177:92] + wire _T_92 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 175:70] + wire _T_94 = _T_92 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 175:92] + wire _T_96 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 175:70] + wire _T_98 = _T_96 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 175:92] + wire _T_100 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 175:70] + wire _T_102 = _T_100 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 175:92] + wire _T_104 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 175:70] + wire _T_106 = _T_104 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 175:92] wire [3:0] ld_byte_rhit_lo_hi = {_T_106,_T_102,_T_98,_T_94}; // @[Cat.scala 29:58] - wire _T_111 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 178:70] - wire _T_113 = _T_111 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 178:92] - wire _T_115 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 178:70] - wire _T_117 = _T_115 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 178:92] - wire _T_119 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 178:70] - wire _T_121 = _T_119 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 178:92] - wire _T_123 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 178:70] - wire _T_125 = _T_123 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 178:92] + wire _T_111 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 176:70] + wire _T_113 = _T_111 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 176:92] + wire _T_115 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 176:70] + wire _T_117 = _T_115 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 176:92] + wire _T_119 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 176:70] + wire _T_121 = _T_119 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 176:92] + wire _T_123 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 176:70] + wire _T_125 = _T_123 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 176:92] wire [3:0] ld_byte_rhit_hi_lo = {_T_125,_T_121,_T_117,_T_113}; // @[Cat.scala 29:58] - wire _T_130 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 179:70] - wire _T_132 = _T_130 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 179:92] - wire _T_134 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 179:70] - wire _T_136 = _T_134 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 179:92] - wire _T_138 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 179:70] - wire _T_140 = _T_138 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 179:92] - wire _T_142 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 179:70] - wire _T_144 = _T_142 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 179:92] + wire _T_130 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 177:70] + wire _T_132 = _T_130 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 177:92] + wire _T_134 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 177:70] + wire _T_136 = _T_134 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 177:92] + wire _T_138 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 177:70] + wire _T_140 = _T_138 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 177:92] + wire _T_142 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 177:70] + wire _T_144 = _T_142 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 177:92] wire [3:0] ld_byte_rhit_hi_hi = {_T_144,_T_140,_T_136,_T_132}; // @[Cat.scala 29:58] - wire _T_150 = ld_byte_rhit_lo_lo[0] | ld_byte_rhit_hi_lo[0]; // @[lsu_bus_intf.scala 181:73] - wire [3:0] ld_byte_hit_buf_lo = bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 139:38] - wire _T_152 = _T_150 | ld_byte_hit_buf_lo[0]; // @[lsu_bus_intf.scala 181:97] - wire _T_155 = ld_byte_rhit_lo_lo[1] | ld_byte_rhit_hi_lo[1]; // @[lsu_bus_intf.scala 181:73] - wire _T_157 = _T_155 | ld_byte_hit_buf_lo[1]; // @[lsu_bus_intf.scala 181:97] - wire _T_160 = ld_byte_rhit_lo_lo[2] | ld_byte_rhit_hi_lo[2]; // @[lsu_bus_intf.scala 181:73] - wire _T_162 = _T_160 | ld_byte_hit_buf_lo[2]; // @[lsu_bus_intf.scala 181:97] - wire _T_165 = ld_byte_rhit_lo_lo[3] | ld_byte_rhit_hi_lo[3]; // @[lsu_bus_intf.scala 181:73] - wire _T_167 = _T_165 | ld_byte_hit_buf_lo[3]; // @[lsu_bus_intf.scala 181:97] + wire _T_150 = ld_byte_rhit_lo_lo[0] | ld_byte_rhit_hi_lo[0]; // @[lsu_bus_intf.scala 179:73] + wire [3:0] ld_byte_hit_buf_lo = bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 137:38] + wire _T_152 = _T_150 | ld_byte_hit_buf_lo[0]; // @[lsu_bus_intf.scala 179:97] + wire _T_155 = ld_byte_rhit_lo_lo[1] | ld_byte_rhit_hi_lo[1]; // @[lsu_bus_intf.scala 179:73] + wire _T_157 = _T_155 | ld_byte_hit_buf_lo[1]; // @[lsu_bus_intf.scala 179:97] + wire _T_160 = ld_byte_rhit_lo_lo[2] | ld_byte_rhit_hi_lo[2]; // @[lsu_bus_intf.scala 179:73] + wire _T_162 = _T_160 | ld_byte_hit_buf_lo[2]; // @[lsu_bus_intf.scala 179:97] + wire _T_165 = ld_byte_rhit_lo_lo[3] | ld_byte_rhit_hi_lo[3]; // @[lsu_bus_intf.scala 179:73] + wire _T_167 = _T_165 | ld_byte_hit_buf_lo[3]; // @[lsu_bus_intf.scala 179:97] wire [3:0] ld_byte_hit_lo = {_T_167,_T_162,_T_157,_T_152}; // @[Cat.scala 29:58] - wire _T_173 = ld_byte_rhit_lo_hi[0] | ld_byte_rhit_hi_hi[0]; // @[lsu_bus_intf.scala 182:73] - wire [3:0] ld_byte_hit_buf_hi = bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 140:38] - wire _T_175 = _T_173 | ld_byte_hit_buf_hi[0]; // @[lsu_bus_intf.scala 182:97] - wire _T_178 = ld_byte_rhit_lo_hi[1] | ld_byte_rhit_hi_hi[1]; // @[lsu_bus_intf.scala 182:73] - wire _T_180 = _T_178 | ld_byte_hit_buf_hi[1]; // @[lsu_bus_intf.scala 182:97] - wire _T_183 = ld_byte_rhit_lo_hi[2] | ld_byte_rhit_hi_hi[2]; // @[lsu_bus_intf.scala 182:73] - wire _T_185 = _T_183 | ld_byte_hit_buf_hi[2]; // @[lsu_bus_intf.scala 182:97] - wire _T_188 = ld_byte_rhit_lo_hi[3] | ld_byte_rhit_hi_hi[3]; // @[lsu_bus_intf.scala 182:73] - wire _T_190 = _T_188 | ld_byte_hit_buf_hi[3]; // @[lsu_bus_intf.scala 182:97] + wire _T_173 = ld_byte_rhit_lo_hi[0] | ld_byte_rhit_hi_hi[0]; // @[lsu_bus_intf.scala 180:73] + wire [3:0] ld_byte_hit_buf_hi = bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 138:38] + wire _T_175 = _T_173 | ld_byte_hit_buf_hi[0]; // @[lsu_bus_intf.scala 180:97] + wire _T_178 = ld_byte_rhit_lo_hi[1] | ld_byte_rhit_hi_hi[1]; // @[lsu_bus_intf.scala 180:73] + wire _T_180 = _T_178 | ld_byte_hit_buf_hi[1]; // @[lsu_bus_intf.scala 180:97] + wire _T_183 = ld_byte_rhit_lo_hi[2] | ld_byte_rhit_hi_hi[2]; // @[lsu_bus_intf.scala 180:73] + wire _T_185 = _T_183 | ld_byte_hit_buf_hi[2]; // @[lsu_bus_intf.scala 180:97] + wire _T_188 = ld_byte_rhit_lo_hi[3] | ld_byte_rhit_hi_hi[3]; // @[lsu_bus_intf.scala 180:73] + wire _T_190 = _T_188 | ld_byte_hit_buf_hi[3]; // @[lsu_bus_intf.scala 180:97] wire [3:0] ld_byte_hit_hi = {_T_190,_T_185,_T_180,_T_175}; // @[Cat.scala 29:58] wire [3:0] ld_byte_rhit_lo = {_T_165,_T_160,_T_155,_T_150}; // @[Cat.scala 29:58] wire [3:0] ld_byte_rhit_hi = {_T_188,_T_183,_T_178,_T_173}; // @[Cat.scala 29:58] @@ -72979,54 +72979,54 @@ module lsu_bus_intf( wire [7:0] _T_288 = ld_byte_rhit_hi_hi[3] ? store_data_hi_r[31:24] : 8'h0; // @[Mux.scala 27:72] wire [7:0] _T_289 = _T_287 | _T_288; // @[Mux.scala 27:72] wire [31:0] ld_fwddata_rpipe_hi = {_T_289,_T_281,_T_273,_T_265}; // @[Cat.scala 29:58] - wire [31:0] ld_fwddata_buf_lo = bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 141:38] - wire [7:0] _T_297 = ld_byte_rhit_lo[0] ? ld_fwddata_rpipe_lo[7:0] : ld_fwddata_buf_lo[7:0]; // @[lsu_bus_intf.scala 187:54] - wire [7:0] _T_301 = ld_byte_rhit_lo[1] ? ld_fwddata_rpipe_lo[15:8] : ld_fwddata_buf_lo[15:8]; // @[lsu_bus_intf.scala 187:54] - wire [7:0] _T_305 = ld_byte_rhit_lo[2] ? ld_fwddata_rpipe_lo[23:16] : ld_fwddata_buf_lo[23:16]; // @[lsu_bus_intf.scala 187:54] - wire [7:0] _T_309 = ld_byte_rhit_lo[3] ? ld_fwddata_rpipe_lo[31:24] : ld_fwddata_buf_lo[31:24]; // @[lsu_bus_intf.scala 187:54] + wire [31:0] ld_fwddata_buf_lo = bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 139:38] + wire [7:0] _T_297 = ld_byte_rhit_lo[0] ? ld_fwddata_rpipe_lo[7:0] : ld_fwddata_buf_lo[7:0]; // @[lsu_bus_intf.scala 185:54] + wire [7:0] _T_301 = ld_byte_rhit_lo[1] ? ld_fwddata_rpipe_lo[15:8] : ld_fwddata_buf_lo[15:8]; // @[lsu_bus_intf.scala 185:54] + wire [7:0] _T_305 = ld_byte_rhit_lo[2] ? ld_fwddata_rpipe_lo[23:16] : ld_fwddata_buf_lo[23:16]; // @[lsu_bus_intf.scala 185:54] + wire [7:0] _T_309 = ld_byte_rhit_lo[3] ? ld_fwddata_rpipe_lo[31:24] : ld_fwddata_buf_lo[31:24]; // @[lsu_bus_intf.scala 185:54] wire [31:0] _T_312 = {_T_309,_T_305,_T_301,_T_297}; // @[Cat.scala 29:58] - wire [31:0] ld_fwddata_buf_hi = bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 142:38] - wire [7:0] _T_316 = ld_byte_rhit_hi[0] ? ld_fwddata_rpipe_hi[7:0] : ld_fwddata_buf_hi[7:0]; // @[lsu_bus_intf.scala 188:54] - wire [7:0] _T_320 = ld_byte_rhit_hi[1] ? ld_fwddata_rpipe_hi[15:8] : ld_fwddata_buf_hi[15:8]; // @[lsu_bus_intf.scala 188:54] - wire [7:0] _T_324 = ld_byte_rhit_hi[2] ? ld_fwddata_rpipe_hi[23:16] : ld_fwddata_buf_hi[23:16]; // @[lsu_bus_intf.scala 188:54] - wire [7:0] _T_328 = ld_byte_rhit_hi[3] ? ld_fwddata_rpipe_hi[31:24] : ld_fwddata_buf_hi[31:24]; // @[lsu_bus_intf.scala 188:54] + wire [31:0] ld_fwddata_buf_hi = bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 140:38] + wire [7:0] _T_316 = ld_byte_rhit_hi[0] ? ld_fwddata_rpipe_hi[7:0] : ld_fwddata_buf_hi[7:0]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_320 = ld_byte_rhit_hi[1] ? ld_fwddata_rpipe_hi[15:8] : ld_fwddata_buf_hi[15:8]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_324 = ld_byte_rhit_hi[2] ? ld_fwddata_rpipe_hi[23:16] : ld_fwddata_buf_hi[23:16]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_328 = ld_byte_rhit_hi[3] ? ld_fwddata_rpipe_hi[31:24] : ld_fwddata_buf_hi[31:24]; // @[lsu_bus_intf.scala 186:54] wire [31:0] _T_331 = {_T_328,_T_324,_T_320,_T_316}; // @[Cat.scala 29:58] - wire _T_334 = ~ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 189:72] - wire _T_335 = ld_byte_hit_lo[0] | _T_334; // @[lsu_bus_intf.scala 189:70] - wire _T_338 = ~ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 189:72] - wire _T_339 = ld_byte_hit_lo[1] | _T_338; // @[lsu_bus_intf.scala 189:70] - wire _T_342 = ~ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 189:72] - wire _T_343 = ld_byte_hit_lo[2] | _T_342; // @[lsu_bus_intf.scala 189:70] - wire _T_346 = ~ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 189:72] - wire _T_347 = ld_byte_hit_lo[3] | _T_346; // @[lsu_bus_intf.scala 189:70] - wire _T_348 = _T_335 & _T_339; // @[lsu_bus_intf.scala 189:111] - wire _T_349 = _T_348 & _T_343; // @[lsu_bus_intf.scala 189:111] - wire ld_full_hit_lo_m = _T_349 & _T_347; // @[lsu_bus_intf.scala 189:111] - wire _T_353 = ~ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 190:72] - wire _T_354 = ld_byte_hit_hi[0] | _T_353; // @[lsu_bus_intf.scala 190:70] - wire _T_357 = ~ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 190:72] - wire _T_358 = ld_byte_hit_hi[1] | _T_357; // @[lsu_bus_intf.scala 190:70] - wire _T_361 = ~ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 190:72] - wire _T_362 = ld_byte_hit_hi[2] | _T_361; // @[lsu_bus_intf.scala 190:70] - wire _T_365 = ~ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 190:72] - wire _T_366 = ld_byte_hit_hi[3] | _T_365; // @[lsu_bus_intf.scala 190:70] - wire _T_367 = _T_354 & _T_358; // @[lsu_bus_intf.scala 190:111] - wire _T_368 = _T_367 & _T_362; // @[lsu_bus_intf.scala 190:111] - wire ld_full_hit_hi_m = _T_368 & _T_366; // @[lsu_bus_intf.scala 190:111] - wire _T_370 = ld_full_hit_lo_m & ld_full_hit_hi_m; // @[lsu_bus_intf.scala 191:47] - wire _T_371 = _T_370 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 191:66] - wire _T_372 = _T_371 & io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 191:84] - wire _T_373 = ~io_is_sideeffects_m; // @[lsu_bus_intf.scala 191:111] - wire [63:0] ld_fwddata_hi = {{32'd0}, _T_331}; // @[lsu_bus_intf.scala 188:27] - wire [63:0] ld_fwddata_lo = {{32'd0}, _T_312}; // @[lsu_bus_intf.scala 187:27] + wire _T_334 = ~ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 187:72] + wire _T_335 = ld_byte_hit_lo[0] | _T_334; // @[lsu_bus_intf.scala 187:70] + wire _T_338 = ~ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 187:72] + wire _T_339 = ld_byte_hit_lo[1] | _T_338; // @[lsu_bus_intf.scala 187:70] + wire _T_342 = ~ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 187:72] + wire _T_343 = ld_byte_hit_lo[2] | _T_342; // @[lsu_bus_intf.scala 187:70] + wire _T_346 = ~ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 187:72] + wire _T_347 = ld_byte_hit_lo[3] | _T_346; // @[lsu_bus_intf.scala 187:70] + wire _T_348 = _T_335 & _T_339; // @[lsu_bus_intf.scala 187:111] + wire _T_349 = _T_348 & _T_343; // @[lsu_bus_intf.scala 187:111] + wire ld_full_hit_lo_m = _T_349 & _T_347; // @[lsu_bus_intf.scala 187:111] + wire _T_353 = ~ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 188:72] + wire _T_354 = ld_byte_hit_hi[0] | _T_353; // @[lsu_bus_intf.scala 188:70] + wire _T_357 = ~ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 188:72] + wire _T_358 = ld_byte_hit_hi[1] | _T_357; // @[lsu_bus_intf.scala 188:70] + wire _T_361 = ~ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 188:72] + wire _T_362 = ld_byte_hit_hi[2] | _T_361; // @[lsu_bus_intf.scala 188:70] + wire _T_365 = ~ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 188:72] + wire _T_366 = ld_byte_hit_hi[3] | _T_365; // @[lsu_bus_intf.scala 188:70] + wire _T_367 = _T_354 & _T_358; // @[lsu_bus_intf.scala 188:111] + wire _T_368 = _T_367 & _T_362; // @[lsu_bus_intf.scala 188:111] + wire ld_full_hit_hi_m = _T_368 & _T_366; // @[lsu_bus_intf.scala 188:111] + wire _T_370 = ld_full_hit_lo_m & ld_full_hit_hi_m; // @[lsu_bus_intf.scala 189:47] + wire _T_371 = _T_370 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 189:66] + wire _T_372 = _T_371 & io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 189:84] + wire _T_373 = ~io_is_sideeffects_m; // @[lsu_bus_intf.scala 189:111] + wire [63:0] ld_fwddata_hi = {{32'd0}, _T_331}; // @[lsu_bus_intf.scala 186:27] + wire [63:0] ld_fwddata_lo = {{32'd0}, _T_312}; // @[lsu_bus_intf.scala 185:27] wire [63:0] _T_377 = {ld_fwddata_hi[31:0],ld_fwddata_lo[31:0]}; // @[Cat.scala 29:58] - wire [3:0] _GEN_3 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_bus_intf.scala 192:83] - wire [5:0] _T_379 = 4'h8 * _GEN_3; // @[lsu_bus_intf.scala 192:83] - wire [63:0] ld_fwddata_m = _T_377 >> _T_379; // @[lsu_bus_intf.scala 192:76] - reg lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 196:32] - reg ldst_dual_m; // @[lsu_bus_intf.scala 199:27] - reg is_sideeffects_r; // @[lsu_bus_intf.scala 203:33] - lsu_bus_buffer bus_buffer ( // @[lsu_bus_intf.scala 102:39] + wire [3:0] _GEN_3 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_bus_intf.scala 190:83] + wire [5:0] _T_379 = 4'h8 * _GEN_3; // @[lsu_bus_intf.scala 190:83] + wire [63:0] ld_fwddata_m = _T_377 >> _T_379; // @[lsu_bus_intf.scala 190:76] + reg lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 194:32] + reg ldst_dual_m; // @[lsu_bus_intf.scala 197:27] + reg is_sideeffects_r; // @[lsu_bus_intf.scala 201:33] + lsu_bus_buffer bus_buffer ( // @[lsu_bus_intf.scala 100:39] .clock(bus_buffer_clock), .reset(bus_buffer_reset), .io_scan_mode(bus_buffer_io_scan_mode), @@ -73119,92 +73119,92 @@ module lsu_bus_intf( .io_ld_fwddata_buf_lo(bus_buffer_io_ld_fwddata_buf_lo), .io_ld_fwddata_buf_hi(bus_buffer_io_ld_fwddata_buf_hi) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 105:18] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 105:18] - assign io_tlu_busbuff_lsu_pmu_bus_error = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 105:18] - assign io_tlu_busbuff_lsu_pmu_bus_busy = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 105:18] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 105:18] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 105:18] - assign io_tlu_busbuff_lsu_imprecise_error_addr_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 105:18] - assign io_axi_aw_valid = bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 131:43] - assign io_axi_aw_bits_id = bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 131:43] - assign io_axi_aw_bits_addr = bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 131:43] - assign io_axi_aw_bits_region = bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 131:43] - assign io_axi_aw_bits_size = bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 131:43] - assign io_axi_aw_bits_cache = bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 131:43] - assign io_axi_w_valid = bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 131:43] - assign io_axi_w_bits_data = bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 131:43] - assign io_axi_w_bits_strb = bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 131:43] - assign io_axi_ar_valid = bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 131:43] - assign io_axi_ar_bits_id = bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 131:43] - assign io_axi_ar_bits_addr = bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 131:43] - assign io_axi_ar_bits_region = bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 131:43] - assign io_axi_ar_bits_size = bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 131:43] - assign io_axi_ar_bits_cache = bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 131:43] - assign io_lsu_busreq_r = bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 134:38] - assign io_lsu_bus_buffer_pend_any = bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 135:38] - assign io_lsu_bus_buffer_full_any = bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 136:38] - assign io_lsu_bus_buffer_empty_any = bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 137:38] - assign io_bus_read_data_m = ld_fwddata_m[31:0]; // @[lsu_bus_intf.scala 193:27] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 143:19] - assign io_dctl_busbuff_lsu_nonblock_load_tag_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 143:19] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 143:19] - assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 143:19] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 143:19] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 143:19] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 143:19] - assign io_dctl_busbuff_lsu_nonblock_load_data = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 143:19] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_error = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_busy = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 103:18] + assign io_axi_aw_valid = bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_id = bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_addr = bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_region = bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_size = bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_cache = bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 129:43] + assign io_axi_w_valid = bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 129:43] + assign io_axi_w_bits_data = bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 129:43] + assign io_axi_w_bits_strb = bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_valid = bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_id = bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_addr = bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_region = bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_size = bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_cache = bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 129:43] + assign io_lsu_busreq_r = bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 132:38] + assign io_lsu_bus_buffer_pend_any = bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 133:38] + assign io_lsu_bus_buffer_full_any = bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 134:38] + assign io_lsu_bus_buffer_empty_any = bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 135:38] + assign io_bus_read_data_m = ld_fwddata_m[31:0]; // @[lsu_bus_intf.scala 191:27] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 141:19] assign bus_buffer_clock = clock; assign bus_buffer_reset = reset; - assign bus_buffer_io_scan_mode = io_scan_mode; // @[lsu_bus_intf.scala 104:29] - assign bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 105:18] - assign bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 105:18] - assign bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 105:18] - assign bus_buffer_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 107:51] - assign bus_buffer_io_lsu_c2_r_clk = io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 108:51] - assign bus_buffer_io_lsu_bus_ibuf_c1_clk = io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 109:51] - assign bus_buffer_io_lsu_bus_obuf_c1_clk = io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 110:51] - assign bus_buffer_io_lsu_bus_buf_c1_clk = io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 111:51] - assign bus_buffer_io_lsu_free_c2_clk = io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 112:51] - assign bus_buffer_io_lsu_busm_clk = io_lsu_busm_clk; // @[lsu_bus_intf.scala 113:51] - assign bus_buffer_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 114:51] - assign bus_buffer_io_lsu_pkt_m_valid = io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 117:27] - assign bus_buffer_io_lsu_pkt_m_bits_load = io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 117:27] - assign bus_buffer_io_lsu_pkt_r_bits_by = io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 118:27] - assign bus_buffer_io_lsu_pkt_r_bits_half = io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 118:27] - assign bus_buffer_io_lsu_pkt_r_bits_word = io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 118:27] - assign bus_buffer_io_lsu_pkt_r_bits_load = io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 118:27] - assign bus_buffer_io_lsu_pkt_r_bits_store = io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 118:27] - assign bus_buffer_io_lsu_pkt_r_bits_unsign = io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 118:27] - assign bus_buffer_io_lsu_addr_m = io_lsu_addr_m; // @[lsu_bus_intf.scala 121:51] - assign bus_buffer_io_end_addr_m = io_end_addr_m; // @[lsu_bus_intf.scala 122:51] - assign bus_buffer_io_lsu_addr_r = io_lsu_addr_r; // @[lsu_bus_intf.scala 123:51] - assign bus_buffer_io_end_addr_r = io_end_addr_r; // @[lsu_bus_intf.scala 124:51] - assign bus_buffer_io_store_data_r = io_store_data_r; // @[lsu_bus_intf.scala 125:51] - assign bus_buffer_io_no_word_merge_r = _T_22 & _T_24; // @[lsu_bus_intf.scala 144:51] - assign bus_buffer_io_no_dword_merge_r = _T_22 & _T_30; // @[lsu_bus_intf.scala 145:51] - assign bus_buffer_io_lsu_busreq_m = io_lsu_busreq_m; // @[lsu_bus_intf.scala 127:51] - assign bus_buffer_io_ld_full_hit_m = _T_372 & _T_373; // @[lsu_bus_intf.scala 151:51] - assign bus_buffer_io_flush_m_up = io_flush_m_up; // @[lsu_bus_intf.scala 128:51] - assign bus_buffer_io_flush_r = io_flush_r; // @[lsu_bus_intf.scala 129:51] - assign bus_buffer_io_lsu_commit_r = io_lsu_commit_r; // @[lsu_bus_intf.scala 130:51] - assign bus_buffer_io_is_sideeffects_r = is_sideeffects_r; // @[lsu_bus_intf.scala 146:51] - assign bus_buffer_io_ldst_dual_d = io_lsu_addr_d[2] != io_end_addr_d[2]; // @[lsu_bus_intf.scala 147:51] - assign bus_buffer_io_ldst_dual_m = ldst_dual_m; // @[lsu_bus_intf.scala 148:51] - assign bus_buffer_io_ldst_dual_r = ldst_dual_r; // @[lsu_bus_intf.scala 149:51] - assign bus_buffer_io_ldst_byteen_ext_m = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 150:51] - assign bus_buffer_io_lsu_axi_aw_ready = io_axi_aw_ready; // @[lsu_bus_intf.scala 131:43] - assign bus_buffer_io_lsu_axi_w_ready = io_axi_w_ready; // @[lsu_bus_intf.scala 131:43] - assign bus_buffer_io_lsu_axi_b_valid = io_axi_b_valid; // @[lsu_bus_intf.scala 131:43] - assign bus_buffer_io_lsu_axi_b_bits_resp = io_axi_b_bits_resp; // @[lsu_bus_intf.scala 131:43] - assign bus_buffer_io_lsu_axi_b_bits_id = io_axi_b_bits_id; // @[lsu_bus_intf.scala 131:43] - assign bus_buffer_io_lsu_axi_ar_ready = io_axi_ar_ready; // @[lsu_bus_intf.scala 131:43] - assign bus_buffer_io_lsu_axi_r_valid = io_axi_r_valid; // @[lsu_bus_intf.scala 131:43] - assign bus_buffer_io_lsu_axi_r_bits_id = io_axi_r_bits_id; // @[lsu_bus_intf.scala 131:43] - assign bus_buffer_io_lsu_axi_r_bits_data = io_axi_r_bits_data; // @[lsu_bus_intf.scala 131:43] - assign bus_buffer_io_lsu_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu_bus_intf.scala 131:43] - assign bus_buffer_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 132:51] - assign bus_buffer_io_lsu_bus_clk_en_q = lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 152:51] + assign bus_buffer_io_scan_mode = io_scan_mode; // @[lsu_bus_intf.scala 102:29] + assign bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 105:51] + assign bus_buffer_io_lsu_c2_r_clk = io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 106:51] + assign bus_buffer_io_lsu_bus_ibuf_c1_clk = io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 107:51] + assign bus_buffer_io_lsu_bus_obuf_c1_clk = io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 108:51] + assign bus_buffer_io_lsu_bus_buf_c1_clk = io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 109:51] + assign bus_buffer_io_lsu_free_c2_clk = io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 110:51] + assign bus_buffer_io_lsu_busm_clk = io_lsu_busm_clk; // @[lsu_bus_intf.scala 111:51] + assign bus_buffer_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 112:51] + assign bus_buffer_io_lsu_pkt_m_valid = io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 115:27] + assign bus_buffer_io_lsu_pkt_m_bits_load = io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 115:27] + assign bus_buffer_io_lsu_pkt_r_bits_by = io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_half = io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_word = io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_load = io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_store = io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_unsign = io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_addr_m = io_lsu_addr_m; // @[lsu_bus_intf.scala 119:51] + assign bus_buffer_io_end_addr_m = io_end_addr_m; // @[lsu_bus_intf.scala 120:51] + assign bus_buffer_io_lsu_addr_r = io_lsu_addr_r; // @[lsu_bus_intf.scala 121:51] + assign bus_buffer_io_end_addr_r = io_end_addr_r; // @[lsu_bus_intf.scala 122:51] + assign bus_buffer_io_store_data_r = io_store_data_r; // @[lsu_bus_intf.scala 123:51] + assign bus_buffer_io_no_word_merge_r = _T_22 & _T_24; // @[lsu_bus_intf.scala 142:51] + assign bus_buffer_io_no_dword_merge_r = _T_22 & _T_30; // @[lsu_bus_intf.scala 143:51] + assign bus_buffer_io_lsu_busreq_m = io_lsu_busreq_m; // @[lsu_bus_intf.scala 125:51] + assign bus_buffer_io_ld_full_hit_m = _T_372 & _T_373; // @[lsu_bus_intf.scala 149:51] + assign bus_buffer_io_flush_m_up = io_flush_m_up; // @[lsu_bus_intf.scala 126:51] + assign bus_buffer_io_flush_r = io_flush_r; // @[lsu_bus_intf.scala 127:51] + assign bus_buffer_io_lsu_commit_r = io_lsu_commit_r; // @[lsu_bus_intf.scala 128:51] + assign bus_buffer_io_is_sideeffects_r = is_sideeffects_r; // @[lsu_bus_intf.scala 144:51] + assign bus_buffer_io_ldst_dual_d = io_lsu_addr_d[2] != io_end_addr_d[2]; // @[lsu_bus_intf.scala 145:51] + assign bus_buffer_io_ldst_dual_m = ldst_dual_m; // @[lsu_bus_intf.scala 146:51] + assign bus_buffer_io_ldst_dual_r = ldst_dual_r; // @[lsu_bus_intf.scala 147:51] + assign bus_buffer_io_ldst_byteen_ext_m = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 148:51] + assign bus_buffer_io_lsu_axi_aw_ready = io_axi_aw_ready; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_w_ready = io_axi_w_ready; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_b_valid = io_axi_b_valid; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_b_bits_resp = io_axi_b_bits_resp; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_b_bits_id = io_axi_b_bits_id; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_ar_ready = io_axi_ar_ready; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_valid = io_axi_r_valid; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_bits_id = io_axi_r_bits_id; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_bits_data = io_axi_r_bits_data; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 130:51] + assign bus_buffer_io_lsu_bus_clk_en_q = lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 150:51] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif diff --git a/src/main/scala/exu/exu.scala b/src/main/scala/exu/exu.scala index 788c2c12..73a47350 100644 --- a/src/main/scala/exu/exu.scala +++ b/src/main/scala/exu/exu.scala @@ -231,4 +231,8 @@ class exu extends Module with lib with RequireAsyncReset{ io.exu_bp.exu_mp_eghr := final_predpipe_mp(PREDPIPESIZE-1,BTB_ADDR_HI-BTB_ADDR_LO+BTB_BTAG_SIZE+1) // mp ghr for bht write io.exu_flush_path_final := Mux(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r.asBool, io.dec_exu.tlu_exu.dec_tlu_flush_path_r, i0_flush_path_d) io.dec_exu.tlu_exu.exu_npc_r := Mux(i0_pred_correct_upper_r===1.U, pred_correct_npc_r, i0_flush_path_upper_r) -} \ No newline at end of file +} + +object exu_main extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new exu())) +} diff --git a/src/main/scala/exu/exu_alu_ctl.scala b/src/main/scala/exu/exu_alu_ctl.scala index f15575c2..da24a9ac 100644 --- a/src/main/scala/exu/exu_alu_ctl.scala +++ b/src/main/scala/exu/exu_alu_ctl.scala @@ -109,7 +109,6 @@ class exu_alu_ctl extends Module with lib with RequireAsyncReset{ val target_mispredict = io.pp_in.bits.pret & (io.pp_in.bits.prett =/= aout(31,1)) //predicted return target != aout io.flush_upper_out := (io.i0_ap.jal | cond_mispredict | target_mispredict) & io.dec_alu.dec_i0_alu_decode_d & !io.flush_upper_x & !io.dec_tlu_flush_lower_r - //there was no entire pipe flush (& previous cycle flush ofc(why check?)) therfore signAL 1 to flush instruction before X stage io.flush_final_out := ( (io.i0_ap.jal | cond_mispredict | target_mispredict) & io.dec_alu.dec_i0_alu_decode_d & !io.flush_upper_x ) | io.dec_tlu_flush_lower_r //there was entire pipe flush or (there is mispred or a jal) therfore signAL 1 to flush entire pipe diff --git a/src/main/scala/lsu/lsu_bus_buffer.scala b/src/main/scala/lsu/lsu_bus_buffer.scala index 53a4d064..38741796 100644 --- a/src/main/scala/lsu/lsu_bus_buffer.scala +++ b/src/main/scala/lsu/lsu_bus_buffer.scala @@ -183,6 +183,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { (io.lsu_addr_r(1,0)===1.U)->Cat(0.U(3.W), ldst_byteen_r(3)), (io.lsu_addr_r(1,0)===2.U)->Cat(0.U(2.W), ldst_byteen_r(3,2)), (io.lsu_addr_r(1,0)===3.U)->Cat(0.U(1.W), ldst_byteen_r(3,1)))) + val ldst_byteen_lo_r = Mux1H(Seq((io.lsu_addr_r(1,0)===0.U)->ldst_byteen_r, (io.lsu_addr_r(1,0)===1.U)->Cat(ldst_byteen_r(2,0), 0.U), (io.lsu_addr_r(1,0)===2.U)->Cat(ldst_byteen_r(1,0), 0.U(2.W)), diff --git a/src/main/scala/lsu/lsu_bus_intf.scala b/src/main/scala/lsu/lsu_bus_intf.scala index e1278475..12692f41 100644 --- a/src/main/scala/lsu/lsu_bus_intf.scala +++ b/src/main/scala/lsu/lsu_bus_intf.scala @@ -3,8 +3,6 @@ import chisel3._ import chisel3.util._ import lib._ import include._ -import snapshot._ -import ifu._ class lsu_bus_intf extends Module with RequireAsyncReset with lib { val io = IO (new Bundle { diff --git a/src/main/scala/lsu/lsu_clkdomain.scala b/src/main/scala/lsu/lsu_clkdomain.scala index a66d2da6..65462f77 100644 --- a/src/main/scala/lsu/lsu_clkdomain.scala +++ b/src/main/scala/lsu/lsu_clkdomain.scala @@ -4,7 +4,6 @@ import chisel3.experimental.chiselName import chisel3.util._ import lib._ import include._ -import snapshot._ @chiselName class lsu_clkdomain extends Module with RequireAsyncReset with lib{ diff --git a/target/scala-2.12/classes/exu/exu_alu_ctl.class b/target/scala-2.12/classes/exu/exu_alu_ctl.class index 9b04ab7ad57d871aa068313ea29c8529a52621d0..a60957aff7a2793b10fe2b678dd7707906684688 100644 GIT binary patch delta 570 zcmZutO=uHQ5PqLAHPx_vZF8wB_y1 z^x)ww%&BNW@SeWc?)i%fJsi%%9jx>kgh@Z>bzJdY#vqJ$h5KNfL} zP{su3b{QvF5YX2W3s$(e*BcfOwcZ?TCm+{FlTReKlyQb<56;1XPx2H@TAjmm!k06& zn`d@9hXc$k8n$te-c>O`sS2i8JX2u@Ul#O>NjIt(Vm43j$1!H?d;U7P_Rqzq^#UtC zS1_9hAQnliH6MTktVIwFv;v}Y{1D>@1CDEWSA|+-;(azxuHMPq$@7=qd|^~OH_4{p~&Ui tm!lsp)W%WI^2Cz$Quq^f5!h+r+s8%SejpmTU*dNDf>@58ruSq<{sI(zzl#6> delta 560 zcmYjNOH31C5dJ?)pm*N zXm#+HmWR5$+4kYS|IuEW#XPO%kPRN^@J)k7w~EkWmNG`xMI5EqCFD7|Rm5>Z8N(dw zWt?P!P1_|VxSZ^=GU7?dS9>?&Ppkd$X9~BJahA1vr(nP)vXbR4~fq@d{6{mC3N8(w%8!FhieDVSug}NYjf+=6|nXBIYOq`?MUT zd#@wSwLvOgLk? r;Fk%xdd$-1#Ju)K*uxKmqbG%}pAZZBqNwHnihALaaD$cPf$X7w(Ve?) diff --git a/target/scala-2.12/classes/exu/exu_main$.class b/target/scala-2.12/classes/exu/exu_main$.class new file mode 100644 index 0000000000000000000000000000000000000000..849653e0fce82087541ed4ba5eeb05d6b5ca57bc GIT binary patch literal 3844 zcmbtX30D(W7`=}z5+Wc7isBw?2x?TcSVOG>TCqWGBed4GbVwdJI?Tk$M8R%$)9#zy zm!Hscy0{%Zr$3;-rT&GU-Zu*wB&9j03{q7t7{QKS?0QTZ1f#q_xl;SZl zqv>Wm0wK_PUc02F49%QQot!)`b4~=c0*%F-W@xFyg+c`NeB_*+?vTLN@&w(yWL=P{ zQR$qs^2anYZ^&XiV_6qUoVHA0{nDlpLFigk+?uhW1fo3@?b0tT& z%&eo?j(|AM%-w|I%rPFH(Cq1=K>OKDIb_zcb#t1t+e3!BsXIefUb2piS7XjH43S~6W%yMh6`WIFncObuGIGC#)P>4W?UEE~uf zDwl%-wTYf_;xOPo#;_WzqNqWaK%G}qN1~@9pA(3MyfeWKfghFN!$2`o43A)& z$}b_XV$pkgRcsaLOjLkDMXh()EU+mYr=o2PJFrtlO1ZEfkD&#vjYNA-3@gy4?)DOn z5MNwkO~mCzPMD4F7TA{P@l*US;*3>i8DKh(eK1g&Ix)0krAqVwDO^r;q9`3l+RSu8 zr+AsyC5|hck`>I$L-C+Byg7*g%%#gze#fga4a%yrPUW~cu9=oORWjqIyd3AsG!ar? z8`wh;|2Bf5D27$XtoMm`#LO2g-E@dXNgbZvarKtF^`=hQGB2knfKMv5SumE4VL3(` zaSW80t{l5X8hxpvqfN`yko!alnwAk{q8L>%S2Cxv=y)2MZpFzM4r5St^3yF1c%~JD zwN&AVI#G4oyqH;O>zbioQK}QcvjUsTo_ul5Xfu;}jS{O(X*tKT=OQ>mWHiGGjR{;! zgpyc7m(w9LUm!yx3nrePE68-Xjr`ie<@ytbG{ea1jwEOO2uqU5dBON*D-tgWJrM-sYfG zcW+?$SMaLRzt;rT`Q797Mp&_xh)3`SS(*sC%`D4Db@r|8&PLEuJ(P?bJQ z{tx{ZG>7-KvmpD$z3>4(jN*NK#9sKATbtpwa;S_LKE?Gm2z2p45tHNUzK`u?ZpRGJ^MUo!9 zeB=0@EyU78Bt_R=!8g(OGs7-U`Qd_B zR6y${_Em@0qm_!eg?-f)(;qIT-z}yc`+a=Mt9KLWYVz?=e~btW;PH8oYoRvDhUA7@ z=&L?2wN(DPsk~O;AfBM3ht};D($%{x6Zufcb!(T}*!}g}$gI`hvilf5a|cIM)gr{% z2TbJXJpW%uJ%2#rH#~J8qnvOea}POSwD&fqPVjX8KwaRvth~Rr(yLmT(WjKW_PGbz?c#g+ud_wyyexSu6LH$yAbEC&9S1N=8)a`p}6}Ww} YK`E~279hL17h%84C!rW1@FTt8A0yV5g8%>k literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class b/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..5b44c5fbc75802ef3aec5a2197ea46b0d9bd47d3 GIT binary patch literal 730 zcmZ`%U279T6g@YeCQX_&*4C4m;KR(Fd-vQk=bqW$f4~0#@DTR|oBBLybG;s^z%+dws;Tz7Ca}$6 ze;1`|55IL}45 zIA7s$zzd!T8m&ca$4R^9q~&mfgwG>iJA(qF50lYQ$46=yveM{9bo)fbfy?K`>cA@R zU0;podA+agY2?3Bu^MSQO=;ypl*FDs2pmam;9jh2Lb7+wn~1)r73|a89G{-|IInri zU@Vr7fjBSv9d+Wm~BuUPqn^$#g%n|mSs^anS2uFPP#iVbGM$*z;iQS=!zjP<== z$ji^&y)R_@hRu(xWpOLrrGSfsYqO>~A=^`Zg4=A!(^nRs+$;tDcbDs0v??1kN1F(uEf-TQS4$JYW@Bm^u+>!WoF5 z@9cC>WKWsU2^MpfhdnV6g!$I^;Y9N7fc;Jto{4jVpF6aDXetNysQK0-&=`_M~>Fsll`tz#> zyPHsdJkomTNxtW{RPRD>S484eDjD&V z*3Gd9>85-i$(~TsW2F<0qMqFJ2OL=1yK3dYANt*abb}yNBCf|7Qr9=f3N$46Zvu2) z0CE?trDGg(6cWyYJ(;y9G21 jo+RxF6Up5%iCvS}dpGL;*$0{BlN> z!YXdw6E`CpUx~-U8LPZ``0*A_o<;km_D%2CqgMZZgVTl$F4;G=>%jgcW1=_Tym&r` z-KHNh*c|%m5OblF0c;Wt8^~Pg$pAKyTm}J~Fc8?|!ze92h)rUzX~iH^KROsibq2GE ztREd448qGHAoL%Cx>G&_CO$*a5SscKimna=q0CSeDeNa;Y(6y_#^wNA1E9qtP-EP1 z)KHk`2-H|XOGco^3W^7E^_>WCfXqn%zY=K>`6a%N(0%~AI~i@dPDY#K zbZ;`~r)kU-(5p`aJ!mTCs<2#O><_9j4K*&&SqW}X`gDLl>4OHdXQ0`xnP^siCMw^d z-7`V>D;tDP+308FEMU(iW}OY}%UP)Ol5De4smdG>HqS=U35nI8gQ7Qdat^wGOKEda zV|otgg>pduKr?bc*iInC5Su`a=P@@rKqz9AI1fcb=cDNHJoM(g0N5AvQ6$J^0g5Ut z1YyGh5bUYqLUbQWMVL@1j>cQ&Cy zrHyEDfCKSOUcn7&(mmDmGtg|-9G(*3C1ZZEo1*dt)H0b=3`g)CmJC;X-qY{LFb5~aXxwRZ6n_>Sl{|wn z6t)8xD?v5SqNvw76x}=vLNN6{hbEyp=jC6TD?v31{|z94_DWEjT3i6AOLrt_Kv@?7 z8j<_&0Ilg;3EEP%KhSIUOX&6L9~khR#0FmiHuEwt$IBS017%-Er5~<3&90(o_%#roUPY1Xbzl>(p{OglT}M%+8z5}Dj-q1{t9t`Q-RbxZ6ur6$LdH!L zO}z!o?iPyDY1%E!%aMZqR3KM_#($}=>l%FaxB7CrjZQk=MbmY+(bSE~-vQl?4r|cq zF21bv9DwW}p;pd445hG$`}x?u0<7hOeA?XtZ1h9)>rRCpp(hXeN`sik_$o>V0O-*Z z^xN|ZY89i$Pe3U441`%v(bam1m3oFEKicpNP5i0$bATYas6oFM_$opCB|s?61EAG! zAs&@qLGBcGya4O^I-mAB4|ApIZ!k)YcNnF_+k9-h#6J83T9bGA*bRw=egJLgKlzyR zdtkdIZCL?U;R9%Ye+2DF0oM5=n>crr=}IqvuyS)V%{lZn!?!;(CsLdVYzr_}fvTG3 z99Ef50nl3x`XG)PGXyXPff`k5ra;jSI}pm+p(xQF*g;^d1~s)u(Xc`wJh4ZSivzF; zg;110t`6w87OeoFqmH20aRj|C9diWXr3Hlk77*&wD+>q#&LGTp0-+HFI)l*A1%#iR z(dku*wQ)gFB3*Mqk)s<3<6Kd+SYn=TC`zIwZYVlr1)-)DMICIw&I4mDsG|)<6APQJ zIfYTu`77+zoWeLqTa&LlN-{i9b+0>$6xO0hK6VEfOQ8WCSV;=m7gb=P1RW{b6D|Ar zpyg>#)K^#!uYByE0xY{&J}snpKDM&}Yvv8wK0oyGX8|_CC!gl#n~$vo2E~l@!`NME zzXq-R@s&pRG#C@0zB~dISgk>gAoX=tgY**k>Omg>$bT`ou%slokWQh&pr_Mr33}xw zhnOBS*f_eLDZFi#;SmnsJIvl`>D<=cl4=7v=Ar3L8@8IRz>^wtZ;IY#3c9@2bm8Ny zm&DJs{}P5;=c znl{ewvB7_OOwK1aRpi3W+lmPB--({uZ~D;VT+@w>%h_&*q38Cxi+bDDIB5lw`VlL_ zD&*>ts)>TtHM@iko^3Zn*tp!{JIvu_*k5%08TTcx2WAoex3w6td!{cneqa`3e@njj z(6!s(h5v2S3&oA;R23dV!FS9O^!aTwp5L~H61#2s(JfSfe>~tQ#_e>Dy8_=N$6Y#< zTTSCHx>i;M(BL~}qRU@KdE;+pZ`@`_KtFNE%tYNLcg;5Zfwd;Z#EB5octHDogg-sH zi;Hg6Ax_0INEf|EQKDTX;~lP=kf{L(Am{{W*Iv2 z&~zfN`(`JJ!SA|nHewIy)O|Bdf)05+0G=dySO0gJ=I^T-UO>W@uly7$OzPKzGnv(saI zJi%bkHTA|5P%A#e+QvLJb1CI8%4 z-=Zsj3VvrM0z6rxo7jLaf2T@5phWoFj2i__M*X7-E=W*{_8-Ck&EK2BROcgfV9tBf zlQkimB~O!h6KgZ7=_5*kIG_@Cxbtv`d=rZQJ#VDBqqsA5{a|(k;z}nzn2mWNv`1PJ znEX>dXtMDm*x3Ce)FzQ^(y&~;ZQ9WiO*qw4_|nWs;f!XHG$2Cw*)|%6VS0Pxuf4$x zgC)*&=jr&H$y0Dt$X%NIh6k|b+Jemt=7oXRXDDq>D;ZB@t)yl0CRNgk0!@^(qD)hj zKtoEK_ol4FoCL z6z>ArZdV}N?!Y110Vd!zKssl~tFsg>gR%C!G;6QQ>8U*rqLm=<4tYaSmqH*FDFjkS zYb7;0otC8WlGI6yu0QLfCHHwDUY)WXcpx=*0QOj7-%G5iBQTpIu=NtlkeG`F*a=`b zca&z7u>kL(@o5&!DxG$s4uDdN_ZA+@`@mE^SPyjaA{1jbCfjOWnlfGZOpiWB4c6B<#QL!dB#` zJzf792EMEtkK==^fodl7!HQnTW@5*#y72@ym` z!R9PB$lS#Sa(5&{zAem&4by7a$(={A5t1#KpBHx__o6T~&c&c%*W4jsBjjK{WDVE2 zJUEJZa!*>moEN97xg1j%0rRBPbp$Odg0)T!ba0}JMR+HPIa6&9p2SAVv%uTU#q$#z zEs0WBtDcn$8Ht6YI)gLUytp%p)b5>h=fdhz#QVRkltL?yVkAZTp$Ewd6K!ySz+2b~ zVfgs7X(7uJuE;fiG4teSq&$Dr3OrCf$`hQ$Bzj_0DJPONLz;$nINC?j_nt5TBgw}L z%9*LTMP59DXF&oAW{R&!3JgLk@T?fVTIR`Lmh@4=SQfECa)#1kWYM?9&}9}CE{-mz zO0(im(~9#lY`X6L4~fszc$hcvSsEWI@i`j*#hXX59Jy{^dKSSwfrB5u6dH-mYr9-- z2w96r{qd!7KD-zsO6?8&N=a^UUHT~_NwPnT6I4cRA5lzeOf}w@SLE{`ib+YlBrW#k zQTQsa^=BR>jRUikb+rb7&pv*TsCjZWVE|7yqP;2{;fI;cBjpiE*+CcW^GA8y9$jD* zJF@rZ<@tQ8O{-0cKQD`~68dYMKlegf4puc!`sUMPf6Q!t9)|^+Z*8Euq^Lj$uTqU1 z!e0B+F9EzLDniZAnBEj|!gMmxl{#QlwfVVlcd9bq9HSp2md@sJgAosB^FV7S0@}@ONUx5qL^f~ZWPK3 zQ(_4Rd+HjBL4i5b^-v6|us&fpwH9m5!m@mUD;ZW6cri8Wi5}##_+snOyd!KeeHPA# zqT<7FJ{dqU>YE5&hA*`yYgv2|!9Cbgd35kf!b+#3b~w?Er)n2MMv+_^5hGl zEA1zP#iB42FN|8Pl#}#@0h&+l`XmMPD_2~m=g%*Ex;4HWH)5};&V>0sxMFpJO zx$~moNcb*==q+QhY`J-aEi+%R6(W@_7gN|$u@zmPi{S?;zC2{%+p>JL-BL*33eD7Z z<#+^ju7JH>UJh(qsijPcgJ3IRh}AK-lB}^1(v?&_mJg-&C9%ExW3jyoYg``NyGoxS z+sb2mS5Z=FXrwwspOweXtg>dRH3_yVe;unRwF0(h6;>;4g;-@XCg<(_D!Nqxd%ud> zSH#|P+&1%WGFc4a5fp-gbaG|r6ct^4ZV{+9i^M-7V)OBC_x-u-~ z8abRdovq9(v9<|0*zrYc<<{sKSc?S4RUvB-s761u>G1YFB~G zB2aLohH<=!;~Jw2Tgz5qn5A(r$m`VMME(g7n((T;A_#~W^pJcVgj{d%I>}XpY*l#& z)KI|+0x%nDXeW}URO44r(yKbp#sz?KT~(hxHFz`#IMD)>8uImsuwp!MfX-;h8>8fA z4W3DUA>c%xc;1k2zyYHo#q;st#RjPvD%P_BS6ij@cbKf-v?h3{upKqga-%gtw=9u> zmK!A(eJDABN24VA6Fabx#x6t&hPR_r3A`Sv7OTZG0jL&lNpW?h4^W$zXPdOrD4V|C zpL_C6h}tweHp$pmTLNlP4{n~aFq^2x9P~WBA-5;rI@s1t)Uys&7g-9keF6giULK!4 z;N7*a3s!$G&9V<|tjoPoqUFv66$To8m&W`&>v1axFgtln_+B#v+t~{AgY~e3n<=I~ zZ^kzxpYXpCD#~ys_)ugbZ%ltQM8FW-fM?J(IiF<>FrO{?^Kotnk8;bu+(rqU$bxO! zLYEq1Ayq=rFs%_*wpE5p-gKl9{{lEt8#@4;nt+Yl@fZ)tjw___zk;k#@A|Z?HJHy z`nblh$v7Ez>72pHWL`|xJDw*4yJ#OuB_&r{9t7i)g@cLO?#*F5cUz0n`hL8q)g{t< zo8?+TPG}ExZH~$9l@>H_c6&A3mGRt*bDgz@b~vTrELat$9;i z!YFrpX+Q1+{6%Yi#O_CQ^b`HkhUel2O>OhMwlI~z<$(((+R^#8(ES6}QHm85kOGq| znd{*a5WdZI%BS0X0CrGDnOZ{*=m{L4h3$AXeh_-8e6`o@u#SWOdQ8Ao+9G2ehxc+& z?Q;=iIreADBS@r$q_BI(j%v3 z9ig{6G&ushDCb5ISpr_k5y{6SenfgRo`}Vc&@vCW&2o~maBxSQSVyI@z?VBG-I$}4 z6ePS|UAh$r&QYt66sSNN(Vsg}U?=o)Obd?M5jl=v$B+UdMD$@HmBAfFJF| zTk_*z`6u%_PT5%)vrlJi=W(2G1BUaGxDbsV2qD|onftI4GKuF+uRC*JegY@hsew?u z6FTto83eE9gtVqwA_Y4E>HT-JPiXl(k^454?_t3QSPyp4Jjf22kJzsu9Og*W*~PP? zc6-?|`+4kmp#kXaa##MEpT;tf=JBED-7tsK@?;AGv4KqDmBP33wUsk-nr7&-OszKO z(x9+sa=N4b888eXsUO8}k%7UP{KV=R%{3i2oza%X+6O{?tUHgv1)lPhPA-zi=oz_s zf2!XDx^tE~^}sHj)q%pv-jITOY0%NLIv*>;K@%T}p9sTj@}nTFBWv}FkSDKumKOOJRqs3X9@lBoy0yy-OXrbTuxkPL zasAL5LVcQQ_u@7AImtSe88}B9d-3}0yw-Z<_IUN?Zu~d+n(APx-y5OFZ<0k}AbM*< zcwSrD)je>6T;>!X?>*7l{t zeURF?p#2qPpD##t2*a@0Se5dID4$2lScPWiWSR}fA1o9W5+wE6laBXAYUAR6wAj)Q z3;z9ow79({{;S2m)7pO6=0B7WsAS5=e(+HKkZuq%;8Iookg5_wnf(#{UCP&&_zavq zXM6FIAob5<<|S#R%CgIPnJOs1ti?kuc~J)U;+Nr-Xx3krJP*Sw0%Ux#$lj2+hylDf zzXG>ksbu#7P`)b^GY&jZapM*2vSa}|$#ZTmY57vqyd>2$j$NhBo4_8Oj<|~HqJgtYw%f(d*;i!e?c?xo4EwE6VRpVyRd&IF*l!N|*?EV1?3`n1cG0mV z``uEK{b4!5E;+^G-#6^Ca}RdOc^13kQk7kH>CP^>e2cNy4#7Efqu{7NrXnhCtvJLNql}_Au1DrFB`>1O8+fHu4tL91I(-�TYHPMo5qED5- z+1CjId-D?!t%f%vpPn(%`2JTg|D?1L{J8A~o6l~V4(yisH@nH3qe|_OIEZg+?s$%Z zCAzIvKwoEaH@e)ETPR}`59W8Q!Fu*vM?sA4=*tGFT`18hWgs;*Tab)%rq-Fzmpjrk z3IX^glTWw1jg$WlRmtL6cv-L`3nt(J(kVD7i_n`a?oJg(% zm^zK-ezyBYN%p`C$( z%#UMu3;x1dLz8}&q}pS77yc574D14~oMu5^GNvO1{8F|rLyqHc0=|;kQXN2DMWO#f z^k6)F|KsYUHyw*9qtDl1@{R_G(O6A9+#=_5`32(q2b=Wi=hv4*$^qAFDRaf!U zZ9IB;ThL1oe+&K3pHflwHuvLr*bJ=7G64ze=hQ`l7xaS!FRAcEfLGK@g4gt;1aGML zB!IWM11IqbX3ZUjANv6@?tY^R`^BiwP8qG(b)y^m+Zf318DrTF;|uo8xWJyXX!Zhc zzh1Iy?3G!Gy*6jEH|A~hQ+WzhLA;k4m4bOu{V=%d)24%uv!^0Rc(1LF3Qhc{@tPDj z1Gc>1G(-cc=G|$$3!WIM42j$(8kvoh8rrX?4>M7-!3^G>ebkotVKyXYa=}<-C}t*4 z7awg#PC>+AM{1CW*=XvVjY0mA)0vdb8KCe(O;$6JVW-fdNyy#Sk4^mKa+u1ENu+OAfxB-A%fYAssGd1G#Ry>iy%hT zx-l2)HFboL!@bB7fu?a|;91Sc;VW=CRgMsmaN0n8E<|dU;z%Jr_cK?4{Qf#_i!jD|0;tcN@aA zha{@&57VALEaYQQZS+^XE5OaK5GJ9QMLbzJ+KfHKdhig_bd({8F9n=7t@L^c_S$PP zuY+5$j*FonZ2zoMH`P3-*CH}B{-8T)~51IDun`daU)%Knb|I4B5|zjAx;xl z*-Se8&6dH{VKSCd<4jqGal}$yM_6pebV^-}oXk{78^4q%QIF+_p7$+B0u<>FPaYyn zC-@#?GccGFby@}o%88~eL)_t{{l-Tt;3F4Zjx4#eP8ukeWa4t9cMzg3N2KJe6L4xq z&dC3TfufE`+>E;buh9tySH=n$rZJ_Fd!M!fGjp~X3-ruDb0(LS=-)+qvLjX^Ip87_ zHKpl?l{^SIQkFh6Y9maG3puSqg2*a^GaveF6-ZW^vj_07s6P0%Buk6O1{SYJY_8ELzZt~LVJD-E`@&s-S?7X`qC0mnU@km z?2WtdvKe3KF1&PNKK?rlHeBA#_)_a{Vb>b3#-0xPmbc->kW*HN)rr-ZT*n(wrL|a;x3-a;BOy#DSEG(M&T7RF zNKOWrpeN@2Nf_DxI~eRL>mZWe)?r#oyrqqaLuWhI@kCs`mZQ{VxTW!@3hQxi;7_yG z!w`k)q?M8t*A3iF67YZ_=UXIZLm_YKCaT8<_;sN&?}!}12Ixg7z1sk73Z+fK5Sfu1 zAqb%~eIpD(m~=d=v2cpogf`*QqpAp?4rlA1xL+~Db(n|qRDGzE-|>5RZ{hj-fEd<= znthMSglo~xudA{-N*KZ+zTP>KHQ|sP^&kNqs|&3Xo4JQwn2~_*YMXf%+PoDEQ}^Nf zHuG4~TJD?TWoz9zJnzD$*_xVeK?(w;)3lH**uop&rOvG_{4?GrKl?mlD<;)OMc0x; zKvg2T#ui0Z2C9ut??tReMB9cMZR06aG?&++JKJyx+S3}N@9)i?@}kn8+T`-yJY6O@ zm4>J1bKGS+yy0}cze-=zb;3N$j=P&?IxXDJ523u<4!(xfv+WgHcAn~FyqO1Rgb@}O&S^OktQG%M?Mmp~&E!3!Er46^B>^E;i)PK=a`9)3z zmUT0fYu+8+l?va>pH$s@(EK)cd0AR^4}W&u<*g{}e*Q!{+(&7DS+M;+{$NTLx_l40 zw?m&yp6(%?nS$8c(VDxM2G(nlOvD3XIV<-Eyspe=$cx+|4{#}U1c7z_ zU6V7uLgm|wV)XO@pTmzL%D8?R>F}dCa$qWb>Gy|x5RwtfL(Vv5x=LL7ce5Yyj|l%| zx+&*4o*bP(q^Hz4%2l|ScrSoZCR7+FAk;}VsBgI^ydPfSs%fb6tMMxwStq5Mh5>+E zIH#Y;bL}Zq`V=*MinX2A^%45JBAt*oz^_jswWlSUeaPz>&p;dMipPeHeJx0FKvb}E+C3i;mgl2RnUxkTODV}B3!xPC6mOO$y-W)N_+)- zf6+m=82Sn)#zjItz*~oy-@bxPyoifM*`YfErAnV<6pV{_-=Mp@n4eLIc+IV7pdOZ~ zVFF}UsDx=;plPpp4J!TyQ@{Kg=S5G6MZAGxU83|ixUIM%pBAXhwV)s0@OT-_*2hGa zs;634q>#a#2oUzUNpE4}`E`W&NEi9j`ghp08wJd^qu1|Xn{H?WjN1)&)3|{EEAIi= z4gDA_^B-OVu@)|6eQ8$}_)o@79MbX`nQ7cCxc{&U;|9_gYM8Y5d=latb^Yb|K}AMQ zrSn;wVm}}waYvrY-qia8cKeP_66u-Wk=c$wI{E>j%snMSDshnV5jpdFTGXV7@OyGg zWJ2;kJiaH*Z3|QkJc|{SImbQ3J?S~=ncmY5jNbTr)?P~J=iV1((ZHXHa9KA;YeFw3 zTtRJi&h(XUyJX2awKr7B6gK*UiHiI_;x$-h$i68Y5yT}m5Jf1_6o(Lsd2*4=p5*r8 zq8~$GPUS}w-e(K?bz&5^&NGy7p>Sov{Qb)C)YxvDq@62g+xPy z0y%|5W0M(ltB|My2YkR0TsYc*RCEy4xoLHy)WZ-?Jd5?ij}W#whWYWXho60762}ftnSEd~C3YAZqU<0!>F0BLgcOWQ3r)Jm@4`@vsax zT{5}o2zRU_T;ER4Se8Wz9Yh8BL+LcD`Zt}0m-LOLFXZVW3d5bQSde#fMhQmLVot+c zL|=Hi>fL~zkJBeA5)I3SQkcBF2Tj0bdh82VQ5m9>jITMMD|X4?dl2$X>^$svlfN)A=6** z=qRx$RLEEBHVW-1vnWK-56Mrt`9M5$dX{^NVt5$`w@T*UXwW+;X7bG$vdQu#-s37l z&@4~UmolYzsm}l};UQoCAqtj)PM@+gqtkfs?GETFHv27{-Qt27ZX-| zg59vvr7*~VVrc54b2zxD7qqh&1T6sPg6bPrsWNM&a)@5VAw>{`Z&4aO<7QLNIv_80sfsJi5#Az71V~dQB??S;r5Udg zpI>>wi<&Hu?0g_UL7JKB@+t_oE$ZBw;3KR&2-aBj86@w|l2B7lNJ(C-FZMY|FK>*m zNW%{VU}62q&QGMUl9cKvM(|*LI0VoKKM~7AAYMw`La32HM5h!@_7|(+?0ZVp3!v5k z=rN2Y1&HQ6qM&7fh~%a7znTP!XxbA9OF11Fi=w@O(5C25p2LB=GOMk0p?Z)gj6&SP zgz;$kR9iY7^MgbTIhBycHV9pmCFc^NJTC{ANA4RCZ}}X`kEWsuE2obywI}7IZ2Hol zB}6oj#n}qm??bUAg$KUsOEc(4y-H$dV&#)$f0|cPxS^!Ke%2W)8Kngq#LhY;91C-# zcwH+PW5w!IWI(VeB4TaEY?b2$6_HN3Buvmd5vvnC>gFU??z9#e^y;J8m=%I$RiF(a z(6x&6Sb|CvQ%W?!j~+%#P=$__0x^zUL$UIz(o>RRQ&pecs^h9s{*ZwV#k{KO3zQSR zAWru}g%t!ns?1AP&07nIcu)|A?>q}TKMcIDhPQuti>`)4O-b*IFj1CQL!wifa3J`( zkbIC)RbH1UW-JI7HCT1}JzP{`HKdZ{^-v@KI<7+mW>rHbxC3ZLgs8^i=~x67RFhmI zMLEij!pu8F!mK4|H&|xG1xvsV$H11*?no$Gf>yS_BB7EAI*=;`qKf01{l zSSZ1}g)jhh*`HAcmSbUQ)s4EFmu3as^ryf-;T}9LEh>rxnG{oeP0%k2WUn-+492S^ z&v(i3TJmK`WdI~e;2h$Z0FHn)3{{j*R|-hp^+7GTx>7)8$D8yxV}9efc}$>NQ8?Ob z>oaIy1w1Wn8!ft0i5RdUpga!KI@)-uyNx>9>ePr4BS6o8gm2@u^QFhJtZ0TGt*8S{ zbvCLjI;&ewcjiWxa@f~;pY&L-phsuwR}OATy-&KWo8N6@EV^x=pJ}4oLbNnibmI-M zrSh&ZryL@hsPgE!;U_&eEa=&hW|kL&P*^Zw^aVX1hzyl_`H3!j^G5&n=ELw~1bJep zMK}JWquh$+8kl`g+lyzN#i1=w=)ol@@v}7{`u$s>0K139Z3T4}7S3Rk#@~^H&^*8e_!o>v1# zv~|Aj<1P7nt^4}<1$Zf4-l%x-1#3rJ<00ND3gKM?E-2!>mr!zKIB?k@duKJ_;wNDjh`?>Z3Fh z-nlCMs=la2VGTq@D%wD}voYGY?cYvVOnhLeHSkN|>;`aI@%!)w(33BzW<$}8Pqtd* z;J(!9XYK@_Y>L%S4={y(YY6$AO8b9C;z3T0P0M%Qr%}&F7<@XMclc|*baFq;j;b<) zt~Y{k&!9K5!c1%7|Ea*Ut^T_IY?|B{Rc3)r|I^28tst|>y9p*RNBe&Ln!ue~knb=q zpsTWMA^9Z&d_^4q==VfXnARo2rCCgWNcvJL-V|UZwUuBM&1))}v(BXyTx6aA2cD&Nx^`L&svBm-=rWyv6JrEBtQ8^tz<=l-6SHwW

G|8tW0H+iQ1TB!jjxM%D6?-b(N?br}p-xw6sRV_$ z7W(`*#TCt zunH&O^`jOvJJ1UY*7B2;CN3*&*ADlt2$66Ep2QGGO}4iF_#GrJSk z*E0iT@MWNU!$4G2bx`=Ws#6O)>unhBRu@Lz-*q>t4VQNEk8cpR6%n&%AxF`sq z`ML<(FC0901J+Bl5}`I@joynFy+jT9xmzdW zL#cCb_!^-!skf*N_xf0GxQBSyB!8G#ypI?Ud`Tbi6#yJfUwz{dsU2!{*R`h)ByoCQ zQH@8!QJ1e1>3(12B_io%UooAk^cP{YuAi93%gC&u-1jonrN0Q_QF#d~`nta;0#{vT z;$`9-C>&{j5*hG8qO>&OeoE5i1pry&A$a2lfP>dFL?--q96})g69$OsEJnxPN?c>8 z>Oj#J9(;}jaL)&dUT}jGR1Qy=vHA0frL}`ZFIGM;N?wGa;8e*@%Tv$6Xj*}O94y-L z%5X}RG*=x0W>=Q8RIVp(Vk+xcbmkDaDENVnOo-uL#}!R#=`~lT=R?p_6-xX}^yP5~ z)es)|=?wOr&qN72K2*e0QL2Dq9=SmmFn0xN;pw)Cgym36yye8o*X7F6T{S}37HtC zq1=iv-Vj{Ne~spXFC-~sZqsa-p9AvuM)KEeC||wE+6kIr4e3S}7}kiYjt0XT|Gx~| zH3kf;G6oE5q8V0qEGF~M7)&6M>WxLht7$&Nno53jhTEoi)lBlrgQkr`%_KeT2jfHw zcx8%ftv?67xu)kzyak!#MO)fB9^DTZkF~ah7$s6|#$2tjsrq_oX+Lf;%~TpOS!`xqq(>e|O{XBE)kS9omi7})z&A}1JK*i$ z7c5$JOtUM^nu?+EF6~)?0H^&skEPQv5BwImng}&b{HjfQeRu%l44Ud>!gOd|nzjad z)oJo)Md6^Sr_p*|X*6zzXisr75lBCpAsVxuRCT79jH{u8GevvWYhuZ4fq!=RXP;X# zyHHm5(K}1U8@obF8N0*0j6LCn4NG{iu`m3lu|MLS@ndOs+Os=V@5t!j1EBZ2)^4zs1c5{KmKt zx52njb+K`=+B)MxwMT}f+H>Rg>QRQJdV=vs^-RE-#--{<0IwKVYOFLYHFAxsHFg3X zH?GBFGVyJV>ou8SsaeFhQS*#(GhvExBVoH?Nx%=86P_8j6Gp!`EVZo0o!b6}rFLoK zUcEBL{d!*+mU_#K2ldVX{$)I@?`2r(hZv9R4>z9FKMMSm@w9=RVQJuHJZsPxu#@q; z!EC^vXE>>+bVD#jd>1{jv4@ywD8P9$89tS>ZOt3`?6*%)Lz; zzz(cPn?-osWhLNo=GXov^Y8d23+Olva69wsc$4{bLMATNhxw$&8kW?` zEHJeP;0PAf<-B3(a)*`Z+Rm_a?aNAb1)ICxX2IPsfo@${NH=h_+fG)h+kL<%EHv#q z!;-d(g{9pEe9yu$zG3NJghg~mgYI2f>F!Gazh`B-Ujux`qIv`xmL9Pzx(7Pw@sY*! zENxhN#(8p$2`jli9`y>OVu}Xa)7k$2FmHS)= ze9o%$h4A)GU~zpj06%9{NB6tOs`dYgRqg-Kuw*b+J)qYr%2Fpm;3l?qB{1c$f8<9BNo5$FZK1#{f=e>67mPK4!h9 zL>QJSm06!DFfLQ3u>n&K0iI_Arv@9Aso`ws)S-Z1uwhd%si`~Jh^dba%e2v)jht2q zNFz3C+C0FoS?09g057qu>DbHZ{%rL0bT(%CX5ib|gz3)=%M8XQ&PZlo&RD@F&v=gS zH*CsG$id8dZ0gKmfSGLC%)@{e*z{~i!;*gM1>vICwhMd7{W6mMOV?GGRQV@x4U|Z&Kwsl?t+cqzU zZJ)Q1y`L}GhxxVG=#TTsH0Iwk*@7CTxgg8r3wE1g!9S+m!Z_1@;S9sFaE|G?xR7C4 z>|t6Kr<+cTcbm>jY^KYSG}Cp-Wz%h02h+NIg;{vzGt+%lZNsvvfmvh~SiR~C)8p&* zX3?*qf?t1cdVc)};2qQJn@(o2Z=j~%{B9QimSg|FEoypyTLUoJ^!aut;BnLUJ14{P zouBFV9oY6=KhyuaQ-HV3fYoV+W%VF4XiXEd#M+%V(!CYUw%t~C?(Ih(ci^)c)0Uu@R> zv5Z;o$4O@WA8(rtf39sd`gyV0_?L-hlLHOR!~@@%O%EoU%?|!kujb z(+y+~{TxbAML&lK{0AATXr>>EiuyZ5(LG6Z)YRJk4v~nS2lzX*RsWGfbbvz;y_Up8 zsu|=^hMEVUavzPallVZ57YcO1Gq*r=mkNxH)YP34&(gR@5ODkkH^`x_`Xy^l3C!qM z5alYPs6+`AFVUw~fQ`z=pzFgh=ur8Ihn$GD!W_b>Ww=8$MTCQf7nM=;g~afT zGK#KB?Cm@>%ZNa;$`Sb7BMW9rtn(ri+%JWK2lzyeL=9Rm5=BQNQE8dPLP`UBCow$W zi=vjLQPYLSmIf1I%Yfim21U~))>UG+CAM2)2~k)<(I{-Fx8Cs#;Pg^b4@+toB}D@d Yjz-_~JxU_o(6s23JQfyJd0;o}}fE6pohFxRDh9&mi7<)Iy7BLo#SQAZ* z#vV(eF``C|y_eX&XZL`T@BJY&XJ+f{?Ci|!UdQbT7`rDR>zX_3H21oDA69-_vPaKA zR&LwAn3=Qj)%bWQV->cgpJ?Vdx6=s^R&m>n-_K{VTa?p}&0|j}u|JzfOOG&TdfcB) zV$W&Vmms8n$y~^L0GmiI1AuJ>#(GiJfnZe`2*Ra-Abd%k2BD1s^a_Ap4g!7AV9<$5 z4gsAg8-R8W0X=0Xn$p+m__w6P^)eR=yx(u;QRpqbnU6yM&Ie&>CRj5wft4pVfwK>^o51^?Kxw-G zyv7TFJqE@&r7r|)=t2;@7J=ow2v`;{W=B;PV_-pa9DpVj;m*`&3Dhl(UM>MWjixQt zAYd8T{>zw4_K(XLbHsuiUJphNwcY?m$_BLeb^}^|ps?8+(ei#Oz6mVPO(5iK0!w3w zn}Kasw7)-M!@dQr*SDa#Zpp{i0Aq)!Y!*5>L_mc|P2&BvB}#LDeM@jvPN zeQ5A6YP?^A>j2bfKPrzufGQd*aWEg-0*qaw%0Hm&9s1P-9e>ncFHA7?kpA*NjCN9g zL_0qmMxBRL|0fU)qhlM9w> zF0k)_vCb5I4XmoyK)8GjtP=|Be;urD^!hqjudaiz_y!2}RO)XG&z^RfpwUhJbzoGB7pinM~ObqLASjCJ%|>*06mN%UTW~830l3VF{R@rM*e-=_{1@#seuJJgc3okyZ$KORHXn0-2kgUJ&{loKD!&IUY%qR+YL5(7OuI9VDMf zZxpsnVY4K#3U(-4opS6@Xtx~*?Fxa_q!6$tz*sB|D-2e8VGz9S!E&(&wiOtwO;sJh zs^S2`B?qvME3BUdth)5dg3c3Yx+6+Va|Auo3G@b(?F7P3ClFGcQKf-1um=jes<3e` zDAa@;T~Wy53c_Yru$C(<-U?PS9kqh>ixq@kHn7^;fUzQAHK)l%z`}8XW8Mvf6x!y7 zF{DsEcMbjopv&%HXLz8d#@vhMW9xxoE0rsTvZ?fw3EFt-uSX^rUtE8cD51d?6V&!X zs}DWV>P;`SrLp1O`IyxwA6u)i-rgt|?VFFC{D^h-L+_pGo*$a-Ok?~tC>o%_Mia!A z)L-XJ(4!Q-y3z*#dS42yt}Klf(kP@1=xMYMKplDO8&cOHY;AO=aYXvHImj_BV#u`OQ**p}asvk+8(PIZ`r9Tb&hc|Zq+o)vRWQB}d?3nR4o%)B5M5V@ecq?{~ z{Qt(_MnB}vz)#=d5$qmjg}+oTz?^}zH11hbX?AzvLqqR!S9#B7j8!5E68EqGan*&7 z%jNIv=jK>aQ`{^aZN@#iYPR5dk9S8y>G#mleJa;iQyWrf{RnngG8th*a|M@u&7jLbVX`e;-a^o#!Ni*&i4`%%%3e%+*P?Ey*`~~lb!|iH+h&Ad8L@?wfw+VY|UsL73e#zay z-u;qylop$DiVj}Ewy6G!mr$QKh~2;v41R?|7Mk*kw_#SZGrQMV{URpbRN?L>zVbB$ z<72MetT(&}@W-!F&4(KO%Nq+{Ye`Ca%fsl=YfSwDND3=NVQ+W>)hh;Nw{l@Kxmj=W zx$-SfV7}D&EsszvCz|w@Cz=(nyyY!eAjP>s0L|X2cDee!5Ms*83h^(q zvPLxe1Fr&*djRcXKRHX*$XZtEkS`r7EUa|Q&)#vqujrrjbB1_cLng3b@h-7Q1 z76A-@0uyZj)-uspG=~vVl7Z1L$w6*Tqqt}cfL#*K0pFU`RzftE&27fX{L<%zE?r)V zHlh{uodhVWBMr{71|9r^x2=jmBN|sJ>(vA-cHnj zf2NhNQXvt}+UOD-P^;Zq&_vt3&S+dAQIb3ggVfGiMfXon6=}R8wKrAPk2)6?0rb2u z8tz~=+{|9opbGY&Zd2GCg%xoC_E=&46_)4#?3BW`C@j)~+Fi}sGb|WZ8tt)&002Fz z_ZCr+^;D(`%TgAqe%wWPBWyq25o>M@6)vKe)s1rdKvi}-imI$PZH-VCQ5AJ_60xE; z4v7AaB82*&45lp1eI8Ddi%ju>SvlX#JJ$nL}g!VNbp2YBd<|O0F2(mvm|f2B(G% zoY_PO>W>)-8+6rG#3Si2<_W6mvBz66_JP=07<&+qV&)(RP;NgIQG+CMg|tG|nHPj+ z0?Me?vNLSLj+N<;0rxl7vM*UE>(8380T}o|*qs4<4*QbtVf}?08%XPm2x3FjKC3U% zAqLw?UV^TA;=E0#eo9)F;=+}jmJ25i%GM1S=L#$2m#$6=KPpj7c(C-$ZWzziY$ddG zWs%ICi1r4W%A8K8+(mcrqCG@gHcXubUaro?3YcUNvAsjYFzn5bL!cdQ!r$ub?)Be- z*rj>f9xeHzGp+Z-)IotW;0M?r$K`4$!{~HT$YYo~Vo*r!bFX5;9fYW2CfyYk`fXNI z18ru$kvi!v9BFScY;%nTd5R=9LP^M<7J74!n$({a zK3~n%hn|IrV!*WwPVK;y%9a%tn{z}#5oKE4ssA|?9_KBJvrLNh&fg7tys=!FrX^MD zC0Qo6hF1Md%B_cDeTSgU&wWIBLD09^erTm{5>B1vJ|YsCuaiEQ7Fk0S$CrxxVlboz z76Py$S}nX1^Kg@{9iM(q&?VBFK%3`GdfYa@pTd5kl2~B1slDq@9sJP60_qoyef+JT z@B}B;6z2kZ>?bPY+Y~R51ar{nTe&Ibex0w@J8z+X_7@%~)>|o4AV;hEtk7F#xIgCP ze}>EK!~o&LeC8W{SSIVvNEj>=%ez2kGLi?_e0c};rj!&v10rw7`?n{?Lpg1$VG2g|?Ap6i?r6Hfi=0RlY*L!BD1&Yt8ei>}TpdeA2Eg`lVc-Tn}m0em)rp_h<# z1Grb8DTQ95+)i^J(xlQL!+o@Y8Wjv(T0$Ly#Sroegv)p%Sd3Jd6WuE%O3|zk5yqC9 z`|3i7@DWR)!^-}En3RTg%y}#|`_hMc6AybQ8XGEl5wJpZIat`!+fcNsKf8s%?tT*{ zBE)iQvZwF3RaP= zuZtUMHQITUi0sVQwLVBJ>JsV}j4zOEpO0@wbJ zsVl3MRSp5oRuRT#HPx($ z8f7~3&fGP5v!MwUpz15S!WyoDkd-l!Yi!08t=3edBKE|?&qQy96{0;Ap&UIbqfh-= zw-S`2XcbY8t*2C{{9|#wI$L~9nXSj3*Cup59jyWrx}GXl70tzl{LpS)RZ)a(Q1kYp zb5%tZB-nBIn+AjeV=xVU(r#316bUAblme%sMF`*cDYCMm4IMDmjS)!>8;md5Mz$8T zl`-gZlRmJN>uE<})kI|w5Hy&50QX;YhUCC+H z#T9UR))2GVW>a5^*Mx_&IX|4Sip_|)*mQKUq^78gLN{tcVm-@XpY@Iv_1U+k!=VRs zF&6v!+dLbu`uP?shPpnK7z`KVTdEU>DSS&?;vlvy)_5~KC>f7~aElU~H#LnHQQ+uU zE)>MA@gfbNL@o5`7l=Mr*Fv9J1^RTUjp1hLy>jX)%+D4-QA}hZeA7EDOGUr=yD00^ z$k0UWJTPX~ha&ja(QS6GgHF;_Cr?12`?YWmwEY~*xK&x~JP~jGq;;Uf;zPu$+hTPw z^{vV*!C_M7ZL8TCf<=2uuM01GD;=qe*?$N7j50cQNUA5wiSLj^_@BrX#q>eY@Y}Eo~!5EZiBKqMj z;q+t7Ze|ng`rYO!uEXU=L!>cr7e9#QLA%Vubq~w`H2O#mpV7a z@b)RQn&&|8Q{oR1```~G^%5n>p&8VApXrX?=7lM?8MeSa(@9VP9mfZvh7h(ddv!C> zi`jO=LCz8Xuszbo_R6YY`?nB(;Q~yjD7;!iqzC^;qz9EqOHoEk(FAv7+8MXTN=M)? zT8d+K2hrva^iwO5jXN{l&cYPf^~2_Q4N*!up90Yzrbpdn-b4iUlm@kj&z#;4ZJ8^4jFM7?my2`fkBW25 z>a7$ifQI*l8kg*V8T_gg$A@B5g;o5DWT5VyIzU@~HRFg!snUVt<9G*{&Et@Bf$<$z zJ%qF4l#wa|0m`Yv=U^&g(i4=r2Keh#I8G;Ua=|V1p@&~$u}_#G;nmx)EomLGz$cWQ zh27F=h7(X=ScFhewR)b&e$!FxV`UDrZtN%iGy9o8WJf^wMcigb?PA$6yZ!9fLJQdO z!u?U@N+G_B{l;MhMy ztSh|xGmw^^Z0vU7BH5X|$_oZpEZ@k3=;8?io;EHz`^;H#{nY^LpTf3VF=!|FirTX)>Z;P+EsieY{oj%(wtQu zh8kuOmp=-sGUz#`1v*PJyTh?KO9#7)vY3^NDdE%qvzbm)ogN}i{01@U^XoU-+5^V= zoVl}Ozr(3tq9^2d9{#3ICx6~kc(L=Q<=6Y|yqd2UjqVL?=bp&bV#-#kxmmbzc7gm$ zLTc5w3!7vYte@%BlOi|ig_&N!QJ{srpcev`3kbmV*YRF(wl0`!sC&4ebUp-~>J>Dt z>N%A;Hr*ul8^z5~wghGf6Dg^7a4|aB8w-6=?c3(KiT{6Fwp|}=+20Fn+23h%AIR$u zb7wqkhwOqwUu=~>l=l+|fUOWnqxxb$UdrDOvHc*NGkryAkoua^yQFMSd2tyNQ%N?? zE-Qh1(}8xdd&~MEth)>+L<<_6ewfrHmFY!<(_i?BKjG?Y33Tl*%HVnzv(9rj|Fl*z z*Y&dL%U)7Cly3ztsXZ9Ou24snOE)9iD;T6csCIlQN`M7lr<}N=B65{F_Zxup#}!li z%{_PpVVpjdN17~{#88yb`|(Ql%>kk->v)>gW@q?zcGj*wJ7YJ5ownPJP5~6?#E-p$@SuYvLCr-^sGIZYlERAxoUHGQL!Od>Rfg3d00k< z?)>kb$c1Ny{Cy+}e>zlh|7RcM(w{>_C0vkW0lg?S?ryN(D=AG33Y zqTF?J-=dtAMVkwHol^V5s_Jv+8sU<}Ot5jZ3GX8i0J<1Xhti>XH~y=Tksy3JK5kIg zVd8`>mn~%1xIMehZ?S8lDT>q?j#Ks4$J5!tWkSKtf9rqdbW0u8p45MYC?jrL%b1hN z9wADx+vd%K4*PBwNFU!eUA!xNgrE!xJhuT67jqt<}_>fFDJTMs)V$XwghOv)0rkTsK6I5xvE8 zBsh|0h;meQ42o164X4C17F+g(xo`d&hk3rB{$oW2x}-`tjz@{Js>Dl`%0sSToG2+? zV(X$6e|qp0QuJ%aibz^A4rN}MQqirvGF@W~vKaLmC!(m!S19rNV=JXu!8Sho3T;G; z7nd;?#|fe(u1Y#7@QiW*X!is-1f?g6&oQ{36G4ATKPvEwye0v>&K@vHOyF_53}5y= zBHRN;G&^L}W2cN3?5fe3-88;rcZ@M?moc6_F)p&FEQ&o-PrTSw_5um~m$;94#c!jX z=&5iQ&I20zotWglcwR% zq8VZp_|<13vUqRWT_q#=UI)GT{ZK*(9Q43hVvu5FD*q*ws?CH}InPE=pt+N0iv;!Z zNFRW@jSuQ_?bBk6oQ?jP&JhFc7&tS`Mn23!Bhhn3Um7tRI=O2u4l9G$Jmk;~6=7AR zcdF~r^U$?nGp4Cp9Vl!rYIU72`kUPA^TijqVA7s`{v@rOGo^b)X;!9KO)2wHBaTEL zJb=RW%6x#&7l<)Tm{#*+EwY5!J1gyTq#_H&YVdb16t@_x{UVgMQ}J;KfDX|Sm`Lir z7(RlX@_)RQN9V+Gx2IjT+3(bgal$Px4*pwKr>n29~PE`xt=PaT#a<7H1XmWgEMK)K6A72#lQq6}7` zSi>x=qiy+ z=T~4yPFe*M@2KM$eIxK<1rmx~Kl;LuoTEw!hA>BS$HOsj0Up}{-6D*&(X^Eq){NB{ zucOUaRA9W*6~ko>#_Obf)^Gr19K$JRjVK8m=}T`KnFVX)L{4iFNxQ13%bSL*1<92r zuf>LOH7(_cEV#F>^m;86s;Fr)q7Gn1M|>@O5k_QCz!n5`MR6Bk&cCRNGQG)h9YVFD z*}D6QOWuPgQT-4W=*FgXDoE9Z(y(d)?p%p zzJcBF)c5hDz2Rrv{{|xWv<@&Ud#X)pR%XD}wP4~#sGuhWTtJR=#d?ILo;G9hC%M{j zd{0!#IxLu{%5_$!?%!a+T5S-mSaD_cA^?y$3<1D-nb&&p0x@3S^{|yiDk5q@2PMo) zMXd_OF@DuDm0pkY!DSOne4`DRMAc2OjaeJSMvB>t)3$_lsFoN#+9(p}@Mcj9x2zRZ zGAxuM*HP&Mb#vEj38@F#kS}BO$ zZV{aTQnNH?W)_wr*z_>-R}kxp%R|mXl&=}ZLX?A589*P^E3R|R(&>l9Zqfw|?EqN5 zgU1!3m*Yiic*0exz|lt=9lwLTLd*;f^2pA>p}jhPuj=YMTwLbpMs*6Z%r@a}7i`3% zZjEgiT?;k*X0nA^ETvW;1Z>CPTTtS5q!K`%ZmP!O?MUCWpue|^!J=h;-g)>Ah^D1} zkCC|(?(GVtd1hg*Si;b*1*>;O&G<7MnWcS@I zyn~RX(VlDMU167b1q0em2Xf(X=Fo@hFath!K+2(VcSIQ)eGLMgnv0RcZMCC62TNz# zcTF^*z-x$bBd!ZKYJE)vQ}^qz-m|V@uxqc26mq@}uHOxCz`qeU94Ih?18M}NsOl|jgt9k9191S>Q$HlwdzOY{E`-H;UdB$~r&V68!djlzDuju~ybBf8PsfAYr>bq9&& zes@KAntBI+e!DALklWq-0o1(ho^E>-OIxM-A535 z6d^J0m4bi-n3E0z1iaH|Ti>xAe}XXJw34Sc6@M!FAucZa$?Qqvj2VyS4I(e5 z|L{~G>@hQeI)TyYnW!YsXp4CR4PE_{JEEbX<}qv_kC3;iojE>qvZm5=jOi?;J;%N0 zZ?yBdh(&z;5`bPjhluOFz*sMsi;s90Z;mdg5L3N5`uPQpmkZ_{F47sAl}xs8V3~~z zc_t$q3FRg)aVve%d@7~yY=$e@TvXA&7p-}TMlPy5053XY;z)m(FMuwR>nprxxQP6T zvdXG!e;Si2N~!i|yb?7LC7*Z&g+2ZXBQ5(HM}gOCV8dP`I6#Ezf@fAgzQ%<9G_%ae zL|eJ>XLh-Np}Op<`MfLj9lZOjHzHW%=1chX8(5>)vQ#EFyxDAce z(OaeWNMGEhr1v=WZ<|>RbIb^RN+OA%$9m#D(xZ1wRl|KoA!_#lj?*3J*e4ym^HKcL z)ul>-K+L#f9y2O~H{V^BM??CgjXWnjyNVZ^aXpj1OORj}wHMsi?3(NX& z_o=XK$c+#5cVQU~cRQ(pECx`;Ue*xI>Pj7cLe}%d3FzYjd+7^TINM&v!WDj{fE^y8 z${10|8fIRp&2x~R_^PLaXCM{KslITKUaXJ`3b3{GWo{u;&{v(L9ksPcXFUJXPg4q+ zN9h)etPPS*w&5T`2DLCHm4M5dWsxPRoudq(?iMVp;@PpnDkQ)n`p^+a$kg7v$<-GC z$XYnb_V9dh7Z(knH4(~#cLK^~)|GBJNl&o!8!1m`Sp>M+O6CQOgX%X=gN8ZFUT}MH z#DC1(TGVa{R}Qaw0H~gApg>;AYmy7vwa`iz4=GL54HnRzyNw2VB;an)!Cb2uMffP_rdcfPQSOg1hwZfbH5qsK( z$KWf9Koe}VOEHRA(HIzZHs*$Gs;=Wk)!e{xhsr@oB2dSJzIBtawAoGC=!2V#f|DEN zj^2>2P@p({r$7mM>MmOeFBl~)l0F`?0$z#hbfA~%l z+QC>5^%SZ}Q7ktiE|vHmUKC^TK|)g{hJkpf#r3B280kpP#iUjGC|3#&dk|juY42Hg z0$h2|SvAnfykfE!98g%OO43*DQ=Mb>HLns>;+gwuyW~w9JkcPE>`)fnoBr^W)z#-= zvc1A_SGBlw#wTPA^Bbf(#{IME*h?9GAKG19x(h!X2fBSfGb`&}0-ERd@$|%l2S25H z;hCP8oF1wltiAN5pG!zr@ZdJ)y%X{y<|V_?;9zs^eh5soV269jNa?4H6O4ap2psOy zE9~Y6URYRvD&&ppp#UYl5CHv#YrHoG5ugllURFH7yywdGhSmls$@o(0VQB4VJ}_wk z=5)sS$WE-JX=!ydT*z0p!H+WZ?$v}bictFFxlxGT`^rk943tePQW9 z_ZF!JR}M6FkD_nzB#Ts$hjKv{mP9vE^lM4fKUNa;eM-qPqC6ZSwR)_)dHCo@Dbq?p zJmt*;&fHbymA?AW%~CQ-RKR(QQ^1=lm6jg(f)!LxmU@)NdR0K^;71FAf}tO@B9C0b z^Z~ThsDS%%r6XX&EGakP^D?SlUgWD@1P?9)rK?~w=Bi$Tz(SM*tKo?|4NxbT+El^J z@+fUZQv+#bDXgw{UK%(MGp$5ff!Ktf(PITFQ~4m-2tQXCtw2>e5d>m1xdvl>V$}7% z+DtJx;I;n7D2?={9l^L;j4^LVPV~U@hkL=&ic$kjvmcW;6%@e(wh%D#4DF&2h_9M@ zA((edRt@G&YwGI|87ZnEoB7GIR#U!>nR%5lDi5V!t}hOiHCc7K6e_E*8U^m#YUJOy zwF^TZHB^qZ~T62*aFW$+fJkAmZRQYM&#utaQUqg0On9CHlrH4lAw~Y;Rdu zl{nL)-ztmd;y%W4imt=B*l=k>W1UQ)$C*dl0uWKae6yvFf;cSo*6Z+n9*4`yGEQZ} z^p=k^pAGbjkVQp2WT1)h>X}DX7O!(7`idl8X<7)2H;*H&Ch>W91{7ab8GhVF+cC7e z)$Hc|d4UVWIQlyh=X@=51_wTqg{gHEwrDMN10PCJl`y5+rWIB9mb5ntO~saz!+7ma zZkJ8ef}Pj<(7rrct5cx0k>zD4DqRk>;AIt}zoMiAIaWZcpMPoRUnf z;hz_5yiR`OVHIVvsAoRY^rj^hWp{k(7s`IBVK0_YpiRX6d2Kq->`HO~PbknPe#@2b z>=mM>pUEUqKfl=@KSQ(i3pATI-UbEQZcw1@U6s*xgMw|>FVOa|Dl%I%$v*{~Q2nYf zUWqihstjaFO7pzvSXEg>B*81v4#vBxP^cv3xO!(6!#OtV<6)L${;Wc;c9JshRb`So zc+}~R`ncp!XV}wd=rMl8fw#Mgz4GJ9Rt!{qy_lSTz3AtOlNW+sjTVV`# z^DE)Uk=!_1N46C`;R6+*_M>i}gW4a|=@$@ppE`=nj*ShrB7LS@s=-vWF2pf}Qo*3U zjieims4G{o;pA5j=kIW;Tn`@02+XuyBUy%))|0i^D0OHC0@x?O&%IhtR;Hz|L?mb9 zX-)$C*a`GFK_=qIZSnPGqL^&8sIKt_<`AAa*w_?0P#-N%p^Np=@l=XCgzV0W)1o+M z(@ej98g*{~)^w`*V;)Pg8SumOfM?LZV2YX6BFfc+(}9|j&9eHL>{&FmA=tAan*!`P z`TJlFc{f7SbE!=ucu@;Y?{OhrRm4T)*BD?iwO3#XEd`(@jb#zK-x#O)a`I{d`f6&e zz#3ZEL^j2n#z~iz+xB)#9ksCUeDC@Fs$t?+`woo?(vM47BrMA+)>gzjd zoD8syRwaYDopROJ4yx2t_D1xxx+#e7=vq?{-_vK!WU{)jn9>ZN23=_eCL^Eb0G!$? zAZW1ylKyCpB6bwfLSDqLQnYdOqu`eE5F!q|UdEXgk8qdkU$A&lrBCOC$zh>-Ed=clGdcgFDlR)^Zy> zcbr*%)T9mev5S6$JgJSuV@{-C)dc{DLDvqlcD(NOM%;)WVz-ff;Omz(kJpK9adx@F zJweJL2%bL<89dc*E3Lrsk~suGXKE0FSb)d3lRJeCfknBg&`F>663_EsZuHmK_OdcU z1pW3?XM$~bF{U~89W)1d`%o0mFymUJ@8@)Y;ue8r#7-(s?>j(W-Kc1)oQt33=&Nzv zj5|iB6BgW^-lRg~J*Z(vxfSum`;KxD^57BFxT6fFWnaim@M}}`nVy~2Nq)iL2dA4U ztec%-$NaR2tX2yz!>t-QbIqG9$~A-DI#Ro&ToSBTbf|imIiK-LU3^ z(xe}}V0{sBvb!weT38gwF!0i51d1kX^gc}%qnF)a7NWbuZbYWZWLmDUd1*4z)%8F1 z%BY*BMj{XqkN!ne`ySFC^U3HTTgV`rvC&+QH$7yu`rNC`IkY5*I`+f^njo6o6QOo6 zo#=^hEsQ?&lq&#mslEh2JDBRa2)O@BN{Fw<4{A+2X&^s={{A+cTwc4(q90uIfC)IC+8N%Wf zh=ubAI^9psfE$mLd?3KY{`iVgBh#-Y9DrBiN?e7W55j<|Qj@_jLD5RQi15$Cr$@3o3IqgSAtKu9W%k-= zh#X7KLlBR+4wd!k^$=N<`VN&H;P{>#3dO5VkH;YY?2;}Uh#L7xw7z4d103Hm=_pr| za?@pZ_`JGY>M-Pa;uOh)4k$dH9EZ!+rVof;FpiSa+Nq!>)<$TgH%e{uMMHu4)h;l< z+8^gvo2rkHX`&9sqTOBXhw?gRm)Ax>!Qc(5?yHSN_jPIFNOYf|7A{Xz3Hc(%b2%50 zpbQ^u6Ogp{sGvK4Fa|0UeOlyHN53CE0v zgx_R9!tX{y!na04!tKUDWQ|P;H&&8Mg_D<;q13`{qJ--~Gsa>LiROGBjD;CbGR53# z9O%g=eV4+UlK2V(Yeww=sO?wieRTcH+ZHauB}tk0bP6O{JNWHqd&6I6%BYYKr{+bD6*kLeoGs&~iLmC~K1!nh&WoAqKv%|ka*=1%I9@RBtcTlX6 z6C7mh3Gp=ch88g_p=FHyq1TNAVQ-9s;cmtc5tR%}L_OnBWKrXARJid|x!T6h<+~V` z@ zHZD|uXjrO0Gk&iTX;^B+8-LUo2{_BRRO1-n730sEYYa=xY~xDJ9KaLCU$GcWY>II; zjv1CXcOy6MtZ^-Vs*xMN%do`b=g;v^ja%^a6uNw?7{%!D+@g}38kMXu)Kf}^+knyhJ zX21i+`-ZO!OCv|)LnF+fQ3^8}K^l$LG1llJ;Gg&@DF)OyjLF6+fW4Sq<3)g*S)nGI zSm7qWvqFi26;7PN>=Pfb!b!!MeNunJlJpgGNQR`6e`l8F6%0%BD$KEYKO@7^d>nIX zalo*&ILn+{LR2l?nM=!fz~;=g<$S=^%-Zrdz~7mzRdK`8Du@+n)e5j3b8EE}a3gbX zbr~?1d8D`+mXwmLXi6erCsr(FHsBiOnQ{j3Z&tjun_+1k$V#+s2KWW@YTY%1d9~@v zyxXi`K5f43YKPy6f4tAjK1>*&qAI#x6+9jh|`j@CPBH=T59lXGpYj4h!sj5AZPy>ayOjblJm#yW9bM z$3oD*Vd?75Lc5|u*G{Z#*X4lUvGA@}0iUvnZUKg+TSXSx4Gnbrz@pN^4NF=qE0@*> za11Noy{cj9-jG%3dCIW#yv{21vKf|MrP*h_k^#H0%Dtc$y}o8udgTH>V^w=Yd3(pR z=-&MR$FZ1c9~Jt?v)KNq(0>$*8*rG# z4-zbH5OiZu16FHrIaYf}1gkZqjbRzmht(O{jD4P-#OkDvGA!w{S-oNDEMfRiR&V%P z!!mpqt3P4|YcO&-t3MKoJn}D=(Qp*@{HQXl(I~9xsGh9JsEvT%v&2z%SkkBmENOHd zmOOd|;4zjwCX6*5)0;IL>%f|htpIqBHUFw3YxdPNmi*OD)?$1#Yd*dUOB#=@Iesi_ zHGU`HA(k?s7;8PD2WvB7FKau|%Gyor#M)2X$vRAO!2X})!cr$e;U+a_9Vbl&B=*Im zJFL^>>V{=O&vNmW-^;Jb2Xbh^BKNhvnjKn2eaz3 zsk4Rxj%3qj9R<9|rq6aTEVEtMjM-S8+1=U9+1RSHm$O;3p-;2_WwSHpVAOM>*qk{K z*_^3t?wmb<2ig2NP?$N-*uuGShGlLOwrK8Xws`J-wq)*mwsc-~wtU_Qwt8L;TQl!p zwtjwNwqgEswrT#a_~np4`!;hR+md+%!JRiEyEX{xwy zmpd-Eai`^7xbyNqxy#CS+;!DzZd>z|7g<}|u&hnsZfhaxwd1+_*KN7S*Vuwzf5(e{ zeF^X%UTj?l?zs-zblo4k_%{OU|4mU|;+vX)$=vIk9KaLYd%dG!S?|kz)aLW;1a?4d7ly!#(Z;j+(+gkCm z+g*70_Cq{kXL%mE^EQvlUdGF1zvAV0CG!g3yYPzNXB_2~c9-T=a$4}JIaxe<&q5xv zw+*khH-}f>*P7SZca7KFAJ1d=Z{l$WoOt|!UcC0fWxUQ05&ZKXCh@vI+~x^~YV-Ps zmhlFMC-R0rCGbW+t>=w@PUcO1{(~nTiRMX1*6`$CByajlXWs0WeZ2WmPu}9_48wAC zE^k@!DAxPvQJ!+_9B=*W6W->yCvSJW7f(HTnRh(x#=kiIjCcO+2Jd<u%DEl_OUNbbMT1^Ma{aWSbKf# zBk6NLQ1M?|c-n{4azFdBtf7g&2Cn{lga~Tt4{A>u?T?oGD-c2U0jQL2;$Hwae_&lB z0KM!{tdS(^?AH3%C!gw78DLF z3mBf|VQ>*-i2(JIqIOnTr3hgA6gE>~o{_-*i9osjkyz;CLKCT<5(e#sH+g7O$61ZO)Ucv+(hI?+_*7e zn6qdTZbXsVL>g{F0%swDu7qkKxQUkKrcDKXyv_aH^L-c2Z8zGD_iLf#Ow!agLX~`W zI}g>?LT`fwwIlkNUMhHdO=syTU8Ye{ZJ*wwf9NWmEP6Yn&uK?V?E}3{f6^sdD|!1w zw@Qi4MBEpt^m1#=>86cDi7iK?GLZe_bEGyOdHY9y(5bRYC2L-k)v9H0yL6piu&HKE zJyz?9z0JicnkCyz9x?e&TXZN<>rT8qNmOdti#JT}GWkYVs87`n=z~;SskbJ_MS4v4 zQoob;7jCH&dE_w6vyLv@K{uWv#7h*=LJ{v!LK_jzP{wb>QbZz`kxBzz?xRN*&?`;! z%Pt1wD+c8+t^^fSf>~S*o?$q6g=(;gYr#G=_=1t(7e-wU*WD0mZW?3mp-0`#W8AIa ThI@-!?jt7L2|9P0eG~E@$g*>; delta 471 zcmW;DJ!n%=7{>AEy$31Y=HuSndru`LQB&iwt&K)XBYrFr5El{DrHdU~O2DNQyOdJs z(h4E(&_%iwMRXBcDL4ed3@+*-SP?-GanyjgsNmzBe&_t3b9#+lfegA^Xv4YjVbc>!VIH{z|V!`Q0mR*)=TKX(E zBdfK@>03HaGqKf&k(06X#aEUqES1>lOL{AIoy6)rUN6!Qbcx!;Nj?2=J#o6n(xyM@ zYc5m&mmS~s&9V<4gBU>uHDqxaIm{rBI|$H0h{q_PhX|h#;};Ui!%7)L(!e3PhN9fY zu(VNJ3#f}YY#1he17JHeMYXK3v*oBA% zvG=wbqlqQa*jsE#Orpm3duL!~exJ|#&ppq5?zv~q&d$y(%l$o0zwU9`y>&f3E)=e} z3NN2l720mdrh|p+8>|@9H(Kcs;XiQ{Hd%$w8+AhbW{VilZ?@23LZ>ZOj8C>$h0@JB zVeVFo7<~&Z!s9JEp{P8z-ewW>g*xGGc^tdlBGlWa6DsYHVlLtp%xmnF;%UT1J9I*? zT~d6r%RbW;_gzN@NJnCU5{9VjIyf2rX$&6tba6{&K6D{wPNgX%qm15 z)d?SuS;V;HxJBrGOea)7A;p7;uQ2a$Qi=}|x1Z1nlTKNL8z*&w?zBZ1eo7~-KW$ld zS|`*wW1$O$qi3ubyPmZQ;b(NhhqD&p2;zcs7U9`hoe*%|B1}7{6ZW0A&}Bly3szyv zd7W_Yf<K_^VOXrar6&lj!2kc&DY|B{8S5JE0nF_wyB@)avxDLfX(DK@Ln@rq9H zxoQ#PUc|{ZozUu<6z?E@byX*f`9X@>>rz~ec=?)6i2YHDr^;i`8y4Z~8#>|j4GUc> zOuT8O1%mTWd@R7YRY>@mj~B$T-!0C6isQW7R$3_d-Qi=gI40fY{EIk_`^75sy`>Y3 zzgomtSRNbQljhgUWBPrI;Cn|W{8t`@-=uly11TOZkDVS`=wad3Lo3FNM|`w@Y^7zw z%Ewk=)FYix|A|G2dZH6-Pb@4vgE|RmPv_G!!mFpLw34v&g}adUED5yjSqqGBpLG&P zvyl3{u1N07UKoU9&qFIFi4|ZFhP(*FWa*1Ear6+JUh+b3pScUeUN$IqM_#rCRe3eB ze7@=xpFe)}4aU^pS77A%&aWGT+P$77&ke$-*Ws9jycvyg#hVEjmwqq^fp7USr9B4W zo3|mNHwr}}-G1A=Jg?R}-sqTj9mUZo+C8*OL6cC#}SieQ22QqbQbsFbZye zRFvx|(?Xff%T(#lioE7B&5)@~rjIh!`Kw~b1ex~A^jfCK4;6Xo<-}hG@9lxS;{SI= zPO40+WV$Jn;h&1UWSJJpbU`M^e=G7@$n>2|M`ZdWQ{9hzN7~1V-ipLI@A8dIQJ*TR z4wGrKOb=xW_*{|KN2b*>{Unp|zlyw0GA)+rqD+;)@VpvdDtenK&UtS~W%^t}%j?nd zs>>(JR4mim3S!Yz#^DNXQSgz1fp&6kUj=g&{8>asHMG1*)>$KOS)$-21)c2WgjNd9 zQt+69|0!7CLEe_B;P(o?Q!qv==Z;WttBCBXR_-;ZlAPC1!8`?TDd^!S=XOzWse+dk ztWsIdZLQ#J1&=HEMZrWTdD|orS%H(>>w9G}wu+oLQo%w6pD0+(SRV?N{-iDz0f1 zyAg9TRJ>iq&t%32Oe#0PUD>u)#dlTg>7nGLsMxCFt18x+%3p+mwJ}jVm-zD}oUJiY zJYgh$tK#Nn(a#8^VJye2d@Gj2*?5V?)=&G2rA0RTDLg{rJs~&+NBzXTBD?u3JXpmCRO}p} z2JjXR(TZQ*nHVl9MenZ(Tf0bf~gCL&eus91WowIX%(9z zl$;SNKB8jRNaa|ABo>dgU*h|b;#n6}pD3l=9u?b1D?UZVg)086;*K#&{(2SvrQ)Pm zB_~(KuO${wP171;j>yX;7W;fEu}e**^+h$6a`#nSD^4k4kytEoOT|&Ol)ckc{DX>v zM1$hRJ>KipH$qTzLLLA#eb@}b)uLvCQ&)-ze`GNy-5S5gjK~)R9vs2lCx07_f#C$ zNXeO_;-6I<*;vWRQt>qvS8t-^WJ+AnL}}f(sj@sq#V1wl(M-u1rsBgY);Cvj2B>(S ziYvEJa(YWFzN@=b-mayR(^bV=B)-~GX}x_bCAC1sf2g=sYb9ruieIU?agvfFNX-9) zVvjgGieCtV+TfvBTf}}G>xh^KWNq3|cXo@Dg_B2H&`C~RIMKGCrJNday3A<`rz&5A z_H$~@=}%6xIo13cbevNLr!Sngb4qLndd6uIr{H#=eVhhxde3Psr`YzOyPQUH@@x+( z(E&5wwg`BTiR1P37dA3_8Fm ziPK-4=5UHj2A$wE8kExsu!E}xoSt)<%qgT3sD#r%PJeJJ;8deC=oe0-IC*sjZR6CP z(^F2%IaTihy2hzLr?0wz@;S}zLfzQUT`2zaX7*kA7e3acD;|vVD9rAs&~${h-Dx+?u8J+Y_!fWm zvOCq$UDCc?(!O0x+_#JGi)Pz;(E3Pt(t~y@aY&&~l(p(b>$7_))R`^nMcbAXbm#Ix zZ^-C5cFrt3mA0ZqIceyph!v#LFinv@iMQ#=o}^M&$YXs)nI_4`eP~t4xBY1p^G&5y z*qlDJFDD&)--ouK#VF;^7WGG;#ZtZEih4WyqF%97uUN0HS1i`U+SERH4P*M@H59WQ z{b(mPCY4TPw}zwpc714Fb|?)8OSGWx;Qq7~tJAk))zD8`t;X67z)RcS5B=l}pgm~` zdkdi{(GQaPZ#0tD?pM|Ig%nHf|9?utm6!A#AeF>f8-QxlhtM|k0DpVE+1hk!&t43n zCd~nTAE_z-q14;{fD4%}O?wW-=|8{%hS5lb_QP|r#By&H-aG1am9>9jRH zC>QnC98}e_XLr-7$^PK~7Ck5xJt!6ZFB|6=Mf)(3-VLLzG>7y}c@thNWjJ-gtOuWk z&_k@zNZi`a51~GcUmaNK%aVKGF|vQg9n;l?Q11tB7X4x*>n(hSH`Y-_y#wDM`Nfzts}8{EUx{lW2k|(r(@Rc6Ir)DB%H`CB zlZ{h0C;N%G=XH=?L{rWkC7upu(G!tT#%TnnPn-%l)yo7u<&?=OI1{vw(?CvtWTN$T zoZ=>d?r|DB39EjSK)X5h;q*IS&E*s|8FY)&2u|k7plzJGb9&B+aSEG)zHdy%N$EKS zm!WYAXc4ERDOf!ogU&-yy7&hjg~&Tio#zzonh&FwW_$ z`nS}B>8H`oY%!a)1*yzF=0JtQWI-Ei*{kBXCk2p zL}xI^+2}oY7GC+x*|;IjV!uGp*(_-e{N33&4`=4k6xMnUsHO!}GzYn>EHr`6Wmhe< zC7Wx(Ev0%k?yxosb!DToX*~1DM$*1)6kNz$=F*mQk<`ejxipq8miT)xOPPySK5@HL zvd!kfKH_#BhzgRu%I&y$u%`K-gPi(;XbxL4pAOWl&^KcD7vib3WC0$srUkSY)Nom) z*{^URR(V>9xi7?rZ3Sz-5cipt`Ut+_#kMY_@pL8obs?T;EA=sAdX>mST1^i(t-T9b z$zm7LB)W<%b+r@#nIR%g{`&9*@0zT&o|K&=|}bNV9fJ zX(DFBrM>{Hrh(7Y1UTc;@^9< zF)Pnq`#-sBS-s^nNmHPY;+1^Z`sK(i(6{C@U-of1jm4~%Qk-R2(aV4wd`#Ej?Gk&5 zX4Hw*T@JrH2R*L;AKxhl*XL(=mYIW_auNfb%)y15!%$;0PK;7x7DMhsWN5aiJ>A0m zSKunxDqRH&R^TewDqRIz`Bf0es;m@M>}qhom9!3)GxEWQR?-;ov3weg;JOM$3Z){` zR$)h>RHRTU@>xL}S<4MHSaTR}pLpRf-hig+4#!0in@_du{svkH zi(RC}#En=SI0BcuWh2$WkCZ+{huPtcG*nYoaShmQqCT{YpJy*te-mwol{D#^Ez@`A zZ@rma-h{7yWen%t%eitF`2$+U>^I}eJfaU*t`K^pWWr{8pJsJ&9ppMxYjd6Iy3lnA zVwvj|*K3H6T|c<~tF^g>xz%%PfSByo-)#`0)or8O7Q|AwOKvvAPll=nz1C(3G1M{C zM;v0vG)zHUW>|07gm}&H!0;IHvr%Vs(b|j+jO~rdh(nE&j8nC?EaMjA0b?n|55|Ya zCt91kr+b8ZG-8_jSoaBtTig%0W8M9=2l3EoZ5}ZmjXjzn_VgI;F$yuyW2eU+#D^Y# zdi<@mnIcSyrbdWkO*2h%5D%Enn=WZ>W@2_R8xUKWyPJC_Xf^+!1Gl zEDKqVcq8OV$aAeNv_@#t&=!dKp}Rwi5dR2s2y@ih!p4Qo3bP=d4f{Flj@A|)6kaR5 zHsaFob>SNkABTSk&%%2gF(~4jh)IYCBQ8W-*4iSCk-?E+h%+Otkqq&t$Y+r+wYI2~ zsG(8A5w}MjjykHfMOTV8MSE#&aSn0rac0DnxS?@akJ}q}BJQ--R_ju&Uuxag+Ts_+ zuZb_v+7f7jYl0CmA)!@58^qxWQ|%J6N`BZzPZ%_HNqhG87;d@##nic^`7zpq!gXRU z=jmqAZSH)6mSj~T?!=LVk=i7c)FDerU2=-lBma>4v^Ghk6G#JEOd8Vnq>)_>(%3G8 zG_l)3n%cb}%`|?bxuy?kp~)jHHFroWdp&7w-<%}bXOcGd+eusdd*o{e18L{bnzVPA zN;)_kBpn_8Cdt|a(n&j>bk^=AU9@kqNY_fyq+6vCB&E_e(!J7i(!(*7^mH6ddO2<+ zy&WHtRD752Q@KCsTe*PrtNbHLbE-`GJ2fB!ohFdMPB+PrDosdwm2G60vylvU&LSh6 zpOKMOJCjjW50eaC2pO$gO2+9vlJQ>+A``#5LNfJr$t3-HGTEgnnc|W)k$mfNpG$5?-P>i zpH1=t!$^Ks;Cr$*=rAd$c7v>|9z@nx&mbGBmy(Uaj%0IiH?k#oJ=q$%oD_yz$hOEy zWP9{*vNO7r?24&McE|J~dt!ExqF6$TW0T4EvFpgb*!QHQMsu>i#&U9?#$$4@W^Hn) z=5$h8^Bg%`t1&5yw~!<8f0JVgLF9Ns6sdYLp*uO1(4U-1V5Cw>@2PfFlQon$Rz9x% zLfX4_A_0|8;F@UXx&-bdTu0Z*aHrrpxL$)h4cE!F6z&XMvg^NaXW_cIK7>05*V(NB z+!K;D5IYWN6w7jB3#8SWRjVaED!zrv*(r^4NX8(|y_cOP!Ju@vq% zxKYMUa1Y={8lS*Dgd1(V3ik*u!#x`AG2B>p6WkNHG42zxAfG~xckc`N404=1FZdkp z8+Tst1>6J=4ctq(O!rrCuiz$nG=uvcZn8%d+-tZ=9;4viz)kh&4)+#ripL(fcW~1@ zR>QrA`_|)cxIf^srhEJb`6pzSsS)H~kl&fY;6A|3FwKGc8*Y|qG~7RMGfkJ^{)L-k zDuMe5H`{E0`vjM5`Va0ioW;Ff#Wfpdmi;T;H96^?mdC0UM6I>=SthakU#TniZnY0Tuq)ge zAAVprxIAAIoB?jFuN|BbF5k}q&K+)@?`t>@xB|b~a3;77ei?9Pxb=QF;XL6sW%(V0 z^n%>zzX{SCa*O{GI3Kvp0lXPsxWWM5j33MNuLKau^feb@pQSdRiaJYTJ+u$PL zz7N6E!zmJOe+Yq#f-4DG4i^n~FeD2u2JS$}bGTTz(va(LHQ)|~wt%Y%R~8xr7YBDZ zw1_xnIn{zZ8kz?g4|yca5s3+K$3x%2)rLD3W`U~%cQR}YTwS;mVRzu_!JQ5}4ObuT zRCsN;M7Xoz0dNiA&V+A-YY2Bfd@)=jxO3sSaXB@HyBMB@JC{=v$O{pZAe%y7ju-&f z4DM3IWw_>Wwut?3E#R(1hQYOjyB6sN*9z`xB!g=WcRg|jToT+5kuTxez}<+v0oNAp z$Ee|OU&H+r)fKKCshdS^MjeH04|yx95V8Xje~$Ko>j-xz+5s*Z?sl9Rt`poZaT>VJ zaChT)!7gz3;&{QXaKFZ#hU*6RTikBA6uA4f?!$G5dsyoNTo1Sh@da=ldO|*qpAXp! z@=<~jvNzn*_%Cp&a8DB2!1aN9o=^*}FWj>P+_;?j!M#jKhf9NdA^rrmcD7wD{7U`S zVi(OcbL=AU$AieJVCm=Pd$a9=SP7rvhvpdOVgccIVrTaI94rjS6n{&Idrt8s{Hhzn z{IWs#@z$Arve*T(%xt?z_J$wg8Yl7hQgI=2E~erSpS!bB%rY0-@Ixj3qUR+3!YJm| Vn}{ULh)9eP<4x0j2jX4J9I+btx~***kGql7_?1_9}%yipRrwv z-aE{~^4&V&KzVGt(<~J2(FqUAW6CbG(CIs!P4tH z6k+;a-d5XZp;LuTVq0&&MesSO6YlOei*eimv+(mFouK(%ifa(76zPPBgHk+s&`f6w z?GIVdK0IU*axgZ(&@4umBD0WoR442#k4+Dog}uc(;Z}JZeZ(x>JEjx9mPgA`voO9y zCxjGBv832c7YeP9S#c^q-V zEZjb=6F!&6l_$-@m@_(|<|!#2Ib~jTRwr~hErzG3&GcJg>=}y?dQK~&ENhkPTG*dy?e$gU~ysQ&CTrvw^ z5FcGK(=1`sWea7(KbI{+*K0Z<_ljAleqATTT$SR5@|bYVEL^>z6Mip`=~lC_?50le zx-P~2h#hb1gf=&%c>ji(ZV-n3U_tvyY?t4(&|Ja)7H^Bhw$p8kupbFoPHYD}w$MGod$CRXg|o*K-tHCKR!=QL#0#D9;;C7Tv!6-P@wu5E z7uG(v&=W%B3ky9ZoI-n6==0JdG%lzne0mvA?S#zNE<$2iJJ8OuR%qXsbrV}RVc@Gc zkz7{2HV7wP1y^($R)9em`8ouh%-4xxYZC0=@Ivp)T!hhY8kM`!HyuD#f1Os|U-v8T zKmT#O8-y=!L(vO*Hv#RMcT>=2{$&vS-t#r3IR;_s`ykO9 zg##k}^uA?zUd#u+(TN|rimg%j@xwRe6#P3smiXWMQ9BmzMMH#JqR9NqC^&ztDA!e{ zWinlqsq!Bcc`ap{FH?z3pJl55XT^*uGVPb?txVy6Rpcd=6F&^TwFVk|FF3D8o?~1%uGA)p)RHiR7#eL>85mdWlb&#V2lVs8t@KHuAMnf|Gu)eUHQ)z#Bv z`d+5@6~rQ`jAInsrr*xjV$^j5J&#n)A=b1gpz18eU}@m%81lTfz7mEs8_ z@p~1wbQAr2KN`Za+?4!Rk{9PTa#!ZARPhrP$9gDr(mj+q_fajwYDH54A9;=?L-@KtgK zsd&GNEBProeI&*n_|_3Z*}t+1W%2%Es^|+;{FjQ`2Pio?Dt;%ixb+q_l_j%OT&CiN zflAH_75}2*xh?pZD(oBiPxmQ$NBUGs~NyTSX>=vfv zj8$=|ik-rhwT4S9u2m%Q<8bk;iz*>PDYs9>wvmeOt>PUj{zt`Kqm=x775}N?cD0n8 zY!$ziSUfe&Yl}G|ua;Qc=Sztl>nK}aUPmeSSj92XN(r;XVu^byj)+m_&QtLZDh{lx z1^7e5`&OjCKRk5al zlG97Y+g1EU#a+Hp@;9mY4;8m zi&I-pWt1R$eIeByk6>{ps=_9AroN9Lm-QYBiQ}rI8ot!#y zddVq+Q_UWDZy757wT1xM*&fuHW%r<=bObxsgTLi5+n!=*){~~7_q`N?dWpSty=W6; z-s?rf3LEvK_LNocL%(6J{iy@%)rWQ{yxX74oqZu6rP(;J4}EC|&3=6!me?B$?`O4o z(@2Ctz47v}pPlF@hAw?+1bUA##@h6!-+&kPr@b@>D&`&F^J=g+eW;EekR~6HCLdsY zGMkk^tFqUl*a}(R zKrBKB+`F;p?Id?d6ixX)ACmjnOLR--y%&Nhb55bWYNmGmdGqp&X`XwDF6I1{^D>0rW6?51~1%A1UrBpc+RO6=GO>doCg7C)MX zv4|v`IO9m1xE8}v7hN4&I-K^SN2Iz(RCT=}#ga$+OpRpsjKFwK0(?|jZxIo_NXJ7Cw8;8AR%ECwWCLw?`Rs1(0Md22m2+clRg^9 z{b3aLCwAkKP&PsaY4mK z`;DPG%`tsnzOx{Dj5SHdWqOQXgP!7LgRwy@zAp`fJduoDwiru&S<+?<&l^jfV1==? zo2EqHoLBH*nq=yTUU|I|sa}byUP(p05?-$hEn$x1a7!R_9Gy!~vyyStgm8Nt?ST+B zo(`wySmt=hb8P!~>Wy%IJdM{})cdmI#GOE;>j4C&{ zn=~1#w31fYIvKOOPJw;SY0(s9`c1+4F5;9p1y{uzKDuTKc2i?2=rX4spscBYbgp7J z6>}QJ=^dwR5bYu@V&&YGy`M@Ou^rPe*nApx^^(&(PJz=wC7gzF`od`wrv}qOk2p=| z9a3K5m_&^XHDji5B=V20`nMIA75&9s0{kgF}XVLz26zevdCTWuN zPRua_Q*X?s-Pxx()WjOj0S%oC+QR7yC;ePdy;RUlPI;W3a;iEH)H#)QrxV%AIe4NJ zaZZugZytCGdo&MzDd(x2lbF{6Od32N)6JYNa(d4xW&x6BOOrQ%S^tHwRThGx7J*V0 z(r#?cA{@hgkj9bBXSEj5(QLwEoRW=msFv+pM0;o!>cgai@?4BNWD%RV7&-gWaFlNs z)85R}4C8!PFl*TIZ|N}2I(-wiZylaW)tAyC=#7zkmD%d0*zP*^ZYhmNXugcL(X7Yuis@UH z;jUhyi(F3IX|naVH~BtD)CM?CF~9*HU>}4s%?If;lL7A{S4S zd;zC1WhHH(*`Tk*nkCa9KqMdYU{SfW68p50MnN@FsScU6A=H?P^d#}gApYXg4g7KB z$@Xr>>%|79Sw-7xaw`hw{-^M|RVbYMpTciep>S?R;at7CaIUIwE-MgdfF=)T7x#@j z!+-W^*=vE;hHAzSI*8^m$JI2Db7(7B0jgS-4)SGg_bR;nbhujpH+jZee;x0}<*m z8bG&-J!WN?Vqv)9x8p>J8-JLE)3lvkXSAkf$A9Dw=DmitV;!>b+qq;7t;05E(@xB8 zEyyPaE@3TJoyqC&S{lVJ=U{2yb#RR~z|CHVyj2@8<1;76T)3|5F*=sh&h?m4l8YJ6 z*|ff9cf}Q#l#MHHw{*qrF2CZ~3#35S$f5m^nW(x9a&l-CMoM#Wq`TS29Gt&B6{Y%b zK&d@asXY~??ruP-J-n13i^;{xd;U{zMK0>?k?QSX&vWr(e`h03S=)`Y2@A;stp(wo z^X^6r&E(>nJPdhm0xji~%;^EA5>AnuK-Kg46|jjmWrI0g1L5^ZpN|rM=i|*XIiI$q z#cY2*9;8P$W0pe!wqC+o7T~Z-`CrbHMdsrAT2_GTwG^)k%DwTh00&X3@1ar+Hsc^l z`D=lPqg{LTomZ+)=6L}aJ-QkH6g$pqdoj9&dV+Bmd$71Iw3FsIPKtO5A7}fv;C<#e zKVcpYc0J^K_&BrKij#dpAF8^FP83esN*~kIo=zj2lC)N*IZn%+G7*nEU3a>P_|oZ< z(-*DPIn24Ca}&hw&cmEXB4#;nb>4wk;(X2d2I9Yl>INsR)evH6U}%JxWSD7~gJ>~q zHf%$@X?SLMiTKs1H#%#r#wNzD#_outjkAn%wboSQ4&zZ{3B)bqb7Ps->f-4V;Zh56 zsLN!RX^1;qj=Esn<-N(qR7qv=XnEcLW{{-Cvb8N6zG1tT8tI_-sgZ>_h< z+a2+DA6uWwTC2|_pG7`q#4|p(eePnWU=(fh6qJ^n; z#D&tTh@=nsP@+;E6N-!BvWds>mWiv^r@{|m+^CyGt zhLA+NJTk=Y78z=9M~2xqA|vdlkWuzOlBBB5$e5}-$yf&?Np?sj;~dJ!_-ftBglfmg zL|qU`(Pfe;y3b^4_2Fbj^=o9NK90=N=abov)yN#j)M+Hu@iCd_)RD}0DkKY>{m4S+ zWn_`_|45o)C@~wZl5}Gn$uJg>B`(#;w=TWNQkNXE%;hOrZVDqSOj%^5=>f@f4Iryr z#}L7FKe4!eBCFk+k}NkfVQyE+8h0aE=W&6o_dH0ly*85^?=rHX#uAe27e?|@{r(`E z{7XrGz#URhvnJVGb0XPNvxIC7v?tpG`;Z-ho5{{#Ms|f}klo?4$eze#vNy7X?2D>S z_D2mS2cq_ogSBkPp<3NZVXXpERO=6NxOQuDq&6c*YriDLb>hgeItxfiolB%Nra3uY zH-nt0`!_iiTa%oQjUv^~#`YuUVuz6nv1^H4VZYfnRFj%Ss@R>>ekGlqx)Wc!Q@A!d zIc36~hU@Ay3+@bD7pI$WXW_azmB5{Yi+B10cOI^X({s2BaNV7oz+HsvUL(Xb8f4%{%qD!99FLk%zC?!k>P+<;5{339m6 z8S*~lD8oOH58y@`yTknqH`>?;?jc-~aW32=xUt4jaF5}}7)#)Ofg5Ms2KNLm*;oem z6mEj?2e@Z&<6UaOJ%>wiaff>WH_>HUD&$MZ$u5bIWss9xc)?e2Q(bt$*KkuzmEhjM zO?P<<_bc2qQ!BXN;AWbl;NHT`Fin7a2RGZ)5AHqOEYktF4{&o$IdH$j%`yEA_Yp32 zp6My%ACRf8%^?4TT;Li8_ZQrJ*L1l5!7Xx4f%^ov(DfSJ-*9QJhv7cMEp~H(`vRBl zM&SN|GrJ9h`xkDBTN}8qa2aj|q>4R(Tk5u)_}bG{$Zy?VL)t(tce@3tfm`O@7S0xK zrF$K?N^mRO&%tToR=Mwks|=UvfwN#=1ha>12_k` zHJ$-*)!>-t4+|8pB--&4g*b%r^ zaM!}a;9A4o2sgsDfx8~Q7OpMa&G3bA?cjb0{|&A^-0ko?a2?=oMI^&@gu4^b8?F)>oP_KQc`srYWEUjfjr4-+3U@!UGF&{|PthK5-Qa$Xt_0T|?m;v!*aPlSG%wf_ z?qT$KxL$C-MDK^|4fi zy@>4ymjL%FHWqFWTv_aVxWRC5V#mNG!o3#1l5JRQ6C-{5ev@Y7&uE%W82{=W#rlKz z2kkVQNOq80>HBn=O&94qvzVS_#(?11_)n7qu63j;+M<`OKg1EgAAJpe1zPWf#u_tU@IkjE8&HiOKdvp F{tssJ0g?a! diff --git a/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class b/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class index 84a599491299613b05c10f5219b4571edf9c42e4..8ed9992389ae9366d6af6cc6c0d737aff730c777 100644 GIT binary patch delta 393 zcmW;Fy-Pw-7{~G7b8@=gH&IVzdJV;naeLt+)lx&djYUIK5HvYNI5gN8H1<$Uy$wwb zDS;9G0nrpVH#rGKQ$yg=)15v)zC4H12|HnX#Uh)h?JRFthTM3aT80lT&97odOe76| zi|a{4>G+~0Wk0F8C*F&{;y_%o4Hs?A2jY(SXdBAKFTP~u`PwOPAEJvsd@{#5dmi5Jj1q+QgkmKxe^EF7AmR)+?M1{~I7Yba(f zHeADZQd;6b?1>pq^RKugE_;SA#RJb!!v1)Wm9*Z@(N$`H=D?#n|#Ar5LN#P$kPxrieS_fX4Mi3s)jkWk9pO?g1W$>YNMoHQBea_)d((n05o^UM}IDM BUn>9r diff --git a/target/scala-2.12/classes/lsu/lsu_clkdomain.class b/target/scala-2.12/classes/lsu/lsu_clkdomain.class index db65f3038fa492a28b1143aaa0f62373e7e8f73f..367257a91cf3cf9f3026e636e23a6341c3b9b973 100644 GIT binary patch delta 4953 zcmYkf{NO>FSsBYmCVe2=d}OK`OP_J=AO6U`+R=d`T1$* zy)S0aVD@r`ov#@)#o98(&RV3XtUN`Nal|Z*4V zu5;~tt(a?PC+Di{uk$n+-}Cr%p32yKBL*xmVwocjU8u1=3siQ;5f?1d*wjTTvo1Db zkt6m_HTLIu?3k*uNohuWY2*&9I;c5##-g5?3g2tTr2jT?BUvUc73hNmgt&{O>$$YnOW91VT*GI za#iL=@O6A%GuA$@r_@lnHeoH++1Rzb0NnLP+1RpmfqW-!Ik7HEDv__r>xVhA)$0dw z1#Fnj*Mbcb_^NE2#n*WobNMbeU~e1+PHx+i7b4YU1uH*^=EYEb@rpc;>|qb{J-z0fxZm5w@raw>to z5Yz(HA*tFDn62AB=$?k!g}RSwzaRQ0qBf(hp_+dSeIrphsEa7CQs|3Dt&l1yh1ryU zKu;)YA?h&d6{`CIIFXFnje39zI0$`{P=%=Ls1FZ8-zcfHLonMVtXK|1PYfypRe>^n z2Yq3vMX2vk4X97QhZECLdr%Kifn}mEt_)_|BKP8N;RfoXBXCy&N=IErS&u^BVAM)f zCCd2+=z9%r>JO&h14#LIwR3s!6D=s9IEuW6(Dmm5ZuEc^`+qA*fZT zQ&M%uVYcuS(4C4ZL%l)uI0=0-Q6;Fys81@4J|C7;A$}&ZD#TA%Rz(m~E5$$ZLgS_C zE(Egul}1kxb3Fys1k^52_Gu^%ld8KA#EPWK&jhj8n2Y|G=o7ho)H77X8K~wuBt{e6 z!9PMJOG?~-8`a~isES;MlsHj?>U<8)E=B!>3Oo5!OB?5AFY zrrC#n%O^e5=shH8*%{WH98n|_9#I#mCEi=3ET zmlE&ReN^B7z=<`eTd2>jKy?MG8uk0DaPtx=adQiF2<|QMcfR zji{%n@Y_&bD<$T*hq*rgg=!}1ChF5);JN8iCBMLIo$kQ7G%0cGWz4m|3)Ok3A5pFD zL3IY|B&ykcs7^s0MR`Af>Lk=bsoDoH+t`QDQ-U%*f?PbR81)Vn`xyGRpz2YPPoTO1 z^#s-LDO7V%ccrX#Fx%=n=&40@e+D@Xbp_S&IaE_omrx(Sfa+W+@j0BqT+5eGosK$z zYFaOM-gRs{0%0 zOGfQRJwOHg3VoAMg{bSO58p!HD5Yv z=WCT*2R4TB|7B)0w&v^3#%LwZ63$jNhUWC99auwScuq0xkds71bw5fc)1LYgO1sit zdMOY3x0G7-21?uLcZ5E1qDeGZAENL?sT1wQU7*mGx}wlQdbSh&ot~`FPWmk;+Dbnv za)T9~>uBP+e1+%cn`m3wPcJahSRU$3G+fU&@s4c;MUPXczixA( z-T5wBx2p6bJ;{Z}>GjUM|Ede`AK=3K16}D9Wq_rJ=x?PTbfum6F2>londNS>aXQ}2 zI|uTc8@ZB_{c9z#n(N>c6zoOV? z8r3LIqq^OgH_wx{Rbnh%jp7Ynq%pdeN~h9TeUZxFmxU_z)@xP%F`g6Z=0!v4Fny*M zjiJN!@fQAp-S*;#hU;-wYSTZq@K3nhi@&I)7XG5VE!0OpA##Zpo@-;}xe}3^Xr;aA zNaK9D$i`ZER`I62lu?#2eY2In>51Mv|3u^qMLq%YjUvC=o9Dx9JU`kJV)Spa@q9-c z&)?vAI!1gCn(H-Q)K%~6!%JsGX|WF<#@~kzQ!R3neR!^gFTZ9iTtDBJ=iPmIzRZ`7 zRmNF@#r6LBAN=^|wO!;>{rDZt{rETMniqA^Yy9|uDSp)JfPWL(E@ZJA@gru^j<}O9 zJoYC&$wVG!lU_vUv6%EGr+KU)eaJgvrWO)Rt9ZOiLY%UQ*=Z{YRbq%)NhJN0n>@ZG z;ilQdY|0=J&OM3QIhy>@`B!3gQON+;Kw@_7Lk7B*@>oeC&9{izT%SOq-0l&x+glRt zF`1Y>7LgcFLd>2jiS6}we>g}9v#JFV5s21bWtQ|CIWKoK+a#xo1*=39_WC(@v7DnnR zZ>}XY%ea)wy3AlgQ*1*nnO#!|JMNd<88pA=eb4W=`_J?H{w~k+KIfe3e0p-q^5m4I zcsYitw4O@^GMhykfaJnV===c%Gonj&sGV(NTV+)G!4eSr~+ z9kExMkyodwJW`BI&xQ5AzAjuSM1blh{1;_HRK$Trsve^j8}XVWrhIASZcB`~af!;K zMWC9?W5f|PSKQ1{L|ld{QZp6tEJNkP#k|a1QN2tNZI-Hf+zavbazzYWX2i=7v%XS9 z(sCm<`AQYhS&CTih}~AGV)jZ!R5;?;ELFH?E26;>m#kDpRgNNBW*e~t;&Y*hemO?G z1hH+NB0d*JH0P?~$|`q}pPQk_PI(!^n(r=-Ib!T8nJeP{DwW5JnOZKauKDA*RoK=x z6ASVOfGYF*!5Y8XPjp!Qv96)|+Dx=w<0USy4xp?T$xAF=69}0+rF>0>5BD7FG&)C;fulb1T!VaL9h2f5wn2o(bU5b3frj7EhQcoQUfjqmyPpCyDXHr}aL07gH>6vn_qXEQL{b5%mgKih&V_Bms|h`qm#ikae}iX5kW|tM z65ozPRhiVK@fCD%;nN&o&LVE8Y&W+NQeh}|=o;tQeI1@!$ zN~$24zs0#Q(tOglq?e?RzQY}fq+O(Yq`<>+F6J=aZIhnM--WBBR!8ux(Iky@fn-05 zbJ3(_q)L+Idz|}}w1D&->6I@3GQ3+-8SX0~-6sVd!|oK)W>P(=^>LgVL&_)BkUUS| z++fmj(n(znC-824%W*o5beQy-)Z+)7OD2_)9*}-tVa$1pDHZZ-BD+F~pi#7?#@dHxMjkJGX%YfE*>9d)EGXK?Q#(nV5WHFi@;XGrbNVt1x4`HV`3 z@ zh5uXDa3i%K2SV_80`okscW|C@2AO4IdFVrPZt|0}~%5F?8-tDxW%NhSV zJT;kAL27XsyPuK1CwX1L?q5jq}{sYb1n6_cciZLdc4~^>cm{b6E^FT z`(BVDuHy;oNsmZ}HX!kv{qbpPQkp^cTEa=bN}MU6(xd0@XU) z!tNZ>kEFJ@v71Etf#i1wyVFQVNuGDHJDGGqSN&bQ+xUApQ%W-5$673D8|f`+$OD|) zM0!pd_z=76NDoP$KEiGu>6R{g1Kw>#1J2Zwf*)f|C0!zQdV<|F(s|McPqF)jF8MiB zQLW80>?V@RNzI?jZrF3Y+YvpNKU?+}xNj0^KgsnacE^!QbY)ir3a3}HE5BmyP1 zJW_KtLoLAswJ~O>9fcYX(*~PheZ?6SgVD=bPwJ$9&YqRk7JX|X@ z!)&YtX2Z1xGt6$W@EGlYGtBxq!>rW>W~Vsw7*l^+S1rcGTWB@TJQ%V;nqA?ov_Ka= z%{0K)L-yKg2V8h($fAtQ+C?@Zw`j*9 zHAUDa8V^%kd4E%+t*wzIy7FLn*+Z;w>65N-=}2v(6)xe^lqZ{_Y+a1UZg0xFLe@{u zR=MfPXrndU4Gxd8B^t*SxN$egW*EKG&@)HbS@!>$GR-o&5$;BJt8x9+?!3Jz+Sbiz zUWF!));ttGT|1+2Pc2K~efUtVT7fq+$pb#gZXP_057&}Cc$5}rgI9gS173BhjeBb! z*mzGKtHs;+5N(JZ3gxmeQWn~2?d&l2P*x||p*qT_mdWZ0PpFzac~7m@6Ap~?gjy#r zs1-rYG{zRDZM4Hj(kSayvL5CI^|7{4qrSll>QlX;eiiE4L2o!C#2ad^K2TfW4Nn^{ zeQ_h@!UFJc(3C3yEWt8Ll?L*UuI?Rv!<*o#BkP=O@f%hdNB>+HrAV+f>_5w*jr}h zHWtcjAl_nqoU)nKX)_BmMKP->j`cNNgZPYvn^Tz8yp;XP@-eeo2C@E@UzyceVFO$O znboB?8|bnRVkL`ca-CV5JRi*>t+$!g`i4chO=VWM`7GL)lHqI-WjrY3GCU`GraXy1tyw3w>-QPTx Hk5PUDI5kR3