From 9ae43ddb9484d506d71022bc4ccfd9fe719c534c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Tue, 1 Dec 2020 18:00:07 +0500 Subject: [PATCH] axi to ahb update --- axi4_to_ahb.fir | 1496 ++++++++--------- axi4_to_ahb.v | 392 ++--- src/main/scala/lib/axi4_to_ahb.scala | 18 +- target/scala-2.12/classes/lib/AXImain$.class | Bin 3898 -> 3898 bytes .../lib/AXImain$delayedInit$body.class | Bin 732 -> 732 bytes .../scala-2.12/classes/lib/axi4_to_ahb.class | Bin 106893 -> 106997 bytes 6 files changed, 953 insertions(+), 953 deletions(-) diff --git a/axi4_to_ahb.fir b/axi4_to_ahb.fir index 4080b855..a2206c0b 100644 --- a/axi4_to_ahb.fir +++ b/axi4_to_ahb.fir @@ -408,140 +408,140 @@ circuit axi4_to_ahb : wire ahbm_data_clken : UInt<1> ahbm_data_clken <= UInt<1>("h00") wire buf_clk : Clock @[axi4_to_ahb.scala 156:21] - node _T_5 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 197:27] - wr_cmd_vld <= _T_5 @[axi4_to_ahb.scala 197:14] - node _T_6 = or(wr_cmd_vld, io.axi_arvalid) @[axi4_to_ahb.scala 198:30] - master_valid <= _T_6 @[axi4_to_ahb.scala 198:16] - node _T_7 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 199:38] - node _T_8 = bits(wrbuf_tag, 0, 0) @[axi4_to_ahb.scala 199:51] - node _T_9 = bits(io.axi_arid, 0, 0) @[axi4_to_ahb.scala 199:76] - node _T_10 = mux(_T_7, _T_8, _T_9) @[axi4_to_ahb.scala 199:20] - master_tag <= _T_10 @[axi4_to_ahb.scala 199:14] - node _T_11 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 200:38] - node _T_12 = mux(_T_11, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 200:20] - master_opc <= _T_12 @[axi4_to_ahb.scala 200:14] - node _T_13 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 201:39] - node _T_14 = bits(wrbuf_addr, 31, 0) @[axi4_to_ahb.scala 201:53] - node _T_15 = bits(io.axi_araddr, 31, 0) @[axi4_to_ahb.scala 201:75] - node _T_16 = mux(_T_13, _T_14, _T_15) @[axi4_to_ahb.scala 201:21] - master_addr <= _T_16 @[axi4_to_ahb.scala 201:15] - node _T_17 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 202:39] - node _T_18 = bits(wrbuf_size, 2, 0) @[axi4_to_ahb.scala 202:53] - node _T_19 = bits(io.axi_arsize, 2, 0) @[axi4_to_ahb.scala 202:74] - node _T_20 = mux(_T_17, _T_18, _T_19) @[axi4_to_ahb.scala 202:21] - master_size <= _T_20 @[axi4_to_ahb.scala 202:15] - node _T_21 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 203:32] - master_byteen <= _T_21 @[axi4_to_ahb.scala 203:17] - node _T_22 = bits(wrbuf_data, 63, 0) @[axi4_to_ahb.scala 204:29] - master_wdata <= _T_22 @[axi4_to_ahb.scala 204:16] - node _T_23 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 207:32] - node _T_24 = bits(slave_opc, 3, 3) @[axi4_to_ahb.scala 207:57] - node _T_25 = and(_T_23, _T_24) @[axi4_to_ahb.scala 207:46] - io.axi_bvalid <= _T_25 @[axi4_to_ahb.scala 207:17] - node _T_26 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 208:32] - node _T_27 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 208:59] - node _T_28 = mux(_T_27, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 208:49] - node _T_29 = mux(_T_26, UInt<2>("h02"), _T_28) @[axi4_to_ahb.scala 208:22] - io.axi_bresp <= _T_29 @[axi4_to_ahb.scala 208:16] - node _T_30 = bits(slave_tag, 0, 0) @[axi4_to_ahb.scala 209:26] - io.axi_bid <= _T_30 @[axi4_to_ahb.scala 209:14] - node _T_31 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 211:32] - node _T_32 = bits(slave_opc, 3, 2) @[axi4_to_ahb.scala 211:58] - node _T_33 = eq(_T_32, UInt<1>("h00")) @[axi4_to_ahb.scala 211:65] - node _T_34 = and(_T_31, _T_33) @[axi4_to_ahb.scala 211:46] - io.axi_rvalid <= _T_34 @[axi4_to_ahb.scala 211:17] - node _T_35 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 212:32] - node _T_36 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 212:59] - node _T_37 = mux(_T_36, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 212:49] - node _T_38 = mux(_T_35, UInt<2>("h02"), _T_37) @[axi4_to_ahb.scala 212:22] - io.axi_rresp <= _T_38 @[axi4_to_ahb.scala 212:16] - node _T_39 = bits(slave_tag, 0, 0) @[axi4_to_ahb.scala 213:26] - io.axi_rid <= _T_39 @[axi4_to_ahb.scala 213:14] - node _T_40 = bits(slave_rdata, 63, 0) @[axi4_to_ahb.scala 214:30] - io.axi_rdata <= _T_40 @[axi4_to_ahb.scala 214:16] - node _T_41 = and(io.axi_bready, io.axi_rready) @[axi4_to_ahb.scala 215:32] - slave_ready <= _T_41 @[axi4_to_ahb.scala 215:15] - node _T_42 = and(io.axi_awvalid, io.axi_awready) @[axi4_to_ahb.scala 218:56] - node _T_43 = and(io.axi_wvalid, io.axi_wready) @[axi4_to_ahb.scala 218:91] - node _T_44 = or(_T_42, _T_43) @[axi4_to_ahb.scala 218:74] - node _T_45 = and(io.bus_clk_en, _T_44) @[axi4_to_ahb.scala 218:37] - bus_write_clk_en <= _T_45 @[axi4_to_ahb.scala 218:20] + node _T_5 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 196:27] + wr_cmd_vld <= _T_5 @[axi4_to_ahb.scala 196:14] + node _T_6 = or(wr_cmd_vld, io.axi_arvalid) @[axi4_to_ahb.scala 197:30] + master_valid <= _T_6 @[axi4_to_ahb.scala 197:16] + node _T_7 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 198:38] + node _T_8 = bits(wrbuf_tag, 0, 0) @[axi4_to_ahb.scala 198:51] + node _T_9 = bits(io.axi_arid, 0, 0) @[axi4_to_ahb.scala 198:76] + node _T_10 = mux(_T_7, _T_8, _T_9) @[axi4_to_ahb.scala 198:20] + master_tag <= _T_10 @[axi4_to_ahb.scala 198:14] + node _T_11 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 199:38] + node _T_12 = mux(_T_11, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 199:20] + master_opc <= _T_12 @[axi4_to_ahb.scala 199:14] + node _T_13 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 200:39] + node _T_14 = bits(wrbuf_addr, 31, 0) @[axi4_to_ahb.scala 200:53] + node _T_15 = bits(io.axi_araddr, 31, 0) @[axi4_to_ahb.scala 200:75] + node _T_16 = mux(_T_13, _T_14, _T_15) @[axi4_to_ahb.scala 200:21] + master_addr <= _T_16 @[axi4_to_ahb.scala 200:15] + node _T_17 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 201:39] + node _T_18 = bits(wrbuf_size, 2, 0) @[axi4_to_ahb.scala 201:53] + node _T_19 = bits(io.axi_arsize, 2, 0) @[axi4_to_ahb.scala 201:74] + node _T_20 = mux(_T_17, _T_18, _T_19) @[axi4_to_ahb.scala 201:21] + master_size <= _T_20 @[axi4_to_ahb.scala 201:15] + node _T_21 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 202:32] + master_byteen <= _T_21 @[axi4_to_ahb.scala 202:17] + node _T_22 = bits(wrbuf_data, 63, 0) @[axi4_to_ahb.scala 203:29] + master_wdata <= _T_22 @[axi4_to_ahb.scala 203:16] + node _T_23 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 206:32] + node _T_24 = bits(slave_opc, 3, 3) @[axi4_to_ahb.scala 206:57] + node _T_25 = and(_T_23, _T_24) @[axi4_to_ahb.scala 206:46] + io.axi_bvalid <= _T_25 @[axi4_to_ahb.scala 206:17] + node _T_26 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 207:32] + node _T_27 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 207:59] + node _T_28 = mux(_T_27, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 207:49] + node _T_29 = mux(_T_26, UInt<2>("h02"), _T_28) @[axi4_to_ahb.scala 207:22] + io.axi_bresp <= _T_29 @[axi4_to_ahb.scala 207:16] + node _T_30 = bits(slave_tag, 0, 0) @[axi4_to_ahb.scala 208:26] + io.axi_bid <= _T_30 @[axi4_to_ahb.scala 208:14] + node _T_31 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 210:32] + node _T_32 = bits(slave_opc, 3, 2) @[axi4_to_ahb.scala 210:58] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[axi4_to_ahb.scala 210:65] + node _T_34 = and(_T_31, _T_33) @[axi4_to_ahb.scala 210:46] + io.axi_rvalid <= _T_34 @[axi4_to_ahb.scala 210:17] + node _T_35 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 211:32] + node _T_36 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 211:59] + node _T_37 = mux(_T_36, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 211:49] + node _T_38 = mux(_T_35, UInt<2>("h02"), _T_37) @[axi4_to_ahb.scala 211:22] + io.axi_rresp <= _T_38 @[axi4_to_ahb.scala 211:16] + node _T_39 = bits(slave_tag, 0, 0) @[axi4_to_ahb.scala 212:26] + io.axi_rid <= _T_39 @[axi4_to_ahb.scala 212:14] + node _T_40 = bits(slave_rdata, 63, 0) @[axi4_to_ahb.scala 213:30] + io.axi_rdata <= _T_40 @[axi4_to_ahb.scala 213:16] + node _T_41 = and(io.axi_bready, io.axi_rready) @[axi4_to_ahb.scala 214:32] + slave_ready <= _T_41 @[axi4_to_ahb.scala 214:15] + node _T_42 = and(io.axi_awvalid, io.axi_awready) @[axi4_to_ahb.scala 217:56] + node _T_43 = and(io.axi_wvalid, io.axi_wready) @[axi4_to_ahb.scala 217:91] + node _T_44 = or(_T_42, _T_43) @[axi4_to_ahb.scala 217:74] + node _T_45 = and(io.bus_clk_en, _T_44) @[axi4_to_ahb.scala 217:37] + bus_write_clk_en <= _T_45 @[axi4_to_ahb.scala 217:20] inst rvclkhdr of rvclkhdr @[el2_lib.scala 483:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr.io.en <= io.bus_clk_en @[el2_lib.scala 485:16] rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - bus_clk <= rvclkhdr.io.l1clk @[axi4_to_ahb.scala 220:11] - node _T_46 = bits(bus_write_clk_en, 0, 0) @[axi4_to_ahb.scala 221:59] + bus_clk <= rvclkhdr.io.l1clk @[axi4_to_ahb.scala 219:11] + node _T_46 = bits(bus_write_clk_en, 0, 0) @[axi4_to_ahb.scala 220:59] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 483:22] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_1.io.en <= _T_46 @[el2_lib.scala 485:16] rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - bus_write_clk <= rvclkhdr_1.io.l1clk @[axi4_to_ahb.scala 221:17] - io.ahb_htrans <= UInt<1>("h00") @[axi4_to_ahb.scala 224:17] - master_ready <= UInt<1>("h00") @[axi4_to_ahb.scala 225:16] - buf_state_en <= UInt<1>("h00") @[axi4_to_ahb.scala 226:16] - buf_nxtstate <= UInt<3>("h00") @[axi4_to_ahb.scala 227:18] - buf_data_wr_en <= UInt<1>("h00") @[axi4_to_ahb.scala 229:18] - slvbuf_error_in <= UInt<1>("h00") @[axi4_to_ahb.scala 230:21] - slvbuf_error_en <= UInt<1>("h00") @[axi4_to_ahb.scala 231:21] - buf_write_in <= UInt<1>("h00") @[axi4_to_ahb.scala 232:18] - cmd_done <= UInt<1>("h00") @[axi4_to_ahb.scala 233:18] - trxn_done <= UInt<1>("h00") @[axi4_to_ahb.scala 234:18] - buf_cmd_byte_ptr_en <= UInt<1>("h00") @[axi4_to_ahb.scala 235:23] - buf_cmd_byte_ptr <= UInt<1>("h00") @[axi4_to_ahb.scala 236:20] - slave_valid_pre <= UInt<1>("h00") @[axi4_to_ahb.scala 237:21] - slvbuf_wr_en <= UInt<1>("h00") @[axi4_to_ahb.scala 238:19] - bypass_en <= UInt<1>("h00") @[axi4_to_ahb.scala 239:20] - rd_bypass_idle <= UInt<1>("h00") @[axi4_to_ahb.scala 240:18] + bus_write_clk <= rvclkhdr_1.io.l1clk @[axi4_to_ahb.scala 220:17] + io.ahb_htrans <= UInt<1>("h00") @[axi4_to_ahb.scala 223:17] + master_ready <= UInt<1>("h00") @[axi4_to_ahb.scala 224:16] + buf_state_en <= UInt<1>("h00") @[axi4_to_ahb.scala 225:16] + buf_nxtstate <= UInt<3>("h00") @[axi4_to_ahb.scala 226:18] + buf_data_wr_en <= UInt<1>("h00") @[axi4_to_ahb.scala 228:18] + slvbuf_error_in <= UInt<1>("h00") @[axi4_to_ahb.scala 229:21] + slvbuf_error_en <= UInt<1>("h00") @[axi4_to_ahb.scala 230:21] + buf_write_in <= UInt<1>("h00") @[axi4_to_ahb.scala 231:18] + cmd_done <= UInt<1>("h00") @[axi4_to_ahb.scala 232:18] + trxn_done <= UInt<1>("h00") @[axi4_to_ahb.scala 233:18] + buf_cmd_byte_ptr_en <= UInt<1>("h00") @[axi4_to_ahb.scala 234:23] + buf_cmd_byte_ptr <= UInt<1>("h00") @[axi4_to_ahb.scala 235:20] + slave_valid_pre <= UInt<1>("h00") @[axi4_to_ahb.scala 236:21] + slvbuf_wr_en <= UInt<1>("h00") @[axi4_to_ahb.scala 237:19] + bypass_en <= UInt<1>("h00") @[axi4_to_ahb.scala 238:20] + rd_bypass_idle <= UInt<1>("h00") @[axi4_to_ahb.scala 239:18] node _T_47 = eq(UInt<3>("h00"), buf_state) @[Conditional.scala 37:30] when _T_47 : @[Conditional.scala 40:58] - master_ready <= UInt<1>("h01") @[axi4_to_ahb.scala 244:20] - node _T_48 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 245:34] - node _T_49 = eq(_T_48, UInt<1>("h01")) @[axi4_to_ahb.scala 245:41] - buf_write_in <= _T_49 @[axi4_to_ahb.scala 245:20] - node _T_50 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 246:46] - node _T_51 = mux(_T_50, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 246:26] - buf_nxtstate <= _T_51 @[axi4_to_ahb.scala 246:20] - node _T_52 = and(master_valid, UInt<1>("h01")) @[axi4_to_ahb.scala 247:36] - buf_state_en <= _T_52 @[axi4_to_ahb.scala 247:20] - buf_wr_en <= buf_state_en @[axi4_to_ahb.scala 248:17] - node _T_53 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 249:54] - node _T_54 = and(buf_state_en, _T_53) @[axi4_to_ahb.scala 249:38] - buf_data_wr_en <= _T_54 @[axi4_to_ahb.scala 249:22] - buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 250:27] - node _T_55 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 252:50] - node _T_56 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 252:89] - node _T_57 = add(UInt<1>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 181:52] - node _T_58 = tail(_T_57, 1) @[axi4_to_ahb.scala 181:52] - node _T_59 = mux(UInt<1>("h00"), _T_58, UInt<1>("h00")) @[axi4_to_ahb.scala 181:24] - node _T_60 = bits(_T_56, 0, 0) @[axi4_to_ahb.scala 182:44] - node _T_61 = geq(UInt<1>("h00"), _T_59) @[axi4_to_ahb.scala 182:62] - node _T_62 = and(_T_60, _T_61) @[axi4_to_ahb.scala 182:48] - node _T_63 = bits(_T_56, 1, 1) @[axi4_to_ahb.scala 182:44] - node _T_64 = geq(UInt<1>("h01"), _T_59) @[axi4_to_ahb.scala 182:62] - node _T_65 = and(_T_63, _T_64) @[axi4_to_ahb.scala 182:48] - node _T_66 = bits(_T_56, 2, 2) @[axi4_to_ahb.scala 182:44] - node _T_67 = geq(UInt<2>("h02"), _T_59) @[axi4_to_ahb.scala 182:62] - node _T_68 = and(_T_66, _T_67) @[axi4_to_ahb.scala 182:48] - node _T_69 = bits(_T_56, 3, 3) @[axi4_to_ahb.scala 182:44] - node _T_70 = geq(UInt<2>("h03"), _T_59) @[axi4_to_ahb.scala 182:62] - node _T_71 = and(_T_69, _T_70) @[axi4_to_ahb.scala 182:48] - node _T_72 = bits(_T_56, 4, 4) @[axi4_to_ahb.scala 182:44] - node _T_73 = geq(UInt<3>("h04"), _T_59) @[axi4_to_ahb.scala 182:62] - node _T_74 = and(_T_72, _T_73) @[axi4_to_ahb.scala 182:48] - node _T_75 = bits(_T_56, 5, 5) @[axi4_to_ahb.scala 182:44] - node _T_76 = geq(UInt<3>("h05"), _T_59) @[axi4_to_ahb.scala 182:62] - node _T_77 = and(_T_75, _T_76) @[axi4_to_ahb.scala 182:48] - node _T_78 = bits(_T_56, 6, 6) @[axi4_to_ahb.scala 182:44] - node _T_79 = geq(UInt<3>("h06"), _T_59) @[axi4_to_ahb.scala 182:62] - node _T_80 = and(_T_78, _T_79) @[axi4_to_ahb.scala 182:48] - node _T_81 = bits(_T_56, 7, 7) @[axi4_to_ahb.scala 182:44] - node _T_82 = geq(UInt<3>("h07"), _T_59) @[axi4_to_ahb.scala 182:62] - node _T_83 = and(_T_81, _T_82) @[axi4_to_ahb.scala 182:48] + master_ready <= UInt<1>("h01") @[axi4_to_ahb.scala 243:20] + node _T_48 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 244:34] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[axi4_to_ahb.scala 244:41] + buf_write_in <= _T_49 @[axi4_to_ahb.scala 244:20] + node _T_50 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 245:46] + node _T_51 = mux(_T_50, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 245:26] + buf_nxtstate <= _T_51 @[axi4_to_ahb.scala 245:20] + node _T_52 = and(master_valid, UInt<1>("h01")) @[axi4_to_ahb.scala 246:36] + buf_state_en <= _T_52 @[axi4_to_ahb.scala 246:20] + buf_wr_en <= buf_state_en @[axi4_to_ahb.scala 247:17] + node _T_53 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 248:54] + node _T_54 = and(buf_state_en, _T_53) @[axi4_to_ahb.scala 248:38] + buf_data_wr_en <= _T_54 @[axi4_to_ahb.scala 248:22] + buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 249:27] + node _T_55 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 251:50] + node _T_56 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 251:89] + node _T_57 = add(UInt<1>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 180:52] + node _T_58 = tail(_T_57, 1) @[axi4_to_ahb.scala 180:52] + node _T_59 = mux(UInt<1>("h00"), _T_58, UInt<1>("h00")) @[axi4_to_ahb.scala 180:24] + node _T_60 = bits(_T_56, 0, 0) @[axi4_to_ahb.scala 181:44] + node _T_61 = geq(UInt<1>("h00"), _T_59) @[axi4_to_ahb.scala 181:62] + node _T_62 = and(_T_60, _T_61) @[axi4_to_ahb.scala 181:48] + node _T_63 = bits(_T_56, 1, 1) @[axi4_to_ahb.scala 181:44] + node _T_64 = geq(UInt<1>("h01"), _T_59) @[axi4_to_ahb.scala 181:62] + node _T_65 = and(_T_63, _T_64) @[axi4_to_ahb.scala 181:48] + node _T_66 = bits(_T_56, 2, 2) @[axi4_to_ahb.scala 181:44] + node _T_67 = geq(UInt<2>("h02"), _T_59) @[axi4_to_ahb.scala 181:62] + node _T_68 = and(_T_66, _T_67) @[axi4_to_ahb.scala 181:48] + node _T_69 = bits(_T_56, 3, 3) @[axi4_to_ahb.scala 181:44] + node _T_70 = geq(UInt<2>("h03"), _T_59) @[axi4_to_ahb.scala 181:62] + node _T_71 = and(_T_69, _T_70) @[axi4_to_ahb.scala 181:48] + node _T_72 = bits(_T_56, 4, 4) @[axi4_to_ahb.scala 181:44] + node _T_73 = geq(UInt<3>("h04"), _T_59) @[axi4_to_ahb.scala 181:62] + node _T_74 = and(_T_72, _T_73) @[axi4_to_ahb.scala 181:48] + node _T_75 = bits(_T_56, 5, 5) @[axi4_to_ahb.scala 181:44] + node _T_76 = geq(UInt<3>("h05"), _T_59) @[axi4_to_ahb.scala 181:62] + node _T_77 = and(_T_75, _T_76) @[axi4_to_ahb.scala 181:48] + node _T_78 = bits(_T_56, 6, 6) @[axi4_to_ahb.scala 181:44] + node _T_79 = geq(UInt<3>("h06"), _T_59) @[axi4_to_ahb.scala 181:62] + node _T_80 = and(_T_78, _T_79) @[axi4_to_ahb.scala 181:48] + node _T_81 = bits(_T_56, 7, 7) @[axi4_to_ahb.scala 181:44] + node _T_82 = geq(UInt<3>("h07"), _T_59) @[axi4_to_ahb.scala 181:62] + node _T_83 = and(_T_81, _T_82) @[axi4_to_ahb.scala 181:48] node _T_84 = mux(_T_83, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_85 = mux(_T_80, UInt<3>("h06"), _T_84) @[Mux.scala 98:16] node _T_86 = mux(_T_77, UInt<3>("h05"), _T_85) @[Mux.scala 98:16] @@ -550,193 +550,193 @@ circuit axi4_to_ahb : node _T_89 = mux(_T_68, UInt<2>("h02"), _T_88) @[Mux.scala 98:16] node _T_90 = mux(_T_65, UInt<1>("h01"), _T_89) @[Mux.scala 98:16] node _T_91 = mux(_T_62, UInt<1>("h00"), _T_90) @[Mux.scala 98:16] - node _T_92 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 252:138] - node _T_93 = mux(_T_55, _T_91, _T_92) @[axi4_to_ahb.scala 252:30] - buf_cmd_byte_ptr <= _T_93 @[axi4_to_ahb.scala 252:24] - bypass_en <= buf_state_en @[axi4_to_ahb.scala 253:17] - node _T_94 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 254:51] - node _T_95 = and(bypass_en, _T_94) @[axi4_to_ahb.scala 254:35] - rd_bypass_idle <= _T_95 @[axi4_to_ahb.scala 254:22] + node _T_92 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 251:138] + node _T_93 = mux(_T_55, _T_91, _T_92) @[axi4_to_ahb.scala 251:30] + buf_cmd_byte_ptr <= _T_93 @[axi4_to_ahb.scala 251:24] + bypass_en <= buf_state_en @[axi4_to_ahb.scala 252:17] + node _T_94 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 253:51] + node _T_95 = and(bypass_en, _T_94) @[axi4_to_ahb.scala 253:35] + rd_bypass_idle <= _T_95 @[axi4_to_ahb.scala 253:22] node _T_96 = bits(bypass_en, 0, 0) @[Bitwise.scala 72:15] node _T_97 = mux(_T_96, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_98 = and(_T_97, UInt<2>("h02")) @[axi4_to_ahb.scala 255:45] - io.ahb_htrans <= _T_98 @[axi4_to_ahb.scala 255:21] + node _T_98 = and(_T_97, UInt<2>("h02")) @[axi4_to_ahb.scala 254:45] + io.ahb_htrans <= _T_98 @[axi4_to_ahb.scala 254:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_99 = eq(UInt<3>("h01"), buf_state) @[Conditional.scala 37:30] when _T_99 : @[Conditional.scala 39:67] - node _T_100 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 259:54] - node _T_101 = eq(_T_100, UInt<1>("h00")) @[axi4_to_ahb.scala 259:61] - node _T_102 = and(master_valid, _T_101) @[axi4_to_ahb.scala 259:41] - node _T_103 = bits(_T_102, 0, 0) @[axi4_to_ahb.scala 259:82] - node _T_104 = mux(_T_103, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 259:26] - buf_nxtstate <= _T_104 @[axi4_to_ahb.scala 259:20] - node _T_105 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 260:51] - node _T_106 = neq(_T_105, UInt<1>("h00")) @[axi4_to_ahb.scala 260:58] - node _T_107 = and(ahb_hready_q, _T_106) @[axi4_to_ahb.scala 260:36] - node _T_108 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 260:72] - node _T_109 = and(_T_107, _T_108) @[axi4_to_ahb.scala 260:70] - buf_state_en <= _T_109 @[axi4_to_ahb.scala 260:20] - node _T_110 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 261:34] - node _T_111 = and(buf_state_en, _T_110) @[axi4_to_ahb.scala 261:32] - cmd_done <= _T_111 @[axi4_to_ahb.scala 261:16] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 262:20] - node _T_112 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 263:52] - node _T_113 = neq(_T_112, UInt<1>("h00")) @[axi4_to_ahb.scala 263:59] - node _T_114 = and(ahb_hready_q, _T_113) @[axi4_to_ahb.scala 263:37] - node _T_115 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 263:73] - node _T_116 = and(_T_114, _T_115) @[axi4_to_ahb.scala 263:71] - node _T_117 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 263:122] - node _T_118 = eq(_T_117, UInt<1>("h00")) @[axi4_to_ahb.scala 263:129] - node _T_119 = and(master_valid, _T_118) @[axi4_to_ahb.scala 263:109] - node _T_120 = bits(_T_119, 0, 0) @[axi4_to_ahb.scala 263:150] - node _T_121 = mux(_T_120, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 263:94] - node _T_122 = eq(_T_121, UInt<3>("h06")) @[axi4_to_ahb.scala 263:174] - node _T_123 = and(_T_116, _T_122) @[axi4_to_ahb.scala 263:88] - master_ready <= _T_123 @[axi4_to_ahb.scala 263:20] - buf_wr_en <= master_ready @[axi4_to_ahb.scala 264:17] - node _T_124 = and(master_ready, master_valid) @[axi4_to_ahb.scala 265:33] - bypass_en <= _T_124 @[axi4_to_ahb.scala 265:17] - node _T_125 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 266:47] - node _T_126 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 266:62] - node _T_127 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 266:78] - node _T_128 = mux(_T_125, _T_126, _T_127) @[axi4_to_ahb.scala 266:30] - buf_cmd_byte_ptr <= _T_128 @[axi4_to_ahb.scala 266:24] - node _T_129 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 267:44] - node _T_130 = or(_T_129, bypass_en) @[axi4_to_ahb.scala 267:58] + node _T_100 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 258:54] + node _T_101 = eq(_T_100, UInt<1>("h00")) @[axi4_to_ahb.scala 258:61] + node _T_102 = and(master_valid, _T_101) @[axi4_to_ahb.scala 258:41] + node _T_103 = bits(_T_102, 0, 0) @[axi4_to_ahb.scala 258:82] + node _T_104 = mux(_T_103, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 258:26] + buf_nxtstate <= _T_104 @[axi4_to_ahb.scala 258:20] + node _T_105 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 259:51] + node _T_106 = neq(_T_105, UInt<1>("h00")) @[axi4_to_ahb.scala 259:58] + node _T_107 = and(ahb_hready_q, _T_106) @[axi4_to_ahb.scala 259:36] + node _T_108 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 259:72] + node _T_109 = and(_T_107, _T_108) @[axi4_to_ahb.scala 259:70] + buf_state_en <= _T_109 @[axi4_to_ahb.scala 259:20] + node _T_110 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 260:34] + node _T_111 = and(buf_state_en, _T_110) @[axi4_to_ahb.scala 260:32] + cmd_done <= _T_111 @[axi4_to_ahb.scala 260:16] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 261:20] + node _T_112 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 262:52] + node _T_113 = neq(_T_112, UInt<1>("h00")) @[axi4_to_ahb.scala 262:59] + node _T_114 = and(ahb_hready_q, _T_113) @[axi4_to_ahb.scala 262:37] + node _T_115 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 262:73] + node _T_116 = and(_T_114, _T_115) @[axi4_to_ahb.scala 262:71] + node _T_117 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 262:122] + node _T_118 = eq(_T_117, UInt<1>("h00")) @[axi4_to_ahb.scala 262:129] + node _T_119 = and(master_valid, _T_118) @[axi4_to_ahb.scala 262:109] + node _T_120 = bits(_T_119, 0, 0) @[axi4_to_ahb.scala 262:150] + node _T_121 = mux(_T_120, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 262:94] + node _T_122 = eq(_T_121, UInt<3>("h06")) @[axi4_to_ahb.scala 262:174] + node _T_123 = and(_T_116, _T_122) @[axi4_to_ahb.scala 262:88] + master_ready <= _T_123 @[axi4_to_ahb.scala 262:20] + buf_wr_en <= master_ready @[axi4_to_ahb.scala 263:17] + node _T_124 = and(master_ready, master_valid) @[axi4_to_ahb.scala 264:33] + bypass_en <= _T_124 @[axi4_to_ahb.scala 264:17] + node _T_125 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 265:47] + node _T_126 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 265:62] + node _T_127 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 265:78] + node _T_128 = mux(_T_125, _T_126, _T_127) @[axi4_to_ahb.scala 265:30] + buf_cmd_byte_ptr <= _T_128 @[axi4_to_ahb.scala 265:24] + node _T_129 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 266:44] + node _T_130 = or(_T_129, bypass_en) @[axi4_to_ahb.scala 266:58] node _T_131 = bits(_T_130, 0, 0) @[Bitwise.scala 72:15] node _T_132 = mux(_T_131, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_133 = and(UInt<2>("h02"), _T_132) @[axi4_to_ahb.scala 267:32] - io.ahb_htrans <= _T_133 @[axi4_to_ahb.scala 267:21] + node _T_133 = and(UInt<2>("h02"), _T_132) @[axi4_to_ahb.scala 266:32] + io.ahb_htrans <= _T_133 @[axi4_to_ahb.scala 266:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_134 = eq(UInt<3>("h06"), buf_state) @[Conditional.scala 37:30] when _T_134 : @[Conditional.scala 39:67] - node _T_135 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 271:39] - node _T_136 = and(ahb_hready_q, _T_135) @[axi4_to_ahb.scala 271:37] - node _T_137 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 271:82] - node _T_138 = eq(_T_137, UInt<1>("h01")) @[axi4_to_ahb.scala 271:89] - node _T_139 = and(master_valid, _T_138) @[axi4_to_ahb.scala 271:70] - node _T_140 = eq(_T_139, UInt<1>("h00")) @[axi4_to_ahb.scala 271:55] - node _T_141 = and(_T_136, _T_140) @[axi4_to_ahb.scala 271:53] - master_ready <= _T_141 @[axi4_to_ahb.scala 271:20] - node _T_142 = and(master_valid, master_ready) @[axi4_to_ahb.scala 272:34] - node _T_143 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 272:62] - node _T_144 = eq(_T_143, UInt<1>("h00")) @[axi4_to_ahb.scala 272:69] - node _T_145 = and(_T_142, _T_144) @[axi4_to_ahb.scala 272:49] - buf_wr_en <= _T_145 @[axi4_to_ahb.scala 272:17] - node _T_146 = bits(ahb_hresp_q, 0, 0) @[axi4_to_ahb.scala 273:45] - node _T_147 = and(master_valid, master_ready) @[axi4_to_ahb.scala 273:82] - node _T_148 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 273:110] - node _T_149 = eq(_T_148, UInt<1>("h00")) @[axi4_to_ahb.scala 273:117] - node _T_150 = and(_T_147, _T_149) @[axi4_to_ahb.scala 273:97] - node _T_151 = bits(_T_150, 0, 0) @[axi4_to_ahb.scala 273:138] - node _T_152 = mux(_T_151, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 273:67] - node _T_153 = mux(_T_146, UInt<3>("h07"), _T_152) @[axi4_to_ahb.scala 273:26] - buf_nxtstate <= _T_153 @[axi4_to_ahb.scala 273:20] - node _T_154 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 274:37] - buf_state_en <= _T_154 @[axi4_to_ahb.scala 274:20] - buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 275:22] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 276:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 277:23] - node _T_155 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 278:41] - node _T_156 = and(buf_state_en, _T_155) @[axi4_to_ahb.scala 278:39] - slave_valid_pre <= _T_156 @[axi4_to_ahb.scala 278:23] - node _T_157 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 279:34] - node _T_158 = and(buf_state_en, _T_157) @[axi4_to_ahb.scala 279:32] - cmd_done <= _T_158 @[axi4_to_ahb.scala 279:16] - node _T_159 = and(master_ready, master_valid) @[axi4_to_ahb.scala 280:33] - node _T_160 = eq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 280:64] - node _T_161 = and(_T_159, _T_160) @[axi4_to_ahb.scala 280:48] - node _T_162 = and(_T_161, buf_state_en) @[axi4_to_ahb.scala 280:79] - bypass_en <= _T_162 @[axi4_to_ahb.scala 280:17] - node _T_163 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 281:47] - node _T_164 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 281:62] - node _T_165 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 281:78] - node _T_166 = mux(_T_163, _T_164, _T_165) @[axi4_to_ahb.scala 281:30] - buf_cmd_byte_ptr <= _T_166 @[axi4_to_ahb.scala 281:24] - node _T_167 = neq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 282:59] - node _T_168 = and(_T_167, buf_state_en) @[axi4_to_ahb.scala 282:74] - node _T_169 = eq(_T_168, UInt<1>("h00")) @[axi4_to_ahb.scala 282:43] + node _T_135 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 270:39] + node _T_136 = and(ahb_hready_q, _T_135) @[axi4_to_ahb.scala 270:37] + node _T_137 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 270:82] + node _T_138 = eq(_T_137, UInt<1>("h01")) @[axi4_to_ahb.scala 270:89] + node _T_139 = and(master_valid, _T_138) @[axi4_to_ahb.scala 270:70] + node _T_140 = eq(_T_139, UInt<1>("h00")) @[axi4_to_ahb.scala 270:55] + node _T_141 = and(_T_136, _T_140) @[axi4_to_ahb.scala 270:53] + master_ready <= _T_141 @[axi4_to_ahb.scala 270:20] + node _T_142 = and(master_valid, master_ready) @[axi4_to_ahb.scala 271:34] + node _T_143 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 271:62] + node _T_144 = eq(_T_143, UInt<1>("h00")) @[axi4_to_ahb.scala 271:69] + node _T_145 = and(_T_142, _T_144) @[axi4_to_ahb.scala 271:49] + buf_wr_en <= _T_145 @[axi4_to_ahb.scala 271:17] + node _T_146 = bits(ahb_hresp_q, 0, 0) @[axi4_to_ahb.scala 272:45] + node _T_147 = and(master_valid, master_ready) @[axi4_to_ahb.scala 272:82] + node _T_148 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 272:110] + node _T_149 = eq(_T_148, UInt<1>("h00")) @[axi4_to_ahb.scala 272:117] + node _T_150 = and(_T_147, _T_149) @[axi4_to_ahb.scala 272:97] + node _T_151 = bits(_T_150, 0, 0) @[axi4_to_ahb.scala 272:138] + node _T_152 = mux(_T_151, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 272:67] + node _T_153 = mux(_T_146, UInt<3>("h07"), _T_152) @[axi4_to_ahb.scala 272:26] + buf_nxtstate <= _T_153 @[axi4_to_ahb.scala 272:20] + node _T_154 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 273:37] + buf_state_en <= _T_154 @[axi4_to_ahb.scala 273:20] + buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 274:22] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 275:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 276:23] + node _T_155 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 277:41] + node _T_156 = and(buf_state_en, _T_155) @[axi4_to_ahb.scala 277:39] + slave_valid_pre <= _T_156 @[axi4_to_ahb.scala 277:23] + node _T_157 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 278:34] + node _T_158 = and(buf_state_en, _T_157) @[axi4_to_ahb.scala 278:32] + cmd_done <= _T_158 @[axi4_to_ahb.scala 278:16] + node _T_159 = and(master_ready, master_valid) @[axi4_to_ahb.scala 279:33] + node _T_160 = eq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 279:64] + node _T_161 = and(_T_159, _T_160) @[axi4_to_ahb.scala 279:48] + node _T_162 = and(_T_161, buf_state_en) @[axi4_to_ahb.scala 279:79] + bypass_en <= _T_162 @[axi4_to_ahb.scala 279:17] + node _T_163 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 280:47] + node _T_164 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 280:62] + node _T_165 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 280:78] + node _T_166 = mux(_T_163, _T_164, _T_165) @[axi4_to_ahb.scala 280:30] + buf_cmd_byte_ptr <= _T_166 @[axi4_to_ahb.scala 280:24] + node _T_167 = neq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 281:59] + node _T_168 = and(_T_167, buf_state_en) @[axi4_to_ahb.scala 281:74] + node _T_169 = eq(_T_168, UInt<1>("h00")) @[axi4_to_ahb.scala 281:43] node _T_170 = bits(_T_169, 0, 0) @[Bitwise.scala 72:15] node _T_171 = mux(_T_170, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_172 = and(UInt<2>("h02"), _T_171) @[axi4_to_ahb.scala 282:32] - io.ahb_htrans <= _T_172 @[axi4_to_ahb.scala 282:21] - slvbuf_wr_en <= buf_wr_en @[axi4_to_ahb.scala 283:20] + node _T_172 = and(UInt<2>("h02"), _T_171) @[axi4_to_ahb.scala 281:32] + io.ahb_htrans <= _T_172 @[axi4_to_ahb.scala 281:21] + slvbuf_wr_en <= buf_wr_en @[axi4_to_ahb.scala 282:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_173 = eq(UInt<3>("h07"), buf_state) @[Conditional.scala 37:30] when _T_173 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h03") @[axi4_to_ahb.scala 287:20] - node _T_174 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 288:51] - node _T_175 = neq(_T_174, UInt<1>("h00")) @[axi4_to_ahb.scala 288:58] - node _T_176 = and(ahb_hready_q, _T_175) @[axi4_to_ahb.scala 288:36] - node _T_177 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 288:72] - node _T_178 = and(_T_176, _T_177) @[axi4_to_ahb.scala 288:70] - buf_state_en <= _T_178 @[axi4_to_ahb.scala 288:20] - slave_valid_pre <= buf_state_en @[axi4_to_ahb.scala 289:23] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 290:20] - node _T_179 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 291:35] - buf_cmd_byte_ptr <= _T_179 @[axi4_to_ahb.scala 291:24] - node _T_180 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 292:47] + buf_nxtstate <= UInt<3>("h03") @[axi4_to_ahb.scala 286:20] + node _T_174 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 287:51] + node _T_175 = neq(_T_174, UInt<1>("h00")) @[axi4_to_ahb.scala 287:58] + node _T_176 = and(ahb_hready_q, _T_175) @[axi4_to_ahb.scala 287:36] + node _T_177 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 287:72] + node _T_178 = and(_T_176, _T_177) @[axi4_to_ahb.scala 287:70] + buf_state_en <= _T_178 @[axi4_to_ahb.scala 287:20] + slave_valid_pre <= buf_state_en @[axi4_to_ahb.scala 288:23] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 289:20] + node _T_179 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 290:35] + buf_cmd_byte_ptr <= _T_179 @[axi4_to_ahb.scala 290:24] + node _T_180 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 291:47] node _T_181 = bits(_T_180, 0, 0) @[Bitwise.scala 72:15] node _T_182 = mux(_T_181, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_183 = and(UInt<2>("h02"), _T_182) @[axi4_to_ahb.scala 292:37] - io.ahb_htrans <= _T_183 @[axi4_to_ahb.scala 292:21] + node _T_183 = and(UInt<2>("h02"), _T_182) @[axi4_to_ahb.scala 291:37] + io.ahb_htrans <= _T_183 @[axi4_to_ahb.scala 291:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_184 = eq(UInt<3>("h03"), buf_state) @[Conditional.scala 37:30] when _T_184 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h05") @[axi4_to_ahb.scala 296:20] - node _T_185 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 297:37] - buf_state_en <= _T_185 @[axi4_to_ahb.scala 297:20] - buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 298:22] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 299:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 300:23] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 301:20] + buf_nxtstate <= UInt<3>("h05") @[axi4_to_ahb.scala 295:20] + node _T_185 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 296:37] + buf_state_en <= _T_185 @[axi4_to_ahb.scala 296:20] + buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 297:22] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 298:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 299:23] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 300:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_186 = eq(UInt<3>("h02"), buf_state) @[Conditional.scala 37:30] when _T_186 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h04") @[axi4_to_ahb.scala 305:20] - node _T_187 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 306:33] - node _T_188 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 306:63] - node _T_189 = neq(_T_188, UInt<1>("h00")) @[axi4_to_ahb.scala 306:70] - node _T_190 = and(_T_187, _T_189) @[axi4_to_ahb.scala 306:48] - trxn_done <= _T_190 @[axi4_to_ahb.scala 306:17] - buf_state_en <= trxn_done @[axi4_to_ahb.scala 307:20] - buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 308:27] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 309:20] - node _T_191 = bits(trxn_done, 0, 0) @[axi4_to_ahb.scala 310:47] - node _T_192 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 310:85] - node _T_193 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 310:103] - node _T_194 = add(_T_192, UInt<1>("h01")) @[axi4_to_ahb.scala 181:52] - node _T_195 = tail(_T_194, 1) @[axi4_to_ahb.scala 181:52] - node _T_196 = mux(UInt<1>("h01"), _T_195, _T_192) @[axi4_to_ahb.scala 181:24] - node _T_197 = bits(_T_193, 0, 0) @[axi4_to_ahb.scala 182:44] - node _T_198 = geq(UInt<1>("h00"), _T_196) @[axi4_to_ahb.scala 182:62] - node _T_199 = and(_T_197, _T_198) @[axi4_to_ahb.scala 182:48] - node _T_200 = bits(_T_193, 1, 1) @[axi4_to_ahb.scala 182:44] - node _T_201 = geq(UInt<1>("h01"), _T_196) @[axi4_to_ahb.scala 182:62] - node _T_202 = and(_T_200, _T_201) @[axi4_to_ahb.scala 182:48] - node _T_203 = bits(_T_193, 2, 2) @[axi4_to_ahb.scala 182:44] - node _T_204 = geq(UInt<2>("h02"), _T_196) @[axi4_to_ahb.scala 182:62] - node _T_205 = and(_T_203, _T_204) @[axi4_to_ahb.scala 182:48] - node _T_206 = bits(_T_193, 3, 3) @[axi4_to_ahb.scala 182:44] - node _T_207 = geq(UInt<2>("h03"), _T_196) @[axi4_to_ahb.scala 182:62] - node _T_208 = and(_T_206, _T_207) @[axi4_to_ahb.scala 182:48] - node _T_209 = bits(_T_193, 4, 4) @[axi4_to_ahb.scala 182:44] - node _T_210 = geq(UInt<3>("h04"), _T_196) @[axi4_to_ahb.scala 182:62] - node _T_211 = and(_T_209, _T_210) @[axi4_to_ahb.scala 182:48] - node _T_212 = bits(_T_193, 5, 5) @[axi4_to_ahb.scala 182:44] - node _T_213 = geq(UInt<3>("h05"), _T_196) @[axi4_to_ahb.scala 182:62] - node _T_214 = and(_T_212, _T_213) @[axi4_to_ahb.scala 182:48] - node _T_215 = bits(_T_193, 6, 6) @[axi4_to_ahb.scala 182:44] - node _T_216 = geq(UInt<3>("h06"), _T_196) @[axi4_to_ahb.scala 182:62] - node _T_217 = and(_T_215, _T_216) @[axi4_to_ahb.scala 182:48] - node _T_218 = bits(_T_193, 7, 7) @[axi4_to_ahb.scala 182:44] - node _T_219 = geq(UInt<3>("h07"), _T_196) @[axi4_to_ahb.scala 182:62] - node _T_220 = and(_T_218, _T_219) @[axi4_to_ahb.scala 182:48] + buf_nxtstate <= UInt<3>("h04") @[axi4_to_ahb.scala 304:20] + node _T_187 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 305:33] + node _T_188 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 305:63] + node _T_189 = neq(_T_188, UInt<1>("h00")) @[axi4_to_ahb.scala 305:70] + node _T_190 = and(_T_187, _T_189) @[axi4_to_ahb.scala 305:48] + trxn_done <= _T_190 @[axi4_to_ahb.scala 305:17] + buf_state_en <= trxn_done @[axi4_to_ahb.scala 306:20] + buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 307:27] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 308:20] + node _T_191 = bits(trxn_done, 0, 0) @[axi4_to_ahb.scala 309:47] + node _T_192 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 309:85] + node _T_193 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 309:103] + node _T_194 = add(_T_192, UInt<1>("h01")) @[axi4_to_ahb.scala 180:52] + node _T_195 = tail(_T_194, 1) @[axi4_to_ahb.scala 180:52] + node _T_196 = mux(UInt<1>("h01"), _T_195, _T_192) @[axi4_to_ahb.scala 180:24] + node _T_197 = bits(_T_193, 0, 0) @[axi4_to_ahb.scala 181:44] + node _T_198 = geq(UInt<1>("h00"), _T_196) @[axi4_to_ahb.scala 181:62] + node _T_199 = and(_T_197, _T_198) @[axi4_to_ahb.scala 181:48] + node _T_200 = bits(_T_193, 1, 1) @[axi4_to_ahb.scala 181:44] + node _T_201 = geq(UInt<1>("h01"), _T_196) @[axi4_to_ahb.scala 181:62] + node _T_202 = and(_T_200, _T_201) @[axi4_to_ahb.scala 181:48] + node _T_203 = bits(_T_193, 2, 2) @[axi4_to_ahb.scala 181:44] + node _T_204 = geq(UInt<2>("h02"), _T_196) @[axi4_to_ahb.scala 181:62] + node _T_205 = and(_T_203, _T_204) @[axi4_to_ahb.scala 181:48] + node _T_206 = bits(_T_193, 3, 3) @[axi4_to_ahb.scala 181:44] + node _T_207 = geq(UInt<2>("h03"), _T_196) @[axi4_to_ahb.scala 181:62] + node _T_208 = and(_T_206, _T_207) @[axi4_to_ahb.scala 181:48] + node _T_209 = bits(_T_193, 4, 4) @[axi4_to_ahb.scala 181:44] + node _T_210 = geq(UInt<3>("h04"), _T_196) @[axi4_to_ahb.scala 181:62] + node _T_211 = and(_T_209, _T_210) @[axi4_to_ahb.scala 181:48] + node _T_212 = bits(_T_193, 5, 5) @[axi4_to_ahb.scala 181:44] + node _T_213 = geq(UInt<3>("h05"), _T_196) @[axi4_to_ahb.scala 181:62] + node _T_214 = and(_T_212, _T_213) @[axi4_to_ahb.scala 181:48] + node _T_215 = bits(_T_193, 6, 6) @[axi4_to_ahb.scala 181:44] + node _T_216 = geq(UInt<3>("h06"), _T_196) @[axi4_to_ahb.scala 181:62] + node _T_217 = and(_T_215, _T_216) @[axi4_to_ahb.scala 181:48] + node _T_218 = bits(_T_193, 7, 7) @[axi4_to_ahb.scala 181:44] + node _T_219 = geq(UInt<3>("h07"), _T_196) @[axi4_to_ahb.scala 181:62] + node _T_220 = and(_T_218, _T_219) @[axi4_to_ahb.scala 181:48] node _T_221 = mux(_T_220, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_222 = mux(_T_217, UInt<3>("h06"), _T_221) @[Mux.scala 98:16] node _T_223 = mux(_T_214, UInt<3>("h05"), _T_222) @[Mux.scala 98:16] @@ -745,39 +745,39 @@ circuit axi4_to_ahb : node _T_226 = mux(_T_205, UInt<2>("h02"), _T_225) @[Mux.scala 98:16] node _T_227 = mux(_T_202, UInt<1>("h01"), _T_226) @[Mux.scala 98:16] node _T_228 = mux(_T_199, UInt<1>("h00"), _T_227) @[Mux.scala 98:16] - node _T_229 = mux(_T_191, _T_228, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 310:30] - buf_cmd_byte_ptr <= _T_229 @[axi4_to_ahb.scala 310:24] - node _T_230 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 311:65] - node _T_231 = or(buf_aligned, _T_230) @[axi4_to_ahb.scala 311:44] - node _T_232 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 311:127] - node _T_233 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 311:145] - node _T_234 = add(_T_232, UInt<1>("h01")) @[axi4_to_ahb.scala 181:52] - node _T_235 = tail(_T_234, 1) @[axi4_to_ahb.scala 181:52] - node _T_236 = mux(UInt<1>("h01"), _T_235, _T_232) @[axi4_to_ahb.scala 181:24] - node _T_237 = bits(_T_233, 0, 0) @[axi4_to_ahb.scala 182:44] - node _T_238 = geq(UInt<1>("h00"), _T_236) @[axi4_to_ahb.scala 182:62] - node _T_239 = and(_T_237, _T_238) @[axi4_to_ahb.scala 182:48] - node _T_240 = bits(_T_233, 1, 1) @[axi4_to_ahb.scala 182:44] - node _T_241 = geq(UInt<1>("h01"), _T_236) @[axi4_to_ahb.scala 182:62] - node _T_242 = and(_T_240, _T_241) @[axi4_to_ahb.scala 182:48] - node _T_243 = bits(_T_233, 2, 2) @[axi4_to_ahb.scala 182:44] - node _T_244 = geq(UInt<2>("h02"), _T_236) @[axi4_to_ahb.scala 182:62] - node _T_245 = and(_T_243, _T_244) @[axi4_to_ahb.scala 182:48] - node _T_246 = bits(_T_233, 3, 3) @[axi4_to_ahb.scala 182:44] - node _T_247 = geq(UInt<2>("h03"), _T_236) @[axi4_to_ahb.scala 182:62] - node _T_248 = and(_T_246, _T_247) @[axi4_to_ahb.scala 182:48] - node _T_249 = bits(_T_233, 4, 4) @[axi4_to_ahb.scala 182:44] - node _T_250 = geq(UInt<3>("h04"), _T_236) @[axi4_to_ahb.scala 182:62] - node _T_251 = and(_T_249, _T_250) @[axi4_to_ahb.scala 182:48] - node _T_252 = bits(_T_233, 5, 5) @[axi4_to_ahb.scala 182:44] - node _T_253 = geq(UInt<3>("h05"), _T_236) @[axi4_to_ahb.scala 182:62] - node _T_254 = and(_T_252, _T_253) @[axi4_to_ahb.scala 182:48] - node _T_255 = bits(_T_233, 6, 6) @[axi4_to_ahb.scala 182:44] - node _T_256 = geq(UInt<3>("h06"), _T_236) @[axi4_to_ahb.scala 182:62] - node _T_257 = and(_T_255, _T_256) @[axi4_to_ahb.scala 182:48] - node _T_258 = bits(_T_233, 7, 7) @[axi4_to_ahb.scala 182:44] - node _T_259 = geq(UInt<3>("h07"), _T_236) @[axi4_to_ahb.scala 182:62] - node _T_260 = and(_T_258, _T_259) @[axi4_to_ahb.scala 182:48] + node _T_229 = mux(_T_191, _T_228, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 309:30] + buf_cmd_byte_ptr <= _T_229 @[axi4_to_ahb.scala 309:24] + node _T_230 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 310:65] + node _T_231 = or(buf_aligned, _T_230) @[axi4_to_ahb.scala 310:44] + node _T_232 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 310:127] + node _T_233 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 310:145] + node _T_234 = add(_T_232, UInt<1>("h01")) @[axi4_to_ahb.scala 180:52] + node _T_235 = tail(_T_234, 1) @[axi4_to_ahb.scala 180:52] + node _T_236 = mux(UInt<1>("h01"), _T_235, _T_232) @[axi4_to_ahb.scala 180:24] + node _T_237 = bits(_T_233, 0, 0) @[axi4_to_ahb.scala 181:44] + node _T_238 = geq(UInt<1>("h00"), _T_236) @[axi4_to_ahb.scala 181:62] + node _T_239 = and(_T_237, _T_238) @[axi4_to_ahb.scala 181:48] + node _T_240 = bits(_T_233, 1, 1) @[axi4_to_ahb.scala 181:44] + node _T_241 = geq(UInt<1>("h01"), _T_236) @[axi4_to_ahb.scala 181:62] + node _T_242 = and(_T_240, _T_241) @[axi4_to_ahb.scala 181:48] + node _T_243 = bits(_T_233, 2, 2) @[axi4_to_ahb.scala 181:44] + node _T_244 = geq(UInt<2>("h02"), _T_236) @[axi4_to_ahb.scala 181:62] + node _T_245 = and(_T_243, _T_244) @[axi4_to_ahb.scala 181:48] + node _T_246 = bits(_T_233, 3, 3) @[axi4_to_ahb.scala 181:44] + node _T_247 = geq(UInt<2>("h03"), _T_236) @[axi4_to_ahb.scala 181:62] + node _T_248 = and(_T_246, _T_247) @[axi4_to_ahb.scala 181:48] + node _T_249 = bits(_T_233, 4, 4) @[axi4_to_ahb.scala 181:44] + node _T_250 = geq(UInt<3>("h04"), _T_236) @[axi4_to_ahb.scala 181:62] + node _T_251 = and(_T_249, _T_250) @[axi4_to_ahb.scala 181:48] + node _T_252 = bits(_T_233, 5, 5) @[axi4_to_ahb.scala 181:44] + node _T_253 = geq(UInt<3>("h05"), _T_236) @[axi4_to_ahb.scala 181:62] + node _T_254 = and(_T_252, _T_253) @[axi4_to_ahb.scala 181:48] + node _T_255 = bits(_T_233, 6, 6) @[axi4_to_ahb.scala 181:44] + node _T_256 = geq(UInt<3>("h06"), _T_236) @[axi4_to_ahb.scala 181:62] + node _T_257 = and(_T_255, _T_256) @[axi4_to_ahb.scala 181:48] + node _T_258 = bits(_T_233, 7, 7) @[axi4_to_ahb.scala 181:44] + node _T_259 = geq(UInt<3>("h07"), _T_236) @[axi4_to_ahb.scala 181:62] + node _T_260 = and(_T_258, _T_259) @[axi4_to_ahb.scala 181:48] node _T_261 = mux(_T_260, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_262 = mux(_T_257, UInt<3>("h06"), _T_261) @[Mux.scala 98:16] node _T_263 = mux(_T_254, UInt<3>("h05"), _T_262) @[Mux.scala 98:16] @@ -786,86 +786,86 @@ circuit axi4_to_ahb : node _T_266 = mux(_T_245, UInt<2>("h02"), _T_265) @[Mux.scala 98:16] node _T_267 = mux(_T_242, UInt<1>("h01"), _T_266) @[Mux.scala 98:16] node _T_268 = mux(_T_239, UInt<1>("h00"), _T_267) @[Mux.scala 98:16] - node _T_269 = dshr(buf_byteen, _T_268) @[axi4_to_ahb.scala 311:92] - node _T_270 = bits(_T_269, 0, 0) @[axi4_to_ahb.scala 311:92] - node _T_271 = eq(_T_270, UInt<1>("h00")) @[axi4_to_ahb.scala 311:163] - node _T_272 = or(_T_231, _T_271) @[axi4_to_ahb.scala 311:79] - node _T_273 = and(trxn_done, _T_272) @[axi4_to_ahb.scala 311:29] - cmd_done <= _T_273 @[axi4_to_ahb.scala 311:16] - node _T_274 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 312:43] - node _T_275 = eq(_T_274, UInt<1>("h00")) @[axi4_to_ahb.scala 312:32] + node _T_269 = dshr(buf_byteen, _T_268) @[axi4_to_ahb.scala 310:92] + node _T_270 = bits(_T_269, 0, 0) @[axi4_to_ahb.scala 310:92] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[axi4_to_ahb.scala 310:163] + node _T_272 = or(_T_231, _T_271) @[axi4_to_ahb.scala 310:79] + node _T_273 = and(trxn_done, _T_272) @[axi4_to_ahb.scala 310:29] + cmd_done <= _T_273 @[axi4_to_ahb.scala 310:16] + node _T_274 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 311:43] + node _T_275 = eq(_T_274, UInt<1>("h00")) @[axi4_to_ahb.scala 311:32] node _T_276 = bits(_T_275, 0, 0) @[Bitwise.scala 72:15] node _T_277 = mux(_T_276, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_278 = and(_T_277, UInt<2>("h02")) @[axi4_to_ahb.scala 312:57] - io.ahb_htrans <= _T_278 @[axi4_to_ahb.scala 312:21] + node _T_278 = and(_T_277, UInt<2>("h02")) @[axi4_to_ahb.scala 311:57] + io.ahb_htrans <= _T_278 @[axi4_to_ahb.scala 311:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_279 = eq(UInt<3>("h04"), buf_state) @[Conditional.scala 37:30] when _T_279 : @[Conditional.scala 39:67] - node _T_280 = and(cmd_doneQ, ahb_hready_q) @[axi4_to_ahb.scala 316:34] - node _T_281 = or(_T_280, ahb_hresp_q) @[axi4_to_ahb.scala 316:50] - buf_state_en <= _T_281 @[axi4_to_ahb.scala 316:20] - node _T_282 = and(cmd_doneQ, ahb_hready_q) @[axi4_to_ahb.scala 317:35] - node _T_283 = or(_T_282, ahb_hresp_q) @[axi4_to_ahb.scala 317:51] - node _T_284 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 317:68] - node _T_285 = and(_T_283, _T_284) @[axi4_to_ahb.scala 317:66] - node _T_286 = and(_T_285, slave_ready) @[axi4_to_ahb.scala 317:81] - master_ready <= _T_286 @[axi4_to_ahb.scala 317:20] - node _T_287 = eq(slave_ready, UInt<1>("h00")) @[axi4_to_ahb.scala 318:42] - node _T_288 = or(ahb_hresp_q, _T_287) @[axi4_to_ahb.scala 318:40] - node _T_289 = bits(_T_288, 0, 0) @[axi4_to_ahb.scala 318:62] - node _T_290 = and(master_valid, master_ready) @[axi4_to_ahb.scala 318:90] - node _T_291 = bits(_T_290, 0, 0) @[axi4_to_ahb.scala 318:112] - node _T_292 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 318:131] - node _T_293 = eq(_T_292, UInt<1>("h01")) @[axi4_to_ahb.scala 318:138] - node _T_294 = mux(_T_293, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 318:119] - node _T_295 = mux(_T_291, _T_294, UInt<3>("h00")) @[axi4_to_ahb.scala 318:75] - node _T_296 = mux(_T_289, UInt<3>("h05"), _T_295) @[axi4_to_ahb.scala 318:26] - buf_nxtstate <= _T_296 @[axi4_to_ahb.scala 318:20] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 319:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 320:23] - node _T_297 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 321:34] - node _T_298 = eq(_T_297, UInt<1>("h01")) @[axi4_to_ahb.scala 321:41] - buf_write_in <= _T_298 @[axi4_to_ahb.scala 321:20] - node _T_299 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 322:50] - node _T_300 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 322:78] - node _T_301 = or(_T_299, _T_300) @[axi4_to_ahb.scala 322:62] - node _T_302 = and(buf_state_en, _T_301) @[axi4_to_ahb.scala 322:33] - buf_wr_en <= _T_302 @[axi4_to_ahb.scala 322:17] - buf_data_wr_en <= buf_wr_en @[axi4_to_ahb.scala 323:22] - node _T_303 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 324:63] - node _T_304 = neq(_T_303, UInt<1>("h00")) @[axi4_to_ahb.scala 324:70] - node _T_305 = and(ahb_hready_q, _T_304) @[axi4_to_ahb.scala 324:48] - node _T_306 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 324:104] - node _T_307 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 324:166] - node _T_308 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 324:184] - node _T_309 = add(_T_307, UInt<1>("h01")) @[axi4_to_ahb.scala 181:52] - node _T_310 = tail(_T_309, 1) @[axi4_to_ahb.scala 181:52] - node _T_311 = mux(UInt<1>("h01"), _T_310, _T_307) @[axi4_to_ahb.scala 181:24] - node _T_312 = bits(_T_308, 0, 0) @[axi4_to_ahb.scala 182:44] - node _T_313 = geq(UInt<1>("h00"), _T_311) @[axi4_to_ahb.scala 182:62] - node _T_314 = and(_T_312, _T_313) @[axi4_to_ahb.scala 182:48] - node _T_315 = bits(_T_308, 1, 1) @[axi4_to_ahb.scala 182:44] - node _T_316 = geq(UInt<1>("h01"), _T_311) @[axi4_to_ahb.scala 182:62] - node _T_317 = and(_T_315, _T_316) @[axi4_to_ahb.scala 182:48] - node _T_318 = bits(_T_308, 2, 2) @[axi4_to_ahb.scala 182:44] - node _T_319 = geq(UInt<2>("h02"), _T_311) @[axi4_to_ahb.scala 182:62] - node _T_320 = and(_T_318, _T_319) @[axi4_to_ahb.scala 182:48] - node _T_321 = bits(_T_308, 3, 3) @[axi4_to_ahb.scala 182:44] - node _T_322 = geq(UInt<2>("h03"), _T_311) @[axi4_to_ahb.scala 182:62] - node _T_323 = and(_T_321, _T_322) @[axi4_to_ahb.scala 182:48] - node _T_324 = bits(_T_308, 4, 4) @[axi4_to_ahb.scala 182:44] - node _T_325 = geq(UInt<3>("h04"), _T_311) @[axi4_to_ahb.scala 182:62] - node _T_326 = and(_T_324, _T_325) @[axi4_to_ahb.scala 182:48] - node _T_327 = bits(_T_308, 5, 5) @[axi4_to_ahb.scala 182:44] - node _T_328 = geq(UInt<3>("h05"), _T_311) @[axi4_to_ahb.scala 182:62] - node _T_329 = and(_T_327, _T_328) @[axi4_to_ahb.scala 182:48] - node _T_330 = bits(_T_308, 6, 6) @[axi4_to_ahb.scala 182:44] - node _T_331 = geq(UInt<3>("h06"), _T_311) @[axi4_to_ahb.scala 182:62] - node _T_332 = and(_T_330, _T_331) @[axi4_to_ahb.scala 182:48] - node _T_333 = bits(_T_308, 7, 7) @[axi4_to_ahb.scala 182:44] - node _T_334 = geq(UInt<3>("h07"), _T_311) @[axi4_to_ahb.scala 182:62] - node _T_335 = and(_T_333, _T_334) @[axi4_to_ahb.scala 182:48] + node _T_280 = and(cmd_doneQ, ahb_hready_q) @[axi4_to_ahb.scala 315:34] + node _T_281 = or(_T_280, ahb_hresp_q) @[axi4_to_ahb.scala 315:50] + buf_state_en <= _T_281 @[axi4_to_ahb.scala 315:20] + node _T_282 = and(cmd_doneQ, ahb_hready_q) @[axi4_to_ahb.scala 316:35] + node _T_283 = or(_T_282, ahb_hresp_q) @[axi4_to_ahb.scala 316:51] + node _T_284 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 316:68] + node _T_285 = and(_T_283, _T_284) @[axi4_to_ahb.scala 316:66] + node _T_286 = and(_T_285, slave_ready) @[axi4_to_ahb.scala 316:81] + master_ready <= _T_286 @[axi4_to_ahb.scala 316:20] + node _T_287 = eq(slave_ready, UInt<1>("h00")) @[axi4_to_ahb.scala 317:42] + node _T_288 = or(ahb_hresp_q, _T_287) @[axi4_to_ahb.scala 317:40] + node _T_289 = bits(_T_288, 0, 0) @[axi4_to_ahb.scala 317:62] + node _T_290 = and(master_valid, master_ready) @[axi4_to_ahb.scala 317:90] + node _T_291 = bits(_T_290, 0, 0) @[axi4_to_ahb.scala 317:112] + node _T_292 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 317:131] + node _T_293 = eq(_T_292, UInt<1>("h01")) @[axi4_to_ahb.scala 317:138] + node _T_294 = mux(_T_293, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 317:119] + node _T_295 = mux(_T_291, _T_294, UInt<3>("h00")) @[axi4_to_ahb.scala 317:75] + node _T_296 = mux(_T_289, UInt<3>("h05"), _T_295) @[axi4_to_ahb.scala 317:26] + buf_nxtstate <= _T_296 @[axi4_to_ahb.scala 317:20] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 318:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 319:23] + node _T_297 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 320:34] + node _T_298 = eq(_T_297, UInt<1>("h01")) @[axi4_to_ahb.scala 320:41] + buf_write_in <= _T_298 @[axi4_to_ahb.scala 320:20] + node _T_299 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 321:50] + node _T_300 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 321:78] + node _T_301 = or(_T_299, _T_300) @[axi4_to_ahb.scala 321:62] + node _T_302 = and(buf_state_en, _T_301) @[axi4_to_ahb.scala 321:33] + buf_wr_en <= _T_302 @[axi4_to_ahb.scala 321:17] + buf_data_wr_en <= buf_wr_en @[axi4_to_ahb.scala 322:22] + node _T_303 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 323:63] + node _T_304 = neq(_T_303, UInt<1>("h00")) @[axi4_to_ahb.scala 323:70] + node _T_305 = and(ahb_hready_q, _T_304) @[axi4_to_ahb.scala 323:48] + node _T_306 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 323:104] + node _T_307 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 323:166] + node _T_308 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 323:184] + node _T_309 = add(_T_307, UInt<1>("h01")) @[axi4_to_ahb.scala 180:52] + node _T_310 = tail(_T_309, 1) @[axi4_to_ahb.scala 180:52] + node _T_311 = mux(UInt<1>("h01"), _T_310, _T_307) @[axi4_to_ahb.scala 180:24] + node _T_312 = bits(_T_308, 0, 0) @[axi4_to_ahb.scala 181:44] + node _T_313 = geq(UInt<1>("h00"), _T_311) @[axi4_to_ahb.scala 181:62] + node _T_314 = and(_T_312, _T_313) @[axi4_to_ahb.scala 181:48] + node _T_315 = bits(_T_308, 1, 1) @[axi4_to_ahb.scala 181:44] + node _T_316 = geq(UInt<1>("h01"), _T_311) @[axi4_to_ahb.scala 181:62] + node _T_317 = and(_T_315, _T_316) @[axi4_to_ahb.scala 181:48] + node _T_318 = bits(_T_308, 2, 2) @[axi4_to_ahb.scala 181:44] + node _T_319 = geq(UInt<2>("h02"), _T_311) @[axi4_to_ahb.scala 181:62] + node _T_320 = and(_T_318, _T_319) @[axi4_to_ahb.scala 181:48] + node _T_321 = bits(_T_308, 3, 3) @[axi4_to_ahb.scala 181:44] + node _T_322 = geq(UInt<2>("h03"), _T_311) @[axi4_to_ahb.scala 181:62] + node _T_323 = and(_T_321, _T_322) @[axi4_to_ahb.scala 181:48] + node _T_324 = bits(_T_308, 4, 4) @[axi4_to_ahb.scala 181:44] + node _T_325 = geq(UInt<3>("h04"), _T_311) @[axi4_to_ahb.scala 181:62] + node _T_326 = and(_T_324, _T_325) @[axi4_to_ahb.scala 181:48] + node _T_327 = bits(_T_308, 5, 5) @[axi4_to_ahb.scala 181:44] + node _T_328 = geq(UInt<3>("h05"), _T_311) @[axi4_to_ahb.scala 181:62] + node _T_329 = and(_T_327, _T_328) @[axi4_to_ahb.scala 181:48] + node _T_330 = bits(_T_308, 6, 6) @[axi4_to_ahb.scala 181:44] + node _T_331 = geq(UInt<3>("h06"), _T_311) @[axi4_to_ahb.scala 181:62] + node _T_332 = and(_T_330, _T_331) @[axi4_to_ahb.scala 181:48] + node _T_333 = bits(_T_308, 7, 7) @[axi4_to_ahb.scala 181:44] + node _T_334 = geq(UInt<3>("h07"), _T_311) @[axi4_to_ahb.scala 181:62] + node _T_335 = and(_T_333, _T_334) @[axi4_to_ahb.scala 181:48] node _T_336 = mux(_T_335, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_337 = mux(_T_332, UInt<3>("h06"), _T_336) @[Mux.scala 98:16] node _T_338 = mux(_T_329, UInt<3>("h05"), _T_337) @[Mux.scala 98:16] @@ -874,62 +874,62 @@ circuit axi4_to_ahb : node _T_341 = mux(_T_320, UInt<2>("h02"), _T_340) @[Mux.scala 98:16] node _T_342 = mux(_T_317, UInt<1>("h01"), _T_341) @[Mux.scala 98:16] node _T_343 = mux(_T_314, UInt<1>("h00"), _T_342) @[Mux.scala 98:16] - node _T_344 = dshr(buf_byteen, _T_343) @[axi4_to_ahb.scala 324:131] - node _T_345 = bits(_T_344, 0, 0) @[axi4_to_ahb.scala 324:131] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[axi4_to_ahb.scala 324:202] - node _T_347 = or(_T_306, _T_346) @[axi4_to_ahb.scala 324:118] - node _T_348 = and(_T_305, _T_347) @[axi4_to_ahb.scala 324:82] - node _T_349 = or(ahb_hresp_q, _T_348) @[axi4_to_ahb.scala 324:32] - cmd_done <= _T_349 @[axi4_to_ahb.scala 324:16] - node _T_350 = and(buf_state_en, buf_write_in) @[axi4_to_ahb.scala 325:33] - node _T_351 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 325:64] - node _T_352 = and(_T_350, _T_351) @[axi4_to_ahb.scala 325:48] - bypass_en <= _T_352 @[axi4_to_ahb.scala 325:17] - node _T_353 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 326:44] - node _T_354 = eq(_T_353, UInt<1>("h00")) @[axi4_to_ahb.scala 326:33] - node _T_355 = or(_T_354, bypass_en) @[axi4_to_ahb.scala 326:57] + node _T_344 = dshr(buf_byteen, _T_343) @[axi4_to_ahb.scala 323:131] + node _T_345 = bits(_T_344, 0, 0) @[axi4_to_ahb.scala 323:131] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[axi4_to_ahb.scala 323:202] + node _T_347 = or(_T_306, _T_346) @[axi4_to_ahb.scala 323:118] + node _T_348 = and(_T_305, _T_347) @[axi4_to_ahb.scala 323:82] + node _T_349 = or(ahb_hresp_q, _T_348) @[axi4_to_ahb.scala 323:32] + cmd_done <= _T_349 @[axi4_to_ahb.scala 323:16] + node _T_350 = and(buf_state_en, buf_write_in) @[axi4_to_ahb.scala 324:33] + node _T_351 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 324:64] + node _T_352 = and(_T_350, _T_351) @[axi4_to_ahb.scala 324:48] + bypass_en <= _T_352 @[axi4_to_ahb.scala 324:17] + node _T_353 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 325:44] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[axi4_to_ahb.scala 325:33] + node _T_355 = or(_T_354, bypass_en) @[axi4_to_ahb.scala 325:57] node _T_356 = bits(_T_355, 0, 0) @[Bitwise.scala 72:15] node _T_357 = mux(_T_356, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_358 = and(_T_357, UInt<2>("h02")) @[axi4_to_ahb.scala 326:71] - io.ahb_htrans <= _T_358 @[axi4_to_ahb.scala 326:21] - node _T_359 = neq(buf_nxtstate, UInt<3>("h05")) @[axi4_to_ahb.scala 327:55] - node _T_360 = and(buf_state_en, _T_359) @[axi4_to_ahb.scala 327:39] - slave_valid_pre <= _T_360 @[axi4_to_ahb.scala 327:23] - node _T_361 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 328:33] - node _T_362 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 328:63] - node _T_363 = neq(_T_362, UInt<1>("h00")) @[axi4_to_ahb.scala 328:70] - node _T_364 = and(_T_361, _T_363) @[axi4_to_ahb.scala 328:48] - trxn_done <= _T_364 @[axi4_to_ahb.scala 328:17] - node _T_365 = or(trxn_done, bypass_en) @[axi4_to_ahb.scala 329:40] - buf_cmd_byte_ptr_en <= _T_365 @[axi4_to_ahb.scala 329:27] - node _T_366 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 332:76] - node _T_367 = add(UInt<1>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 181:52] - node _T_368 = tail(_T_367, 1) @[axi4_to_ahb.scala 181:52] - node _T_369 = mux(UInt<1>("h00"), _T_368, UInt<1>("h00")) @[axi4_to_ahb.scala 181:24] - node _T_370 = bits(_T_366, 0, 0) @[axi4_to_ahb.scala 182:44] - node _T_371 = geq(UInt<1>("h00"), _T_369) @[axi4_to_ahb.scala 182:62] - node _T_372 = and(_T_370, _T_371) @[axi4_to_ahb.scala 182:48] - node _T_373 = bits(_T_366, 1, 1) @[axi4_to_ahb.scala 182:44] - node _T_374 = geq(UInt<1>("h01"), _T_369) @[axi4_to_ahb.scala 182:62] - node _T_375 = and(_T_373, _T_374) @[axi4_to_ahb.scala 182:48] - node _T_376 = bits(_T_366, 2, 2) @[axi4_to_ahb.scala 182:44] - node _T_377 = geq(UInt<2>("h02"), _T_369) @[axi4_to_ahb.scala 182:62] - node _T_378 = and(_T_376, _T_377) @[axi4_to_ahb.scala 182:48] - node _T_379 = bits(_T_366, 3, 3) @[axi4_to_ahb.scala 182:44] - node _T_380 = geq(UInt<2>("h03"), _T_369) @[axi4_to_ahb.scala 182:62] - node _T_381 = and(_T_379, _T_380) @[axi4_to_ahb.scala 182:48] - node _T_382 = bits(_T_366, 4, 4) @[axi4_to_ahb.scala 182:44] - node _T_383 = geq(UInt<3>("h04"), _T_369) @[axi4_to_ahb.scala 182:62] - node _T_384 = and(_T_382, _T_383) @[axi4_to_ahb.scala 182:48] - node _T_385 = bits(_T_366, 5, 5) @[axi4_to_ahb.scala 182:44] - node _T_386 = geq(UInt<3>("h05"), _T_369) @[axi4_to_ahb.scala 182:62] - node _T_387 = and(_T_385, _T_386) @[axi4_to_ahb.scala 182:48] - node _T_388 = bits(_T_366, 6, 6) @[axi4_to_ahb.scala 182:44] - node _T_389 = geq(UInt<3>("h06"), _T_369) @[axi4_to_ahb.scala 182:62] - node _T_390 = and(_T_388, _T_389) @[axi4_to_ahb.scala 182:48] - node _T_391 = bits(_T_366, 7, 7) @[axi4_to_ahb.scala 182:44] - node _T_392 = geq(UInt<3>("h07"), _T_369) @[axi4_to_ahb.scala 182:62] - node _T_393 = and(_T_391, _T_392) @[axi4_to_ahb.scala 182:48] + node _T_358 = and(_T_357, UInt<2>("h02")) @[axi4_to_ahb.scala 325:71] + io.ahb_htrans <= _T_358 @[axi4_to_ahb.scala 325:21] + node _T_359 = neq(buf_nxtstate, UInt<3>("h05")) @[axi4_to_ahb.scala 326:55] + node _T_360 = and(buf_state_en, _T_359) @[axi4_to_ahb.scala 326:39] + slave_valid_pre <= _T_360 @[axi4_to_ahb.scala 326:23] + node _T_361 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 327:33] + node _T_362 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 327:63] + node _T_363 = neq(_T_362, UInt<1>("h00")) @[axi4_to_ahb.scala 327:70] + node _T_364 = and(_T_361, _T_363) @[axi4_to_ahb.scala 327:48] + trxn_done <= _T_364 @[axi4_to_ahb.scala 327:17] + node _T_365 = or(trxn_done, bypass_en) @[axi4_to_ahb.scala 328:40] + buf_cmd_byte_ptr_en <= _T_365 @[axi4_to_ahb.scala 328:27] + node _T_366 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 331:76] + node _T_367 = add(UInt<1>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 180:52] + node _T_368 = tail(_T_367, 1) @[axi4_to_ahb.scala 180:52] + node _T_369 = mux(UInt<1>("h00"), _T_368, UInt<1>("h00")) @[axi4_to_ahb.scala 180:24] + node _T_370 = bits(_T_366, 0, 0) @[axi4_to_ahb.scala 181:44] + node _T_371 = geq(UInt<1>("h00"), _T_369) @[axi4_to_ahb.scala 181:62] + node _T_372 = and(_T_370, _T_371) @[axi4_to_ahb.scala 181:48] + node _T_373 = bits(_T_366, 1, 1) @[axi4_to_ahb.scala 181:44] + node _T_374 = geq(UInt<1>("h01"), _T_369) @[axi4_to_ahb.scala 181:62] + node _T_375 = and(_T_373, _T_374) @[axi4_to_ahb.scala 181:48] + node _T_376 = bits(_T_366, 2, 2) @[axi4_to_ahb.scala 181:44] + node _T_377 = geq(UInt<2>("h02"), _T_369) @[axi4_to_ahb.scala 181:62] + node _T_378 = and(_T_376, _T_377) @[axi4_to_ahb.scala 181:48] + node _T_379 = bits(_T_366, 3, 3) @[axi4_to_ahb.scala 181:44] + node _T_380 = geq(UInt<2>("h03"), _T_369) @[axi4_to_ahb.scala 181:62] + node _T_381 = and(_T_379, _T_380) @[axi4_to_ahb.scala 181:48] + node _T_382 = bits(_T_366, 4, 4) @[axi4_to_ahb.scala 181:44] + node _T_383 = geq(UInt<3>("h04"), _T_369) @[axi4_to_ahb.scala 181:62] + node _T_384 = and(_T_382, _T_383) @[axi4_to_ahb.scala 181:48] + node _T_385 = bits(_T_366, 5, 5) @[axi4_to_ahb.scala 181:44] + node _T_386 = geq(UInt<3>("h05"), _T_369) @[axi4_to_ahb.scala 181:62] + node _T_387 = and(_T_385, _T_386) @[axi4_to_ahb.scala 181:48] + node _T_388 = bits(_T_366, 6, 6) @[axi4_to_ahb.scala 181:44] + node _T_389 = geq(UInt<3>("h06"), _T_369) @[axi4_to_ahb.scala 181:62] + node _T_390 = and(_T_388, _T_389) @[axi4_to_ahb.scala 181:48] + node _T_391 = bits(_T_366, 7, 7) @[axi4_to_ahb.scala 181:44] + node _T_392 = geq(UInt<3>("h07"), _T_369) @[axi4_to_ahb.scala 181:62] + node _T_393 = and(_T_391, _T_392) @[axi4_to_ahb.scala 181:48] node _T_394 = mux(_T_393, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_395 = mux(_T_390, UInt<3>("h06"), _T_394) @[Mux.scala 98:16] node _T_396 = mux(_T_387, UInt<3>("h05"), _T_395) @[Mux.scala 98:16] @@ -938,35 +938,35 @@ circuit axi4_to_ahb : node _T_399 = mux(_T_378, UInt<2>("h02"), _T_398) @[Mux.scala 98:16] node _T_400 = mux(_T_375, UInt<1>("h01"), _T_399) @[Mux.scala 98:16] node _T_401 = mux(_T_372, UInt<1>("h00"), _T_400) @[Mux.scala 98:16] - node _T_402 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 332:142] - node _T_403 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 332:160] - node _T_404 = add(_T_402, UInt<1>("h01")) @[axi4_to_ahb.scala 181:52] - node _T_405 = tail(_T_404, 1) @[axi4_to_ahb.scala 181:52] - node _T_406 = mux(UInt<1>("h01"), _T_405, _T_402) @[axi4_to_ahb.scala 181:24] - node _T_407 = bits(_T_403, 0, 0) @[axi4_to_ahb.scala 182:44] - node _T_408 = geq(UInt<1>("h00"), _T_406) @[axi4_to_ahb.scala 182:62] - node _T_409 = and(_T_407, _T_408) @[axi4_to_ahb.scala 182:48] - node _T_410 = bits(_T_403, 1, 1) @[axi4_to_ahb.scala 182:44] - node _T_411 = geq(UInt<1>("h01"), _T_406) @[axi4_to_ahb.scala 182:62] - node _T_412 = and(_T_410, _T_411) @[axi4_to_ahb.scala 182:48] - node _T_413 = bits(_T_403, 2, 2) @[axi4_to_ahb.scala 182:44] - node _T_414 = geq(UInt<2>("h02"), _T_406) @[axi4_to_ahb.scala 182:62] - node _T_415 = and(_T_413, _T_414) @[axi4_to_ahb.scala 182:48] - node _T_416 = bits(_T_403, 3, 3) @[axi4_to_ahb.scala 182:44] - node _T_417 = geq(UInt<2>("h03"), _T_406) @[axi4_to_ahb.scala 182:62] - node _T_418 = and(_T_416, _T_417) @[axi4_to_ahb.scala 182:48] - node _T_419 = bits(_T_403, 4, 4) @[axi4_to_ahb.scala 182:44] - node _T_420 = geq(UInt<3>("h04"), _T_406) @[axi4_to_ahb.scala 182:62] - node _T_421 = and(_T_419, _T_420) @[axi4_to_ahb.scala 182:48] - node _T_422 = bits(_T_403, 5, 5) @[axi4_to_ahb.scala 182:44] - node _T_423 = geq(UInt<3>("h05"), _T_406) @[axi4_to_ahb.scala 182:62] - node _T_424 = and(_T_422, _T_423) @[axi4_to_ahb.scala 182:48] - node _T_425 = bits(_T_403, 6, 6) @[axi4_to_ahb.scala 182:44] - node _T_426 = geq(UInt<3>("h06"), _T_406) @[axi4_to_ahb.scala 182:62] - node _T_427 = and(_T_425, _T_426) @[axi4_to_ahb.scala 182:48] - node _T_428 = bits(_T_403, 7, 7) @[axi4_to_ahb.scala 182:44] - node _T_429 = geq(UInt<3>("h07"), _T_406) @[axi4_to_ahb.scala 182:62] - node _T_430 = and(_T_428, _T_429) @[axi4_to_ahb.scala 182:48] + node _T_402 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 331:142] + node _T_403 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 331:160] + node _T_404 = add(_T_402, UInt<1>("h01")) @[axi4_to_ahb.scala 180:52] + node _T_405 = tail(_T_404, 1) @[axi4_to_ahb.scala 180:52] + node _T_406 = mux(UInt<1>("h01"), _T_405, _T_402) @[axi4_to_ahb.scala 180:24] + node _T_407 = bits(_T_403, 0, 0) @[axi4_to_ahb.scala 181:44] + node _T_408 = geq(UInt<1>("h00"), _T_406) @[axi4_to_ahb.scala 181:62] + node _T_409 = and(_T_407, _T_408) @[axi4_to_ahb.scala 181:48] + node _T_410 = bits(_T_403, 1, 1) @[axi4_to_ahb.scala 181:44] + node _T_411 = geq(UInt<1>("h01"), _T_406) @[axi4_to_ahb.scala 181:62] + node _T_412 = and(_T_410, _T_411) @[axi4_to_ahb.scala 181:48] + node _T_413 = bits(_T_403, 2, 2) @[axi4_to_ahb.scala 181:44] + node _T_414 = geq(UInt<2>("h02"), _T_406) @[axi4_to_ahb.scala 181:62] + node _T_415 = and(_T_413, _T_414) @[axi4_to_ahb.scala 181:48] + node _T_416 = bits(_T_403, 3, 3) @[axi4_to_ahb.scala 181:44] + node _T_417 = geq(UInt<2>("h03"), _T_406) @[axi4_to_ahb.scala 181:62] + node _T_418 = and(_T_416, _T_417) @[axi4_to_ahb.scala 181:48] + node _T_419 = bits(_T_403, 4, 4) @[axi4_to_ahb.scala 181:44] + node _T_420 = geq(UInt<3>("h04"), _T_406) @[axi4_to_ahb.scala 181:62] + node _T_421 = and(_T_419, _T_420) @[axi4_to_ahb.scala 181:48] + node _T_422 = bits(_T_403, 5, 5) @[axi4_to_ahb.scala 181:44] + node _T_423 = geq(UInt<3>("h05"), _T_406) @[axi4_to_ahb.scala 181:62] + node _T_424 = and(_T_422, _T_423) @[axi4_to_ahb.scala 181:48] + node _T_425 = bits(_T_403, 6, 6) @[axi4_to_ahb.scala 181:44] + node _T_426 = geq(UInt<3>("h06"), _T_406) @[axi4_to_ahb.scala 181:62] + node _T_427 = and(_T_425, _T_426) @[axi4_to_ahb.scala 181:48] + node _T_428 = bits(_T_403, 7, 7) @[axi4_to_ahb.scala 181:44] + node _T_429 = geq(UInt<3>("h07"), _T_406) @[axi4_to_ahb.scala 181:62] + node _T_430 = and(_T_428, _T_429) @[axi4_to_ahb.scala 181:48] node _T_431 = mux(_T_430, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_432 = mux(_T_427, UInt<3>("h06"), _T_431) @[Mux.scala 98:16] node _T_433 = mux(_T_424, UInt<3>("h05"), _T_432) @[Mux.scala 98:16] @@ -975,81 +975,81 @@ circuit axi4_to_ahb : node _T_436 = mux(_T_415, UInt<2>("h02"), _T_435) @[Mux.scala 98:16] node _T_437 = mux(_T_412, UInt<1>("h01"), _T_436) @[Mux.scala 98:16] node _T_438 = mux(_T_409, UInt<1>("h00"), _T_437) @[Mux.scala 98:16] - node _T_439 = mux(trxn_done, _T_438, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 332:97] - node _T_440 = mux(bypass_en, _T_401, _T_439) @[axi4_to_ahb.scala 332:30] - buf_cmd_byte_ptr <= _T_440 @[axi4_to_ahb.scala 332:24] + node _T_439 = mux(trxn_done, _T_438, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 331:97] + node _T_440 = mux(bypass_en, _T_401, _T_439) @[axi4_to_ahb.scala 331:30] + buf_cmd_byte_ptr <= _T_440 @[axi4_to_ahb.scala 331:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_441 = eq(UInt<3>("h05"), buf_state) @[Conditional.scala 37:30] when _T_441 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h00") @[axi4_to_ahb.scala 335:20] - buf_state_en <= slave_ready @[axi4_to_ahb.scala 336:20] - slvbuf_error_en <= UInt<1>("h01") @[axi4_to_ahb.scala 337:23] - slave_valid_pre <= UInt<1>("h01") @[axi4_to_ahb.scala 338:23] + buf_nxtstate <= UInt<3>("h00") @[axi4_to_ahb.scala 334:20] + buf_state_en <= slave_ready @[axi4_to_ahb.scala 335:20] + slvbuf_error_en <= UInt<1>("h01") @[axi4_to_ahb.scala 336:23] + slave_valid_pre <= UInt<1>("h01") @[axi4_to_ahb.scala 337:23] skip @[Conditional.scala 39:67] - buf_rst <= UInt<1>("h00") @[axi4_to_ahb.scala 342:11] - cmd_done_rst <= slave_valid_pre @[axi4_to_ahb.scala 343:16] - node _T_442 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 344:33] - node _T_443 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 344:73] - node _T_444 = eq(_T_443, UInt<1>("h01")) @[axi4_to_ahb.scala 344:80] - node _T_445 = and(buf_aligned_in, _T_444) @[axi4_to_ahb.scala 344:60] - node _T_446 = bits(_T_445, 0, 0) @[axi4_to_ahb.scala 344:100] - node _T_447 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 344:132] + buf_rst <= UInt<1>("h00") @[axi4_to_ahb.scala 341:11] + cmd_done_rst <= slave_valid_pre @[axi4_to_ahb.scala 342:16] + node _T_442 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 343:33] + node _T_443 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 343:73] + node _T_444 = eq(_T_443, UInt<1>("h01")) @[axi4_to_ahb.scala 343:80] + node _T_445 = and(buf_aligned_in, _T_444) @[axi4_to_ahb.scala 343:60] + node _T_446 = bits(_T_445, 0, 0) @[axi4_to_ahb.scala 343:100] + node _T_447 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 343:132] wire _T_448 : UInt<8> _T_448 <= UInt<8>("h00") - node _T_449 = bits(_T_448, 7, 0) @[axi4_to_ahb.scala 173:45] - node _T_450 = eq(_T_449, UInt<8>("h0ff")) @[axi4_to_ahb.scala 173:52] - node _T_451 = bits(_T_448, 7, 0) @[axi4_to_ahb.scala 173:76] - node _T_452 = eq(_T_451, UInt<4>("h0f")) @[axi4_to_ahb.scala 173:83] - node _T_453 = or(_T_450, _T_452) @[axi4_to_ahb.scala 173:65] - node _T_454 = bits(_T_448, 7, 0) @[axi4_to_ahb.scala 173:107] - node _T_455 = eq(_T_454, UInt<2>("h03")) @[axi4_to_ahb.scala 173:114] - node _T_456 = or(_T_453, _T_455) @[axi4_to_ahb.scala 173:96] + node _T_449 = bits(_T_448, 7, 0) @[axi4_to_ahb.scala 173:50] + node _T_450 = eq(_T_449, UInt<8>("h0ff")) @[axi4_to_ahb.scala 173:57] + node _T_451 = bits(_T_448, 7, 0) @[axi4_to_ahb.scala 173:81] + node _T_452 = eq(_T_451, UInt<8>("h0f")) @[axi4_to_ahb.scala 173:88] + node _T_453 = or(_T_450, _T_452) @[axi4_to_ahb.scala 173:70] + node _T_454 = bits(_T_448, 7, 0) @[axi4_to_ahb.scala 173:117] + node _T_455 = eq(_T_454, UInt<8>("h03")) @[axi4_to_ahb.scala 173:124] + node _T_456 = or(_T_453, _T_455) @[axi4_to_ahb.scala 173:106] node _T_457 = bits(_T_456, 0, 0) @[Bitwise.scala 72:15] node _T_458 = mux(_T_457, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_459 = and(UInt<1>("h00"), _T_458) @[axi4_to_ahb.scala 173:24] + node _T_459 = and(UInt<3>("h00"), _T_458) @[axi4_to_ahb.scala 173:29] node _T_460 = bits(_T_448, 7, 0) @[axi4_to_ahb.scala 174:35] - node _T_461 = eq(_T_460, UInt<4>("h0c")) @[axi4_to_ahb.scala 174:42] + node _T_461 = eq(_T_460, UInt<8>("h0c")) @[axi4_to_ahb.scala 174:42] node _T_462 = bits(_T_461, 0, 0) @[Bitwise.scala 72:15] node _T_463 = mux(_T_462, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] node _T_464 = and(UInt<2>("h02"), _T_463) @[axi4_to_ahb.scala 174:15] - node _T_465 = or(_T_459, _T_464) @[axi4_to_ahb.scala 173:131] + node _T_465 = or(_T_459, _T_464) @[axi4_to_ahb.scala 173:146] node _T_466 = bits(_T_448, 7, 0) @[axi4_to_ahb.scala 175:36] node _T_467 = eq(_T_466, UInt<8>("h0f0")) @[axi4_to_ahb.scala 175:43] node _T_468 = bits(_T_448, 7, 0) @[axi4_to_ahb.scala 175:67] - node _T_469 = eq(_T_468, UInt<2>("h03")) @[axi4_to_ahb.scala 175:74] + node _T_469 = eq(_T_468, UInt<8>("h03")) @[axi4_to_ahb.scala 175:74] node _T_470 = or(_T_467, _T_469) @[axi4_to_ahb.scala 175:56] node _T_471 = bits(_T_470, 0, 0) @[Bitwise.scala 72:15] node _T_472 = mux(_T_471, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] node _T_473 = and(UInt<3>("h04"), _T_472) @[axi4_to_ahb.scala 175:15] - node _T_474 = or(_T_465, _T_473) @[axi4_to_ahb.scala 174:58] + node _T_474 = or(_T_465, _T_473) @[axi4_to_ahb.scala 174:63] node _T_475 = bits(_T_448, 7, 0) @[axi4_to_ahb.scala 176:37] node _T_476 = eq(_T_475, UInt<8>("h0c0")) @[axi4_to_ahb.scala 176:44] node _T_477 = bits(_T_476, 0, 0) @[Bitwise.scala 72:15] node _T_478 = mux(_T_477, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] node _T_479 = and(UInt<3>("h06"), _T_478) @[axi4_to_ahb.scala 176:17] - node _T_480 = or(_T_474, _T_479) @[axi4_to_ahb.scala 175:91] - node _T_481 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 344:152] - node _T_482 = mux(_T_446, _T_480, _T_481) @[axi4_to_ahb.scala 344:43] + node _T_480 = or(_T_474, _T_479) @[axi4_to_ahb.scala 175:96] + node _T_481 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 343:152] + node _T_482 = mux(_T_446, _T_480, _T_481) @[axi4_to_ahb.scala 343:43] node _T_483 = cat(_T_442, _T_482) @[Cat.scala 29:58] - buf_addr_in <= _T_483 @[axi4_to_ahb.scala 344:15] - node _T_484 = bits(master_tag, 0, 0) @[axi4_to_ahb.scala 345:27] - buf_tag_in <= _T_484 @[axi4_to_ahb.scala 345:14] - node _T_485 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 346:32] - buf_byteen_in <= _T_485 @[axi4_to_ahb.scala 346:17] - node _T_486 = eq(buf_state, UInt<3>("h03")) @[axi4_to_ahb.scala 347:33] - node _T_487 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 347:59] - node _T_488 = bits(master_wdata, 63, 0) @[axi4_to_ahb.scala 347:80] - node _T_489 = mux(_T_486, _T_487, _T_488) @[axi4_to_ahb.scala 347:21] - buf_data_in <= _T_489 @[axi4_to_ahb.scala 347:15] - node _T_490 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 348:52] - node _T_491 = eq(_T_490, UInt<2>("h03")) @[axi4_to_ahb.scala 348:59] - node _T_492 = and(buf_aligned_in, _T_491) @[axi4_to_ahb.scala 348:38] - node _T_493 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 348:85] - node _T_494 = eq(_T_493, UInt<1>("h01")) @[axi4_to_ahb.scala 348:92] - node _T_495 = and(_T_492, _T_494) @[axi4_to_ahb.scala 348:72] - node _T_496 = bits(_T_495, 0, 0) @[axi4_to_ahb.scala 348:112] - node _T_497 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 348:144] + buf_addr_in <= _T_483 @[axi4_to_ahb.scala 343:15] + node _T_484 = bits(master_tag, 0, 0) @[axi4_to_ahb.scala 344:27] + buf_tag_in <= _T_484 @[axi4_to_ahb.scala 344:14] + node _T_485 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 345:32] + buf_byteen_in <= _T_485 @[axi4_to_ahb.scala 345:17] + node _T_486 = eq(buf_state, UInt<3>("h03")) @[axi4_to_ahb.scala 346:33] + node _T_487 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 346:59] + node _T_488 = bits(master_wdata, 63, 0) @[axi4_to_ahb.scala 346:80] + node _T_489 = mux(_T_486, _T_487, _T_488) @[axi4_to_ahb.scala 346:21] + buf_data_in <= _T_489 @[axi4_to_ahb.scala 346:15] + node _T_490 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 347:52] + node _T_491 = eq(_T_490, UInt<2>("h03")) @[axi4_to_ahb.scala 347:58] + node _T_492 = and(buf_aligned_in, _T_491) @[axi4_to_ahb.scala 347:38] + node _T_493 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 347:84] + node _T_494 = eq(_T_493, UInt<1>("h01")) @[axi4_to_ahb.scala 347:91] + node _T_495 = and(_T_492, _T_494) @[axi4_to_ahb.scala 347:71] + node _T_496 = bits(_T_495, 0, 0) @[axi4_to_ahb.scala 347:111] + node _T_497 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 347:142] wire _T_498 : UInt<8> _T_498 <= UInt<8>("h00") node _T_499 = bits(_T_498, 7, 0) @[axi4_to_ahb.scala 166:42] @@ -1060,183 +1060,183 @@ circuit axi4_to_ahb : node _T_504 = bits(_T_498, 7, 0) @[axi4_to_ahb.scala 167:35] node _T_505 = eq(_T_504, UInt<8>("h0f0")) @[axi4_to_ahb.scala 167:42] node _T_506 = bits(_T_498, 7, 0) @[axi4_to_ahb.scala 167:64] - node _T_507 = eq(_T_506, UInt<4>("h0f")) @[axi4_to_ahb.scala 167:71] + node _T_507 = eq(_T_506, UInt<8>("h0f")) @[axi4_to_ahb.scala 167:71] node _T_508 = or(_T_505, _T_507) @[axi4_to_ahb.scala 167:55] node _T_509 = bits(_T_508, 0, 0) @[Bitwise.scala 72:15] node _T_510 = mux(_T_509, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] node _T_511 = and(UInt<2>("h02"), _T_510) @[axi4_to_ahb.scala 167:16] node _T_512 = or(_T_503, _T_511) @[axi4_to_ahb.scala 166:64] - node _T_513 = bits(_T_498, 7, 0) @[axi4_to_ahb.scala 168:35] - node _T_514 = eq(_T_513, UInt<8>("h0c0")) @[axi4_to_ahb.scala 168:42] - node _T_515 = bits(_T_498, 7, 0) @[axi4_to_ahb.scala 168:64] - node _T_516 = eq(_T_515, UInt<6>("h030")) @[axi4_to_ahb.scala 168:71] - node _T_517 = or(_T_514, _T_516) @[axi4_to_ahb.scala 168:55] - node _T_518 = bits(_T_498, 7, 0) @[axi4_to_ahb.scala 168:93] - node _T_519 = eq(_T_518, UInt<4>("h0c")) @[axi4_to_ahb.scala 168:100] - node _T_520 = or(_T_517, _T_519) @[axi4_to_ahb.scala 168:84] - node _T_521 = bits(_T_498, 7, 0) @[axi4_to_ahb.scala 168:122] - node _T_522 = eq(_T_521, UInt<2>("h03")) @[axi4_to_ahb.scala 168:129] - node _T_523 = or(_T_520, _T_522) @[axi4_to_ahb.scala 168:113] + node _T_513 = bits(_T_498, 7, 0) @[axi4_to_ahb.scala 168:40] + node _T_514 = eq(_T_513, UInt<8>("h0c0")) @[axi4_to_ahb.scala 168:47] + node _T_515 = bits(_T_498, 7, 0) @[axi4_to_ahb.scala 168:69] + node _T_516 = eq(_T_515, UInt<6>("h030")) @[axi4_to_ahb.scala 168:76] + node _T_517 = or(_T_514, _T_516) @[axi4_to_ahb.scala 168:60] + node _T_518 = bits(_T_498, 7, 0) @[axi4_to_ahb.scala 168:98] + node _T_519 = eq(_T_518, UInt<8>("h0c")) @[axi4_to_ahb.scala 168:105] + node _T_520 = or(_T_517, _T_519) @[axi4_to_ahb.scala 168:89] + node _T_521 = bits(_T_498, 7, 0) @[axi4_to_ahb.scala 168:132] + node _T_522 = eq(_T_521, UInt<8>("h03")) @[axi4_to_ahb.scala 168:139] + node _T_523 = or(_T_520, _T_522) @[axi4_to_ahb.scala 168:123] node _T_524 = bits(_T_523, 0, 0) @[Bitwise.scala 72:15] node _T_525 = mux(_T_524, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_526 = and(UInt<1>("h01"), _T_525) @[axi4_to_ahb.scala 168:16] - node _T_527 = or(_T_512, _T_526) @[axi4_to_ahb.scala 167:88] - node _T_528 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 348:164] - node _T_529 = mux(_T_496, _T_527, _T_528) @[axi4_to_ahb.scala 348:21] - buf_size_in <= _T_529 @[axi4_to_ahb.scala 348:15] - node _T_530 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 349:32] - node _T_531 = eq(_T_530, UInt<1>("h00")) @[axi4_to_ahb.scala 349:39] - node _T_532 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 350:17] - node _T_533 = eq(_T_532, UInt<1>("h00")) @[axi4_to_ahb.scala 350:24] - node _T_534 = or(_T_531, _T_533) @[axi4_to_ahb.scala 349:51] - node _T_535 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 350:50] - node _T_536 = eq(_T_535, UInt<1>("h01")) @[axi4_to_ahb.scala 350:57] - node _T_537 = or(_T_534, _T_536) @[axi4_to_ahb.scala 350:36] - node _T_538 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 350:84] - node _T_539 = eq(_T_538, UInt<2>("h02")) @[axi4_to_ahb.scala 350:91] - node _T_540 = or(_T_537, _T_539) @[axi4_to_ahb.scala 350:70] - node _T_541 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 351:18] - node _T_542 = eq(_T_541, UInt<2>("h03")) @[axi4_to_ahb.scala 351:25] - node _T_543 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 351:55] - node _T_544 = eq(_T_543, UInt<2>("h03")) @[axi4_to_ahb.scala 351:62] - node _T_545 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 351:90] - node _T_546 = eq(_T_545, UInt<4>("h0c")) @[axi4_to_ahb.scala 351:97] - node _T_547 = or(_T_544, _T_546) @[axi4_to_ahb.scala 351:74] - node _T_548 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 351:125] - node _T_549 = eq(_T_548, UInt<6>("h030")) @[axi4_to_ahb.scala 351:132] - node _T_550 = or(_T_547, _T_549) @[axi4_to_ahb.scala 351:109] - node _T_551 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 351:161] - node _T_552 = eq(_T_551, UInt<8>("h0c0")) @[axi4_to_ahb.scala 351:168] - node _T_553 = or(_T_550, _T_552) @[axi4_to_ahb.scala 351:145] - node _T_554 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 352:21] - node _T_555 = eq(_T_554, UInt<4>("h0f")) @[axi4_to_ahb.scala 352:28] - node _T_556 = or(_T_553, _T_555) @[axi4_to_ahb.scala 351:181] - node _T_557 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 352:56] - node _T_558 = eq(_T_557, UInt<8>("h0f0")) @[axi4_to_ahb.scala 352:63] - node _T_559 = or(_T_556, _T_558) @[axi4_to_ahb.scala 352:40] - node _T_560 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 352:92] - node _T_561 = eq(_T_560, UInt<8>("h0ff")) @[axi4_to_ahb.scala 352:99] - node _T_562 = or(_T_559, _T_561) @[axi4_to_ahb.scala 352:76] - node _T_563 = and(_T_542, _T_562) @[axi4_to_ahb.scala 351:38] - node _T_564 = or(_T_540, _T_563) @[axi4_to_ahb.scala 350:104] - buf_aligned_in <= _T_564 @[axi4_to_ahb.scala 349:18] - node _T_565 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 354:39] - node _T_566 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 354:58] - node _T_567 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 354:83] + node _T_526 = and(UInt<2>("h01"), _T_525) @[axi4_to_ahb.scala 168:21] + node _T_527 = or(_T_512, _T_526) @[axi4_to_ahb.scala 167:93] + node _T_528 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 347:161] + node _T_529 = mux(_T_496, _T_527, _T_528) @[axi4_to_ahb.scala 347:21] + buf_size_in <= _T_529 @[axi4_to_ahb.scala 347:15] + node _T_530 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 348:32] + node _T_531 = eq(_T_530, UInt<1>("h00")) @[axi4_to_ahb.scala 348:39] + node _T_532 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 349:17] + node _T_533 = eq(_T_532, UInt<1>("h00")) @[axi4_to_ahb.scala 349:24] + node _T_534 = or(_T_531, _T_533) @[axi4_to_ahb.scala 348:48] + node _T_535 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 349:47] + node _T_536 = eq(_T_535, UInt<2>("h01")) @[axi4_to_ahb.scala 349:54] + node _T_537 = or(_T_534, _T_536) @[axi4_to_ahb.scala 349:33] + node _T_538 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 349:86] + node _T_539 = eq(_T_538, UInt<2>("h02")) @[axi4_to_ahb.scala 349:93] + node _T_540 = or(_T_537, _T_539) @[axi4_to_ahb.scala 349:72] + node _T_541 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 350:18] + node _T_542 = eq(_T_541, UInt<2>("h03")) @[axi4_to_ahb.scala 350:25] + node _T_543 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 350:55] + node _T_544 = eq(_T_543, UInt<2>("h03")) @[axi4_to_ahb.scala 350:62] + node _T_545 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 350:90] + node _T_546 = eq(_T_545, UInt<4>("h0c")) @[axi4_to_ahb.scala 350:97] + node _T_547 = or(_T_544, _T_546) @[axi4_to_ahb.scala 350:74] + node _T_548 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 350:125] + node _T_549 = eq(_T_548, UInt<6>("h030")) @[axi4_to_ahb.scala 350:132] + node _T_550 = or(_T_547, _T_549) @[axi4_to_ahb.scala 350:109] + node _T_551 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 350:161] + node _T_552 = eq(_T_551, UInt<8>("h0c0")) @[axi4_to_ahb.scala 350:168] + node _T_553 = or(_T_550, _T_552) @[axi4_to_ahb.scala 350:145] + node _T_554 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 351:21] + node _T_555 = eq(_T_554, UInt<4>("h0f")) @[axi4_to_ahb.scala 351:28] + node _T_556 = or(_T_553, _T_555) @[axi4_to_ahb.scala 350:181] + node _T_557 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 351:56] + node _T_558 = eq(_T_557, UInt<8>("h0f0")) @[axi4_to_ahb.scala 351:63] + node _T_559 = or(_T_556, _T_558) @[axi4_to_ahb.scala 351:40] + node _T_560 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 351:92] + node _T_561 = eq(_T_560, UInt<8>("h0ff")) @[axi4_to_ahb.scala 351:99] + node _T_562 = or(_T_559, _T_561) @[axi4_to_ahb.scala 351:76] + node _T_563 = and(_T_542, _T_562) @[axi4_to_ahb.scala 350:38] + node _T_564 = or(_T_540, _T_563) @[axi4_to_ahb.scala 349:106] + buf_aligned_in <= _T_564 @[axi4_to_ahb.scala 348:18] + node _T_565 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 353:39] + node _T_566 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 353:58] + node _T_567 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 353:83] node _T_568 = cat(_T_566, _T_567) @[Cat.scala 29:58] - node _T_569 = bits(buf_addr, 31, 3) @[axi4_to_ahb.scala 354:104] - node _T_570 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 354:129] + node _T_569 = bits(buf_addr, 31, 3) @[axi4_to_ahb.scala 353:104] + node _T_570 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 353:129] node _T_571 = cat(_T_569, _T_570) @[Cat.scala 29:58] - node _T_572 = mux(_T_565, _T_568, _T_571) @[axi4_to_ahb.scala 354:22] - io.ahb_haddr <= _T_572 @[axi4_to_ahb.scala 354:16] - node _T_573 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 355:39] + node _T_572 = mux(_T_565, _T_568, _T_571) @[axi4_to_ahb.scala 353:22] + io.ahb_haddr <= _T_572 @[axi4_to_ahb.scala 353:16] + node _T_573 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 354:39] node _T_574 = bits(buf_aligned_in, 0, 0) @[Bitwise.scala 72:15] node _T_575 = mux(_T_574, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_576 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 355:90] - node _T_577 = and(_T_575, _T_576) @[axi4_to_ahb.scala 355:77] + node _T_576 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 354:90] + node _T_577 = and(_T_575, _T_576) @[axi4_to_ahb.scala 354:77] node _T_578 = cat(UInt<1>("h00"), _T_577) @[Cat.scala 29:58] node _T_579 = bits(buf_aligned, 0, 0) @[Bitwise.scala 72:15] node _T_580 = mux(_T_579, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_581 = bits(buf_size, 1, 0) @[axi4_to_ahb.scala 355:145] - node _T_582 = and(_T_580, _T_581) @[axi4_to_ahb.scala 355:135] + node _T_581 = bits(buf_size, 1, 0) @[axi4_to_ahb.scala 354:144] + node _T_582 = and(_T_580, _T_581) @[axi4_to_ahb.scala 354:134] node _T_583 = cat(UInt<1>("h00"), _T_582) @[Cat.scala 29:58] - node _T_584 = mux(_T_573, _T_578, _T_583) @[axi4_to_ahb.scala 355:22] - io.ahb_hsize <= _T_584 @[axi4_to_ahb.scala 355:16] - io.ahb_hburst <= UInt<1>("h00") @[axi4_to_ahb.scala 357:17] - io.ahb_hmastlock <= UInt<1>("h00") @[axi4_to_ahb.scala 358:20] - node _T_585 = bits(io.axi_arprot, 2, 2) @[axi4_to_ahb.scala 359:47] - node _T_586 = not(_T_585) @[axi4_to_ahb.scala 359:33] + node _T_584 = mux(_T_573, _T_578, _T_583) @[axi4_to_ahb.scala 354:22] + io.ahb_hsize <= _T_584 @[axi4_to_ahb.scala 354:16] + io.ahb_hburst <= UInt<1>("h00") @[axi4_to_ahb.scala 356:17] + io.ahb_hmastlock <= UInt<1>("h00") @[axi4_to_ahb.scala 357:20] + node _T_585 = bits(io.axi_arprot, 2, 2) @[axi4_to_ahb.scala 358:47] + node _T_586 = not(_T_585) @[axi4_to_ahb.scala 358:33] node _T_587 = cat(UInt<1>("h01"), _T_586) @[Cat.scala 29:58] - io.ahb_hprot <= _T_587 @[axi4_to_ahb.scala 359:16] - node _T_588 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 360:40] - node _T_589 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 360:55] - node _T_590 = eq(_T_589, UInt<1>("h01")) @[axi4_to_ahb.scala 360:62] - node _T_591 = mux(_T_588, _T_590, buf_write) @[axi4_to_ahb.scala 360:23] - io.ahb_hwrite <= _T_591 @[axi4_to_ahb.scala 360:17] - node _T_592 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 361:28] - io.ahb_hwdata <= _T_592 @[axi4_to_ahb.scala 361:17] - slave_valid <= slave_valid_pre @[axi4_to_ahb.scala 363:15] - node _T_593 = bits(slvbuf_write, 0, 0) @[axi4_to_ahb.scala 364:43] - node _T_594 = mux(_T_593, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 364:23] + io.ahb_hprot <= _T_587 @[axi4_to_ahb.scala 358:16] + node _T_588 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 359:40] + node _T_589 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 359:55] + node _T_590 = eq(_T_589, UInt<1>("h01")) @[axi4_to_ahb.scala 359:62] + node _T_591 = mux(_T_588, _T_590, buf_write) @[axi4_to_ahb.scala 359:23] + io.ahb_hwrite <= _T_591 @[axi4_to_ahb.scala 359:17] + node _T_592 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 360:28] + io.ahb_hwdata <= _T_592 @[axi4_to_ahb.scala 360:17] + slave_valid <= slave_valid_pre @[axi4_to_ahb.scala 362:15] + node _T_593 = bits(slvbuf_write, 0, 0) @[axi4_to_ahb.scala 363:43] + node _T_594 = mux(_T_593, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 363:23] node _T_595 = bits(slvbuf_error, 0, 0) @[Bitwise.scala 72:15] node _T_596 = mux(_T_595, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_597 = and(_T_596, UInt<2>("h02")) @[axi4_to_ahb.scala 364:88] + node _T_597 = and(_T_596, UInt<2>("h02")) @[axi4_to_ahb.scala 363:88] node _T_598 = cat(_T_594, _T_597) @[Cat.scala 29:58] - slave_opc <= _T_598 @[axi4_to_ahb.scala 364:13] - node _T_599 = bits(slvbuf_error, 0, 0) @[axi4_to_ahb.scala 365:41] - node _T_600 = bits(last_bus_addr, 31, 0) @[axi4_to_ahb.scala 365:66] + slave_opc <= _T_598 @[axi4_to_ahb.scala 363:13] + node _T_599 = bits(slvbuf_error, 0, 0) @[axi4_to_ahb.scala 364:41] + node _T_600 = bits(last_bus_addr, 31, 0) @[axi4_to_ahb.scala 364:66] node _T_601 = cat(_T_600, _T_600) @[Cat.scala 29:58] - node _T_602 = eq(buf_state, UInt<3>("h05")) @[axi4_to_ahb.scala 365:91] - node _T_603 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 365:110] - node _T_604 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 365:131] - node _T_605 = mux(_T_602, _T_603, _T_604) @[axi4_to_ahb.scala 365:79] - node _T_606 = mux(_T_599, _T_601, _T_605) @[axi4_to_ahb.scala 365:21] - slave_rdata <= _T_606 @[axi4_to_ahb.scala 365:15] - node _T_607 = bits(slvbuf_tag, 0, 0) @[axi4_to_ahb.scala 366:26] - slave_tag <= _T_607 @[axi4_to_ahb.scala 366:13] - node _T_608 = bits(io.ahb_htrans, 1, 0) @[axi4_to_ahb.scala 368:33] - node _T_609 = neq(_T_608, UInt<1>("h00")) @[axi4_to_ahb.scala 368:40] - node _T_610 = and(_T_609, io.ahb_hready) @[axi4_to_ahb.scala 368:52] - node _T_611 = and(_T_610, io.ahb_hwrite) @[axi4_to_ahb.scala 368:68] - last_addr_en <= _T_611 @[axi4_to_ahb.scala 368:16] - node _T_612 = and(io.axi_awvalid, io.axi_awready) @[axi4_to_ahb.scala 370:30] - node _T_613 = and(_T_612, master_ready) @[axi4_to_ahb.scala 370:47] - wrbuf_en <= _T_613 @[axi4_to_ahb.scala 370:12] - node _T_614 = and(io.axi_wvalid, io.axi_wready) @[axi4_to_ahb.scala 371:34] - node _T_615 = and(_T_614, master_ready) @[axi4_to_ahb.scala 371:50] - wrbuf_data_en <= _T_615 @[axi4_to_ahb.scala 371:17] - node _T_616 = and(master_valid, master_ready) @[axi4_to_ahb.scala 372:34] - node _T_617 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 372:62] - node _T_618 = eq(_T_617, UInt<1>("h01")) @[axi4_to_ahb.scala 372:69] - node _T_619 = and(_T_616, _T_618) @[axi4_to_ahb.scala 372:49] - wrbuf_cmd_sent <= _T_619 @[axi4_to_ahb.scala 372:18] - node _T_620 = eq(wrbuf_en, UInt<1>("h00")) @[axi4_to_ahb.scala 373:33] - node _T_621 = and(wrbuf_cmd_sent, _T_620) @[axi4_to_ahb.scala 373:31] - wrbuf_rst <= _T_621 @[axi4_to_ahb.scala 373:13] - node _T_622 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 375:35] - node _T_623 = and(wrbuf_vld, _T_622) @[axi4_to_ahb.scala 375:33] - node _T_624 = eq(_T_623, UInt<1>("h00")) @[axi4_to_ahb.scala 375:21] - node _T_625 = and(_T_624, master_ready) @[axi4_to_ahb.scala 375:52] - io.axi_awready <= _T_625 @[axi4_to_ahb.scala 375:18] - node _T_626 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 376:39] - node _T_627 = and(wrbuf_data_vld, _T_626) @[axi4_to_ahb.scala 376:37] - node _T_628 = eq(_T_627, UInt<1>("h00")) @[axi4_to_ahb.scala 376:20] - node _T_629 = and(_T_628, master_ready) @[axi4_to_ahb.scala 376:56] - io.axi_wready <= _T_629 @[axi4_to_ahb.scala 376:17] - node _T_630 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 377:33] - node _T_631 = eq(_T_630, UInt<1>("h00")) @[axi4_to_ahb.scala 377:21] - node _T_632 = and(_T_631, master_ready) @[axi4_to_ahb.scala 377:51] - io.axi_arready <= _T_632 @[axi4_to_ahb.scala 377:18] - io.axi_rlast <= UInt<1>("h01") @[axi4_to_ahb.scala 378:16] - node _T_633 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 381:68] - node _T_634 = mux(_T_633, UInt<1>("h01"), wrbuf_vld) @[axi4_to_ahb.scala 381:52] - node _T_635 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 381:88] - node _T_636 = and(_T_634, _T_635) @[axi4_to_ahb.scala 381:86] - reg _T_637 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 381:48] - _T_637 <= _T_636 @[axi4_to_ahb.scala 381:48] - wrbuf_vld <= _T_637 @[axi4_to_ahb.scala 381:18] - node _T_638 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 382:73] - node _T_639 = mux(_T_638, UInt<1>("h01"), wrbuf_data_vld) @[axi4_to_ahb.scala 382:52] - node _T_640 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 382:99] - node _T_641 = and(_T_639, _T_640) @[axi4_to_ahb.scala 382:97] - reg _T_642 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 382:48] - _T_642 <= _T_641 @[axi4_to_ahb.scala 382:48] - wrbuf_data_vld <= _T_642 @[axi4_to_ahb.scala 382:18] - node _T_643 = bits(io.axi_awid, 0, 0) @[axi4_to_ahb.scala 384:57] - node _T_644 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 384:91] + node _T_602 = eq(buf_state, UInt<3>("h05")) @[axi4_to_ahb.scala 364:91] + node _T_603 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 364:110] + node _T_604 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 364:131] + node _T_605 = mux(_T_602, _T_603, _T_604) @[axi4_to_ahb.scala 364:79] + node _T_606 = mux(_T_599, _T_601, _T_605) @[axi4_to_ahb.scala 364:21] + slave_rdata <= _T_606 @[axi4_to_ahb.scala 364:15] + node _T_607 = bits(slvbuf_tag, 0, 0) @[axi4_to_ahb.scala 365:26] + slave_tag <= _T_607 @[axi4_to_ahb.scala 365:13] + node _T_608 = bits(io.ahb_htrans, 1, 0) @[axi4_to_ahb.scala 367:33] + node _T_609 = neq(_T_608, UInt<1>("h00")) @[axi4_to_ahb.scala 367:40] + node _T_610 = and(_T_609, io.ahb_hready) @[axi4_to_ahb.scala 367:52] + node _T_611 = and(_T_610, io.ahb_hwrite) @[axi4_to_ahb.scala 367:68] + last_addr_en <= _T_611 @[axi4_to_ahb.scala 367:16] + node _T_612 = and(io.axi_awvalid, io.axi_awready) @[axi4_to_ahb.scala 369:30] + node _T_613 = and(_T_612, master_ready) @[axi4_to_ahb.scala 369:47] + wrbuf_en <= _T_613 @[axi4_to_ahb.scala 369:12] + node _T_614 = and(io.axi_wvalid, io.axi_wready) @[axi4_to_ahb.scala 370:34] + node _T_615 = and(_T_614, master_ready) @[axi4_to_ahb.scala 370:50] + wrbuf_data_en <= _T_615 @[axi4_to_ahb.scala 370:17] + node _T_616 = and(master_valid, master_ready) @[axi4_to_ahb.scala 371:34] + node _T_617 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 371:62] + node _T_618 = eq(_T_617, UInt<1>("h01")) @[axi4_to_ahb.scala 371:69] + node _T_619 = and(_T_616, _T_618) @[axi4_to_ahb.scala 371:49] + wrbuf_cmd_sent <= _T_619 @[axi4_to_ahb.scala 371:18] + node _T_620 = eq(wrbuf_en, UInt<1>("h00")) @[axi4_to_ahb.scala 372:33] + node _T_621 = and(wrbuf_cmd_sent, _T_620) @[axi4_to_ahb.scala 372:31] + wrbuf_rst <= _T_621 @[axi4_to_ahb.scala 372:13] + node _T_622 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 374:35] + node _T_623 = and(wrbuf_vld, _T_622) @[axi4_to_ahb.scala 374:33] + node _T_624 = eq(_T_623, UInt<1>("h00")) @[axi4_to_ahb.scala 374:21] + node _T_625 = and(_T_624, master_ready) @[axi4_to_ahb.scala 374:52] + io.axi_awready <= _T_625 @[axi4_to_ahb.scala 374:18] + node _T_626 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 375:39] + node _T_627 = and(wrbuf_data_vld, _T_626) @[axi4_to_ahb.scala 375:37] + node _T_628 = eq(_T_627, UInt<1>("h00")) @[axi4_to_ahb.scala 375:20] + node _T_629 = and(_T_628, master_ready) @[axi4_to_ahb.scala 375:56] + io.axi_wready <= _T_629 @[axi4_to_ahb.scala 375:17] + node _T_630 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 376:33] + node _T_631 = eq(_T_630, UInt<1>("h00")) @[axi4_to_ahb.scala 376:21] + node _T_632 = and(_T_631, master_ready) @[axi4_to_ahb.scala 376:51] + io.axi_arready <= _T_632 @[axi4_to_ahb.scala 376:18] + io.axi_rlast <= UInt<1>("h01") @[axi4_to_ahb.scala 377:16] + node _T_633 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 380:68] + node _T_634 = mux(_T_633, UInt<1>("h01"), wrbuf_vld) @[axi4_to_ahb.scala 380:52] + node _T_635 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 380:88] + node _T_636 = and(_T_634, _T_635) @[axi4_to_ahb.scala 380:86] + reg _T_637 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 380:48] + _T_637 <= _T_636 @[axi4_to_ahb.scala 380:48] + wrbuf_vld <= _T_637 @[axi4_to_ahb.scala 380:18] + node _T_638 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 381:73] + node _T_639 = mux(_T_638, UInt<1>("h01"), wrbuf_data_vld) @[axi4_to_ahb.scala 381:52] + node _T_640 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 381:99] + node _T_641 = and(_T_639, _T_640) @[axi4_to_ahb.scala 381:97] + reg _T_642 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 381:48] + _T_642 <= _T_641 @[axi4_to_ahb.scala 381:48] + wrbuf_data_vld <= _T_642 @[axi4_to_ahb.scala 381:18] + node _T_643 = bits(io.axi_awid, 0, 0) @[axi4_to_ahb.scala 383:57] + node _T_644 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 383:91] reg _T_645 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_644 : @[Reg.scala 28:19] _T_645 <= _T_643 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - wrbuf_tag <= _T_645 @[axi4_to_ahb.scala 384:13] - node _T_646 = bits(io.axi_awsize, 2, 0) @[axi4_to_ahb.scala 385:60] - node _T_647 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 385:88] + wrbuf_tag <= _T_645 @[axi4_to_ahb.scala 383:13] + node _T_646 = bits(io.axi_awsize, 2, 0) @[axi4_to_ahb.scala 384:60] + node _T_647 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 384:88] reg _T_648 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_647 : @[Reg.scala 28:19] _T_648 <= _T_646 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - wrbuf_size <= _T_648 @[axi4_to_ahb.scala 385:14] - node _T_649 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 387:48] + wrbuf_size <= _T_648 @[axi4_to_ahb.scala 384:14] + node _T_649 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 386:48] inst rvclkhdr_2 of rvclkhdr_2 @[el2_lib.scala 508:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset @@ -1245,8 +1245,8 @@ circuit axi4_to_ahb : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_650 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_650 <= io.axi_awaddr @[el2_lib.scala 514:16] - wrbuf_addr <= _T_650 @[axi4_to_ahb.scala 387:14] - node _T_651 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 388:52] + wrbuf_addr <= _T_650 @[axi4_to_ahb.scala 386:14] + node _T_651 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 387:52] inst rvclkhdr_3 of rvclkhdr_3 @[el2_lib.scala 508:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -1255,37 +1255,37 @@ circuit axi4_to_ahb : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_652 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_652 <= io.axi_wdata @[el2_lib.scala 514:16] - wrbuf_data <= _T_652 @[axi4_to_ahb.scala 388:14] - node _T_653 = bits(io.axi_wstrb, 7, 0) @[axi4_to_ahb.scala 391:27] - node _T_654 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 391:60] + wrbuf_data <= _T_652 @[axi4_to_ahb.scala 387:14] + node _T_653 = bits(io.axi_wstrb, 7, 0) @[axi4_to_ahb.scala 390:27] + node _T_654 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 390:60] reg _T_655 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_654 : @[Reg.scala 28:19] _T_655 <= _T_653 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - wrbuf_byteen <= _T_655 @[axi4_to_ahb.scala 390:16] - node _T_656 = bits(io.ahb_haddr, 31, 0) @[axi4_to_ahb.scala 394:27] - node _T_657 = bits(last_addr_en, 0, 0) @[axi4_to_ahb.scala 394:60] + wrbuf_byteen <= _T_655 @[axi4_to_ahb.scala 389:16] + node _T_656 = bits(io.ahb_haddr, 31, 0) @[axi4_to_ahb.scala 393:27] + node _T_657 = bits(last_addr_en, 0, 0) @[axi4_to_ahb.scala 393:60] reg _T_658 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_657 : @[Reg.scala 28:19] _T_658 <= _T_656 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - last_bus_addr <= _T_658 @[axi4_to_ahb.scala 393:17] - node _T_659 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 402:50] + last_bus_addr <= _T_658 @[axi4_to_ahb.scala 392:17] + node _T_659 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 401:50] reg _T_660 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_659 : @[Reg.scala 28:19] _T_660 <= buf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_write <= _T_660 @[axi4_to_ahb.scala 401:13] - node _T_661 = bits(buf_tag_in, 0, 0) @[axi4_to_ahb.scala 405:25] - node _T_662 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 405:60] + buf_write <= _T_660 @[axi4_to_ahb.scala 400:13] + node _T_661 = bits(buf_tag_in, 0, 0) @[axi4_to_ahb.scala 404:25] + node _T_662 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 404:60] reg _T_663 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_662 : @[Reg.scala 28:19] _T_663 <= _T_661 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_tag <= _T_663 @[axi4_to_ahb.scala 404:11] - node _T_664 = bits(buf_addr_in, 31, 0) @[axi4_to_ahb.scala 408:33] - node _T_665 = and(buf_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 408:52] - node _T_666 = bits(_T_665, 0, 0) @[axi4_to_ahb.scala 408:69] + buf_tag <= _T_663 @[axi4_to_ahb.scala 403:11] + node _T_664 = bits(buf_addr_in, 31, 0) @[axi4_to_ahb.scala 407:33] + node _T_665 = and(buf_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 407:52] + node _T_666 = bits(_T_665, 0, 0) @[axi4_to_ahb.scala 407:69] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 508:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -1294,30 +1294,30 @@ circuit axi4_to_ahb : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_667 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_667 <= _T_664 @[el2_lib.scala 514:16] - buf_addr <= _T_667 @[axi4_to_ahb.scala 408:12] - node _T_668 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 411:26] - node _T_669 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 411:55] + buf_addr <= _T_667 @[axi4_to_ahb.scala 407:12] + node _T_668 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 410:26] + node _T_669 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 410:55] reg _T_670 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_669 : @[Reg.scala 28:19] _T_670 <= _T_668 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_size <= _T_670 @[axi4_to_ahb.scala 410:12] - node _T_671 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 414:52] + buf_size <= _T_670 @[axi4_to_ahb.scala 409:12] + node _T_671 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 413:52] reg _T_672 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_671 : @[Reg.scala 28:19] _T_672 <= buf_aligned_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_aligned <= _T_672 @[axi4_to_ahb.scala 413:15] - node _T_673 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 417:28] - node _T_674 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 417:57] + buf_aligned <= _T_672 @[axi4_to_ahb.scala 412:15] + node _T_673 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 416:28] + node _T_674 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 416:57] reg _T_675 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_674 : @[Reg.scala 28:19] _T_675 <= _T_673 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen <= _T_675 @[axi4_to_ahb.scala 416:14] - node _T_676 = bits(buf_data_in, 63, 0) @[axi4_to_ahb.scala 420:33] - node _T_677 = and(buf_data_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 420:57] - node _T_678 = bits(_T_677, 0, 0) @[axi4_to_ahb.scala 420:80] + buf_byteen <= _T_675 @[axi4_to_ahb.scala 415:14] + node _T_676 = bits(buf_data_in, 63, 0) @[axi4_to_ahb.scala 419:33] + node _T_677 = and(buf_data_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 419:57] + node _T_678 = bits(_T_677, 0, 0) @[axi4_to_ahb.scala 419:80] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 508:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -1326,96 +1326,96 @@ circuit axi4_to_ahb : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_679 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_679 <= _T_676 @[el2_lib.scala 514:16] - buf_data <= _T_679 @[axi4_to_ahb.scala 420:12] - node _T_680 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 423:50] + buf_data <= _T_679 @[axi4_to_ahb.scala 419:12] + node _T_680 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 422:50] reg _T_681 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_680 : @[Reg.scala 28:19] _T_681 <= buf_write @[Reg.scala 28:23] skip @[Reg.scala 28:19] - slvbuf_write <= _T_681 @[axi4_to_ahb.scala 422:16] - node _T_682 = bits(buf_tag, 0, 0) @[axi4_to_ahb.scala 426:22] - node _T_683 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 426:60] + slvbuf_write <= _T_681 @[axi4_to_ahb.scala 421:16] + node _T_682 = bits(buf_tag, 0, 0) @[axi4_to_ahb.scala 425:22] + node _T_683 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 425:60] reg _T_684 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_683 : @[Reg.scala 28:19] _T_684 <= _T_682 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - slvbuf_tag <= _T_684 @[axi4_to_ahb.scala 425:14] - node _T_685 = bits(slvbuf_error_en, 0, 0) @[axi4_to_ahb.scala 429:59] + slvbuf_tag <= _T_684 @[axi4_to_ahb.scala 424:14] + node _T_685 = bits(slvbuf_error_en, 0, 0) @[axi4_to_ahb.scala 428:59] reg _T_686 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_685 : @[Reg.scala 28:19] _T_686 <= slvbuf_error_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - slvbuf_error <= _T_686 @[axi4_to_ahb.scala 428:16] - node _T_687 = bits(cmd_done, 0, 0) @[axi4_to_ahb.scala 433:32] - node _T_688 = mux(_T_687, UInt<1>("h01"), cmd_doneQ) @[axi4_to_ahb.scala 433:16] - node _T_689 = eq(cmd_done_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 433:52] - node _T_690 = and(_T_688, _T_689) @[axi4_to_ahb.scala 433:50] - reg _T_691 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 433:12] - _T_691 <= _T_690 @[axi4_to_ahb.scala 433:12] - cmd_doneQ <= _T_691 @[axi4_to_ahb.scala 432:13] - node _T_692 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 437:31] - node _T_693 = bits(buf_cmd_byte_ptr_en, 0, 0) @[axi4_to_ahb.scala 437:70] + slvbuf_error <= _T_686 @[axi4_to_ahb.scala 427:16] + node _T_687 = bits(cmd_done, 0, 0) @[axi4_to_ahb.scala 432:32] + node _T_688 = mux(_T_687, UInt<1>("h01"), cmd_doneQ) @[axi4_to_ahb.scala 432:16] + node _T_689 = eq(cmd_done_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 432:52] + node _T_690 = and(_T_688, _T_689) @[axi4_to_ahb.scala 432:50] + reg _T_691 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 432:12] + _T_691 <= _T_690 @[axi4_to_ahb.scala 432:12] + cmd_doneQ <= _T_691 @[axi4_to_ahb.scala 431:13] + node _T_692 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 436:31] + node _T_693 = bits(buf_cmd_byte_ptr_en, 0, 0) @[axi4_to_ahb.scala 436:70] reg _T_694 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_693 : @[Reg.scala 28:19] _T_694 <= _T_692 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_cmd_byte_ptrQ <= _T_694 @[axi4_to_ahb.scala 436:21] - reg _T_695 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 442:12] - _T_695 <= io.ahb_hready @[axi4_to_ahb.scala 442:12] - ahb_hready_q <= _T_695 @[axi4_to_ahb.scala 441:16] - node _T_696 = bits(io.ahb_htrans, 1, 0) @[axi4_to_ahb.scala 445:26] - reg _T_697 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 445:12] - _T_697 <= _T_696 @[axi4_to_ahb.scala 445:12] - ahb_htrans_q <= _T_697 @[axi4_to_ahb.scala 444:16] - reg _T_698 : UInt<1>, ahbm_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 448:12] - _T_698 <= io.ahb_hwrite @[axi4_to_ahb.scala 448:12] - ahb_hwrite_q <= _T_698 @[axi4_to_ahb.scala 447:16] - reg _T_699 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 451:12] - _T_699 <= io.ahb_hresp @[axi4_to_ahb.scala 451:12] - ahb_hresp_q <= _T_699 @[axi4_to_ahb.scala 450:15] - node _T_700 = bits(io.ahb_hrdata, 63, 0) @[axi4_to_ahb.scala 454:26] - reg _T_701 : UInt, ahbm_data_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 454:12] - _T_701 <= _T_700 @[axi4_to_ahb.scala 454:12] - ahb_hrdata_q <= _T_701 @[axi4_to_ahb.scala 453:16] - node _T_702 = or(buf_wr_en, slvbuf_wr_en) @[axi4_to_ahb.scala 457:43] - node _T_703 = or(_T_702, io.clk_override) @[axi4_to_ahb.scala 457:58] - node _T_704 = and(io.bus_clk_en, _T_703) @[axi4_to_ahb.scala 457:30] - buf_clken <= _T_704 @[axi4_to_ahb.scala 457:13] - node _T_705 = bits(io.ahb_htrans, 1, 1) @[axi4_to_ahb.scala 458:69] - node _T_706 = and(io.ahb_hready, _T_705) @[axi4_to_ahb.scala 458:54] - node _T_707 = or(_T_706, io.clk_override) @[axi4_to_ahb.scala 458:74] - node _T_708 = and(io.bus_clk_en, _T_707) @[axi4_to_ahb.scala 458:36] - ahbm_addr_clken <= _T_708 @[axi4_to_ahb.scala 458:19] - node _T_709 = neq(buf_state, UInt<3>("h00")) @[axi4_to_ahb.scala 459:50] - node _T_710 = or(_T_709, io.clk_override) @[axi4_to_ahb.scala 459:60] - node _T_711 = and(io.bus_clk_en, _T_710) @[axi4_to_ahb.scala 459:36] - ahbm_data_clken <= _T_711 @[axi4_to_ahb.scala 459:19] + buf_cmd_byte_ptrQ <= _T_694 @[axi4_to_ahb.scala 435:21] + reg _T_695 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 441:12] + _T_695 <= io.ahb_hready @[axi4_to_ahb.scala 441:12] + ahb_hready_q <= _T_695 @[axi4_to_ahb.scala 440:16] + node _T_696 = bits(io.ahb_htrans, 1, 0) @[axi4_to_ahb.scala 444:26] + reg _T_697 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 444:12] + _T_697 <= _T_696 @[axi4_to_ahb.scala 444:12] + ahb_htrans_q <= _T_697 @[axi4_to_ahb.scala 443:16] + reg _T_698 : UInt<1>, ahbm_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 447:12] + _T_698 <= io.ahb_hwrite @[axi4_to_ahb.scala 447:12] + ahb_hwrite_q <= _T_698 @[axi4_to_ahb.scala 446:16] + reg _T_699 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 450:12] + _T_699 <= io.ahb_hresp @[axi4_to_ahb.scala 450:12] + ahb_hresp_q <= _T_699 @[axi4_to_ahb.scala 449:15] + node _T_700 = bits(io.ahb_hrdata, 63, 0) @[axi4_to_ahb.scala 453:26] + reg _T_701 : UInt, ahbm_data_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 453:12] + _T_701 <= _T_700 @[axi4_to_ahb.scala 453:12] + ahb_hrdata_q <= _T_701 @[axi4_to_ahb.scala 452:16] + node _T_702 = or(buf_wr_en, slvbuf_wr_en) @[axi4_to_ahb.scala 456:43] + node _T_703 = or(_T_702, io.clk_override) @[axi4_to_ahb.scala 456:58] + node _T_704 = and(io.bus_clk_en, _T_703) @[axi4_to_ahb.scala 456:30] + buf_clken <= _T_704 @[axi4_to_ahb.scala 456:13] + node _T_705 = bits(io.ahb_htrans, 1, 1) @[axi4_to_ahb.scala 457:69] + node _T_706 = and(io.ahb_hready, _T_705) @[axi4_to_ahb.scala 457:54] + node _T_707 = or(_T_706, io.clk_override) @[axi4_to_ahb.scala 457:74] + node _T_708 = and(io.bus_clk_en, _T_707) @[axi4_to_ahb.scala 457:36] + ahbm_addr_clken <= _T_708 @[axi4_to_ahb.scala 457:19] + node _T_709 = neq(buf_state, UInt<3>("h00")) @[axi4_to_ahb.scala 458:50] + node _T_710 = or(_T_709, io.clk_override) @[axi4_to_ahb.scala 458:60] + node _T_711 = and(io.bus_clk_en, _T_710) @[axi4_to_ahb.scala 458:36] + ahbm_data_clken <= _T_711 @[axi4_to_ahb.scala 458:19] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 483:22] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_6.io.en <= buf_clken @[el2_lib.scala 485:16] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - buf_clk <= rvclkhdr_6.io.l1clk @[axi4_to_ahb.scala 462:12] + buf_clk <= rvclkhdr_6.io.l1clk @[axi4_to_ahb.scala 461:12] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 483:22] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_7.io.en <= io.bus_clk_en @[el2_lib.scala 485:16] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - ahbm_clk <= rvclkhdr_7.io.l1clk @[axi4_to_ahb.scala 463:12] + ahbm_clk <= rvclkhdr_7.io.l1clk @[axi4_to_ahb.scala 462:12] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 483:22] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_8.io.en <= ahbm_addr_clken @[el2_lib.scala 485:16] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - ahbm_addr_clk <= rvclkhdr_8.io.l1clk @[axi4_to_ahb.scala 464:17] + ahbm_addr_clk <= rvclkhdr_8.io.l1clk @[axi4_to_ahb.scala 463:17] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 483:22] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_9.io.en <= ahbm_data_clken @[el2_lib.scala 485:16] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - ahbm_data_clk <= rvclkhdr_9.io.l1clk @[axi4_to_ahb.scala 465:17] + ahbm_data_clk <= rvclkhdr_9.io.l1clk @[axi4_to_ahb.scala 464:17] diff --git a/axi4_to_ahb.v b/axi4_to_ahb.v index 3a56ab8e..d517a4b7 100644 --- a/axi4_to_ahb.v +++ b/axi4_to_ahb.v @@ -132,31 +132,31 @@ module axi4_to_ahb( wire rvclkhdr_9_io_clk; // @[el2_lib.scala 483:22] wire rvclkhdr_9_io_en; // @[el2_lib.scala 483:22] wire rvclkhdr_9_io_scan_mode; // @[el2_lib.scala 483:22] - wire ahbm_clk = rvclkhdr_7_io_l1clk; // @[axi4_to_ahb.scala 62:22 axi4_to_ahb.scala 463:12] + wire ahbm_clk = rvclkhdr_7_io_l1clk; // @[axi4_to_ahb.scala 62:22 axi4_to_ahb.scala 462:12] reg [2:0] buf_state; // @[axi4_to_ahb.scala 68:45] wire _T_47 = 3'h0 == buf_state; // @[Conditional.scala 37:30] - wire bus_clk = rvclkhdr_io_l1clk; // @[axi4_to_ahb.scala 88:21 axi4_to_ahb.scala 220:11] - reg wrbuf_vld; // @[axi4_to_ahb.scala 381:48] - reg wrbuf_data_vld; // @[axi4_to_ahb.scala 382:48] - wire wr_cmd_vld = wrbuf_vld & wrbuf_data_vld; // @[axi4_to_ahb.scala 197:27] - wire master_valid = wr_cmd_vld | io_axi_arvalid; // @[axi4_to_ahb.scala 198:30] + wire bus_clk = rvclkhdr_io_l1clk; // @[axi4_to_ahb.scala 88:21 axi4_to_ahb.scala 219:11] + reg wrbuf_vld; // @[axi4_to_ahb.scala 380:48] + reg wrbuf_data_vld; // @[axi4_to_ahb.scala 381:48] + wire wr_cmd_vld = wrbuf_vld & wrbuf_data_vld; // @[axi4_to_ahb.scala 196:27] + wire master_valid = wr_cmd_vld | io_axi_arvalid; // @[axi4_to_ahb.scala 197:30] wire _T_99 = 3'h1 == buf_state; // @[Conditional.scala 37:30] - reg ahb_hready_q; // @[axi4_to_ahb.scala 442:12] - reg [1:0] ahb_htrans_q; // @[axi4_to_ahb.scala 445:12] - wire _T_106 = ahb_htrans_q != 2'h0; // @[axi4_to_ahb.scala 260:58] - wire _T_107 = ahb_hready_q & _T_106; // @[axi4_to_ahb.scala 260:36] - wire ahbm_addr_clk = rvclkhdr_8_io_l1clk; // @[axi4_to_ahb.scala 63:27 axi4_to_ahb.scala 464:17] - reg ahb_hwrite_q; // @[axi4_to_ahb.scala 448:12] - wire _T_108 = ~ahb_hwrite_q; // @[axi4_to_ahb.scala 260:72] - wire _T_109 = _T_107 & _T_108; // @[axi4_to_ahb.scala 260:70] + reg ahb_hready_q; // @[axi4_to_ahb.scala 441:12] + reg [1:0] ahb_htrans_q; // @[axi4_to_ahb.scala 444:12] + wire _T_106 = ahb_htrans_q != 2'h0; // @[axi4_to_ahb.scala 259:58] + wire _T_107 = ahb_hready_q & _T_106; // @[axi4_to_ahb.scala 259:36] + wire ahbm_addr_clk = rvclkhdr_8_io_l1clk; // @[axi4_to_ahb.scala 63:27 axi4_to_ahb.scala 463:17] + reg ahb_hwrite_q; // @[axi4_to_ahb.scala 447:12] + wire _T_108 = ~ahb_hwrite_q; // @[axi4_to_ahb.scala 259:72] + wire _T_109 = _T_107 & _T_108; // @[axi4_to_ahb.scala 259:70] wire _T_134 = 3'h6 == buf_state; // @[Conditional.scala 37:30] - reg ahb_hresp_q; // @[axi4_to_ahb.scala 451:12] - wire _T_154 = ahb_hready_q | ahb_hresp_q; // @[axi4_to_ahb.scala 274:37] + reg ahb_hresp_q; // @[axi4_to_ahb.scala 450:12] + wire _T_154 = ahb_hready_q | ahb_hresp_q; // @[axi4_to_ahb.scala 273:37] wire _T_173 = 3'h7 == buf_state; // @[Conditional.scala 37:30] wire _T_184 = 3'h3 == buf_state; // @[Conditional.scala 37:30] wire _T_186 = 3'h2 == buf_state; // @[Conditional.scala 37:30] - wire _T_187 = ahb_hready_q & ahb_hwrite_q; // @[axi4_to_ahb.scala 306:33] - wire _T_190 = _T_187 & _T_106; // @[axi4_to_ahb.scala 306:48] + wire _T_187 = ahb_hready_q & ahb_hwrite_q; // @[axi4_to_ahb.scala 305:33] + wire _T_190 = _T_187 & _T_106; // @[axi4_to_ahb.scala 305:48] wire _T_279 = 3'h4 == buf_state; // @[Conditional.scala 37:30] wire _GEN_15 = _T_279 & _T_190; // @[Conditional.scala 39:67] wire _GEN_19 = _T_186 ? _T_190 : _GEN_15; // @[Conditional.scala 39:67] @@ -165,11 +165,11 @@ module axi4_to_ahb( wire _GEN_79 = _T_134 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire _GEN_95 = _T_99 ? 1'h0 : _GEN_79; // @[Conditional.scala 39:67] wire trxn_done = _T_47 ? 1'h0 : _GEN_95; // @[Conditional.scala 40:58] - reg cmd_doneQ; // @[axi4_to_ahb.scala 433:12] - wire _T_280 = cmd_doneQ & ahb_hready_q; // @[axi4_to_ahb.scala 316:34] - wire _T_281 = _T_280 | ahb_hresp_q; // @[axi4_to_ahb.scala 316:50] + reg cmd_doneQ; // @[axi4_to_ahb.scala 432:12] + wire _T_280 = cmd_doneQ & ahb_hready_q; // @[axi4_to_ahb.scala 315:34] + wire _T_281 = _T_280 | ahb_hresp_q; // @[axi4_to_ahb.scala 315:50] wire _T_441 = 3'h5 == buf_state; // @[Conditional.scala 37:30] - wire slave_ready = io_axi_bready & io_axi_rready; // @[axi4_to_ahb.scala 215:32] + wire slave_ready = io_axi_bready & io_axi_rready; // @[axi4_to_ahb.scala 214:32] wire _GEN_1 = _T_441 & slave_ready; // @[Conditional.scala 39:67] wire _GEN_3 = _T_279 ? _T_281 : _GEN_1; // @[Conditional.scala 39:67] wire _GEN_20 = _T_186 ? trxn_done : _GEN_3; // @[Conditional.scala 39:67] @@ -178,9 +178,9 @@ module axi4_to_ahb( wire _GEN_69 = _T_134 ? _T_154 : _GEN_51; // @[Conditional.scala 39:67] wire _GEN_83 = _T_99 ? _T_109 : _GEN_69; // @[Conditional.scala 39:67] wire buf_state_en = _T_47 ? master_valid : _GEN_83; // @[Conditional.scala 40:58] - wire [1:0] _T_12 = wr_cmd_vld ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 200:20] - wire [2:0] master_opc = {{1'd0}, _T_12}; // @[axi4_to_ahb.scala 200:14] - wire _T_49 = master_opc[2:1] == 2'h1; // @[axi4_to_ahb.scala 245:41] + wire [1:0] _T_12 = wr_cmd_vld ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 199:20] + wire [2:0] master_opc = {{1'd0}, _T_12}; // @[axi4_to_ahb.scala 199:14] + wire _T_49 = master_opc[2:1] == 2'h1; // @[axi4_to_ahb.scala 244:41] wire _GEN_8 = _T_279 & _T_49; // @[Conditional.scala 39:67] wire _GEN_29 = _T_186 ? 1'h0 : _GEN_8; // @[Conditional.scala 39:67] wire _GEN_46 = _T_184 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -188,19 +188,19 @@ module axi4_to_ahb( wire _GEN_81 = _T_134 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] wire _GEN_97 = _T_99 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] wire buf_write_in = _T_47 ? _T_49 : _GEN_97; // @[Conditional.scala 40:58] - wire [2:0] _T_51 = buf_write_in ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 246:26] - wire _T_101 = master_opc == 3'h0; // @[axi4_to_ahb.scala 259:61] - wire _T_102 = master_valid & _T_101; // @[axi4_to_ahb.scala 259:41] - wire [2:0] _T_104 = _T_102 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 259:26] - wire _T_122 = _T_104 == 3'h6; // @[axi4_to_ahb.scala 263:174] - wire _T_123 = _T_109 & _T_122; // @[axi4_to_ahb.scala 263:88] - wire _T_135 = ~ahb_hresp_q; // @[axi4_to_ahb.scala 271:39] - wire _T_136 = ahb_hready_q & _T_135; // @[axi4_to_ahb.scala 271:37] - wire _T_139 = master_valid & _T_49; // @[axi4_to_ahb.scala 271:70] - wire _T_140 = ~_T_139; // @[axi4_to_ahb.scala 271:55] - wire _T_141 = _T_136 & _T_140; // @[axi4_to_ahb.scala 271:53] - wire _T_285 = _T_281 & _T_135; // @[axi4_to_ahb.scala 317:66] - wire _T_286 = _T_285 & slave_ready; // @[axi4_to_ahb.scala 317:81] + wire [2:0] _T_51 = buf_write_in ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 245:26] + wire _T_101 = master_opc == 3'h0; // @[axi4_to_ahb.scala 258:61] + wire _T_102 = master_valid & _T_101; // @[axi4_to_ahb.scala 258:41] + wire [2:0] _T_104 = _T_102 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 258:26] + wire _T_122 = _T_104 == 3'h6; // @[axi4_to_ahb.scala 262:174] + wire _T_123 = _T_109 & _T_122; // @[axi4_to_ahb.scala 262:88] + wire _T_135 = ~ahb_hresp_q; // @[axi4_to_ahb.scala 270:39] + wire _T_136 = ahb_hready_q & _T_135; // @[axi4_to_ahb.scala 270:37] + wire _T_139 = master_valid & _T_49; // @[axi4_to_ahb.scala 270:70] + wire _T_140 = ~_T_139; // @[axi4_to_ahb.scala 270:55] + wire _T_141 = _T_136 & _T_140; // @[axi4_to_ahb.scala 270:53] + wire _T_285 = _T_281 & _T_135; // @[axi4_to_ahb.scala 316:66] + wire _T_286 = _T_285 & slave_ready; // @[axi4_to_ahb.scala 316:81] wire _GEN_4 = _T_279 & _T_286; // @[Conditional.scala 39:67] wire _GEN_26 = _T_186 ? 1'h0 : _GEN_4; // @[Conditional.scala 39:67] wire _GEN_45 = _T_184 ? 1'h0 : _GEN_26; // @[Conditional.scala 39:67] @@ -208,15 +208,15 @@ module axi4_to_ahb( wire _GEN_66 = _T_134 ? _T_141 : _GEN_62; // @[Conditional.scala 39:67] wire _GEN_86 = _T_99 ? _T_123 : _GEN_66; // @[Conditional.scala 39:67] wire master_ready = _T_47 | _GEN_86; // @[Conditional.scala 40:58] - wire _T_147 = master_valid & master_ready; // @[axi4_to_ahb.scala 273:82] - wire _T_150 = _T_147 & _T_101; // @[axi4_to_ahb.scala 273:97] - wire [2:0] _T_152 = _T_150 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 273:67] - wire [2:0] _T_153 = ahb_hresp_q ? 3'h7 : _T_152; // @[axi4_to_ahb.scala 273:26] - wire _T_287 = ~slave_ready; // @[axi4_to_ahb.scala 318:42] - wire _T_288 = ahb_hresp_q | _T_287; // @[axi4_to_ahb.scala 318:40] - wire [2:0] _T_294 = _T_49 ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 318:119] - wire [2:0] _T_295 = _T_147 ? _T_294 : 3'h0; // @[axi4_to_ahb.scala 318:75] - wire [2:0] _T_296 = _T_288 ? 3'h5 : _T_295; // @[axi4_to_ahb.scala 318:26] + wire _T_147 = master_valid & master_ready; // @[axi4_to_ahb.scala 272:82] + wire _T_150 = _T_147 & _T_101; // @[axi4_to_ahb.scala 272:97] + wire [2:0] _T_152 = _T_150 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 272:67] + wire [2:0] _T_153 = ahb_hresp_q ? 3'h7 : _T_152; // @[axi4_to_ahb.scala 272:26] + wire _T_287 = ~slave_ready; // @[axi4_to_ahb.scala 317:42] + wire _T_288 = ahb_hresp_q | _T_287; // @[axi4_to_ahb.scala 317:40] + wire [2:0] _T_294 = _T_49 ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 317:119] + wire [2:0] _T_295 = _T_147 ? _T_294 : 3'h0; // @[axi4_to_ahb.scala 317:75] + wire [2:0] _T_296 = _T_288 ? 3'h5 : _T_295; // @[axi4_to_ahb.scala 317:26] wire [2:0] _GEN_5 = _T_279 ? _T_296 : 3'h0; // @[Conditional.scala 39:67] wire [2:0] _GEN_18 = _T_186 ? 3'h4 : _GEN_5; // @[Conditional.scala 39:67] wire [2:0] _GEN_34 = _T_184 ? 3'h5 : _GEN_18; // @[Conditional.scala 39:67] @@ -227,14 +227,14 @@ module axi4_to_ahb( wire [2:0] _T_1 = buf_state_en ? buf_nxtstate : buf_state; // @[axi4_to_ahb.scala 68:49] reg wrbuf_tag; // @[Reg.scala 27:20] reg [31:0] wrbuf_addr; // @[el2_lib.scala 514:16] - wire [31:0] master_addr = wr_cmd_vld ? wrbuf_addr : io_axi_araddr; // @[axi4_to_ahb.scala 201:21] + wire [31:0] master_addr = wr_cmd_vld ? wrbuf_addr : io_axi_araddr; // @[axi4_to_ahb.scala 200:21] reg [2:0] wrbuf_size; // @[Reg.scala 27:20] - wire [2:0] master_size = wr_cmd_vld ? wrbuf_size : io_axi_arsize; // @[axi4_to_ahb.scala 202:21] + wire [2:0] master_size = wr_cmd_vld ? wrbuf_size : io_axi_arsize; // @[axi4_to_ahb.scala 201:21] reg [7:0] wrbuf_byteen; // @[Reg.scala 27:20] reg [63:0] wrbuf_data; // @[el2_lib.scala 514:16] - wire _T_156 = buf_state_en & _T_135; // @[axi4_to_ahb.scala 278:39] - wire _T_359 = buf_nxtstate != 3'h5; // @[axi4_to_ahb.scala 327:55] - wire _T_360 = buf_state_en & _T_359; // @[axi4_to_ahb.scala 327:39] + wire _T_156 = buf_state_en & _T_135; // @[axi4_to_ahb.scala 277:39] + wire _T_359 = buf_nxtstate != 3'h5; // @[axi4_to_ahb.scala 326:55] + wire _T_360 = buf_state_en & _T_359; // @[axi4_to_ahb.scala 326:39] wire _GEN_14 = _T_279 ? _T_360 : _T_441; // @[Conditional.scala 39:67] wire _GEN_33 = _T_186 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] wire _GEN_49 = _T_184 ? 1'h0 : _GEN_33; // @[Conditional.scala 39:67] @@ -242,29 +242,29 @@ module axi4_to_ahb( wire _GEN_73 = _T_134 ? _T_156 : _GEN_52; // @[Conditional.scala 39:67] wire _GEN_94 = _T_99 ? 1'h0 : _GEN_73; // @[Conditional.scala 39:67] wire slave_valid_pre = _T_47 ? 1'h0 : _GEN_94; // @[Conditional.scala 40:58] - wire _T_23 = slave_valid_pre & slave_ready; // @[axi4_to_ahb.scala 207:32] - wire buf_clk = rvclkhdr_6_io_l1clk; // @[axi4_to_ahb.scala 156:21 axi4_to_ahb.scala 462:12] + wire _T_23 = slave_valid_pre & slave_ready; // @[axi4_to_ahb.scala 206:32] + wire buf_clk = rvclkhdr_6_io_l1clk; // @[axi4_to_ahb.scala 156:21 axi4_to_ahb.scala 461:12] reg slvbuf_write; // @[Reg.scala 27:20] - wire [1:0] _T_594 = slvbuf_write ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 364:23] + wire [1:0] _T_594 = slvbuf_write ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 363:23] reg slvbuf_error; // @[Reg.scala 27:20] wire [1:0] _T_596 = slvbuf_error ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_597 = _T_596 & 2'h2; // @[axi4_to_ahb.scala 364:88] + wire [1:0] _T_597 = _T_596 & 2'h2; // @[axi4_to_ahb.scala 363:88] wire [3:0] slave_opc = {_T_594,_T_597}; // @[Cat.scala 29:58] - wire [1:0] _T_28 = slave_opc[1] ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 208:49] + wire [1:0] _T_28 = slave_opc[1] ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 207:49] reg slvbuf_tag; // @[Reg.scala 27:20] - wire _T_33 = slave_opc[3:2] == 2'h0; // @[axi4_to_ahb.scala 211:65] + wire _T_33 = slave_opc[3:2] == 2'h0; // @[axi4_to_ahb.scala 210:65] reg [31:0] last_bus_addr; // @[Reg.scala 27:20] wire [63:0] _T_601 = {last_bus_addr,last_bus_addr}; // @[Cat.scala 29:58] - wire _T_602 = buf_state == 3'h5; // @[axi4_to_ahb.scala 365:91] + wire _T_602 = buf_state == 3'h5; // @[axi4_to_ahb.scala 364:91] reg [63:0] buf_data; // @[el2_lib.scala 514:16] - wire ahbm_data_clk = rvclkhdr_9_io_l1clk; // @[axi4_to_ahb.scala 64:27 axi4_to_ahb.scala 465:17] - reg [63:0] ahb_hrdata_q; // @[axi4_to_ahb.scala 454:12] - wire [63:0] _T_605 = _T_602 ? buf_data : ahb_hrdata_q; // @[axi4_to_ahb.scala 365:79] - wire _T_42 = io_axi_awvalid & io_axi_awready; // @[axi4_to_ahb.scala 218:56] - wire _T_43 = io_axi_wvalid & io_axi_wready; // @[axi4_to_ahb.scala 218:91] - wire _T_44 = _T_42 | _T_43; // @[axi4_to_ahb.scala 218:74] - wire _T_53 = buf_nxtstate == 3'h2; // @[axi4_to_ahb.scala 249:54] - wire _T_54 = buf_state_en & _T_53; // @[axi4_to_ahb.scala 249:38] + wire ahbm_data_clk = rvclkhdr_9_io_l1clk; // @[axi4_to_ahb.scala 64:27 axi4_to_ahb.scala 464:17] + reg [63:0] ahb_hrdata_q; // @[axi4_to_ahb.scala 453:12] + wire [63:0] _T_605 = _T_602 ? buf_data : ahb_hrdata_q; // @[axi4_to_ahb.scala 364:79] + wire _T_42 = io_axi_awvalid & io_axi_awready; // @[axi4_to_ahb.scala 217:56] + wire _T_43 = io_axi_wvalid & io_axi_wready; // @[axi4_to_ahb.scala 217:91] + wire _T_44 = _T_42 | _T_43; // @[axi4_to_ahb.scala 217:74] + wire _T_53 = buf_nxtstate == 3'h2; // @[axi4_to_ahb.scala 248:54] + wire _T_54 = buf_state_en & _T_53; // @[axi4_to_ahb.scala 248:38] wire [2:0] _T_84 = wrbuf_byteen[7] ? 3'h7 : 3'h0; // @[Mux.scala 98:16] wire [2:0] _T_85 = wrbuf_byteen[6] ? 3'h6 : _T_84; // @[Mux.scala 98:16] wire [2:0] _T_86 = wrbuf_byteen[5] ? 3'h5 : _T_85; // @[Mux.scala 98:16] @@ -273,14 +273,14 @@ module axi4_to_ahb( wire [2:0] _T_89 = wrbuf_byteen[2] ? 3'h2 : _T_88; // @[Mux.scala 98:16] wire [2:0] _T_90 = wrbuf_byteen[1] ? 3'h1 : _T_89; // @[Mux.scala 98:16] wire [2:0] _T_91 = wrbuf_byteen[0] ? 3'h0 : _T_90; // @[Mux.scala 98:16] - wire [2:0] _T_93 = buf_write_in ? _T_91 : master_addr[2:0]; // @[axi4_to_ahb.scala 252:30] - wire _T_94 = buf_nxtstate == 3'h1; // @[axi4_to_ahb.scala 254:51] - wire _T_124 = master_ready & master_valid; // @[axi4_to_ahb.scala 265:33] - wire _T_160 = buf_nxtstate == 3'h6; // @[axi4_to_ahb.scala 280:64] - wire _T_161 = _T_124 & _T_160; // @[axi4_to_ahb.scala 280:48] - wire _T_162 = _T_161 & buf_state_en; // @[axi4_to_ahb.scala 280:79] - wire _T_350 = buf_state_en & buf_write_in; // @[axi4_to_ahb.scala 325:33] - wire _T_352 = _T_350 & _T_53; // @[axi4_to_ahb.scala 325:48] + wire [2:0] _T_93 = buf_write_in ? _T_91 : master_addr[2:0]; // @[axi4_to_ahb.scala 251:30] + wire _T_94 = buf_nxtstate == 3'h1; // @[axi4_to_ahb.scala 253:51] + wire _T_124 = master_ready & master_valid; // @[axi4_to_ahb.scala 264:33] + wire _T_160 = buf_nxtstate == 3'h6; // @[axi4_to_ahb.scala 279:64] + wire _T_161 = _T_124 & _T_160; // @[axi4_to_ahb.scala 279:48] + wire _T_162 = _T_161 & buf_state_en; // @[axi4_to_ahb.scala 279:79] + wire _T_350 = buf_state_en & buf_write_in; // @[axi4_to_ahb.scala 324:33] + wire _T_352 = _T_350 & _T_53; // @[axi4_to_ahb.scala 324:48] wire _GEN_12 = _T_279 & _T_352; // @[Conditional.scala 39:67] wire _GEN_32 = _T_186 ? 1'h0 : _GEN_12; // @[Conditional.scala 39:67] wire _GEN_48 = _T_184 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] @@ -289,39 +289,39 @@ module axi4_to_ahb( wire _GEN_88 = _T_99 ? _T_124 : _GEN_75; // @[Conditional.scala 39:67] wire bypass_en = _T_47 ? buf_state_en : _GEN_88; // @[Conditional.scala 40:58] wire [1:0] _T_97 = bypass_en ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_98 = _T_97 & 2'h2; // @[axi4_to_ahb.scala 255:45] - wire _T_110 = ~master_valid; // @[axi4_to_ahb.scala 261:34] - wire _T_111 = buf_state_en & _T_110; // @[axi4_to_ahb.scala 261:32] + wire [1:0] _T_98 = _T_97 & 2'h2; // @[axi4_to_ahb.scala 254:45] + wire _T_110 = ~master_valid; // @[axi4_to_ahb.scala 260:34] + wire _T_111 = buf_state_en & _T_110; // @[axi4_to_ahb.scala 260:32] reg [31:0] buf_addr; // @[el2_lib.scala 514:16] - wire [2:0] _T_128 = bypass_en ? master_addr[2:0] : buf_addr[2:0]; // @[axi4_to_ahb.scala 266:30] - wire _T_129 = ~buf_state_en; // @[axi4_to_ahb.scala 267:44] - wire _T_130 = _T_129 | bypass_en; // @[axi4_to_ahb.scala 267:58] + wire [2:0] _T_128 = bypass_en ? master_addr[2:0] : buf_addr[2:0]; // @[axi4_to_ahb.scala 265:30] + wire _T_129 = ~buf_state_en; // @[axi4_to_ahb.scala 266:44] + wire _T_130 = _T_129 | bypass_en; // @[axi4_to_ahb.scala 266:58] wire [1:0] _T_132 = _T_130 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_133 = 2'h2 & _T_132; // @[axi4_to_ahb.scala 267:32] - wire _T_167 = buf_nxtstate != 3'h6; // @[axi4_to_ahb.scala 282:59] - wire _T_168 = _T_167 & buf_state_en; // @[axi4_to_ahb.scala 282:74] - wire _T_169 = ~_T_168; // @[axi4_to_ahb.scala 282:43] + wire [1:0] _T_133 = 2'h2 & _T_132; // @[axi4_to_ahb.scala 266:32] + wire _T_167 = buf_nxtstate != 3'h6; // @[axi4_to_ahb.scala 281:59] + wire _T_168 = _T_167 & buf_state_en; // @[axi4_to_ahb.scala 281:74] + wire _T_169 = ~_T_168; // @[axi4_to_ahb.scala 281:43] wire [1:0] _T_171 = _T_169 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_172 = 2'h2 & _T_171; // @[axi4_to_ahb.scala 282:32] + wire [1:0] _T_172 = 2'h2 & _T_171; // @[axi4_to_ahb.scala 281:32] wire [1:0] _T_182 = _T_129 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_183 = 2'h2 & _T_182; // @[axi4_to_ahb.scala 292:37] + wire [1:0] _T_183 = 2'h2 & _T_182; // @[axi4_to_ahb.scala 291:37] reg [2:0] buf_cmd_byte_ptrQ; // @[Reg.scala 27:20] reg [7:0] buf_byteen; // @[Reg.scala 27:20] - wire [2:0] _T_195 = buf_cmd_byte_ptrQ + 3'h1; // @[axi4_to_ahb.scala 181:52] - wire _T_198 = 3'h0 >= _T_195; // @[axi4_to_ahb.scala 182:62] - wire _T_199 = buf_byteen[0] & _T_198; // @[axi4_to_ahb.scala 182:48] - wire _T_201 = 3'h1 >= _T_195; // @[axi4_to_ahb.scala 182:62] - wire _T_202 = buf_byteen[1] & _T_201; // @[axi4_to_ahb.scala 182:48] - wire _T_204 = 3'h2 >= _T_195; // @[axi4_to_ahb.scala 182:62] - wire _T_205 = buf_byteen[2] & _T_204; // @[axi4_to_ahb.scala 182:48] - wire _T_207 = 3'h3 >= _T_195; // @[axi4_to_ahb.scala 182:62] - wire _T_208 = buf_byteen[3] & _T_207; // @[axi4_to_ahb.scala 182:48] - wire _T_210 = 3'h4 >= _T_195; // @[axi4_to_ahb.scala 182:62] - wire _T_211 = buf_byteen[4] & _T_210; // @[axi4_to_ahb.scala 182:48] - wire _T_213 = 3'h5 >= _T_195; // @[axi4_to_ahb.scala 182:62] - wire _T_214 = buf_byteen[5] & _T_213; // @[axi4_to_ahb.scala 182:48] - wire _T_216 = 3'h6 >= _T_195; // @[axi4_to_ahb.scala 182:62] - wire _T_217 = buf_byteen[6] & _T_216; // @[axi4_to_ahb.scala 182:48] + wire [2:0] _T_195 = buf_cmd_byte_ptrQ + 3'h1; // @[axi4_to_ahb.scala 180:52] + wire _T_198 = 3'h0 >= _T_195; // @[axi4_to_ahb.scala 181:62] + wire _T_199 = buf_byteen[0] & _T_198; // @[axi4_to_ahb.scala 181:48] + wire _T_201 = 3'h1 >= _T_195; // @[axi4_to_ahb.scala 181:62] + wire _T_202 = buf_byteen[1] & _T_201; // @[axi4_to_ahb.scala 181:48] + wire _T_204 = 3'h2 >= _T_195; // @[axi4_to_ahb.scala 181:62] + wire _T_205 = buf_byteen[2] & _T_204; // @[axi4_to_ahb.scala 181:48] + wire _T_207 = 3'h3 >= _T_195; // @[axi4_to_ahb.scala 181:62] + wire _T_208 = buf_byteen[3] & _T_207; // @[axi4_to_ahb.scala 181:48] + wire _T_210 = 3'h4 >= _T_195; // @[axi4_to_ahb.scala 181:62] + wire _T_211 = buf_byteen[4] & _T_210; // @[axi4_to_ahb.scala 181:48] + wire _T_213 = 3'h5 >= _T_195; // @[axi4_to_ahb.scala 181:62] + wire _T_214 = buf_byteen[5] & _T_213; // @[axi4_to_ahb.scala 181:48] + wire _T_216 = 3'h6 >= _T_195; // @[axi4_to_ahb.scala 181:62] + wire _T_217 = buf_byteen[6] & _T_216; // @[axi4_to_ahb.scala 181:48] wire [2:0] _T_221 = buf_byteen[7] ? 3'h7 : 3'h0; // @[Mux.scala 98:16] wire [2:0] _T_222 = _T_217 ? 3'h6 : _T_221; // @[Mux.scala 98:16] wire [2:0] _T_223 = _T_214 ? 3'h5 : _T_222; // @[Mux.scala 98:16] @@ -330,17 +330,17 @@ module axi4_to_ahb( wire [2:0] _T_226 = _T_205 ? 3'h2 : _T_225; // @[Mux.scala 98:16] wire [2:0] _T_227 = _T_202 ? 3'h1 : _T_226; // @[Mux.scala 98:16] wire [2:0] _T_228 = _T_199 ? 3'h0 : _T_227; // @[Mux.scala 98:16] - wire [2:0] _T_229 = trxn_done ? _T_228 : buf_cmd_byte_ptrQ; // @[axi4_to_ahb.scala 310:30] - wire _T_230 = buf_cmd_byte_ptrQ == 3'h7; // @[axi4_to_ahb.scala 311:65] + wire [2:0] _T_229 = trxn_done ? _T_228 : buf_cmd_byte_ptrQ; // @[axi4_to_ahb.scala 309:30] + wire _T_230 = buf_cmd_byte_ptrQ == 3'h7; // @[axi4_to_ahb.scala 310:65] reg buf_aligned; // @[Reg.scala 27:20] - wire _T_231 = buf_aligned | _T_230; // @[axi4_to_ahb.scala 311:44] - wire [7:0] _T_269 = buf_byteen >> _T_228; // @[axi4_to_ahb.scala 311:92] - wire _T_271 = ~_T_269[0]; // @[axi4_to_ahb.scala 311:163] - wire _T_272 = _T_231 | _T_271; // @[axi4_to_ahb.scala 311:79] - wire _T_273 = trxn_done & _T_272; // @[axi4_to_ahb.scala 311:29] - wire _T_347 = _T_230 | _T_271; // @[axi4_to_ahb.scala 324:118] - wire _T_348 = _T_107 & _T_347; // @[axi4_to_ahb.scala 324:82] - wire _T_349 = ahb_hresp_q | _T_348; // @[axi4_to_ahb.scala 324:32] + wire _T_231 = buf_aligned | _T_230; // @[axi4_to_ahb.scala 310:44] + wire [7:0] _T_269 = buf_byteen >> _T_228; // @[axi4_to_ahb.scala 310:92] + wire _T_271 = ~_T_269[0]; // @[axi4_to_ahb.scala 310:163] + wire _T_272 = _T_231 | _T_271; // @[axi4_to_ahb.scala 310:79] + wire _T_273 = trxn_done & _T_272; // @[axi4_to_ahb.scala 310:29] + wire _T_347 = _T_230 | _T_271; // @[axi4_to_ahb.scala 323:118] + wire _T_348 = _T_107 & _T_347; // @[axi4_to_ahb.scala 323:82] + wire _T_349 = ahb_hresp_q | _T_348; // @[axi4_to_ahb.scala 323:32] wire _GEN_11 = _T_279 & _T_349; // @[Conditional.scala 39:67] wire _GEN_24 = _T_186 ? _T_273 : _GEN_11; // @[Conditional.scala 39:67] wire _GEN_43 = _T_184 ? 1'h0 : _GEN_24; // @[Conditional.scala 39:67] @@ -348,17 +348,17 @@ module axi4_to_ahb( wire _GEN_74 = _T_134 ? _T_111 : _GEN_61; // @[Conditional.scala 39:67] wire _GEN_84 = _T_99 ? _T_111 : _GEN_74; // @[Conditional.scala 39:67] wire cmd_done = _T_47 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] - wire _T_274 = cmd_done | cmd_doneQ; // @[axi4_to_ahb.scala 312:43] - wire _T_275 = ~_T_274; // @[axi4_to_ahb.scala 312:32] + wire _T_274 = cmd_done | cmd_doneQ; // @[axi4_to_ahb.scala 311:43] + wire _T_275 = ~_T_274; // @[axi4_to_ahb.scala 311:32] wire [1:0] _T_277 = _T_275 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_278 = _T_277 & 2'h2; // @[axi4_to_ahb.scala 312:57] - wire _T_301 = _T_53 | _T_94; // @[axi4_to_ahb.scala 322:62] - wire _T_302 = buf_state_en & _T_301; // @[axi4_to_ahb.scala 322:33] - wire _T_355 = _T_275 | bypass_en; // @[axi4_to_ahb.scala 326:57] + wire [1:0] _T_278 = _T_277 & 2'h2; // @[axi4_to_ahb.scala 311:57] + wire _T_301 = _T_53 | _T_94; // @[axi4_to_ahb.scala 321:62] + wire _T_302 = buf_state_en & _T_301; // @[axi4_to_ahb.scala 321:33] + wire _T_355 = _T_275 | bypass_en; // @[axi4_to_ahb.scala 325:57] wire [1:0] _T_357 = _T_355 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_358 = _T_357 & 2'h2; // @[axi4_to_ahb.scala 326:71] - wire _T_365 = trxn_done | bypass_en; // @[axi4_to_ahb.scala 329:40] - wire [2:0] _T_440 = bypass_en ? _T_91 : _T_229; // @[axi4_to_ahb.scala 332:30] + wire [1:0] _T_358 = _T_357 & 2'h2; // @[axi4_to_ahb.scala 325:71] + wire _T_365 = trxn_done | bypass_en; // @[axi4_to_ahb.scala 328:40] + wire [2:0] _T_440 = bypass_en ? _T_91 : _T_229; // @[axi4_to_ahb.scala 331:30] wire _GEN_6 = _T_279 & ahb_hresp_q; // @[Conditional.scala 39:67] wire _GEN_7 = _T_279 ? buf_state_en : _T_441; // @[Conditional.scala 39:67] wire _GEN_9 = _T_279 & _T_302; // @[Conditional.scala 39:67] @@ -407,72 +407,72 @@ module axi4_to_ahb( wire [2:0] buf_cmd_byte_ptr = _T_47 ? _T_93 : _GEN_89; // @[Conditional.scala 40:58] wire slvbuf_wr_en = _T_47 ? 1'h0 : _GEN_85; // @[Conditional.scala 40:58] wire slvbuf_error_en = _T_47 ? 1'h0 : _GEN_93; // @[Conditional.scala 40:58] - wire _T_533 = master_size[1:0] == 2'h0; // @[axi4_to_ahb.scala 350:24] - wire _T_534 = _T_101 | _T_533; // @[axi4_to_ahb.scala 349:51] - wire _T_536 = master_size[1:0] == 2'h1; // @[axi4_to_ahb.scala 350:57] - wire _T_537 = _T_534 | _T_536; // @[axi4_to_ahb.scala 350:36] - wire _T_539 = master_size[1:0] == 2'h2; // @[axi4_to_ahb.scala 350:91] - wire _T_540 = _T_537 | _T_539; // @[axi4_to_ahb.scala 350:70] - wire _T_542 = master_size[1:0] == 2'h3; // @[axi4_to_ahb.scala 351:25] - wire _T_544 = wrbuf_byteen == 8'h3; // @[axi4_to_ahb.scala 351:62] - wire _T_546 = wrbuf_byteen == 8'hc; // @[axi4_to_ahb.scala 351:97] - wire _T_547 = _T_544 | _T_546; // @[axi4_to_ahb.scala 351:74] - wire _T_549 = wrbuf_byteen == 8'h30; // @[axi4_to_ahb.scala 351:132] - wire _T_550 = _T_547 | _T_549; // @[axi4_to_ahb.scala 351:109] - wire _T_552 = wrbuf_byteen == 8'hc0; // @[axi4_to_ahb.scala 351:168] - wire _T_553 = _T_550 | _T_552; // @[axi4_to_ahb.scala 351:145] - wire _T_555 = wrbuf_byteen == 8'hf; // @[axi4_to_ahb.scala 352:28] - wire _T_556 = _T_553 | _T_555; // @[axi4_to_ahb.scala 351:181] - wire _T_558 = wrbuf_byteen == 8'hf0; // @[axi4_to_ahb.scala 352:63] - wire _T_559 = _T_556 | _T_558; // @[axi4_to_ahb.scala 352:40] - wire _T_561 = wrbuf_byteen == 8'hff; // @[axi4_to_ahb.scala 352:99] - wire _T_562 = _T_559 | _T_561; // @[axi4_to_ahb.scala 352:76] - wire _T_563 = _T_542 & _T_562; // @[axi4_to_ahb.scala 351:38] - wire buf_aligned_in = _T_540 | _T_563; // @[axi4_to_ahb.scala 350:104] - wire _T_445 = buf_aligned_in & _T_49; // @[axi4_to_ahb.scala 344:60] - wire [2:0] _T_482 = _T_445 ? 3'h0 : master_addr[2:0]; // @[axi4_to_ahb.scala 344:43] - wire _T_486 = buf_state == 3'h3; // @[axi4_to_ahb.scala 347:33] - wire _T_492 = buf_aligned_in & _T_542; // @[axi4_to_ahb.scala 348:38] - wire _T_495 = _T_492 & _T_49; // @[axi4_to_ahb.scala 348:72] - wire [1:0] _T_529 = _T_495 ? 2'h0 : master_size[1:0]; // @[axi4_to_ahb.scala 348:21] + wire _T_533 = master_size[1:0] == 2'h0; // @[axi4_to_ahb.scala 349:24] + wire _T_534 = _T_101 | _T_533; // @[axi4_to_ahb.scala 348:48] + wire _T_536 = master_size[1:0] == 2'h1; // @[axi4_to_ahb.scala 349:54] + wire _T_537 = _T_534 | _T_536; // @[axi4_to_ahb.scala 349:33] + wire _T_539 = master_size[1:0] == 2'h2; // @[axi4_to_ahb.scala 349:93] + wire _T_540 = _T_537 | _T_539; // @[axi4_to_ahb.scala 349:72] + wire _T_542 = master_size[1:0] == 2'h3; // @[axi4_to_ahb.scala 350:25] + wire _T_544 = wrbuf_byteen == 8'h3; // @[axi4_to_ahb.scala 350:62] + wire _T_546 = wrbuf_byteen == 8'hc; // @[axi4_to_ahb.scala 350:97] + wire _T_547 = _T_544 | _T_546; // @[axi4_to_ahb.scala 350:74] + wire _T_549 = wrbuf_byteen == 8'h30; // @[axi4_to_ahb.scala 350:132] + wire _T_550 = _T_547 | _T_549; // @[axi4_to_ahb.scala 350:109] + wire _T_552 = wrbuf_byteen == 8'hc0; // @[axi4_to_ahb.scala 350:168] + wire _T_553 = _T_550 | _T_552; // @[axi4_to_ahb.scala 350:145] + wire _T_555 = wrbuf_byteen == 8'hf; // @[axi4_to_ahb.scala 351:28] + wire _T_556 = _T_553 | _T_555; // @[axi4_to_ahb.scala 350:181] + wire _T_558 = wrbuf_byteen == 8'hf0; // @[axi4_to_ahb.scala 351:63] + wire _T_559 = _T_556 | _T_558; // @[axi4_to_ahb.scala 351:40] + wire _T_561 = wrbuf_byteen == 8'hff; // @[axi4_to_ahb.scala 351:99] + wire _T_562 = _T_559 | _T_561; // @[axi4_to_ahb.scala 351:76] + wire _T_563 = _T_542 & _T_562; // @[axi4_to_ahb.scala 350:38] + wire buf_aligned_in = _T_540 | _T_563; // @[axi4_to_ahb.scala 349:106] + wire _T_445 = buf_aligned_in & _T_49; // @[axi4_to_ahb.scala 343:60] + wire [2:0] _T_482 = _T_445 ? 3'h0 : master_addr[2:0]; // @[axi4_to_ahb.scala 343:43] + wire _T_486 = buf_state == 3'h3; // @[axi4_to_ahb.scala 346:33] + wire _T_492 = buf_aligned_in & _T_542; // @[axi4_to_ahb.scala 347:38] + wire _T_495 = _T_492 & _T_49; // @[axi4_to_ahb.scala 347:71] + wire [1:0] _T_529 = _T_495 ? 2'h0 : master_size[1:0]; // @[axi4_to_ahb.scala 347:21] wire [31:0] _T_568 = {master_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] wire [31:0] _T_571 = {buf_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] wire [1:0] _T_575 = buf_aligned_in ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [2:0] buf_size_in = {{1'd0}, _T_529}; // @[axi4_to_ahb.scala 348:15] - wire [1:0] _T_577 = _T_575 & buf_size_in[1:0]; // @[axi4_to_ahb.scala 355:77] + wire [2:0] buf_size_in = {{1'd0}, _T_529}; // @[axi4_to_ahb.scala 347:15] + wire [1:0] _T_577 = _T_575 & buf_size_in[1:0]; // @[axi4_to_ahb.scala 354:77] wire [2:0] _T_578 = {1'h0,_T_577}; // @[Cat.scala 29:58] wire [1:0] _T_580 = buf_aligned ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] reg [1:0] buf_size; // @[Reg.scala 27:20] - wire [1:0] _T_582 = _T_580 & buf_size; // @[axi4_to_ahb.scala 355:135] + wire [1:0] _T_582 = _T_580 & buf_size; // @[axi4_to_ahb.scala 354:134] wire [2:0] _T_583 = {1'h0,_T_582}; // @[Cat.scala 29:58] - wire _T_586 = ~io_axi_arprot[2]; // @[axi4_to_ahb.scala 359:33] + wire _T_586 = ~io_axi_arprot[2]; // @[axi4_to_ahb.scala 358:33] wire [1:0] _T_587 = {1'h1,_T_586}; // @[Cat.scala 29:58] reg buf_write; // @[Reg.scala 27:20] - wire _T_609 = io_ahb_htrans != 2'h0; // @[axi4_to_ahb.scala 368:40] - wire _T_610 = _T_609 & io_ahb_hready; // @[axi4_to_ahb.scala 368:52] - wire last_addr_en = _T_610 & io_ahb_hwrite; // @[axi4_to_ahb.scala 368:68] - wire wrbuf_en = _T_42 & master_ready; // @[axi4_to_ahb.scala 370:47] - wire wrbuf_data_en = _T_43 & master_ready; // @[axi4_to_ahb.scala 371:50] - wire wrbuf_cmd_sent = _T_147 & _T_49; // @[axi4_to_ahb.scala 372:49] - wire _T_620 = ~wrbuf_en; // @[axi4_to_ahb.scala 373:33] - wire wrbuf_rst = wrbuf_cmd_sent & _T_620; // @[axi4_to_ahb.scala 373:31] - wire _T_622 = ~wrbuf_cmd_sent; // @[axi4_to_ahb.scala 375:35] - wire _T_623 = wrbuf_vld & _T_622; // @[axi4_to_ahb.scala 375:33] - wire _T_624 = ~_T_623; // @[axi4_to_ahb.scala 375:21] - wire _T_627 = wrbuf_data_vld & _T_622; // @[axi4_to_ahb.scala 376:37] - wire _T_628 = ~_T_627; // @[axi4_to_ahb.scala 376:20] - wire _T_631 = ~wr_cmd_vld; // @[axi4_to_ahb.scala 377:21] - wire _T_634 = wrbuf_en | wrbuf_vld; // @[axi4_to_ahb.scala 381:52] - wire _T_635 = ~wrbuf_rst; // @[axi4_to_ahb.scala 381:88] - wire _T_639 = wrbuf_data_en | wrbuf_data_vld; // @[axi4_to_ahb.scala 382:52] + wire _T_609 = io_ahb_htrans != 2'h0; // @[axi4_to_ahb.scala 367:40] + wire _T_610 = _T_609 & io_ahb_hready; // @[axi4_to_ahb.scala 367:52] + wire last_addr_en = _T_610 & io_ahb_hwrite; // @[axi4_to_ahb.scala 367:68] + wire wrbuf_en = _T_42 & master_ready; // @[axi4_to_ahb.scala 369:47] + wire wrbuf_data_en = _T_43 & master_ready; // @[axi4_to_ahb.scala 370:50] + wire wrbuf_cmd_sent = _T_147 & _T_49; // @[axi4_to_ahb.scala 371:49] + wire _T_620 = ~wrbuf_en; // @[axi4_to_ahb.scala 372:33] + wire wrbuf_rst = wrbuf_cmd_sent & _T_620; // @[axi4_to_ahb.scala 372:31] + wire _T_622 = ~wrbuf_cmd_sent; // @[axi4_to_ahb.scala 374:35] + wire _T_623 = wrbuf_vld & _T_622; // @[axi4_to_ahb.scala 374:33] + wire _T_624 = ~_T_623; // @[axi4_to_ahb.scala 374:21] + wire _T_627 = wrbuf_data_vld & _T_622; // @[axi4_to_ahb.scala 375:37] + wire _T_628 = ~_T_627; // @[axi4_to_ahb.scala 375:20] + wire _T_631 = ~wr_cmd_vld; // @[axi4_to_ahb.scala 376:21] + wire _T_634 = wrbuf_en | wrbuf_vld; // @[axi4_to_ahb.scala 380:52] + wire _T_635 = ~wrbuf_rst; // @[axi4_to_ahb.scala 380:88] + wire _T_639 = wrbuf_data_en | wrbuf_data_vld; // @[axi4_to_ahb.scala 381:52] reg buf_tag; // @[Reg.scala 27:20] - wire _T_689 = ~slave_valid_pre; // @[axi4_to_ahb.scala 433:52] - wire _T_702 = buf_wr_en | slvbuf_wr_en; // @[axi4_to_ahb.scala 457:43] - wire _T_703 = _T_702 | io_clk_override; // @[axi4_to_ahb.scala 457:58] - wire _T_706 = io_ahb_hready & io_ahb_htrans[1]; // @[axi4_to_ahb.scala 458:54] - wire _T_707 = _T_706 | io_clk_override; // @[axi4_to_ahb.scala 458:74] - wire _T_709 = buf_state != 3'h0; // @[axi4_to_ahb.scala 459:50] - wire _T_710 = _T_709 | io_clk_override; // @[axi4_to_ahb.scala 459:60] + wire _T_689 = ~slave_valid_pre; // @[axi4_to_ahb.scala 432:52] + wire _T_702 = buf_wr_en | slvbuf_wr_en; // @[axi4_to_ahb.scala 456:43] + wire _T_703 = _T_702 | io_clk_override; // @[axi4_to_ahb.scala 456:58] + wire _T_706 = io_ahb_hready & io_ahb_htrans[1]; // @[axi4_to_ahb.scala 457:54] + wire _T_707 = _T_706 | io_clk_override; // @[axi4_to_ahb.scala 457:74] + wire _T_709 = buf_state != 3'h0; // @[axi4_to_ahb.scala 458:50] + wire _T_710 = _T_709 | io_clk_override; // @[axi4_to_ahb.scala 458:60] rvclkhdr rvclkhdr ( // @[el2_lib.scala 483:22] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -533,25 +533,25 @@ module axi4_to_ahb( .io_en(rvclkhdr_9_io_en), .io_scan_mode(rvclkhdr_9_io_scan_mode) ); - assign io_axi_awready = _T_624 & master_ready; // @[axi4_to_ahb.scala 375:18] - assign io_axi_wready = _T_628 & master_ready; // @[axi4_to_ahb.scala 376:17] - assign io_axi_bvalid = _T_23 & slave_opc[3]; // @[axi4_to_ahb.scala 207:17] - assign io_axi_bresp = slave_opc[0] ? 2'h2 : _T_28; // @[axi4_to_ahb.scala 208:16] - assign io_axi_bid = slvbuf_tag; // @[axi4_to_ahb.scala 209:14] - assign io_axi_arready = _T_631 & master_ready; // @[axi4_to_ahb.scala 377:18] - assign io_axi_rvalid = _T_23 & _T_33; // @[axi4_to_ahb.scala 211:17] - assign io_axi_rid = slvbuf_tag; // @[axi4_to_ahb.scala 213:14] - assign io_axi_rdata = slvbuf_error ? _T_601 : _T_605; // @[axi4_to_ahb.scala 214:16] - assign io_axi_rresp = slave_opc[0] ? 2'h2 : _T_28; // @[axi4_to_ahb.scala 212:16] - assign io_axi_rlast = 1'h1; // @[axi4_to_ahb.scala 378:16] - assign io_ahb_haddr = bypass_en ? _T_568 : _T_571; // @[axi4_to_ahb.scala 354:16] - assign io_ahb_hburst = 3'h0; // @[axi4_to_ahb.scala 357:17] - assign io_ahb_hmastlock = 1'h0; // @[axi4_to_ahb.scala 358:20] - assign io_ahb_hprot = {{2'd0}, _T_587}; // @[axi4_to_ahb.scala 359:16] - assign io_ahb_hsize = bypass_en ? _T_578 : _T_583; // @[axi4_to_ahb.scala 355:16] - assign io_ahb_htrans = _T_47 ? _T_98 : _GEN_90; // @[axi4_to_ahb.scala 224:17 axi4_to_ahb.scala 255:21 axi4_to_ahb.scala 267:21 axi4_to_ahb.scala 282:21 axi4_to_ahb.scala 292:21 axi4_to_ahb.scala 312:21 axi4_to_ahb.scala 326:21] - assign io_ahb_hwrite = bypass_en ? _T_49 : buf_write; // @[axi4_to_ahb.scala 360:17] - assign io_ahb_hwdata = buf_data; // @[axi4_to_ahb.scala 361:17] + assign io_axi_awready = _T_624 & master_ready; // @[axi4_to_ahb.scala 374:18] + assign io_axi_wready = _T_628 & master_ready; // @[axi4_to_ahb.scala 375:17] + assign io_axi_bvalid = _T_23 & slave_opc[3]; // @[axi4_to_ahb.scala 206:17] + assign io_axi_bresp = slave_opc[0] ? 2'h2 : _T_28; // @[axi4_to_ahb.scala 207:16] + assign io_axi_bid = slvbuf_tag; // @[axi4_to_ahb.scala 208:14] + assign io_axi_arready = _T_631 & master_ready; // @[axi4_to_ahb.scala 376:18] + assign io_axi_rvalid = _T_23 & _T_33; // @[axi4_to_ahb.scala 210:17] + assign io_axi_rid = slvbuf_tag; // @[axi4_to_ahb.scala 212:14] + assign io_axi_rdata = slvbuf_error ? _T_601 : _T_605; // @[axi4_to_ahb.scala 213:16] + assign io_axi_rresp = slave_opc[0] ? 2'h2 : _T_28; // @[axi4_to_ahb.scala 211:16] + assign io_axi_rlast = 1'h1; // @[axi4_to_ahb.scala 377:16] + assign io_ahb_haddr = bypass_en ? _T_568 : _T_571; // @[axi4_to_ahb.scala 353:16] + assign io_ahb_hburst = 3'h0; // @[axi4_to_ahb.scala 356:17] + assign io_ahb_hmastlock = 1'h0; // @[axi4_to_ahb.scala 357:20] + assign io_ahb_hprot = {{2'd0}, _T_587}; // @[axi4_to_ahb.scala 358:16] + assign io_ahb_hsize = bypass_en ? _T_578 : _T_583; // @[axi4_to_ahb.scala 354:16] + assign io_ahb_htrans = _T_47 ? _T_98 : _GEN_90; // @[axi4_to_ahb.scala 223:17 axi4_to_ahb.scala 254:21 axi4_to_ahb.scala 266:21 axi4_to_ahb.scala 281:21 axi4_to_ahb.scala 291:21 axi4_to_ahb.scala 311:21 axi4_to_ahb.scala 325:21] + assign io_ahb_hwrite = bypass_en ? _T_49 : buf_write; // @[axi4_to_ahb.scala 359:17] + assign io_ahb_hwdata = buf_data; // @[axi4_to_ahb.scala 360:17] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 484:17] assign rvclkhdr_io_en = io_bus_clk_en; // @[el2_lib.scala 485:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 486:23] diff --git a/src/main/scala/lib/axi4_to_ahb.scala b/src/main/scala/lib/axi4_to_ahb.scala index d29154dc..5ab42614 100644 --- a/src/main/scala/lib/axi4_to_ahb.scala +++ b/src/main/scala/lib/axi4_to_ahb.scala @@ -164,15 +164,15 @@ class axi4_to_ahb extends Module with el2_lib with RequireAsyncReset with Config val byteen = WireInit(0.U(8.W)) val size = ("b11".U & Fill(2, (byteen(7, 0) === "hff".U))) | - ("b10".U & (Fill(2, ((byteen(7, 0) === "hf0".U) | (byteen(7, 0) === "h0f".U))))) | - ("b01".U & (Fill(2, ((byteen(7, 0) === "hc0".U) | (byteen(7, 0) === "h30".U) | (byteen(7, 0) === "h0c".U) | (byteen(7, 0) === "h03".U))))) + ("b10".U & (Fill(2, ((byteen(7, 0) === "hf0".U) | (byteen(7, 0) === "h0f".U(8.W)))))) | + ("b01".U(2.W) & (Fill(2, ((byteen(7, 0) === "hc0".U) | (byteen(7, 0) === "h30".U) | (byteen(7, 0) === "h0c".U(8.W)) | (byteen(7, 0) === "h03".U(8.W)))))) size } def get_write_addr(byteen_e: UInt) = { val byteen_e = WireInit(0.U(8.W)) - val addr = ("h0".U & (Fill(3, ((byteen_e(7, 0) === "hff".U) | (byteen_e(7, 0) === "h0f".U) | (byteen_e(7, 0) === "h03".U))))) | - ("h2".U & (Fill(3, (byteen_e(7, 0) === "h0c".U)))) | - ("h4".U & (Fill(3, ((byteen_e(7, 0) === "hf0".U) | (byteen_e(7, 0) === "h03".U))))) | + val addr = ("h0".U(3.W) & (Fill(3, ((byteen_e(7, 0) === "hff".U) | (byteen_e(7, 0) === "h0f".U(8.W)) | (byteen_e(7, 0) === "h03".U(8.W)))))) | + ("h2".U & (Fill(3, (byteen_e(7, 0) === "h0c".U(8.W))))) | + ("h4".U & (Fill(3, ((byteen_e(7, 0) === "hf0".U) | (byteen_e(7, 0) === "h03".U(8.W)))))) | ("h6".U & (Fill(3, (byteen_e(7, 0) === "hc0".U)))) addr } @@ -344,14 +344,14 @@ class axi4_to_ahb extends Module with el2_lib with RequireAsyncReset with Config buf_tag_in := master_tag(TAG - 1, 0) buf_byteen_in := wrbuf_byteen(7,0) buf_data_in := Mux((buf_state === data_rd), ahb_hrdata_q(63, 0), master_wdata(63, 0)) - buf_size_in := Mux((buf_aligned_in & (master_size(1, 0) === "b11".U) & (master_opc(2, 1) === "b01".U)).asBool(), get_write_size(master_byteen(7, 0)), master_size(1, 0)) - buf_aligned_in := (master_opc(2, 0) === "b0".U) | // reads are always aligned since they are either DW or sideeffects - (master_size(1, 0) === "b0".U) | (master_size(1, 0) === "b01".U) | (master_size(1, 0) === "b10".U) | // Always aligned for Byte/HW/Word since they can be only for non-idempotent. IFU/SB are always aligned + buf_size_in := Mux((buf_aligned_in & (master_size(1,0) === "b11".U) & (master_opc(2, 1) === "b01".U)).asBool(),get_write_size(master_byteen(7,0)), master_size(1,0)) + buf_aligned_in := (master_opc(2, 0) === 0.U) | // reads are always aligned since they are either DW or sideeffects + (master_size(1, 0) === 0.U) | (master_size(1, 0) === "b01".U(2.W)) | (master_size(1, 0) === "b10".U) | // Always aligned for Byte/HW/Word since they can be only for non-idempotent. IFU/SB are always aligned ((master_size(1, 0) === "b11".U) & ((master_byteen(7, 0) === "h3".U) | (master_byteen(7, 0) === "hc".U) | (master_byteen(7, 0) === "h30".U) | (master_byteen(7, 0) === "hc0".U) | (master_byteen(7, 0) === "hf".U) | (master_byteen(7, 0) === "hf0".U) | (master_byteen(7, 0) === "hff".U))) // Generate the ahb signals io.ahb_haddr := Mux(bypass_en.asBool(), Cat(master_addr(31, 3), buf_cmd_byte_ptr(2, 0)), Cat(buf_addr(31, 3), buf_cmd_byte_ptr(2, 0))) - io.ahb_hsize := Mux(bypass_en.asBool(), Cat(0.U, (Fill(2, buf_aligned_in) & buf_size_in(1, 0))), (Cat("b0".U, (Fill(2, buf_aligned) & buf_size(1, 0))))) + io.ahb_hsize := Mux(bypass_en.asBool(), Cat(0.U, (Fill(2, buf_aligned_in) & buf_size_in(1, 0))), Cat("b0".U, (Fill(2, buf_aligned) & buf_size(1, 0)))) io.ahb_hburst := "b0".U io.ahb_hmastlock := "b0".U diff --git a/target/scala-2.12/classes/lib/AXImain$.class b/target/scala-2.12/classes/lib/AXImain$.class index 62324fd8af1b5d2f2fb2a2be09384ee02c2225e8..6aeafe4845098fdd81bc9a7d61f40de254b81525 100644 GIT binary patch delta 103 zcmdlbw@YrrXD-IelfQ7;0?7m(@yVXto>0~*ZYv<`DYp%fRN{fi2LjofcwE6MBqs~< jT0&VVyv~eQC$HcQ<-Eec!FZKHA1KboR{0~*ZYv<`DYp%fRN{fi2LjofcwE6MBqs~< jT0&VVyv~f*Ca>TP<-E$k!FY{9A1KboR{Q$qq~bKyo6JHvmaj2J!#^ delta 19 Zcmcb^dWUs`Jrm=V$qq~bKyo6JHvmaz2J`>` diff --git a/target/scala-2.12/classes/lib/axi4_to_ahb.class b/target/scala-2.12/classes/lib/axi4_to_ahb.class index d89ea6199df049800a64b84b62e17da212b555c5..fdf05165c2ded8a42c7abd606132f99617a1ccb1 100644 GIT binary patch delta 22820 zcmb7s349b)^6;xn_snD%lFsDL1AP{aA7(~Sr798a% zs|$FdD~Je**D9#2hzcU=uF5L7tk>!)-UsNv>UC$P-*ltjm)~#F-Syt9s#jI7UcGv+ zd(-pIZ=ZMW+fkkFVXc+hfO%)mowsCGUAN8y>g(%V%KPC*s~41?RX*@2XOw??m9xs5 z9%ZlcA7GA}k}huLBfObAv&5}@LO0#;=D&1PGSjVmE^fNHl`q26XB20C11xTCSGV#V zUAw!LAL!cCt^5?;IisS_ufP&UG?N~=Y-K@TuX2?N@hVf}JS;~!0L)!mUtO@MZrKvA zstPVtC$QD+!aGaS!*9=?Uhcz+J((b)8Y1 zmBA&m3S4S=_^MgC&Wd=ozd9g%->kmQfgZda6#j756c`?^n4Jrw!ZT*4JI8of7j!VklhssCgE?XFESXEt6gWdD0^Yw;x;b-P7aW3>A z>iTf6xl>y#i)Y={<-o?a8x}r4uOgf`H@=EY6_tEcU5U1-t^(!^E*U>;;Zm>qM}zXtVk!La@clmg?QO#mnaCUAvX~j0J=BNA6{V^t(H} zET~uhGYzP>yljR3_!lo*so(ANvJLv(-Ch>bo89YW4f@^vz>3;UDMAf|4_w(cwA-Vs zS04lh1H9^Asa2NxuvfVNoJ76X%WCyj`@HN=I?nxGwne|g5#_6!SJOGTa$fi9rS;Xp zxi!_pM!VET6a(GQUD^;_h-2+>M4>jRPoM}3f(z>x&R({#VBxG41>Jxtp_-DC61V!a zmtCe4ddAC!=y!*_>{^}Bb6$3x4toKId=1wBJFu2Cm}f06sGYZ5Xyd4^jhDRadcD=l zUbaTRd)3P}>vt!-Y@Uwkq|VLdQFgj}*+#wA8(ubCuk{w`2TgC2UhuY;t1KtSoy3fd`gRwSO%u#{cf+^uOEke)zv(c~NSU z^IKppR7G{oyjrjGd*okj3h!GU!19yJQ`!KFd3OVwK? ztq5c^xzPQ2L>ZcV?JBRUCH5Cl4qFiz+T=Qc&34@ zxw>CR_UMW<jFQaopG%U%JG*$oM0#G0YMXeOd-(wI%o=lruvvlpfftC3PIIA<|NR+bx;k0 zX8M?mK;P=1*$A5BV{QWdq=T+R(0pI`rPW*EtH-APF^)7?wT6TnT9cXHn>f8kBAztt;z6{4|Czr(+9>1UEI6%T8_2T5YLRYsTEDGzj@W4)k)OHXOZF| zAM-e0@H*pk(DMj-!N*z<$fbi`M9@(m^Aac(4W)5y2NdP&z=q*Ok#WnqQT`^^KfTUO zz4kj;`&}PPpxQZDd)~V2kRWyr3;yF{8Wj}ibw5VXCq9-$ptd^bGX#C^V?F{E>!2?Y zbl%633Di*seS@HHeJq7QopjI-2>Q{-QVG;W2VFqWMITEG5v;p_xmlvq4L+7mpdLC% zL6GWW83gLBgWL#;_pwX@{XqwL5!BMhvItbBgERys`IsL;tlaS`O4Oa=b@tN>)37kz z$Fi}om2-d&%R-pn$8xAaf4xDj*EtxabZ@8=>gQDGW?1~#jP)O^kMGG6n8KLy7JpZ1sBCUjZfR8B<0s?bayZA^IAB7D53wv+`M6Pe3~$s(o&Cy_Y6s2b z*snbMlydvzWaW+|wwni+LpGlg2Tu5uA96qnzk5Ao@tyJDbL`dz@vRC3;4@JIBly-@ zXbZb}MIHE4m2(zkT8*gR>VG$oCQ!>3&zN-|`ReQkWctD#js)arr)o~xjL~-uH_&=Aq@c+H67rUSRlRXgU zWB13Evfavq>;dHs_Mm$RmKLKDdMZ1Vb!;GuQ%>RUJl9WUVZTvAU4|^_z0pqfOGqvPT;%`kP`A@*ZuOj_J20yhmHpjOj{y0q@arNWi&{WaWFl z$%E#z!40i_Rx98SyJ0rmBCxo0EB#S#Fe)Cd^I7@er{jfLmw2Erl$+cAOzq0hW?%Ne ze3&7MWR(`OcvQl}sM0-gDQs`tA-w*VJ)*Q>dzH~_pYkPpMD?>rO{)D+`I=O#X0bT9 zSy!!^)2v!GN0dfX`?XLl1}?g4!Oc7c%!w55-LE=2w#SjDG%7bWs*2eZ4`|(aD?el< zy0AiY6dvG<7K1;edJZXj`zZU>L@abPs>wKj)btLSIBq*KwqNbqsP=4BOAo32`l$QW zN+jXXNo1;x>R@aewdVw~OLlG($%5rFs2gAqLU-> zj@_$V#9|P4!qCOtFRU+f2%~Q4zzP}}w(<+pvbN#LwrGF>Gj5uZ=WQ8r2)3+I3=A9qgdc zYCtEiHlW(*?{0O8p}@P2GD*^1S|_`6NE>~Hwtbnx>rN#IJOnWs@XXztWES`j%BKYy(&xQp3063T6e zZnmzpu({E=LQK5Qz~7ybZb_r@J6_>~>`8Y; zT7M_CCbo7EhDiDMT9Qc;RKAPK@kbVRyP3Nmw4S=x{6Q-eow$5M1kDdxS`3~-H-H}Hp+Br*2nM{<@ka{Fb9n~Bp69;7qxD>U@mH{1^?}$ zg1KlB%;-k-Ns3zt8$X!>e#b9bN8YM6v<|Ufv{W-wkD{6DlX)i`lZ<`M3fVVoH~SWf z*>|vxeGh+OKg4CRALIJ4pB6NaRMrA{#nF*SSl4Z-$$}} zY!W*@$s(V}-x0;EWN@J9iLaCwt$aE7`84!I$IR3mVtn{EAf{Jj_~Jsy))q)G3^QnE z>l)D}k~8x~qvbgMjPV$m{6Hbk5ra+90s`BFC^kjYOxh-FuMxIp69v^mZ4;K;NWqD7 z@TH{SBvq1wQyU^U&)J|4SsU|kYO8eyY|O*S*Jnbp z!>KJ0Sdt{$a3-;B0-Qk((PA7r&f;8#8_J&db3Q^*AoCoyWl( zgYI$Mx3EO=IPTuaW3xTK+0xvN1pZnznyh4m3BqLk!en&=G|zjGfIf!Sbv6?t6 z{8NH4q7x(=OyEHU{E4#BCvcbn{%&g2pb~5iig?*%WDDz`O9`=Q zS}?NOj8{RUiIvD^{1eGq#;m4Qiqa%9Xo*|l>3hnaUb9HG+0#osO(OMYht5TYZ<5q5 z61POkeU^Fm9c1>+NO`iB9^-pYV|mo~rdZ0~D{f2`u3lm+)tK^RYfTjvY??SyE$D0~ zjx?KzBh8pNOxV&a6NjzuN*BH>?H|}NidiJ*na)8$zqUvPZycmkd>%-Ud`UXbYYl~M z(=CQ*=ln7*>HM(7-uY$d&JWAc`3;qvU&jBd^Rx6SQ%rU#$4HfC)63K@6E-OaCYf4$ z0Zm>Yr&l1e*(+wsUJ=pK480>_MOm^HM&q@f7*;VzKp&DA*AG)1XCX=H3_fKvBrElh zqWlR`RTreGAxL*lgbY^~$aJSeR@95Sd!rW(T2^V8m(|^9+;#fuFm4z!L~=MBVJcT* zs(Ha+6E@`iM(~tp6FlWzB6!N<*5E16aJ8_3?`s3;Q)q8)DkU z_AygvK1ST=_x+bedY}K>#)In$IekbxnN6j&Fy*9JlV~j*kcb09t!1l-YCVgxC6LPk zkcTVr01SmzFco(){&Nq`a%dBMI*w{6*)PZkC0yEZpvv_V?{i z7RjKG%vRe&SS{4@R_!6#(L)>0Pj-h+cr!;Vl>L}&c_^|_{v@U)I^clhfO}ZR&~g5+ z_D}#l`JZZ`odP{E(4;iCdJa4DUm}{yYdSz@rDqb`Dk!E$DVnME-vj0qM~XXiVD zA9`)0%rr&(pG{l!BfSJoy9@gTx}$c~_F##m~k zDpD*sOL0~poGGMRiCU>PP@hGm$h=e=AfN)%_hxaIVqTy0)hnv6Qi(envdwWV-b#(j zv|`a%4y?+==1ziS7G)yiC}Lntl{yfSB`7b`rs$kwA~d>WE7KMUX!<|(W^(=y%D5J9 z?AWAR^M6oAVK4^B{#2wGo65t+Te?7YDh(?v{!t>!4`Y!fJT4RA@gO-oF0;r>4rBXW z!c}+b3h5c-ZNm7A=~sU;rc35Ep}#4w8Q-bD!~kKWWi(kS%}wU~AXK0+l04FlLUWD` z3r86%oC+T7hO0YCg%!d@Pd2T#DlC4L@{-ZWYk*}AqtX5*{%iuB%1gTWN?SKyDcpRV zx`D6h4%tx2D^{XMIM^LB@cwvr=mM47dO$(SL%K^fE4Px2Ci^!WTqUpXfgY|>TW;fu zDvh<89H&;IgQ5}HH9E>GEq;$y0HhXkx)}xvxu%P$&!Vq^<|V*D(dAN;1_oL*@LR5R zkTD}*)X}*o6j?J6gUG95DY;n3AWA7=+M&ZB*})?JB1pum2z|_w#XUcwuJ$(b_+LoAY`IiL8Daxz1OFB=q9>QGB8(7e|A&2%^n z*Kivf)8R?cbhz%&J`&-8GCYZG7V!Yx?G#mWqSG_7B?Sb-akWog+v1>xi=|pfiWG*+ zkwP@~>xW?*gT8DHl)^eFb6f-c9QQ)G;|1ui42B9d7Y3;Ppwe{}40N}LLGej2IDQih z@yv&zo+n^eT_Kw zwAe%ukx``47>fbDXH#peTr1jdA02CeQ5YDEX8mCdn+;>5ePNp-ed$G;>BiO@9#=79 z|8#v($3Bm2`CT**fi=bioLehc5__@O<6q@E`hG0tD}$vN3$8Izqw+kuhVLka!jKZ_ z_8P6kln+^i)0Vt9$6$@AAD>715$y&YvAI+?oQj#nwc0SG)u=qrKba57nkx0i>Eyji zF)J$APlcKKye&l>YxR6oL1vWwwKDtsy;5j3(7N$KXMPN`xtDo_*{t60q`i;Pjn2g4 zMrV>zEA20Y$ZKuu4<4U_l_X_^_?8Cn$Eme^eL19!44K5U+D<%pw#Xp8!yvsZLOMfE z46hf*QTV5E=f3`Aj#Sd0MG2F_}R6wDy3<=|{W~K{nHQ`%fhYyd2 z@%jPK2DVcKXoGLNnE9G5tzf$eVS^n)JXppHDsfYcY)9hkF5|F<(bti8nNZpG6%V8t zn!L*p=n`F^FDr?bMB5Cn8vlj1<(c=9k zBDOulLv;MJfvCj?`BgJ99Hzl0R4Ji-(5%V{`k^VYugYvyiKp;vIalA2ILCP>x(az% zBRji>r_5@4Myj+^oBGnTb6lZCV(-lu8$3P@k4ID5$m^qEB772St|-c<_Xn7H{66So5nZpCkNk-ijh7Op>*p3W5 z>}cp`3NGL&YAC^(R(JoUQ58jI#V3BZXHS?&R+QLTGoGe2Xl^uy(6nZn>XY(r_S3=v zm}cdtf0zE#Ci>It$m4mgEm!vt!hURlpH*4&okLP}m zCLS|2XkKTCd3v*$ox`+pY0fz!*y$mvyGY1{V1T2?ehT&;tT5fbi zIcFbI&NPi24x8g(Ekl#8A?$Bv=8Le0T#!7R9 zmKQ^lhektMD>GjswLnargN`3ebYC^l|73!}7@gz=E6FhybWNkjU=~f1#Y8PXh9=)N z7HXwBQ?2r9Jq}Xi^iG#vAvjP9_}=kQz}H>@?WA7K)pBAe98@reJ_TAgeR2h$4$UH1 zY$3(RPJlF>()kQa9MoYEuG-~r#CBX%5;H!*>tFMyntam zWes{na=q*YEg>oZl~RY8mvz2^S6pnJFwNua2xS)h-Ia6A6E8awo8NMt6e$g-d1r_H z-8hKouSLYCtjjm7(2bAvLv9vQe9N|cgmFah@BIALklosx+`!i64p9E!He`Yiu7Zx4 zv}R3q{GKYi2-}pAdYAzmMg$B0GkWC2hL$_Ol^A@tBnD+R6_HA>HvZZq-DpbbMLTCJ6;O z!2M0FNXidg#3Fp^NvymL9HH5ZW0vV@iP3J9-OWcsrA*t_l6@2aBIW~$M~ zxga92Y;5-X0z38pA+S@I5ZEbNhsBJ*Q&xdBx)gqBCZxj~QJEFLVU<~<%T?UyikBll z_NMSOyl6JG>|{QCNjCYtFcxtVc66hQURa2@qqhvvmq)$ZThdrVLvtnR?Njs%?Sdeh zEHj$7IV=Z%j!4I2S&m*MAXlNRQj%&up~l|E(McNs(xwS}TO5h2h^FkZUd-`SS3dGp zfWNj9ZwTlZ>D#;f+c~%lJ}p!jLN`HdOEpfLO~lnn)SxrW%^8&ls`t$mRgx*5M6=X7 zV^Jy|z`$CRhYdA{z)9?^REL1s8JWf4tU0?vb+>5_zv#uP`CjAYIG$yH;bBN3}fjOa&8ZbE#bh z%_|+SIA;5GBAv0$w>zjhQ5$3Ikxmq8CwUieqK2nCFv7x2QPLtvK`Qh@VfxFBt~JDi zG@oPP$vWI+oM@bIE2OyQ@WSFYYnunHQL^aijCDZ6Y zM2yO&YFCI>DK^IdrqVV*5jNKk$;&#Ms@0oyrc9-sI1v{OTm-4~xJ&emU%e1=Fznj3 z5VEpxU>bHKB`v1u;?1QDeS$e$foT*?`gx!m3an@`o;IAWB@q>ys?+JUydrFY2R^gu zgh#A^rqe+p{cu3Q=5^c1lnB%Hw}J4;GB!corP(3VCg{>$(||is)A2P`I#5h4@W7xy zU9o9WrTO$GLP1qLumsPi+v;tH;EI3RQFpiYDw- zJ1o__ei`&|R8z)Wh@*<1SOz(lsEZK;)h##bR*OyJOVq`)Rr-t1^oFfZfog50A(m>~ zA|{69lTTHK&C5nRUBe|Q*6kiyj={8ugBJc7M+ipM0evh>d)h&U%zZxLr%8|y5Iw>xdC&a%v^qxmbVA=ig3 z?lrFqV753Hn1DTsS~|k;JS4C9BcvA3wh@T4!bY)k$nNkEWv$ga&Y{uSDpkCl-zdE! z3D^opY|2R-i@0|aWnqq%F8m@LJ3JGSz#K^e6fBJ9W7a^LW3IlriWG$9#h`bjCf`LT7x&H;W97d-$fc5MMN3 zYiWuTkhUvcN4($M#X94~06%65=uI6LZe>XRybjOJiK!rQ9jIwE5@=TZK*B+DOAa5h z4qaRwzN&$#j2ymt9V9`Wem3n)GI$lJ!_$%!86=afOYM#MGFuu1b&_nh^6U`!@$4h5 zK%-Osg4j+vaVdco8M|dsPsWs&9<^sP{d!?E87iH}M~8tsEKw7vH?|_vX}-lm+>0<5 z>L(3*N7h?VFIg%X$3@Z5WwX$N#p0-nc+F3G7Y5<@l2-4?>#?v{O8k?ZC^}Y~wHY)- zPhm<}8;rfjHc`9{l6@jx*W-v+oJ-^%uZJSz{UB)?UqY`+*an76BCiK65w8ceTN3M! zonVlVjwmb@BR%GIzNK28uoly)%%zgDNw(-nvheaNVKpmexp8b%K25URpkrRN!g9m- zQs`wgNnXnh)%S?XYk6#kh=TyC!x_uL3NgdT^jCL!M4GI?XzZVeC$T_VOJZ9r!N@j7MH&Vq$-bH)QC09bz!Zuy>|3=Y&VG;cua&)8 zCjSHr5)a1+GUX-+ba_FljcD9$um!0fFJuYQ(T}6X%K{W@V^4*&t$8GR5n%}+&9o*-ea4mo!spbiuxEWus8qI&*1i4M4H^Xj6=!N92>`3y} z?C&X8vKLb>u%oH(vX{~xXUEc2_Hz0-c0Bz8JCSjby_Pway`I&To$_~MZ}_icZ~Fhi z-pX#z-p=u{cXNiY(>eFB_j6uhXL7z`XLB>y2f4l3x!fxDpWJKMhq({2k8)pQALo9@ zKFP~wpXLo<|IM4rKFix2VxQ+7VE@Z|hkX%X?0g`deHADGG(2b-=m$B0;ZPWu1f2u3 zpiiIyMh4bnooirx;31e0I0h5*H^8L)Q!u%80Hzc$m|6%h4HuKs3g^M}!dLP7Jxp)c z3aZ*&2UW%QL3MjCRJHE~)g2~7Rfnr#dWX;+nAYJ41Uq$sn$Ax{ZRd|*R*4U0mplS< zx@?2FT|R^>yEG3_wHAyJ1PM^{}+p53s!V%dp}Pe}R>K zhQO*mi(pNk9k8~~aR~Lf2*0KMhZpe+*CcXYfq_7VvEUbi8(k!~OffbNvV7wF+M7 zKM#)dUxwFBaI~TfUK)@K#|9jSS1TDDuj~OQD(Ap!m3Q!Qx5D(6+x5>U;Rk2%`=tEo zTcN18`Li{i$Y*OjkA~}Hn0zEV4vE+{$~UG$_DnG4hxaU@m7f3^^;A-U#u{9oDIxr z1M}Fx5+vAoLD=n+fI2M029|9D%QwT^{aK!x!&7gEAtC&z6Q)|!ETGRy0eu${=$JOp zIcVA`#D92580bre;KakTK%XuI`dA^*=LvznsRZ;@LZI&u0)2T9=u?A09~T7roFLE# z1c5#o2=r+{pl<p+E*Rl}%lPJ<@az8p{`KFk delta 22696 zcmb7s349bq)_A>4_w-~kkj~`JOp+nQkc2bbas_148350vj!RcEGax^cgMe!od~zp8rg)qAgA zy{dZM-@oj<>t*Mjhs(V{JXJNdi>m8-bRDu_L7k@jD;O%DKj^ITzFRq?eBe>eDsQ`$ zCzO8!am?ygjKZT*?>xriV-UPjFU6Vfwc~Vrsg(l<(QK zr%SoOuDxB#Pr*M|l=S`$NZYZkq_1AQEVsW$X;w+Ba!Ca@$yA;O;+nmnJa=K;;zb@+ z;asR{&|le{qq(t?3rNbMs>-^`t__Rp8*ArRcb!;URWrK2F;`RF!2^}qxt>@xPHh9k zU0dHcrJ-`+!s-SfO8)4A(H=Ek)!oYTYC>PKc3YN+67~)IzaniQPqR-SEzYzHCOF`Rq}y!4JLHY3?As> zN*%GdzN)cyL46T+YNuN0B}DBABrkY(gD+SyJI!6mZ?#&uVF zxbdMUkN~UZnpjysw^~zs2j8DP#no56(yjJU`vnJA7s7zxyy^@n4&GXwe6=#GI^>tAXhGW9w>Xb*--JUXIuP1(Q9>{pxr(DN-i@NxZ&t zNoChMq|^1fnuY~SD`(Xq!HI6tQJvr>UDOG|KhK$1I2DLDtfz_9bH`OLYZSVg9;;4M z%RFR&<1mxc%wW5@mBTC4N;DjGmWN#JIK{xTJ!Gu7o8uwVpGnraK#BNl$fg@S&R0NlVcj)n!1Om5U~!x;^R& zhCWmMgFu=)x1qYSvAQ9*`Ua1>N;%GIul6XXxIwEoqB)c_U}sAfEm~0JQEyUSWOi_K z@T%JDT(_vVx{=J<;EviNxFh(t+F4C^sp}YmyFH{)uzrt+%oW`UvVLO02=REIhYS~Y zn>?gaRKA~$p?5rFsd#+ILzaoVhdtybaktGwnnbfdc}Rn}`!kS&{AmR!_29GDcW8Rl zt=yzd&oL*_oj!`3QQ-=yi8=w^z@KhMXk3yWQ?fAd=oRayF|g?JY>1JJMAHB#N9v5 zh!~z;Zqi=a7%Zx5gfqb%b(bI%+%bPS{twi5!2hGz|ECt#=Kwwg7xcsbZ!Ta3KP#XBJv&AhE1X!|ck&N{^>tX#UJvW_84=A~Ln7;dym6Yz8c zS!;ElK(=>j3i5Y&X@6%(D*!SeSkWy#_&~SB;MO8{aL}?OxcjN=mh}h6ZR+j84a=Wt zdQQC^O;ei~@6@jK5{EX{qyCQoO-9fZFHsoiUjj4@LDRiNWuUVHG!sGPUgBh+a{@FA zK~-L&G0^t{GzUR*y~M>pKMTP zVZ(w(kM@udMfpEKM&pc;wqf-+Ur76#$C)l_ zpT^q%@RGKyb|%)YUF~n;#Qu#1A9{(-3UWo=PZ0E}mn1My2Lbv5L0@`_mw^fe=qm($ z?Ino})LDSOL(unLlEgq=1n5Tu{p2Od3{)gQ7ZLQUm!vc?SWgafk$9&Iyd;%@dI^w% zAk|CK7^trRxeyfVCFu-wr2u&l)W%CP7-*mX=?F^j5+8!dAjcahQCE`3Su6@uurSq2 z{8-q|IYhv4GIsgAB$G85EE;5aoFh<5+nc(ee%@-j6Ba&IapMO!#=1#cq7b6&q6w2B zXa9VFN^pdf7W&T^xE9XQZVs57^Wi>aO``H~NO=lN_9@S)opjByPdV@xWz*C|Wpe^~ zj2?GEPSU5XHOwV?EM(I08tCvCJ<%Kdv?2zaNuS$QJ==#Br+_C0F;--OFHt#XB?V3c zNy?WtNc7os+Y-oA$Yc6-G(m-oBr6Ji1wHA4K0x3WlCO@@&euC7?RnoS8&NBMoVV5o<^@p z2A`7~%nzJT`lbuoYlf<*BNpbs&AhcH%<4x`>(8;URx_y5VmCLw4Q}WU{mhkrW|arR zr?hh%%!BE?sOiITo%f*hVq7Eee;$d&|BsVCWH)?Ao`~5aLKQ(kYz{GD7yLMIp6U zNbP@69oSFZrw&2$4!;r{Yv^12RF+$4y>Rv(^P&Xx?Tds>S%gR8%T^9vnN=& zImfA&kiP0z0UF0Z?dhR5kPp|;^KEdTTpK*OF^v|rg>K4qEvUP{wS_zbxE+BoiN4*o zwOWUO;7d>+WSy$|-OGf>QhA+?+q$3uQ>72a0kZ!TSck1i%le5$1n;}g`; z!|~9)$zgaYeVE>Pzw*#N<&luGBcv{nWas4ghjE#C1TD3cjZkA;s-?P)EG>jXf+cbm zSz_XTWS_bOHCO02t2U%}LP{a!<%7zrbW9xR8R~M>oS$oMrDO#my4MH3c(qAtPhaeb zrqLWy*Mys)ZTvB!YsRmGggCze!H9YTDv|v?qBa=nd_-MDHY3B#x3qC!1s#iY1hT$h z93uLHE~_o;veFS{cW1p8-8gy)Hft$(i<(61MP zuX`Bf_Y%sDh^|^_c7qw`4|*5c?179Frf7=4%~C0y&>j2=(>guqh149zSvme5h;+hS z|AL;&v6Vg;X5)f|jV%mExco;w!PIlc=2n9SGdpU={*&I@aIQbmt>xgqra6q|Cp{$! z%Oet%pLJhUIrAo1MH0qEdawliIaP(&JHrWJl^s!2@kM}#2(ol zU8Dz!a86!d32opaosb0nsZ54pb}#B_CU%%m_u(%hlwU1EdD^JMgd(ZvH`?tM@ZG0E zTgPvDdoKMB5xM+kk;}M{`Ya1D5D(qE3Vbo-5*?omc}SJF(nG8XB8%eV3JnruVmzhNfS^p;l>V`e+;eHHrbNFH3E{tZ`@$E+)*-0?2Lf9bL`U@y z$E-20DeiKPh6k45052#p8KA~&0B6iT(9}3^;kIS0x*XijYauS;U^I`NgTXbva4@b7 zB%V1KS6@Tk%#2U9G4mQvi*mrPOG7Z;FwzV*WQ9L6Ps0$8E`}{`QmoT3mQ58_5zohQ zTgl$y*^0|AkI;BpQVG6ZChLi}wI0R?Mh+2k(TxG0^dOc*c}$&IKqfs1C`k2rS`t97 zIb3*6T`%HRR-jv~%m@!onW-e;3W&LtY-VTgL`I>+N+pK>bpqMGA0xBp<*C21C|)I@$3l}Nw#`+sgGXNH?!Bub73#& zm0E^_l5lyVWm^3^a(gOVo}{NndD(wpc{v@IV|ak~*duFV?n8<(qsbPM!cEjPBcxc6 z+s+87wlhL1pApRVmTH+1Y~52Dx+k{qOh&F*5F&ZQG`8}e0(dPD$ z*CEYfb9SCB{j#1d-PW_E3(uC$J=;~1XG{OTdNxasGK~4ldnCFq8T8~_@Fn1!WEzbb zdPiZGb@EOI?ig^Nmm&K+#L1@JEn$Q4$p#k*zItPfL?9k2K#wVg1jqZ}Rk}i=G9HqY z1(2-V4JoPyscI9XIj2CnRsu_AzfCL<`2ENzU>g|)E*lvIbi2qXz&&bHB$NqA?6E=0M^%{pc9wA>hdMcy_S+Fh z)U?@NZ;vBcEHqK!`{=(`LTX9--?uAVKBysOT}aswQqLH{wlp4am4uUbVzja3q9inS|sNUjeigp zS-ubn)eAuLn-wnksRF}cmP8z8fkl>l6&FTaXzws-MFFHXG1YPZ7_Q#wzo@RWOfFhJ z`6!a$b_pBxCCVxA?`7P<|0{gVJIM=)*8$MG|2qp^x#$ zhJ+N`cSmQ*rcEB_MlB<{)5@N>lWVhr=q_RgY5maM*r*6|(B0xg**x-bxV(qNArtK* z_=^PiJuNF?c~tbWv_~K9jbSv*4zD-2V5p)`c7kNwU&4UMN6&VGq!@iJt?UQw(jtrF zPvwSeIUJDObZ^UWdV>z?1i8?MZKCGK;1y#{zwaD!@p&Qs`&5o?`{+$!1`?G%3FIEm zLW;qHnS39;jB6(IYaFDBs*M(~`JZ?d+SD1B?|s?fc?v!6FVBYhOM!t19yf^h^V!L0`I{3kJ-6FXs(%hzq?z5n6k9@*DJkEa(Zv z&$P5|~$ryNKK}lll&SFEOs;j57!rU_=yN z78pw&VF24W@%2(74q<@BjM#!FjI$NxKx50oigF;IQ<4>?bBWeKeHd4d9JCMAhj1uI zbipj_fwThGXEACsUDzE`GufDJjdbx=s#;9(CX*p1==i4Z&nC~iPsVpwWO;a{L zEFpR^4Ky-z51QF2))#U{Gu{=gY|v1#_f`#x9Ol*U&?W&vQdSc z{3H_`!wVClL*`;0GUrMou~=pK3 zB@zdWBwIW)gJi*pc|Q<_aH~sIP8x;geK9P=^)5f_YJ>N<9`ftb7#?D{=+a0)F~s6p z*(4ST(uP_lF*dSK;g3xKQEq@o+crq-!Sy<9#UD+^tvKJI0?j_uUWdRH`R&DYcbr^F({wpE_d6>ns z@vTbi@CI7f2MSV{Gq9*;xL6Pj=d;(95fKl!i1_#Xoh`kpvZ9R`in9CfqAry6l-*84jvi30vl;Dd)p{rR4c1YL{a83>aylB z$|edJ#pP&P1CFxDk#nzOUmx2{ z$72)7y2yNtr=>IdLGI<^fw64u@AInB-f1jf&hf*$ux}VE#|e?JuNVV10t3iOJh@yA z#f~*F$gv#;J6?ejWdsaSvtX!N48yc$81Cu_BVrR^Wb9oq$~_N8yPt)zkx4S{qC82? z6{k{|*CA#}@-ktmF@)TOqGrbPQ<%B&lH+O(o-c&UCrIVom-0gtE>C*CzYz$(7XgNw zPL%B}(r+)zEP(MC08Ai*;TkdrCPw-~?vnc=x`GKKqAQRp7JlT*)-%5Z^;h#ySY=GK z@l~89ekO`NzC@1+^A3Iz8(YaH-|$C{c#X@ zN>ZvSaYvuI;6Ve7dq?pG=ZygE& zE21rYM71K?VnSrwY0Unj@xve`G3sy?w(0)J5Rm%64F?BXQ@7ICW}>mkj#*46qpuD# zm_2UR(4&%u9yKAdWfqgrn1%`+yY!TZawLqqB<1WfVYF2arm%4{%KE!xM*lD~x!c%* zH2OPcw+X2&o0tSAhJ)3!t8bVw@8t{4OjUA_@(Oh?{b~gGTODK{xiw3*k@u+g@<^PX zkB2yuqu;B0ERH@`Btuc7^+A%0KV&PzLpF4K9x~CLVUZ!G2i_0o2(a|Pt71qJ@ewlgUYa!q zvf75G?st}joD~PvP>bU=x@{`%#k0j3tsDdC%9$3&Q4Y&uFvEQGfqGVC960A3RL<;w zaty4Cfw{DJ94-OZo6t0k6KFo;uy$rNBlXNEG%b!VXyqixqd$y;%~IVldWNO$cUI2E z>e*4%==Wt+h)K9TZOY+ z_eb~p{1ix$NdCcw)R|y>Dg&8 zL9TSCwbJLTa;xcqR%4@B>L_Q80vUc z_wlf-S9p_$ysEd2G*{V57q>>8uZ!u`95#_d^xzyk3<&Q|kVDbCP0o|J&p_`khtBD^ z)#`i;e+kNLv)?DL@ttC)a*Pq6@#VOXdJQ)#aLY0CK0n0Lv*oxk9!oEkLqF zE}XBM;sjLIIQa$hBM))?ET^=#Us z61t>deDR*VG9gFwF%e!LtmM4@rBd+fJY&P_QNA6;GJl-!OCb_D%92R}iAdz%(FJ&B z?HBooN9l_dm|-Tde^ie*X$;wSeuTeII8*`!d{JvS7z|0!9K1qz)$wD+K zyg)khLZ~?rkBft5&&F|el7%sOStl(Ph5h+0EUxluvGt1LQq7Wi3(wkFKAg?@B6*9Q zrWH8evI_4l8{uhSC%jYtSK*!d{}SG*%L(t4A-w*Qy`HiPFQh3boL}%4?H}Ie0xf*o zMxdHj7}8>+jP@Pol=8Z~W1(?@8x>Di9T(E#&0>F-i)BdUM3B5I4JouoEkQI-5|>nQ z$uMI%t@q`YlEpTRkwm7r1mrqmCrH}1C#P9bihROBP8)e_gCm~#bo3simV=Dt;;(}s zWiW?;&aI0d@=rRY1{c5Y848_-j)yTP)p^fsKw1}GgXI~w?vaF%oi$ffnb~71S!*qt zRg1fz42anh2;^I%;iex*3SlE$+JGhJbg%5h)U6TJVJsg?wHOxD8l2UlPuD`C$Vsqi z{;_nFz?z$Og2F^Tu@J!pcxx}iwLZ1f!XtK3t4R&-my*vc)o|%+LH4;h`r?!BcAHvX zNCv6GR$hDc?kr^Vv)BZ96ur;QJZq+CGwJWGJPV>L52UDgn4Ofoy6GDcp`yBQ-6L zdC+SQYO6!qO(E@;aA!*;N41`Aoew_8RE+9QuEb>IsTQxf1Nn%G3}Bjw44!WScjsx* zBV1d{#iGtdu}InY(@}c-%yW4?X0}a7|AHd&(Z}i`5uYZ6yFfo$2$}uN697z?nMRfh z!m@Xsk@r^0!kOw$I%5Iuv6dkv_6h-%@u-iVU`FYyOy?;rwmH6Km_oweKqxcv)MSm7 z83+6rui9v=EP<>S-Q2Md)A#Ukd$^y-4-3tDNIfmH^i-IaIi!IZLjL&PrjYVDv%|p57R#0Ofq5OlAb42NSkz#KbJ?d$WiDhgl|j zZ?+9mp3i%gW{V<$Y~x&}T3^(Ka`qN4b~E3>5|o%^Y}u$_Mqu>l$Hl0y3fvMmnzis| zsdl6*Fm~V)y<-U^LIpcs7G1{nB7x;H&B{bGe8trpgYEG2s{osf<&@+ErE)%8WNV*^ zc?+y79l~okm?67z9Q7Ev280jTM`tXDf{ZGAcRCA$SL{Qk-*BhcG-?xg7QSE0oWy<0 zAuf%(~i@ z(R-KUdzMu!%_kd|nr=sxel<^$rgyBsw;kH701Xb&GV-3N{lf z1o^bPN}7fE3L&IzM(W|TwXhGbj`q1MK*O{no2qjx(=k?kSahpHqPDGdkrNRgmTxz& zF>u?GWv@yrGMJ0L9PJ>T?)(EJcbaR%y0gSE0aG*!HE5e`dgJf0MET&F$k0x2<8r_cwec zSse3?_X2#lJ;C0?(VmvK5MVwgzcGW(WXb0|7mdxeQeMk^bg^u%O|tZUKE9#B8q>3@ zaW8wmk$=YR6!5L7_IAk`^Z7(2r;g2+#KXjgi!m)kUo1v{R8O}yL0&<9bbp<={y?=v zABQZY=bIqM?k&58hQTDWynOU4cv=&gdKU6S$W*abfUk6$@X z&1Pu^4Llu%XBXmvB|@@+kA;rm_g$euI&WZBg6mRdd98~%`g$`ISP?DaXOcZ5h!#oa zh={aj5RoOxu+d1$G~NR;Z(u+p8zKBXAl2?=rAFO&DX0-w-z@JuzEO7FbjwYU=2(nF zn~hQV$Zs(_j`R14h;y-|TP9BIbOv57G1kp8TWTbI${CzXTggZ-RxIU~=B-0KlH8Ya zRcA)TwNz%Ek*8nY45_e;dv2N5GGl2hEuyg|+t^F8&{}3J%T3glMJorX9b5ycj^(z! zSbmvaEN5Yse@LVk%dNc#Y51xQe)B+H!mN;um#(`7gQ@p!fwr)bzJT}ft7S~|3kJMV z($RYAyA=Xmo1;T~=V{c~386sTaFsJrZsw_IBdf5A7`tpE;GMA3z1f27iwH8zC6yCC z)^II$xE!)IGBT>K#Xj6B^?W@Y#DKR+`dClv8Soydw;Sn02u!?JTKl1!QcuzoYf*EX zP;<-=rXh%>oTBw6nXPsc=T(k=HsJ_jLxcCAgJ>j)W0T|!*3;YnhqPAClbX zM*0Z?J8zW*fT$lA($v;Q&0*UO?%9B2S*y|9Z*Sd4l}6WgTxI<(|J$Qjefu_Kb%&&Z zja0cE0`qrTSlzeJ`4?393x&_Q@H-A6=i!6SqhULFT*iSMe;28)S*q`eQsq5Jvgv7Q zFNUe|r3em82#g~6tcBz&k?M(->d#Bn8TMnmx+@twl#bQg$vrwC)o1?i6ussS{3yvw zQJP(O2Ly^`;X$>#T{LJShaKw0FfQ9f_$(3(5%p=D8wsNq3gilyQBL4Y^ zEJCD+>(f?>Y$oMTI1`(3A2keI4)%`X8~My^*nYi*ouhn(g&#KBbr%$vQh$#|?-sc_ zMd-75TI>m2Fuv1o!CO0RXZQ;3`PT8C~kunyX! z8KTDvRtj%^!f`r$9lmEZj&5EDS@f25keCo%<>daI>mcN4dNr{-Ih1%ac`fOBayaQC zIgHWo#`e2 z%p6JH%ls2LoB29KlIgPD0J@%jtQ%=bZgelwI8J`5Ed zolxGf2r4>V3+0_w!OTugkHU;j2Vhp0j!@M#1l3*NhdJFmFt^)Is3}?xwMA!PUUvd@ z-9Lr-Ju9HT=U!OYYZEl|&VWU|AB4s}D`0V-Z(wQPS7F(en_zjr5?ImidRWzOGpz1+ z7;fx$0h;^g!Oi_|gEjrXfLr@tfVBf0aO(gc+%_N&Zf_dU9dE9Jdj?E`4Ff9ix*YBu zum&~`SdZ7oVAH@kaR0y?;DLdw@VXHm8n_j<4164~ufW!U$6?#Rf8h07*j~IBb`(Dk zj}{-s>si=Y{5k9@z5qK1#lz!+GGONg;3|8Ub-~_xDz)ORB!pnmP*Xv zZ@4xJf1`Ol@qAs>b7|CbS=4hy)bs47C_qirbDilKnbM+}Vz5`E7-g^{Hn2BrU`_wD z0iLyiePjds%m(%!8`w8Cupb1hiHRIi0{b)|u#W)(`}!ZS@BIP$!XL12`T_fjAF!|W0sA~3 zsQ85$z;E$o<1Ky*0@xQGfql~v*jF5ZeYX+Vmm2ra+Kk`JN@ZUf