From 9c9001e93b6740e8588867a90f3276a1869e8b80 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sun, 8 Nov 2020 19:37:07 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.anno.json | 8 +- el2_lsu_bus_buffer.fir | 10050 ++++++++-------- el2_lsu_bus_buffer.v | 4344 +++---- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 8 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 569663 -> 569338 bytes 6 files changed, 7190 insertions(+), 7220 deletions(-) diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index 51ba2cfd..d621698d 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -22,8 +22,8 @@ "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ld_fwddata_buf_lo", "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_byteen_ext_m", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_addr_m" ] }, @@ -31,8 +31,8 @@ "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ld_fwddata_buf_hi", "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_byteen_ext_m", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_end_addr_m" ] }, @@ -63,8 +63,8 @@ "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ld_byte_hit_buf_hi", "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_byteen_ext_m", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_end_addr_m" ] }, @@ -120,8 +120,8 @@ "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ld_byte_hit_buf_lo", "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_byteen_ext_m", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_addr_m" ] }, diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 076b6304..8cccc6d5 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -992,46 +992,16 @@ circuit el2_lsu_bus_buffer : node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 213:99] wire ibuf_byteen : UInt<4> ibuf_byteen <= UInt<1>("h00") - node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 217:61] - node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 217:48] - node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 217:83] - node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 217:65] - ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 217:25] - node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 218:61] - node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 218:48] - node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 218:83] - node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 218:65] - ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 218:25] - node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 217:61] - node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 217:48] - node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 217:83] - node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 217:65] - ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 217:25] - node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 218:61] - node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 218:48] - node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 218:83] - node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 218:65] - ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 218:25] - node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 217:61] - node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 217:48] - node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 217:83] - node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 217:65] - ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 217:25] - node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 218:61] - node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 218:48] - node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 218:83] - node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 218:65] - ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 218:25] - node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 217:61] - node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 217:48] - node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 217:83] - node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 217:65] - ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 217:25] - node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 218:61] - node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 218:48] - node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 218:83] - node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 218:65] - ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 218:25] + node _T_520 = bits(ld_addr_ibuf_hit_lo, 0, 0) @[Bitwise.scala 72:15] + node _T_521 = mux(_T_520, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_522 = and(_T_521, ibuf_byteen) @[el2_lsu_bus_buffer.scala 217:55] + node _T_523 = and(_T_522, ldst_byteen_lo_m) @[el2_lsu_bus_buffer.scala 217:69] + ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 217:23] + node _T_524 = bits(ld_addr_ibuf_hit_hi, 0, 0) @[Bitwise.scala 72:15] + node _T_525 = mux(_T_524, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_526 = and(_T_525, ibuf_byteen) @[el2_lsu_bus_buffer.scala 218:55] + node _T_527 = and(_T_526, ldst_byteen_hi_m) @[el2_lsu_bus_buffer.scala 218:69] + ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 218:23] wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 220:22] buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 221:12] buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 221:12] @@ -1039,365 +1009,365 @@ circuit el2_lsu_bus_buffer : buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 221:12] wire fwd_data : UInt<32> fwd_data <= UInt<1>("h00") - node _T_552 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 223:81] - node _T_553 = bits(_T_552, 0, 0) @[Bitwise.scala 72:15] - node _T_554 = mux(_T_553, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_555 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 223:81] - node _T_556 = bits(_T_555, 0, 0) @[Bitwise.scala 72:15] - node _T_557 = mux(_T_556, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_558 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 223:81] - node _T_559 = bits(_T_558, 0, 0) @[Bitwise.scala 72:15] - node _T_560 = mux(_T_559, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_561 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 223:81] + node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 223:81] + node _T_529 = bits(_T_528, 0, 0) @[Bitwise.scala 72:15] + node _T_530 = mux(_T_529, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 223:81] + node _T_532 = bits(_T_531, 0, 0) @[Bitwise.scala 72:15] + node _T_533 = mux(_T_532, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 223:81] + node _T_535 = bits(_T_534, 0, 0) @[Bitwise.scala 72:15] + node _T_536 = mux(_T_535, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 223:81] + node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15] + node _T_539 = mux(_T_538, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_540 = cat(_T_539, _T_536) @[Cat.scala 29:58] + node _T_541 = cat(_T_540, _T_533) @[Cat.scala 29:58] + node ld_fwddata_buf_lo_initial = cat(_T_541, _T_530) @[Cat.scala 29:58] + node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 224:81] + node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15] + node _T_544 = mux(_T_543, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 224:81] + node _T_546 = bits(_T_545, 0, 0) @[Bitwise.scala 72:15] + node _T_547 = mux(_T_546, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 224:81] + node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15] + node _T_550 = mux(_T_549, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 224:81] + node _T_552 = bits(_T_551, 0, 0) @[Bitwise.scala 72:15] + node _T_553 = mux(_T_552, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58] + node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58] + node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58] + node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 225:86] + node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] + node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_559 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_560 = and(_T_558, _T_559) @[el2_lsu_bus_buffer.scala 225:91] + node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 225:86] node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_564 = cat(_T_563, _T_560) @[Cat.scala 29:58] - node _T_565 = cat(_T_564, _T_557) @[Cat.scala 29:58] - node ld_fwddata_buf_lo_initial = cat(_T_565, _T_554) @[Cat.scala 29:58] - node _T_566 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 224:81] + node _T_564 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_565 = and(_T_563, _T_564) @[el2_lsu_bus_buffer.scala 225:91] + node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 225:86] node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_569 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 224:81] - node _T_570 = bits(_T_569, 0, 0) @[Bitwise.scala 72:15] - node _T_571 = mux(_T_570, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_572 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 224:81] - node _T_573 = bits(_T_572, 0, 0) @[Bitwise.scala 72:15] - node _T_574 = mux(_T_573, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_575 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 224:81] - node _T_576 = bits(_T_575, 0, 0) @[Bitwise.scala 72:15] - node _T_577 = mux(_T_576, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_578 = cat(_T_577, _T_574) @[Cat.scala 29:58] - node _T_579 = cat(_T_578, _T_571) @[Cat.scala 29:58] - node ld_fwddata_buf_hi_initial = cat(_T_579, _T_568) @[Cat.scala 29:58] - node _T_580 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 225:86] - node _T_581 = bits(_T_580, 0, 0) @[Bitwise.scala 72:15] - node _T_582 = mux(_T_581, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] - node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 225:91] - node _T_585 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 225:86] - node _T_586 = bits(_T_585, 0, 0) @[Bitwise.scala 72:15] - node _T_587 = mux(_T_586, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_588 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] - node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 225:91] - node _T_590 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 225:86] - node _T_591 = bits(_T_590, 0, 0) @[Bitwise.scala 72:15] - node _T_592 = mux(_T_591, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_593 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] - node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 225:91] - node _T_595 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 225:86] - node _T_596 = bits(_T_595, 0, 0) @[Bitwise.scala 72:15] - node _T_597 = mux(_T_596, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_598 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] - node _T_599 = and(_T_597, _T_598) @[el2_lsu_bus_buffer.scala 225:91] - node _T_600 = or(_T_584, _T_589) @[el2_lsu_bus_buffer.scala 225:123] - node _T_601 = or(_T_600, _T_594) @[el2_lsu_bus_buffer.scala 225:123] - node _T_602 = or(_T_601, _T_599) @[el2_lsu_bus_buffer.scala 225:123] - node _T_603 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 226:60] - node _T_604 = bits(_T_603, 0, 0) @[Bitwise.scala 72:15] - node _T_605 = mux(_T_604, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_606 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] - node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 226:65] - node _T_608 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 226:60] - node _T_609 = bits(_T_608, 0, 0) @[Bitwise.scala 72:15] - node _T_610 = mux(_T_609, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_611 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] - node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 226:65] - node _T_613 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 226:60] - node _T_614 = bits(_T_613, 0, 0) @[Bitwise.scala 72:15] - node _T_615 = mux(_T_614, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_616 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] - node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 226:65] - node _T_618 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 226:60] - node _T_619 = bits(_T_618, 0, 0) @[Bitwise.scala 72:15] - node _T_620 = mux(_T_619, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_621 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] - node _T_622 = and(_T_620, _T_621) @[el2_lsu_bus_buffer.scala 226:65] - node _T_623 = or(_T_607, _T_612) @[el2_lsu_bus_buffer.scala 226:97] - node _T_624 = or(_T_623, _T_617) @[el2_lsu_bus_buffer.scala 226:97] - node _T_625 = or(_T_624, _T_622) @[el2_lsu_bus_buffer.scala 226:97] - node _T_626 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 227:60] - node _T_627 = bits(_T_626, 0, 0) @[Bitwise.scala 72:15] - node _T_628 = mux(_T_627, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_629 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] - node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 227:65] - node _T_631 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 227:60] - node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] - node _T_633 = mux(_T_632, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_634 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] - node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 227:65] - node _T_636 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 227:60] - node _T_637 = bits(_T_636, 0, 0) @[Bitwise.scala 72:15] - node _T_638 = mux(_T_637, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_639 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] - node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 227:65] - node _T_641 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 227:60] - node _T_642 = bits(_T_641, 0, 0) @[Bitwise.scala 72:15] - node _T_643 = mux(_T_642, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_644 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] - node _T_645 = and(_T_643, _T_644) @[el2_lsu_bus_buffer.scala 227:65] - node _T_646 = or(_T_630, _T_635) @[el2_lsu_bus_buffer.scala 227:96] - node _T_647 = or(_T_646, _T_640) @[el2_lsu_bus_buffer.scala 227:96] - node _T_648 = or(_T_647, _T_645) @[el2_lsu_bus_buffer.scala 227:96] - node _T_649 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 228:60] - node _T_650 = bits(_T_649, 0, 0) @[Bitwise.scala 72:15] - node _T_651 = mux(_T_650, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_652 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] - node _T_653 = and(_T_651, _T_652) @[el2_lsu_bus_buffer.scala 228:65] - node _T_654 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 228:60] - node _T_655 = bits(_T_654, 0, 0) @[Bitwise.scala 72:15] - node _T_656 = mux(_T_655, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_657 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] - node _T_658 = and(_T_656, _T_657) @[el2_lsu_bus_buffer.scala 228:65] - node _T_659 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 228:60] - node _T_660 = bits(_T_659, 0, 0) @[Bitwise.scala 72:15] - node _T_661 = mux(_T_660, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_662 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] - node _T_663 = and(_T_661, _T_662) @[el2_lsu_bus_buffer.scala 228:65] - node _T_664 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 228:60] - node _T_665 = bits(_T_664, 0, 0) @[Bitwise.scala 72:15] - node _T_666 = mux(_T_665, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_667 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] - node _T_668 = and(_T_666, _T_667) @[el2_lsu_bus_buffer.scala 228:65] - node _T_669 = or(_T_653, _T_658) @[el2_lsu_bus_buffer.scala 228:95] - node _T_670 = or(_T_669, _T_663) @[el2_lsu_bus_buffer.scala 228:95] - node _T_671 = or(_T_670, _T_668) @[el2_lsu_bus_buffer.scala 228:95] - node _T_672 = cat(_T_648, _T_671) @[Cat.scala 29:58] - node _T_673 = cat(_T_602, _T_625) @[Cat.scala 29:58] - node _T_674 = cat(_T_673, _T_672) @[Cat.scala 29:58] - node _T_675 = or(_T_674, ld_fwddata_buf_lo_initial) @[el2_lsu_bus_buffer.scala 228:101] - io.ld_fwddata_buf_lo <= _T_675 @[el2_lsu_bus_buffer.scala 225:24] - node _T_676 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 230:86] - node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] - node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_679 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 230:104] - node _T_680 = and(_T_678, _T_679) @[el2_lsu_bus_buffer.scala 230:91] - node _T_681 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 230:86] - node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] - node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_684 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 230:104] - node _T_685 = and(_T_683, _T_684) @[el2_lsu_bus_buffer.scala 230:91] - node _T_686 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 230:86] - node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] - node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_689 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 230:104] - node _T_690 = and(_T_688, _T_689) @[el2_lsu_bus_buffer.scala 230:91] - node _T_691 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 230:86] - node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] - node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_694 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 230:104] - node _T_695 = and(_T_693, _T_694) @[el2_lsu_bus_buffer.scala 230:91] - node _T_696 = or(_T_680, _T_685) @[el2_lsu_bus_buffer.scala 230:123] - node _T_697 = or(_T_696, _T_690) @[el2_lsu_bus_buffer.scala 230:123] - node _T_698 = or(_T_697, _T_695) @[el2_lsu_bus_buffer.scala 230:123] - node _T_699 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 231:60] - node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] - node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_702 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 231:78] - node _T_703 = and(_T_701, _T_702) @[el2_lsu_bus_buffer.scala 231:65] - node _T_704 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 231:60] - node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] - node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_707 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 231:78] - node _T_708 = and(_T_706, _T_707) @[el2_lsu_bus_buffer.scala 231:65] - node _T_709 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 231:60] - node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] - node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_712 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 231:78] - node _T_713 = and(_T_711, _T_712) @[el2_lsu_bus_buffer.scala 231:65] - node _T_714 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 231:60] - node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] - node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_717 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 231:78] - node _T_718 = and(_T_716, _T_717) @[el2_lsu_bus_buffer.scala 231:65] - node _T_719 = or(_T_703, _T_708) @[el2_lsu_bus_buffer.scala 231:97] - node _T_720 = or(_T_719, _T_713) @[el2_lsu_bus_buffer.scala 231:97] - node _T_721 = or(_T_720, _T_718) @[el2_lsu_bus_buffer.scala 231:97] - node _T_722 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 232:60] - node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] - node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_725 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 232:78] - node _T_726 = and(_T_724, _T_725) @[el2_lsu_bus_buffer.scala 232:65] - node _T_727 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 232:60] - node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] - node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_730 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 232:78] - node _T_731 = and(_T_729, _T_730) @[el2_lsu_bus_buffer.scala 232:65] - node _T_732 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 232:60] - node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] - node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_735 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 232:78] - node _T_736 = and(_T_734, _T_735) @[el2_lsu_bus_buffer.scala 232:65] - node _T_737 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 232:60] - node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] - node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_740 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 232:78] - node _T_741 = and(_T_739, _T_740) @[el2_lsu_bus_buffer.scala 232:65] - node _T_742 = or(_T_726, _T_731) @[el2_lsu_bus_buffer.scala 232:96] - node _T_743 = or(_T_742, _T_736) @[el2_lsu_bus_buffer.scala 232:96] - node _T_744 = or(_T_743, _T_741) @[el2_lsu_bus_buffer.scala 232:96] - node _T_745 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 233:60] - node _T_746 = bits(_T_745, 0, 0) @[Bitwise.scala 72:15] - node _T_747 = mux(_T_746, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_748 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 233:78] - node _T_749 = and(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 233:65] - node _T_750 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 233:60] - node _T_751 = bits(_T_750, 0, 0) @[Bitwise.scala 72:15] - node _T_752 = mux(_T_751, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_753 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 233:78] - node _T_754 = and(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 233:65] - node _T_755 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 233:60] - node _T_756 = bits(_T_755, 0, 0) @[Bitwise.scala 72:15] - node _T_757 = mux(_T_756, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_758 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 233:78] - node _T_759 = and(_T_757, _T_758) @[el2_lsu_bus_buffer.scala 233:65] - node _T_760 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 233:60] - node _T_761 = bits(_T_760, 0, 0) @[Bitwise.scala 72:15] - node _T_762 = mux(_T_761, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_763 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 233:78] - node _T_764 = and(_T_762, _T_763) @[el2_lsu_bus_buffer.scala 233:65] - node _T_765 = or(_T_749, _T_754) @[el2_lsu_bus_buffer.scala 233:95] - node _T_766 = or(_T_765, _T_759) @[el2_lsu_bus_buffer.scala 233:95] - node _T_767 = or(_T_766, _T_764) @[el2_lsu_bus_buffer.scala 233:95] - node _T_768 = cat(_T_744, _T_767) @[Cat.scala 29:58] - node _T_769 = cat(_T_698, _T_721) @[Cat.scala 29:58] - node _T_770 = cat(_T_769, _T_768) @[Cat.scala 29:58] - node _T_771 = or(_T_770, ld_fwddata_buf_hi_initial) @[el2_lsu_bus_buffer.scala 233:101] - io.ld_fwddata_buf_hi <= _T_771 @[el2_lsu_bus_buffer.scala 230:24] + node _T_569 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_570 = and(_T_568, _T_569) @[el2_lsu_bus_buffer.scala 225:91] + node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 225:86] + node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] + node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_574 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_575 = and(_T_573, _T_574) @[el2_lsu_bus_buffer.scala 225:91] + node _T_576 = or(_T_560, _T_565) @[el2_lsu_bus_buffer.scala 225:123] + node _T_577 = or(_T_576, _T_570) @[el2_lsu_bus_buffer.scala 225:123] + node _T_578 = or(_T_577, _T_575) @[el2_lsu_bus_buffer.scala 225:123] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 226:60] + node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] + node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_582 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_583 = and(_T_581, _T_582) @[el2_lsu_bus_buffer.scala 226:65] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 226:60] + node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] + node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_587 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_588 = and(_T_586, _T_587) @[el2_lsu_bus_buffer.scala 226:65] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 226:60] + node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] + node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_592 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_593 = and(_T_591, _T_592) @[el2_lsu_bus_buffer.scala 226:65] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 226:60] + node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] + node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_597 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_598 = and(_T_596, _T_597) @[el2_lsu_bus_buffer.scala 226:65] + node _T_599 = or(_T_583, _T_588) @[el2_lsu_bus_buffer.scala 226:97] + node _T_600 = or(_T_599, _T_593) @[el2_lsu_bus_buffer.scala 226:97] + node _T_601 = or(_T_600, _T_598) @[el2_lsu_bus_buffer.scala 226:97] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 227:60] + node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] + node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_605 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_606 = and(_T_604, _T_605) @[el2_lsu_bus_buffer.scala 227:65] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 227:60] + node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] + node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_610 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_611 = and(_T_609, _T_610) @[el2_lsu_bus_buffer.scala 227:65] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 227:60] + node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] + node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_615 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_616 = and(_T_614, _T_615) @[el2_lsu_bus_buffer.scala 227:65] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 227:60] + node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] + node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_620 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_621 = and(_T_619, _T_620) @[el2_lsu_bus_buffer.scala 227:65] + node _T_622 = or(_T_606, _T_611) @[el2_lsu_bus_buffer.scala 227:96] + node _T_623 = or(_T_622, _T_616) @[el2_lsu_bus_buffer.scala 227:96] + node _T_624 = or(_T_623, _T_621) @[el2_lsu_bus_buffer.scala 227:96] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 228:60] + node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] + node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_628 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_629 = and(_T_627, _T_628) @[el2_lsu_bus_buffer.scala 228:65] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 228:60] + node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] + node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_633 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_634 = and(_T_632, _T_633) @[el2_lsu_bus_buffer.scala 228:65] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 228:60] + node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] + node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_638 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_639 = and(_T_637, _T_638) @[el2_lsu_bus_buffer.scala 228:65] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 228:60] + node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] + node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_643 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_644 = and(_T_642, _T_643) @[el2_lsu_bus_buffer.scala 228:65] + node _T_645 = or(_T_629, _T_634) @[el2_lsu_bus_buffer.scala 228:95] + node _T_646 = or(_T_645, _T_639) @[el2_lsu_bus_buffer.scala 228:95] + node _T_647 = or(_T_646, _T_644) @[el2_lsu_bus_buffer.scala 228:95] + node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] + node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] + node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] + node _T_651 = or(_T_650, ld_fwddata_buf_lo_initial) @[el2_lsu_bus_buffer.scala 228:101] + io.ld_fwddata_buf_lo <= _T_651 @[el2_lsu_bus_buffer.scala 225:24] + node _T_652 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 230:86] + node _T_653 = bits(_T_652, 0, 0) @[Bitwise.scala 72:15] + node _T_654 = mux(_T_653, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_655 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 230:104] + node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 230:91] + node _T_657 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 230:86] + node _T_658 = bits(_T_657, 0, 0) @[Bitwise.scala 72:15] + node _T_659 = mux(_T_658, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_660 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 230:104] + node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 230:91] + node _T_662 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 230:86] + node _T_663 = bits(_T_662, 0, 0) @[Bitwise.scala 72:15] + node _T_664 = mux(_T_663, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_665 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 230:104] + node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 230:91] + node _T_667 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 230:86] + node _T_668 = bits(_T_667, 0, 0) @[Bitwise.scala 72:15] + node _T_669 = mux(_T_668, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_670 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 230:104] + node _T_671 = and(_T_669, _T_670) @[el2_lsu_bus_buffer.scala 230:91] + node _T_672 = or(_T_656, _T_661) @[el2_lsu_bus_buffer.scala 230:123] + node _T_673 = or(_T_672, _T_666) @[el2_lsu_bus_buffer.scala 230:123] + node _T_674 = or(_T_673, _T_671) @[el2_lsu_bus_buffer.scala 230:123] + node _T_675 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 231:60] + node _T_676 = bits(_T_675, 0, 0) @[Bitwise.scala 72:15] + node _T_677 = mux(_T_676, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_678 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 231:78] + node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 231:65] + node _T_680 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 231:60] + node _T_681 = bits(_T_680, 0, 0) @[Bitwise.scala 72:15] + node _T_682 = mux(_T_681, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_683 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 231:78] + node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 231:65] + node _T_685 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 231:60] + node _T_686 = bits(_T_685, 0, 0) @[Bitwise.scala 72:15] + node _T_687 = mux(_T_686, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_688 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 231:78] + node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 231:65] + node _T_690 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 231:60] + node _T_691 = bits(_T_690, 0, 0) @[Bitwise.scala 72:15] + node _T_692 = mux(_T_691, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_693 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 231:78] + node _T_694 = and(_T_692, _T_693) @[el2_lsu_bus_buffer.scala 231:65] + node _T_695 = or(_T_679, _T_684) @[el2_lsu_bus_buffer.scala 231:97] + node _T_696 = or(_T_695, _T_689) @[el2_lsu_bus_buffer.scala 231:97] + node _T_697 = or(_T_696, _T_694) @[el2_lsu_bus_buffer.scala 231:97] + node _T_698 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 232:60] + node _T_699 = bits(_T_698, 0, 0) @[Bitwise.scala 72:15] + node _T_700 = mux(_T_699, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_701 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 232:78] + node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 232:65] + node _T_703 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 232:60] + node _T_704 = bits(_T_703, 0, 0) @[Bitwise.scala 72:15] + node _T_705 = mux(_T_704, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_706 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 232:78] + node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 232:65] + node _T_708 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 232:60] + node _T_709 = bits(_T_708, 0, 0) @[Bitwise.scala 72:15] + node _T_710 = mux(_T_709, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_711 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 232:78] + node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 232:65] + node _T_713 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 232:60] + node _T_714 = bits(_T_713, 0, 0) @[Bitwise.scala 72:15] + node _T_715 = mux(_T_714, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_716 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 232:78] + node _T_717 = and(_T_715, _T_716) @[el2_lsu_bus_buffer.scala 232:65] + node _T_718 = or(_T_702, _T_707) @[el2_lsu_bus_buffer.scala 232:96] + node _T_719 = or(_T_718, _T_712) @[el2_lsu_bus_buffer.scala 232:96] + node _T_720 = or(_T_719, _T_717) @[el2_lsu_bus_buffer.scala 232:96] + node _T_721 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 233:60] + node _T_722 = bits(_T_721, 0, 0) @[Bitwise.scala 72:15] + node _T_723 = mux(_T_722, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_724 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 233:78] + node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 233:65] + node _T_726 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 233:60] + node _T_727 = bits(_T_726, 0, 0) @[Bitwise.scala 72:15] + node _T_728 = mux(_T_727, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_729 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 233:78] + node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 233:65] + node _T_731 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 233:60] + node _T_732 = bits(_T_731, 0, 0) @[Bitwise.scala 72:15] + node _T_733 = mux(_T_732, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_734 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 233:78] + node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 233:65] + node _T_736 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 233:60] + node _T_737 = bits(_T_736, 0, 0) @[Bitwise.scala 72:15] + node _T_738 = mux(_T_737, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_739 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 233:78] + node _T_740 = and(_T_738, _T_739) @[el2_lsu_bus_buffer.scala 233:65] + node _T_741 = or(_T_725, _T_730) @[el2_lsu_bus_buffer.scala 233:95] + node _T_742 = or(_T_741, _T_735) @[el2_lsu_bus_buffer.scala 233:95] + node _T_743 = or(_T_742, _T_740) @[el2_lsu_bus_buffer.scala 233:95] + node _T_744 = cat(_T_720, _T_743) @[Cat.scala 29:58] + node _T_745 = cat(_T_674, _T_697) @[Cat.scala 29:58] + node _T_746 = cat(_T_745, _T_744) @[Cat.scala 29:58] + node _T_747 = or(_T_746, ld_fwddata_buf_hi_initial) @[el2_lsu_bus_buffer.scala 233:101] + io.ld_fwddata_buf_hi <= _T_747 @[el2_lsu_bus_buffer.scala 230:24] node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 235:65] - node _T_772 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_773 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_774 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_775 = or(_T_772, _T_773) @[Mux.scala 27:72] - node _T_776 = or(_T_775, _T_774) @[Mux.scala 27:72] + node _T_748 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_749 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_750 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_751 = or(_T_748, _T_749) @[Mux.scala 27:72] + node _T_752 = or(_T_751, _T_750) @[Mux.scala 27:72] wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] - ldst_byteen_r <= _T_776 @[Mux.scala 27:72] - node _T_777 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 240:50] - node _T_778 = eq(_T_777, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 240:55] - node _T_779 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:50] - node _T_780 = eq(_T_779, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 241:55] - node _T_781 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 241:91] - node _T_782 = cat(UInt<3>("h00"), _T_781) @[Cat.scala 29:58] - node _T_783 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:50] - node _T_784 = eq(_T_783, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 242:55] - node _T_785 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 242:91] - node _T_786 = cat(UInt<2>("h00"), _T_785) @[Cat.scala 29:58] - node _T_787 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:50] - node _T_788 = eq(_T_787, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 243:55] - node _T_789 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 243:91] - node _T_790 = cat(UInt<1>("h00"), _T_789) @[Cat.scala 29:58] - node _T_791 = mux(_T_778, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_792 = mux(_T_780, _T_782, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_793 = mux(_T_784, _T_786, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_794 = mux(_T_788, _T_790, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_795 = or(_T_791, _T_792) @[Mux.scala 27:72] - node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] - node _T_797 = or(_T_796, _T_794) @[Mux.scala 27:72] + ldst_byteen_r <= _T_752 @[Mux.scala 27:72] + node _T_753 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 240:50] + node _T_754 = eq(_T_753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 240:55] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:50] + node _T_756 = eq(_T_755, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 241:55] + node _T_757 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 241:91] + node _T_758 = cat(UInt<3>("h00"), _T_757) @[Cat.scala 29:58] + node _T_759 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:50] + node _T_760 = eq(_T_759, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 242:55] + node _T_761 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 242:91] + node _T_762 = cat(UInt<2>("h00"), _T_761) @[Cat.scala 29:58] + node _T_763 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:50] + node _T_764 = eq(_T_763, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 243:55] + node _T_765 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 243:91] + node _T_766 = cat(UInt<1>("h00"), _T_765) @[Cat.scala 29:58] + node _T_767 = mux(_T_754, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_768 = mux(_T_756, _T_758, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_769 = mux(_T_760, _T_762, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_770 = mux(_T_764, _T_766, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_771 = or(_T_767, _T_768) @[Mux.scala 27:72] + node _T_772 = or(_T_771, _T_769) @[Mux.scala 27:72] + node _T_773 = or(_T_772, _T_770) @[Mux.scala 27:72] wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] - ldst_byteen_hi_r <= _T_797 @[Mux.scala 27:72] - node _T_798 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:50] - node _T_799 = eq(_T_798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:55] - node _T_800 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:50] - node _T_801 = eq(_T_800, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 245:55] - node _T_802 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 245:81] - node _T_803 = cat(_T_802, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_804 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:50] - node _T_805 = eq(_T_804, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 246:55] - node _T_806 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:81] - node _T_807 = cat(_T_806, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_808 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 247:50] - node _T_809 = eq(_T_808, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 247:55] - node _T_810 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 247:81] - node _T_811 = cat(_T_810, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_812 = mux(_T_799, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_813 = mux(_T_801, _T_803, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_814 = mux(_T_805, _T_807, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_815 = mux(_T_809, _T_811, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_816 = or(_T_812, _T_813) @[Mux.scala 27:72] - node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] - node _T_818 = or(_T_817, _T_815) @[Mux.scala 27:72] + ldst_byteen_hi_r <= _T_773 @[Mux.scala 27:72] + node _T_774 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:50] + node _T_775 = eq(_T_774, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:55] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:50] + node _T_777 = eq(_T_776, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 245:55] + node _T_778 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 245:81] + node _T_779 = cat(_T_778, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_780 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:50] + node _T_781 = eq(_T_780, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 246:55] + node _T_782 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:81] + node _T_783 = cat(_T_782, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_784 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 247:50] + node _T_785 = eq(_T_784, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 247:55] + node _T_786 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 247:81] + node _T_787 = cat(_T_786, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_788 = mux(_T_775, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_789 = mux(_T_777, _T_779, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_790 = mux(_T_781, _T_783, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_791 = mux(_T_785, _T_787, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_792 = or(_T_788, _T_789) @[Mux.scala 27:72] + node _T_793 = or(_T_792, _T_790) @[Mux.scala 27:72] + node _T_794 = or(_T_793, _T_791) @[Mux.scala 27:72] wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] - ldst_byteen_lo_r <= _T_818 @[Mux.scala 27:72] - node _T_819 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 249:49] - node _T_820 = eq(_T_819, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 249:54] - node _T_821 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 250:49] - node _T_822 = eq(_T_821, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 250:54] - node _T_823 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 250:93] - node _T_824 = cat(UInt<8>("h00"), _T_823) @[Cat.scala 29:58] - node _T_825 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 251:49] - node _T_826 = eq(_T_825, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 251:54] - node _T_827 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 251:93] - node _T_828 = cat(UInt<16>("h00"), _T_827) @[Cat.scala 29:58] - node _T_829 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 252:49] - node _T_830 = eq(_T_829, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 252:54] - node _T_831 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 252:93] - node _T_832 = cat(UInt<24>("h00"), _T_831) @[Cat.scala 29:58] - node _T_833 = mux(_T_820, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_834 = mux(_T_822, _T_824, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_835 = mux(_T_826, _T_828, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_836 = mux(_T_830, _T_832, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_837 = or(_T_833, _T_834) @[Mux.scala 27:72] - node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] - node _T_839 = or(_T_838, _T_836) @[Mux.scala 27:72] + ldst_byteen_lo_r <= _T_794 @[Mux.scala 27:72] + node _T_795 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 249:49] + node _T_796 = eq(_T_795, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 249:54] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 250:49] + node _T_798 = eq(_T_797, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 250:54] + node _T_799 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 250:93] + node _T_800 = cat(UInt<8>("h00"), _T_799) @[Cat.scala 29:58] + node _T_801 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 251:49] + node _T_802 = eq(_T_801, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 251:54] + node _T_803 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 251:93] + node _T_804 = cat(UInt<16>("h00"), _T_803) @[Cat.scala 29:58] + node _T_805 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 252:49] + node _T_806 = eq(_T_805, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 252:54] + node _T_807 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 252:93] + node _T_808 = cat(UInt<24>("h00"), _T_807) @[Cat.scala 29:58] + node _T_809 = mux(_T_796, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_810 = mux(_T_798, _T_800, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_811 = mux(_T_802, _T_804, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_812 = mux(_T_806, _T_808, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_813 = or(_T_809, _T_810) @[Mux.scala 27:72] + node _T_814 = or(_T_813, _T_811) @[Mux.scala 27:72] + node _T_815 = or(_T_814, _T_812) @[Mux.scala 27:72] wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] - store_data_hi_r <= _T_839 @[Mux.scala 27:72] - node _T_840 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 254:49] - node _T_841 = eq(_T_840, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 254:54] - node _T_842 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 255:49] - node _T_843 = eq(_T_842, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 255:54] - node _T_844 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 255:82] - node _T_845 = cat(_T_844, UInt<8>("h00")) @[Cat.scala 29:58] - node _T_846 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 256:49] - node _T_847 = eq(_T_846, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 256:54] - node _T_848 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 256:82] - node _T_849 = cat(_T_848, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_850 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 257:49] - node _T_851 = eq(_T_850, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 257:54] - node _T_852 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 257:82] - node _T_853 = cat(_T_852, UInt<24>("h00")) @[Cat.scala 29:58] - node _T_854 = mux(_T_841, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_855 = mux(_T_843, _T_845, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_856 = mux(_T_847, _T_849, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_857 = mux(_T_851, _T_853, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_858 = or(_T_854, _T_855) @[Mux.scala 27:72] - node _T_859 = or(_T_858, _T_856) @[Mux.scala 27:72] - node _T_860 = or(_T_859, _T_857) @[Mux.scala 27:72] + store_data_hi_r <= _T_815 @[Mux.scala 27:72] + node _T_816 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 254:49] + node _T_817 = eq(_T_816, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 254:54] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 255:49] + node _T_819 = eq(_T_818, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 255:54] + node _T_820 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 255:82] + node _T_821 = cat(_T_820, UInt<8>("h00")) @[Cat.scala 29:58] + node _T_822 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 256:49] + node _T_823 = eq(_T_822, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 256:54] + node _T_824 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 256:82] + node _T_825 = cat(_T_824, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_826 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 257:49] + node _T_827 = eq(_T_826, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 257:54] + node _T_828 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 257:82] + node _T_829 = cat(_T_828, UInt<24>("h00")) @[Cat.scala 29:58] + node _T_830 = mux(_T_817, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_831 = mux(_T_819, _T_821, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_832 = mux(_T_823, _T_825, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_833 = mux(_T_827, _T_829, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_834 = or(_T_830, _T_831) @[Mux.scala 27:72] + node _T_835 = or(_T_834, _T_832) @[Mux.scala 27:72] + node _T_836 = or(_T_835, _T_833) @[Mux.scala 27:72] wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] - store_data_lo_r <= _T_860 @[Mux.scala 27:72] + store_data_lo_r <= _T_836 @[Mux.scala 27:72] io.test <= ldst_byteen_r @[el2_lsu_bus_buffer.scala 259:11] - node _T_861 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 261:36] - node _T_862 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 261:57] - node ldst_samedw_r = eq(_T_861, _T_862) @[el2_lsu_bus_buffer.scala 261:40] - node _T_863 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 262:67] - node _T_864 = eq(_T_863, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 262:74] - node _T_865 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 263:40] - node _T_866 = eq(_T_865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 263:26] - node _T_867 = mux(io.lsu_pkt_r.word, _T_864, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_868 = mux(io.lsu_pkt_r.half, _T_866, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_869 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_870 = or(_T_867, _T_868) @[Mux.scala 27:72] - node _T_871 = or(_T_870, _T_869) @[Mux.scala 27:72] + node _T_837 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 261:36] + node _T_838 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 261:57] + node ldst_samedw_r = eq(_T_837, _T_838) @[el2_lsu_bus_buffer.scala 261:40] + node _T_839 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 262:67] + node _T_840 = eq(_T_839, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 262:74] + node _T_841 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 263:40] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 263:26] + node _T_843 = mux(io.lsu_pkt_r.word, _T_840, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_844 = mux(io.lsu_pkt_r.half, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_845 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_846 = or(_T_843, _T_844) @[Mux.scala 27:72] + node _T_847 = or(_T_846, _T_845) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] - is_aligned_r <= _T_871 @[Mux.scala 27:72] - node _T_872 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 265:55] - node _T_873 = and(io.lsu_busreq_r, _T_872) @[el2_lsu_bus_buffer.scala 265:34] - node _T_874 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 265:79] - node ibuf_byp = and(_T_873, _T_874) @[el2_lsu_bus_buffer.scala 265:77] - node _T_875 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 266:36] - node _T_876 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 266:56] - node ibuf_wr_en = and(_T_875, _T_876) @[el2_lsu_bus_buffer.scala 266:54] + is_aligned_r <= _T_847 @[Mux.scala 27:72] + node _T_848 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 265:55] + node _T_849 = and(io.lsu_busreq_r, _T_848) @[el2_lsu_bus_buffer.scala 265:34] + node _T_850 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 265:79] + node ibuf_byp = and(_T_849, _T_850) @[el2_lsu_bus_buffer.scala 265:77] + node _T_851 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 266:36] + node _T_852 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 266:56] + node ibuf_wr_en = and(_T_851, _T_852) @[el2_lsu_bus_buffer.scala 266:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_877 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:36] - node _T_878 = and(ibuf_drain_vld, _T_877) @[el2_lsu_bus_buffer.scala 268:34] - node ibuf_rst = or(_T_878, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 268:49] - node _T_879 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:44] - node _T_880 = and(io.lsu_busreq_m, _T_879) @[el2_lsu_bus_buffer.scala 269:42] - node _T_881 = and(_T_880, ibuf_valid) @[el2_lsu_bus_buffer.scala 269:61] - node _T_882 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 269:107] - node _T_883 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 269:132] - node _T_884 = neq(_T_882, _T_883) @[el2_lsu_bus_buffer.scala 269:115] - node _T_885 = or(io.lsu_pkt_m.load, _T_884) @[el2_lsu_bus_buffer.scala 269:95] - node ibuf_force_drain = and(_T_881, _T_885) @[el2_lsu_bus_buffer.scala 269:74] + node _T_853 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:36] + node _T_854 = and(ibuf_drain_vld, _T_853) @[el2_lsu_bus_buffer.scala 268:34] + node ibuf_rst = or(_T_854, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 268:49] + node _T_855 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:44] + node _T_856 = and(io.lsu_busreq_m, _T_855) @[el2_lsu_bus_buffer.scala 269:42] + node _T_857 = and(_T_856, ibuf_valid) @[el2_lsu_bus_buffer.scala 269:61] + node _T_858 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 269:107] + node _T_859 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 269:132] + node _T_860 = neq(_T_858, _T_859) @[el2_lsu_bus_buffer.scala 269:115] + node _T_861 = or(io.lsu_pkt_m.load, _T_860) @[el2_lsu_bus_buffer.scala 269:95] + node ibuf_force_drain = and(_T_857, _T_861) @[el2_lsu_bus_buffer.scala 269:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -1406,19 +1376,19 @@ circuit el2_lsu_bus_buffer : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_886 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 274:62] - node _T_887 = or(ibuf_wr_en, _T_886) @[el2_lsu_bus_buffer.scala 274:48] - node _T_888 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 274:98] - node _T_889 = eq(_T_888, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:82] - node _T_890 = and(_T_887, _T_889) @[el2_lsu_bus_buffer.scala 274:80] - node _T_891 = or(_T_890, ibuf_byp) @[el2_lsu_bus_buffer.scala 275:5] - node _T_892 = or(_T_891, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 275:16] - node _T_893 = or(_T_892, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 275:35] - node _T_894 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 275:55] - node _T_895 = or(_T_893, _T_894) @[el2_lsu_bus_buffer.scala 275:53] - node _T_896 = or(_T_895, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 275:67] - node _T_897 = and(ibuf_valid, _T_896) @[el2_lsu_bus_buffer.scala 274:32] - ibuf_drain_vld <= _T_897 @[el2_lsu_bus_buffer.scala 274:18] + node _T_862 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 274:62] + node _T_863 = or(ibuf_wr_en, _T_862) @[el2_lsu_bus_buffer.scala 274:48] + node _T_864 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 274:98] + node _T_865 = eq(_T_864, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:82] + node _T_866 = and(_T_863, _T_865) @[el2_lsu_bus_buffer.scala 274:80] + node _T_867 = or(_T_866, ibuf_byp) @[el2_lsu_bus_buffer.scala 275:5] + node _T_868 = or(_T_867, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 275:16] + node _T_869 = or(_T_868, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 275:35] + node _T_870 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 275:55] + node _T_871 = or(_T_869, _T_870) @[el2_lsu_bus_buffer.scala 275:53] + node _T_872 = or(_T_871, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 275:67] + node _T_873 = and(ibuf_valid, _T_872) @[el2_lsu_bus_buffer.scala 274:32] + ibuf_drain_vld <= _T_873 @[el2_lsu_bus_buffer.scala 274:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> @@ -1426,209 +1396,209 @@ circuit el2_lsu_bus_buffer : wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 279:15] - node _T_898 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 280:39] - node _T_899 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 280:69] - node ibuf_tag_in = mux(_T_898, ibuf_tag, _T_899) @[el2_lsu_bus_buffer.scala 280:24] + node _T_874 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 280:39] + node _T_875 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 280:69] + node ibuf_tag_in = mux(_T_874, ibuf_tag, _T_875) @[el2_lsu_bus_buffer.scala 280:24] node ibuf_sz_in = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 283:25] - node _T_900 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 284:42] - node _T_901 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 284:70] - node _T_902 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 284:95] - node _T_903 = or(_T_901, _T_902) @[el2_lsu_bus_buffer.scala 284:77] - node _T_904 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 285:41] - node _T_905 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 285:65] - node _T_906 = mux(io.ldst_dual_r, _T_904, _T_905) @[el2_lsu_bus_buffer.scala 285:8] - node ibuf_byteen_in = mux(_T_900, _T_903, _T_906) @[el2_lsu_bus_buffer.scala 284:27] + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 284:42] + node _T_877 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 284:70] + node _T_878 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 284:95] + node _T_879 = or(_T_877, _T_878) @[el2_lsu_bus_buffer.scala 284:77] + node _T_880 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 285:41] + node _T_881 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 285:65] + node _T_882 = mux(io.ldst_dual_r, _T_880, _T_881) @[el2_lsu_bus_buffer.scala 285:8] + node ibuf_byteen_in = mux(_T_876, _T_879, _T_882) @[el2_lsu_bus_buffer.scala 284:27] wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_907 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 288:61] - node _T_908 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 289:25] - node _T_909 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 289:45] - node _T_910 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 289:76] - node _T_911 = mux(_T_908, _T_909, _T_910) @[el2_lsu_bus_buffer.scala 289:8] - node _T_912 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 290:40] - node _T_913 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 290:77] - node _T_914 = mux(io.ldst_dual_r, _T_912, _T_913) @[el2_lsu_bus_buffer.scala 290:8] - node _T_915 = mux(_T_907, _T_911, _T_914) @[el2_lsu_bus_buffer.scala 288:46] - node _T_916 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 288:61] - node _T_917 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 289:25] - node _T_918 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 289:45] - node _T_919 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 289:76] - node _T_920 = mux(_T_917, _T_918, _T_919) @[el2_lsu_bus_buffer.scala 289:8] - node _T_921 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 290:40] - node _T_922 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 290:77] - node _T_923 = mux(io.ldst_dual_r, _T_921, _T_922) @[el2_lsu_bus_buffer.scala 290:8] - node _T_924 = mux(_T_916, _T_920, _T_923) @[el2_lsu_bus_buffer.scala 288:46] - node _T_925 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 288:61] - node _T_926 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 289:25] - node _T_927 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 289:45] - node _T_928 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 289:76] - node _T_929 = mux(_T_926, _T_927, _T_928) @[el2_lsu_bus_buffer.scala 289:8] - node _T_930 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 290:40] - node _T_931 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 290:77] - node _T_932 = mux(io.ldst_dual_r, _T_930, _T_931) @[el2_lsu_bus_buffer.scala 290:8] - node _T_933 = mux(_T_925, _T_929, _T_932) @[el2_lsu_bus_buffer.scala 288:46] - node _T_934 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 288:61] - node _T_935 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 289:25] - node _T_936 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 289:45] - node _T_937 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 289:76] - node _T_938 = mux(_T_935, _T_936, _T_937) @[el2_lsu_bus_buffer.scala 289:8] - node _T_939 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 290:40] - node _T_940 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 290:77] - node _T_941 = mux(io.ldst_dual_r, _T_939, _T_940) @[el2_lsu_bus_buffer.scala 290:8] - node _T_942 = mux(_T_934, _T_938, _T_941) @[el2_lsu_bus_buffer.scala 288:46] - node _T_943 = cat(_T_942, _T_933) @[Cat.scala 29:58] - node _T_944 = cat(_T_943, _T_924) @[Cat.scala 29:58] - node ibuf_data_in = cat(_T_944, _T_915) @[Cat.scala 29:58] - node _T_945 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 291:59] - node _T_946 = bits(_T_945, 0, 0) @[el2_lsu_bus_buffer.scala 291:79] - node _T_947 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 291:93] - node _T_948 = tail(_T_947, 1) @[el2_lsu_bus_buffer.scala 291:93] - node _T_949 = mux(_T_946, _T_948, ibuf_timer) @[el2_lsu_bus_buffer.scala 291:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_949) @[el2_lsu_bus_buffer.scala 291:26] - node _T_950 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 293:36] - node _T_951 = and(_T_950, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 293:54] - node _T_952 = and(_T_951, ibuf_valid) @[el2_lsu_bus_buffer.scala 293:75] - node _T_953 = and(_T_952, ibuf_write) @[el2_lsu_bus_buffer.scala 293:88] - node _T_954 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 293:117] - node _T_955 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 293:137] - node _T_956 = eq(_T_954, _T_955) @[el2_lsu_bus_buffer.scala 293:124] - node _T_957 = and(_T_953, _T_956) @[el2_lsu_bus_buffer.scala 293:101] - node _T_958 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:147] - node _T_959 = and(_T_957, _T_958) @[el2_lsu_bus_buffer.scala 293:145] - node _T_960 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:170] - node _T_961 = and(_T_959, _T_960) @[el2_lsu_bus_buffer.scala 293:168] - ibuf_merge_en <= _T_961 @[el2_lsu_bus_buffer.scala 293:17] - node _T_962 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:20] - ibuf_merge_in <= _T_962 @[el2_lsu_bus_buffer.scala 294:17] - node _T_963 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:65] - node _T_964 = and(ibuf_merge_en, _T_963) @[el2_lsu_bus_buffer.scala 295:63] - node _T_965 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 295:92] - node _T_966 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 295:114] - node _T_967 = or(_T_965, _T_966) @[el2_lsu_bus_buffer.scala 295:96] - node _T_968 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 295:130] - node _T_969 = mux(_T_964, _T_967, _T_968) @[el2_lsu_bus_buffer.scala 295:48] - node _T_970 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:65] - node _T_971 = and(ibuf_merge_en, _T_970) @[el2_lsu_bus_buffer.scala 295:63] - node _T_972 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 295:92] - node _T_973 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 295:114] - node _T_974 = or(_T_972, _T_973) @[el2_lsu_bus_buffer.scala 295:96] - node _T_975 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 295:130] - node _T_976 = mux(_T_971, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 295:48] - node _T_977 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:65] - node _T_978 = and(ibuf_merge_en, _T_977) @[el2_lsu_bus_buffer.scala 295:63] - node _T_979 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 295:92] - node _T_980 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 295:114] - node _T_981 = or(_T_979, _T_980) @[el2_lsu_bus_buffer.scala 295:96] - node _T_982 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 295:130] - node _T_983 = mux(_T_978, _T_981, _T_982) @[el2_lsu_bus_buffer.scala 295:48] - node _T_984 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:65] - node _T_985 = and(ibuf_merge_en, _T_984) @[el2_lsu_bus_buffer.scala 295:63] - node _T_986 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 295:92] - node _T_987 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 295:114] - node _T_988 = or(_T_986, _T_987) @[el2_lsu_bus_buffer.scala 295:96] - node _T_989 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 295:130] - node _T_990 = mux(_T_985, _T_988, _T_989) @[el2_lsu_bus_buffer.scala 295:48] - node _T_991 = cat(_T_990, _T_983) @[Cat.scala 29:58] - node _T_992 = cat(_T_991, _T_976) @[Cat.scala 29:58] - node ibuf_byteen_out = cat(_T_992, _T_969) @[Cat.scala 29:58] + node _T_883 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 288:61] + node _T_884 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 289:25] + node _T_885 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 289:45] + node _T_886 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 289:76] + node _T_887 = mux(_T_884, _T_885, _T_886) @[el2_lsu_bus_buffer.scala 289:8] + node _T_888 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 290:40] + node _T_889 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 290:77] + node _T_890 = mux(io.ldst_dual_r, _T_888, _T_889) @[el2_lsu_bus_buffer.scala 290:8] + node _T_891 = mux(_T_883, _T_887, _T_890) @[el2_lsu_bus_buffer.scala 288:46] + node _T_892 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 288:61] + node _T_893 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 289:25] + node _T_894 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 289:45] + node _T_895 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 289:76] + node _T_896 = mux(_T_893, _T_894, _T_895) @[el2_lsu_bus_buffer.scala 289:8] + node _T_897 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 290:40] + node _T_898 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 290:77] + node _T_899 = mux(io.ldst_dual_r, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 290:8] + node _T_900 = mux(_T_892, _T_896, _T_899) @[el2_lsu_bus_buffer.scala 288:46] + node _T_901 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 288:61] + node _T_902 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 289:25] + node _T_903 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 289:45] + node _T_904 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 289:76] + node _T_905 = mux(_T_902, _T_903, _T_904) @[el2_lsu_bus_buffer.scala 289:8] + node _T_906 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 290:40] + node _T_907 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 290:77] + node _T_908 = mux(io.ldst_dual_r, _T_906, _T_907) @[el2_lsu_bus_buffer.scala 290:8] + node _T_909 = mux(_T_901, _T_905, _T_908) @[el2_lsu_bus_buffer.scala 288:46] + node _T_910 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 288:61] + node _T_911 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 289:25] + node _T_912 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 289:45] + node _T_913 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 289:76] + node _T_914 = mux(_T_911, _T_912, _T_913) @[el2_lsu_bus_buffer.scala 289:8] + node _T_915 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 290:40] + node _T_916 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 290:77] + node _T_917 = mux(io.ldst_dual_r, _T_915, _T_916) @[el2_lsu_bus_buffer.scala 290:8] + node _T_918 = mux(_T_910, _T_914, _T_917) @[el2_lsu_bus_buffer.scala 288:46] + node _T_919 = cat(_T_918, _T_909) @[Cat.scala 29:58] + node _T_920 = cat(_T_919, _T_900) @[Cat.scala 29:58] + node ibuf_data_in = cat(_T_920, _T_891) @[Cat.scala 29:58] + node _T_921 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 291:59] + node _T_922 = bits(_T_921, 0, 0) @[el2_lsu_bus_buffer.scala 291:79] + node _T_923 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 291:93] + node _T_924 = tail(_T_923, 1) @[el2_lsu_bus_buffer.scala 291:93] + node _T_925 = mux(_T_922, _T_924, ibuf_timer) @[el2_lsu_bus_buffer.scala 291:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_925) @[el2_lsu_bus_buffer.scala 291:26] + node _T_926 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 293:36] + node _T_927 = and(_T_926, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 293:54] + node _T_928 = and(_T_927, ibuf_valid) @[el2_lsu_bus_buffer.scala 293:75] + node _T_929 = and(_T_928, ibuf_write) @[el2_lsu_bus_buffer.scala 293:88] + node _T_930 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 293:117] + node _T_931 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 293:137] + node _T_932 = eq(_T_930, _T_931) @[el2_lsu_bus_buffer.scala 293:124] + node _T_933 = and(_T_929, _T_932) @[el2_lsu_bus_buffer.scala 293:101] + node _T_934 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:147] + node _T_935 = and(_T_933, _T_934) @[el2_lsu_bus_buffer.scala 293:145] + node _T_936 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:170] + node _T_937 = and(_T_935, _T_936) @[el2_lsu_bus_buffer.scala 293:168] + ibuf_merge_en <= _T_937 @[el2_lsu_bus_buffer.scala 293:17] + node _T_938 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:20] + ibuf_merge_in <= _T_938 @[el2_lsu_bus_buffer.scala 294:17] + node _T_939 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:65] + node _T_940 = and(ibuf_merge_en, _T_939) @[el2_lsu_bus_buffer.scala 295:63] + node _T_941 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 295:92] + node _T_942 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 295:114] + node _T_943 = or(_T_941, _T_942) @[el2_lsu_bus_buffer.scala 295:96] + node _T_944 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 295:130] + node _T_945 = mux(_T_940, _T_943, _T_944) @[el2_lsu_bus_buffer.scala 295:48] + node _T_946 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:65] + node _T_947 = and(ibuf_merge_en, _T_946) @[el2_lsu_bus_buffer.scala 295:63] + node _T_948 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 295:92] + node _T_949 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 295:114] + node _T_950 = or(_T_948, _T_949) @[el2_lsu_bus_buffer.scala 295:96] + node _T_951 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 295:130] + node _T_952 = mux(_T_947, _T_950, _T_951) @[el2_lsu_bus_buffer.scala 295:48] + node _T_953 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:65] + node _T_954 = and(ibuf_merge_en, _T_953) @[el2_lsu_bus_buffer.scala 295:63] + node _T_955 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 295:92] + node _T_956 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 295:114] + node _T_957 = or(_T_955, _T_956) @[el2_lsu_bus_buffer.scala 295:96] + node _T_958 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 295:130] + node _T_959 = mux(_T_954, _T_957, _T_958) @[el2_lsu_bus_buffer.scala 295:48] + node _T_960 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:65] + node _T_961 = and(ibuf_merge_en, _T_960) @[el2_lsu_bus_buffer.scala 295:63] + node _T_962 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 295:92] + node _T_963 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 295:114] + node _T_964 = or(_T_962, _T_963) @[el2_lsu_bus_buffer.scala 295:96] + node _T_965 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 295:130] + node _T_966 = mux(_T_961, _T_964, _T_965) @[el2_lsu_bus_buffer.scala 295:48] + node _T_967 = cat(_T_966, _T_959) @[Cat.scala 29:58] + node _T_968 = cat(_T_967, _T_952) @[Cat.scala 29:58] + node ibuf_byteen_out = cat(_T_968, _T_945) @[Cat.scala 29:58] + node _T_969 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:62] + node _T_970 = and(ibuf_merge_en, _T_969) @[el2_lsu_bus_buffer.scala 296:60] + node _T_971 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 296:98] + node _T_972 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 296:118] + node _T_973 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 296:143] + node _T_974 = mux(_T_971, _T_972, _T_973) @[el2_lsu_bus_buffer.scala 296:81] + node _T_975 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 296:169] + node _T_976 = mux(_T_970, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 296:45] + node _T_977 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:62] + node _T_978 = and(ibuf_merge_en, _T_977) @[el2_lsu_bus_buffer.scala 296:60] + node _T_979 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 296:98] + node _T_980 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 296:118] + node _T_981 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 296:143] + node _T_982 = mux(_T_979, _T_980, _T_981) @[el2_lsu_bus_buffer.scala 296:81] + node _T_983 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 296:169] + node _T_984 = mux(_T_978, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 296:45] + node _T_985 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:62] + node _T_986 = and(ibuf_merge_en, _T_985) @[el2_lsu_bus_buffer.scala 296:60] + node _T_987 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 296:98] + node _T_988 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 296:118] + node _T_989 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 296:143] + node _T_990 = mux(_T_987, _T_988, _T_989) @[el2_lsu_bus_buffer.scala 296:81] + node _T_991 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 296:169] + node _T_992 = mux(_T_986, _T_990, _T_991) @[el2_lsu_bus_buffer.scala 296:45] node _T_993 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:62] node _T_994 = and(ibuf_merge_en, _T_993) @[el2_lsu_bus_buffer.scala 296:60] - node _T_995 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 296:98] - node _T_996 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 296:118] - node _T_997 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 296:143] + node _T_995 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 296:98] + node _T_996 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 296:118] + node _T_997 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 296:143] node _T_998 = mux(_T_995, _T_996, _T_997) @[el2_lsu_bus_buffer.scala 296:81] - node _T_999 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 296:169] + node _T_999 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 296:169] node _T_1000 = mux(_T_994, _T_998, _T_999) @[el2_lsu_bus_buffer.scala 296:45] - node _T_1001 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:62] - node _T_1002 = and(ibuf_merge_en, _T_1001) @[el2_lsu_bus_buffer.scala 296:60] - node _T_1003 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 296:98] - node _T_1004 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 296:118] - node _T_1005 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 296:143] - node _T_1006 = mux(_T_1003, _T_1004, _T_1005) @[el2_lsu_bus_buffer.scala 296:81] - node _T_1007 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 296:169] - node _T_1008 = mux(_T_1002, _T_1006, _T_1007) @[el2_lsu_bus_buffer.scala 296:45] - node _T_1009 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:62] - node _T_1010 = and(ibuf_merge_en, _T_1009) @[el2_lsu_bus_buffer.scala 296:60] - node _T_1011 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 296:98] - node _T_1012 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 296:118] - node _T_1013 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 296:143] - node _T_1014 = mux(_T_1011, _T_1012, _T_1013) @[el2_lsu_bus_buffer.scala 296:81] - node _T_1015 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 296:169] - node _T_1016 = mux(_T_1010, _T_1014, _T_1015) @[el2_lsu_bus_buffer.scala 296:45] - node _T_1017 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:62] - node _T_1018 = and(ibuf_merge_en, _T_1017) @[el2_lsu_bus_buffer.scala 296:60] - node _T_1019 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 296:98] - node _T_1020 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 296:118] - node _T_1021 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 296:143] - node _T_1022 = mux(_T_1019, _T_1020, _T_1021) @[el2_lsu_bus_buffer.scala 296:81] - node _T_1023 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 296:169] - node _T_1024 = mux(_T_1018, _T_1022, _T_1023) @[el2_lsu_bus_buffer.scala 296:45] - node _T_1025 = cat(_T_1024, _T_1016) @[Cat.scala 29:58] - node _T_1026 = cat(_T_1025, _T_1008) @[Cat.scala 29:58] - node ibuf_data_out = cat(_T_1026, _T_1000) @[Cat.scala 29:58] - node _T_1027 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 298:28] - node _T_1028 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 298:63] - node _T_1029 = and(_T_1027, _T_1028) @[el2_lsu_bus_buffer.scala 298:61] - reg _T_1030 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 298:24] - _T_1030 <= _T_1029 @[el2_lsu_bus_buffer.scala 298:24] - ibuf_valid <= _T_1030 @[el2_lsu_bus_buffer.scala 298:14] - node _T_1031 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:120] - node _T_1032 = bits(_T_1031, 0, 0) @[el2_lsu_bus_buffer.scala 299:120] - node _T_1033 = and(ibuf_wr_en, _T_1032) @[el2_lsu_bus_buffer.scala 299:89] - reg _T_1034 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1033 : @[Reg.scala 28:19] - _T_1034 <= ibuf_tag_in @[Reg.scala 28:23] + node _T_1001 = cat(_T_1000, _T_992) @[Cat.scala 29:58] + node _T_1002 = cat(_T_1001, _T_984) @[Cat.scala 29:58] + node ibuf_data_out = cat(_T_1002, _T_976) @[Cat.scala 29:58] + node _T_1003 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 298:28] + node _T_1004 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 298:63] + node _T_1005 = and(_T_1003, _T_1004) @[el2_lsu_bus_buffer.scala 298:61] + reg _T_1006 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 298:24] + _T_1006 <= _T_1005 @[el2_lsu_bus_buffer.scala 298:24] + ibuf_valid <= _T_1006 @[el2_lsu_bus_buffer.scala 298:14] + node _T_1007 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:120] + node _T_1008 = bits(_T_1007, 0, 0) @[el2_lsu_bus_buffer.scala 299:120] + node _T_1009 = and(ibuf_wr_en, _T_1008) @[el2_lsu_bus_buffer.scala 299:89] + reg _T_1010 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1009 : @[Reg.scala 28:19] + _T_1010 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_1034 @[el2_lsu_bus_buffer.scala 299:12] - node _T_1035 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:131] - node _T_1036 = bits(_T_1035, 0, 0) @[el2_lsu_bus_buffer.scala 300:131] - node _T_1037 = and(ibuf_wr_en, _T_1036) @[el2_lsu_bus_buffer.scala 300:100] + ibuf_tag <= _T_1010 @[el2_lsu_bus_buffer.scala 299:12] + node _T_1011 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:131] + node _T_1012 = bits(_T_1011, 0, 0) @[el2_lsu_bus_buffer.scala 300:131] + node _T_1013 = and(ibuf_wr_en, _T_1012) @[el2_lsu_bus_buffer.scala 300:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1037 : @[Reg.scala 28:19] + when _T_1013 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1038 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:127] - node _T_1039 = bits(_T_1038, 0, 0) @[el2_lsu_bus_buffer.scala 301:127] - node _T_1040 = and(ibuf_wr_en, _T_1039) @[el2_lsu_bus_buffer.scala 301:96] + node _T_1014 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:127] + node _T_1015 = bits(_T_1014, 0, 0) @[el2_lsu_bus_buffer.scala 301:127] + node _T_1016 = and(ibuf_wr_en, _T_1015) @[el2_lsu_bus_buffer.scala 301:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1040 : @[Reg.scala 28:19] + when _T_1016 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1041 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 302:128] - node _T_1042 = bits(_T_1041, 0, 0) @[el2_lsu_bus_buffer.scala 302:128] - node _T_1043 = and(ibuf_wr_en, _T_1042) @[el2_lsu_bus_buffer.scala 302:97] + node _T_1017 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 302:128] + node _T_1018 = bits(_T_1017, 0, 0) @[el2_lsu_bus_buffer.scala 302:128] + node _T_1019 = and(ibuf_wr_en, _T_1018) @[el2_lsu_bus_buffer.scala 302:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1043 : @[Reg.scala 28:19] + when _T_1019 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1044 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 303:135] - node _T_1045 = bits(_T_1044, 0, 0) @[el2_lsu_bus_buffer.scala 303:135] - node _T_1046 = and(ibuf_wr_en, _T_1045) @[el2_lsu_bus_buffer.scala 303:104] + node _T_1020 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 303:135] + node _T_1021 = bits(_T_1020, 0, 0) @[el2_lsu_bus_buffer.scala 303:135] + node _T_1022 = and(ibuf_wr_en, _T_1021) @[el2_lsu_bus_buffer.scala 303:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1046 : @[Reg.scala 28:19] + when _T_1022 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1047 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 304:135] - node _T_1048 = bits(_T_1047, 0, 0) @[el2_lsu_bus_buffer.scala 304:135] - node _T_1049 = and(ibuf_wr_en, _T_1048) @[el2_lsu_bus_buffer.scala 304:104] - reg _T_1050 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1049 : @[Reg.scala 28:19] - _T_1050 <= io.is_sideeffects_r @[Reg.scala 28:23] + node _T_1023 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 304:135] + node _T_1024 = bits(_T_1023, 0, 0) @[el2_lsu_bus_buffer.scala 304:135] + node _T_1025 = and(ibuf_wr_en, _T_1024) @[el2_lsu_bus_buffer.scala 304:104] + reg _T_1026 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1025 : @[Reg.scala 28:19] + _T_1026 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_1050 @[el2_lsu_bus_buffer.scala 304:19] - node _T_1051 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 305:134] - node _T_1052 = bits(_T_1051, 0, 0) @[el2_lsu_bus_buffer.scala 305:134] - node _T_1053 = and(ibuf_wr_en, _T_1052) @[el2_lsu_bus_buffer.scala 305:103] + ibuf_sideeffect <= _T_1026 @[el2_lsu_bus_buffer.scala 304:19] + node _T_1027 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 305:134] + node _T_1028 = bits(_T_1027, 0, 0) @[el2_lsu_bus_buffer.scala 305:134] + node _T_1029 = and(ibuf_wr_en, _T_1028) @[el2_lsu_bus_buffer.scala 305:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1053 : @[Reg.scala 28:19] + when _T_1029 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg _T_1054 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1030 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] - _T_1054 <= io.lsu_pkt_r.store @[Reg.scala 28:23] + _T_1030 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_1054 @[el2_lsu_bus_buffer.scala 306:14] + ibuf_write <= _T_1030 @[el2_lsu_bus_buffer.scala 306:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1639,26 +1609,26 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr.io.en <= ibuf_wr_en @[el2_lib.scala 488:17] rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1055 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1055 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_1055 @[el2_lsu_bus_buffer.scala 308:13] - reg _T_1056 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1031 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1031 <= ibuf_addr_in @[el2_lib.scala 491:16] + ibuf_addr <= _T_1031 @[el2_lsu_bus_buffer.scala 308:13] + reg _T_1032 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] - _T_1056 <= ibuf_byteen_in @[Reg.scala 28:23] + _T_1032 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_1056 @[el2_lsu_bus_buffer.scala 309:15] + ibuf_byteen <= _T_1032 @[el2_lsu_bus_buffer.scala 309:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_1.io.en <= ibuf_wr_en @[el2_lib.scala 488:17] rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1057 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1057 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_1057 @[el2_lsu_bus_buffer.scala 310:13] - reg _T_1058 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 311:59] - _T_1058 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 311:59] - ibuf_timer <= _T_1058 @[el2_lsu_bus_buffer.scala 311:14] + reg _T_1033 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1033 <= ibuf_data_in @[el2_lib.scala 491:16] + ibuf_data <= _T_1033 @[el2_lsu_bus_buffer.scala 310:13] + reg _T_1034 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 311:59] + _T_1034 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 311:59] + ibuf_timer <= _T_1034 @[el2_lsu_bus_buffer.scala 311:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> @@ -1676,90 +1646,90 @@ circuit el2_lsu_bus_buffer : obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_1059 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:43] - node _T_1060 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:72] - node _T_1061 = and(_T_1059, _T_1060) @[el2_lsu_bus_buffer.scala 321:51] - node _T_1062 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 321:97] - node _T_1063 = and(_T_1061, _T_1062) @[el2_lsu_bus_buffer.scala 321:80] - node _T_1064 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:5] - node _T_1065 = and(_T_1063, _T_1064) @[el2_lsu_bus_buffer.scala 321:114] - node _T_1066 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:114] - node _T_1067 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:114] - node _T_1068 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 322:114] - node _T_1069 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 322:114] - node _T_1070 = mux(_T_1066, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1071 = mux(_T_1067, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1072 = mux(_T_1068, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1073 = mux(_T_1069, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1074 = or(_T_1070, _T_1071) @[Mux.scala 27:72] - node _T_1075 = or(_T_1074, _T_1072) @[Mux.scala 27:72] - node _T_1076 = or(_T_1075, _T_1073) @[Mux.scala 27:72] - wire _T_1077 : UInt<1> @[Mux.scala 27:72] - _T_1077 <= _T_1076 @[Mux.scala 27:72] - node _T_1078 = eq(_T_1077, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:31] - node _T_1079 = and(_T_1065, _T_1078) @[el2_lsu_bus_buffer.scala 322:29] - node _T_1080 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:88] - node _T_1081 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 323:111] - node _T_1082 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:88] - node _T_1083 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 323:111] - node _T_1084 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 323:88] - node _T_1085 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 323:111] - node _T_1086 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 323:88] - node _T_1087 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 323:111] - node _T_1088 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1089 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1090 = mux(_T_1084, _T_1085, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1091 = mux(_T_1086, _T_1087, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1092 = or(_T_1088, _T_1089) @[Mux.scala 27:72] - node _T_1093 = or(_T_1092, _T_1090) @[Mux.scala 27:72] - node _T_1094 = or(_T_1093, _T_1091) @[Mux.scala 27:72] - wire _T_1095 : UInt<1> @[Mux.scala 27:72] - _T_1095 <= _T_1094 @[Mux.scala 27:72] - node _T_1096 = eq(_T_1095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:5] - node _T_1097 = and(_T_1079, _T_1096) @[el2_lsu_bus_buffer.scala 322:140] - node _T_1098 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:119] - node obuf_wr_wait = and(_T_1097, _T_1098) @[el2_lsu_bus_buffer.scala 323:117] - node _T_1099 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 324:75] - node _T_1100 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 324:95] - node _T_1101 = and(_T_1099, _T_1100) @[el2_lsu_bus_buffer.scala 324:79] - node _T_1102 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 324:121] - node _T_1103 = tail(_T_1102, 1) @[el2_lsu_bus_buffer.scala 324:121] - node _T_1104 = mux(_T_1101, _T_1103, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 324:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1104) @[el2_lsu_bus_buffer.scala 324:29] - node _T_1105 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:41] - node _T_1106 = and(io.lsu_busreq_m, _T_1105) @[el2_lsu_bus_buffer.scala 325:39] - node _T_1107 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:60] - node _T_1108 = and(_T_1106, _T_1107) @[el2_lsu_bus_buffer.scala 325:58] - node _T_1109 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 325:93] - node _T_1110 = and(_T_1108, _T_1109) @[el2_lsu_bus_buffer.scala 325:72] - node _T_1111 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 325:117] - node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:208] - node _T_1113 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 325:228] - node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 325:208] - node _T_1115 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 325:228] - node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 325:208] - node _T_1117 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 325:228] - node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 325:208] - node _T_1119 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 325:228] - node _T_1120 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1121 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1122 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1123 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1124 = or(_T_1120, _T_1121) @[Mux.scala 27:72] - node _T_1125 = or(_T_1124, _T_1122) @[Mux.scala 27:72] - node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] - wire _T_1127 : UInt<30> @[Mux.scala 27:72] - _T_1127 <= _T_1126 @[Mux.scala 27:72] - node _T_1128 = neq(_T_1111, _T_1127) @[el2_lsu_bus_buffer.scala 325:123] - node _T_1129 = and(_T_1110, _T_1128) @[el2_lsu_bus_buffer.scala 325:101] - obuf_force_wr_en <= _T_1129 @[el2_lsu_bus_buffer.scala 325:20] + node _T_1035 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:43] + node _T_1036 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:72] + node _T_1037 = and(_T_1035, _T_1036) @[el2_lsu_bus_buffer.scala 321:51] + node _T_1038 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 321:97] + node _T_1039 = and(_T_1037, _T_1038) @[el2_lsu_bus_buffer.scala 321:80] + node _T_1040 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:5] + node _T_1041 = and(_T_1039, _T_1040) @[el2_lsu_bus_buffer.scala 321:114] + node _T_1042 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:114] + node _T_1043 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:114] + node _T_1044 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 322:114] + node _T_1045 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 322:114] + node _T_1046 = mux(_T_1042, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1047 = mux(_T_1043, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1048 = mux(_T_1044, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1049 = mux(_T_1045, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1050 = or(_T_1046, _T_1047) @[Mux.scala 27:72] + node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] + node _T_1052 = or(_T_1051, _T_1049) @[Mux.scala 27:72] + wire _T_1053 : UInt<1> @[Mux.scala 27:72] + _T_1053 <= _T_1052 @[Mux.scala 27:72] + node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:31] + node _T_1055 = and(_T_1041, _T_1054) @[el2_lsu_bus_buffer.scala 322:29] + node _T_1056 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:88] + node _T_1057 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 323:111] + node _T_1058 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:88] + node _T_1059 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 323:111] + node _T_1060 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 323:88] + node _T_1061 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 323:111] + node _T_1062 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 323:88] + node _T_1063 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 323:111] + node _T_1064 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1065 = mux(_T_1058, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1066 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1067 = mux(_T_1062, _T_1063, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1068 = or(_T_1064, _T_1065) @[Mux.scala 27:72] + node _T_1069 = or(_T_1068, _T_1066) @[Mux.scala 27:72] + node _T_1070 = or(_T_1069, _T_1067) @[Mux.scala 27:72] + wire _T_1071 : UInt<1> @[Mux.scala 27:72] + _T_1071 <= _T_1070 @[Mux.scala 27:72] + node _T_1072 = eq(_T_1071, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:5] + node _T_1073 = and(_T_1055, _T_1072) @[el2_lsu_bus_buffer.scala 322:140] + node _T_1074 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:119] + node obuf_wr_wait = and(_T_1073, _T_1074) @[el2_lsu_bus_buffer.scala 323:117] + node _T_1075 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 324:75] + node _T_1076 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 324:95] + node _T_1077 = and(_T_1075, _T_1076) @[el2_lsu_bus_buffer.scala 324:79] + node _T_1078 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 324:121] + node _T_1079 = tail(_T_1078, 1) @[el2_lsu_bus_buffer.scala 324:121] + node _T_1080 = mux(_T_1077, _T_1079, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 324:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1080) @[el2_lsu_bus_buffer.scala 324:29] + node _T_1081 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:41] + node _T_1082 = and(io.lsu_busreq_m, _T_1081) @[el2_lsu_bus_buffer.scala 325:39] + node _T_1083 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:60] + node _T_1084 = and(_T_1082, _T_1083) @[el2_lsu_bus_buffer.scala 325:58] + node _T_1085 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 325:93] + node _T_1086 = and(_T_1084, _T_1085) @[el2_lsu_bus_buffer.scala 325:72] + node _T_1087 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 325:117] + node _T_1088 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:208] + node _T_1089 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 325:228] + node _T_1090 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 325:208] + node _T_1091 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 325:228] + node _T_1092 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 325:208] + node _T_1093 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 325:228] + node _T_1094 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 325:208] + node _T_1095 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 325:228] + node _T_1096 = mux(_T_1088, _T_1089, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1097 = mux(_T_1090, _T_1091, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1098 = mux(_T_1092, _T_1093, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1099 = mux(_T_1094, _T_1095, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1100 = or(_T_1096, _T_1097) @[Mux.scala 27:72] + node _T_1101 = or(_T_1100, _T_1098) @[Mux.scala 27:72] + node _T_1102 = or(_T_1101, _T_1099) @[Mux.scala 27:72] + wire _T_1103 : UInt<30> @[Mux.scala 27:72] + _T_1103 <= _T_1102 @[Mux.scala 27:72] + node _T_1104 = neq(_T_1087, _T_1103) @[el2_lsu_bus_buffer.scala 325:123] + node _T_1105 = and(_T_1086, _T_1104) @[el2_lsu_bus_buffer.scala 325:101] + obuf_force_wr_en <= _T_1105 @[el2_lsu_bus_buffer.scala 325:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1130 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:53] - node _T_1131 = and(ibuf_byp, _T_1130) @[el2_lsu_bus_buffer.scala 327:31] - node _T_1132 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:64] - node _T_1133 = or(_T_1132, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 327:84] - node ibuf_buf_byp = and(_T_1131, _T_1133) @[el2_lsu_bus_buffer.scala 327:61] + node _T_1106 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:53] + node _T_1107 = and(ibuf_byp, _T_1106) @[el2_lsu_bus_buffer.scala 327:31] + node _T_1108 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:64] + node _T_1109 = or(_T_1108, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 327:84] + node ibuf_buf_byp = and(_T_1107, _T_1109) @[el2_lsu_bus_buffer.scala 327:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> @@ -1791,243 +1761,243 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1134 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 342:32] - node _T_1135 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 342:74] - node _T_1136 = eq(_T_1135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:52] - node _T_1137 = and(_T_1134, _T_1136) @[el2_lsu_bus_buffer.scala 342:50] - node _T_1138 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1139 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1140 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1141 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1142 = mux(_T_1138, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1143 = mux(_T_1139, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1144 = mux(_T_1140, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1145 = mux(_T_1141, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1146 = or(_T_1142, _T_1143) @[Mux.scala 27:72] - node _T_1147 = or(_T_1146, _T_1144) @[Mux.scala 27:72] - node _T_1148 = or(_T_1147, _T_1145) @[Mux.scala 27:72] - wire _T_1149 : UInt<3> @[Mux.scala 27:72] - _T_1149 <= _T_1148 @[Mux.scala 27:72] - node _T_1150 = eq(_T_1149, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 343:36] - node _T_1151 = and(_T_1150, found_cmdptr0) @[el2_lsu_bus_buffer.scala 343:47] - node _T_1152 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] - node _T_1153 = cat(_T_1152, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] - node _T_1154 = cat(_T_1153, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1155 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1156 = bits(_T_1154, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1157 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1158 = bits(_T_1154, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1159 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1160 = bits(_T_1154, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1161 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1162 = bits(_T_1154, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1163 = mux(_T_1155, _T_1156, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1164 = mux(_T_1157, _T_1158, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1165 = mux(_T_1159, _T_1160, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1166 = mux(_T_1161, _T_1162, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1167 = or(_T_1163, _T_1164) @[Mux.scala 27:72] - node _T_1168 = or(_T_1167, _T_1165) @[Mux.scala 27:72] - node _T_1169 = or(_T_1168, _T_1166) @[Mux.scala 27:72] - wire _T_1170 : UInt<1> @[Mux.scala 27:72] - _T_1170 <= _T_1169 @[Mux.scala 27:72] - node _T_1171 = eq(_T_1170, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:23] - node _T_1172 = and(_T_1151, _T_1171) @[el2_lsu_bus_buffer.scala 344:21] - node _T_1173 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1174 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1175 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1176 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1177 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1178 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1179 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1180 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1181 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1182 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1183 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1184 = mux(_T_1179, _T_1180, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1185 = or(_T_1181, _T_1182) @[Mux.scala 27:72] - node _T_1186 = or(_T_1185, _T_1183) @[Mux.scala 27:72] - node _T_1187 = or(_T_1186, _T_1184) @[Mux.scala 27:72] - wire _T_1188 : UInt<1> @[Mux.scala 27:72] - _T_1188 <= _T_1187 @[Mux.scala 27:72] - node _T_1189 = and(_T_1188, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 344:141] - node _T_1190 = eq(_T_1189, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:105] - node _T_1191 = and(_T_1172, _T_1190) @[el2_lsu_bus_buffer.scala 344:103] - node _T_1192 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_1193 = cat(_T_1192, buf_dual[1]) @[Cat.scala 29:58] - node _T_1194 = cat(_T_1193, buf_dual[0]) @[Cat.scala 29:58] - node _T_1195 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1196 = bits(_T_1194, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1197 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1198 = bits(_T_1194, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1199 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1200 = bits(_T_1194, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1201 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1202 = bits(_T_1194, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1203 = mux(_T_1195, _T_1196, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1204 = mux(_T_1197, _T_1198, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1205 = mux(_T_1199, _T_1200, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1206 = mux(_T_1201, _T_1202, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1207 = or(_T_1203, _T_1204) @[Mux.scala 27:72] - node _T_1208 = or(_T_1207, _T_1205) @[Mux.scala 27:72] - node _T_1209 = or(_T_1208, _T_1206) @[Mux.scala 27:72] - wire _T_1210 : UInt<1> @[Mux.scala 27:72] - _T_1210 <= _T_1209 @[Mux.scala 27:72] - node _T_1211 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] - node _T_1212 = cat(_T_1211, buf_samedw[1]) @[Cat.scala 29:58] - node _T_1213 = cat(_T_1212, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1214 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1215 = bits(_T_1213, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1216 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1217 = bits(_T_1213, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1218 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1219 = bits(_T_1213, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1220 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1221 = bits(_T_1213, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1222 = mux(_T_1214, _T_1215, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1223 = mux(_T_1216, _T_1217, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1224 = mux(_T_1218, _T_1219, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1225 = mux(_T_1220, _T_1221, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1226 = or(_T_1222, _T_1223) @[Mux.scala 27:72] - node _T_1227 = or(_T_1226, _T_1224) @[Mux.scala 27:72] - node _T_1228 = or(_T_1227, _T_1225) @[Mux.scala 27:72] - wire _T_1229 : UInt<1> @[Mux.scala 27:72] - _T_1229 <= _T_1228 @[Mux.scala 27:72] - node _T_1230 = and(_T_1210, _T_1229) @[el2_lsu_bus_buffer.scala 345:77] - node _T_1231 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1232 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1233 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1234 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1235 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1236 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1237 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1238 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1239 = mux(_T_1231, _T_1232, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1240 = mux(_T_1233, _T_1234, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1241 = mux(_T_1235, _T_1236, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1242 = mux(_T_1237, _T_1238, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1243 = or(_T_1239, _T_1240) @[Mux.scala 27:72] + node _T_1110 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 342:32] + node _T_1111 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 342:74] + node _T_1112 = eq(_T_1111, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:52] + node _T_1113 = and(_T_1110, _T_1112) @[el2_lsu_bus_buffer.scala 342:50] + node _T_1114 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1115 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1117 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1118 = mux(_T_1114, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1119 = mux(_T_1115, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1120 = mux(_T_1116, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1121 = mux(_T_1117, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1122 = or(_T_1118, _T_1119) @[Mux.scala 27:72] + node _T_1123 = or(_T_1122, _T_1120) @[Mux.scala 27:72] + node _T_1124 = or(_T_1123, _T_1121) @[Mux.scala 27:72] + wire _T_1125 : UInt<3> @[Mux.scala 27:72] + _T_1125 <= _T_1124 @[Mux.scala 27:72] + node _T_1126 = eq(_T_1125, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 343:36] + node _T_1127 = and(_T_1126, found_cmdptr0) @[el2_lsu_bus_buffer.scala 343:47] + node _T_1128 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1129 = cat(_T_1128, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1130 = cat(_T_1129, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1131 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1132 = bits(_T_1130, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1133 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1134 = bits(_T_1130, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1135 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1136 = bits(_T_1130, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1137 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1138 = bits(_T_1130, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1139 = mux(_T_1131, _T_1132, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1140 = mux(_T_1133, _T_1134, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1141 = mux(_T_1135, _T_1136, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1142 = mux(_T_1137, _T_1138, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1143 = or(_T_1139, _T_1140) @[Mux.scala 27:72] + node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72] + node _T_1145 = or(_T_1144, _T_1142) @[Mux.scala 27:72] + wire _T_1146 : UInt<1> @[Mux.scala 27:72] + _T_1146 <= _T_1145 @[Mux.scala 27:72] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:23] + node _T_1148 = and(_T_1127, _T_1147) @[el2_lsu_bus_buffer.scala 344:21] + node _T_1149 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1150 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1151 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1152 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1153 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1154 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1155 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1156 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1157 = mux(_T_1149, _T_1150, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1158 = mux(_T_1151, _T_1152, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1159 = mux(_T_1153, _T_1154, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1160 = mux(_T_1155, _T_1156, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1161 = or(_T_1157, _T_1158) @[Mux.scala 27:72] + node _T_1162 = or(_T_1161, _T_1159) @[Mux.scala 27:72] + node _T_1163 = or(_T_1162, _T_1160) @[Mux.scala 27:72] + wire _T_1164 : UInt<1> @[Mux.scala 27:72] + _T_1164 <= _T_1163 @[Mux.scala 27:72] + node _T_1165 = and(_T_1164, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 344:141] + node _T_1166 = eq(_T_1165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:105] + node _T_1167 = and(_T_1148, _T_1166) @[el2_lsu_bus_buffer.scala 344:103] + node _T_1168 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1169 = cat(_T_1168, buf_dual[1]) @[Cat.scala 29:58] + node _T_1170 = cat(_T_1169, buf_dual[0]) @[Cat.scala 29:58] + node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1172 = bits(_T_1170, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1174 = bits(_T_1170, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1176 = bits(_T_1170, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1178 = bits(_T_1170, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1179 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1180 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1181 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1182 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1183 = or(_T_1179, _T_1180) @[Mux.scala 27:72] + node _T_1184 = or(_T_1183, _T_1181) @[Mux.scala 27:72] + node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72] + wire _T_1186 : UInt<1> @[Mux.scala 27:72] + _T_1186 <= _T_1185 @[Mux.scala 27:72] + node _T_1187 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1188 = cat(_T_1187, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1189 = cat(_T_1188, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1190 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1191 = bits(_T_1189, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1192 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1193 = bits(_T_1189, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1194 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1195 = bits(_T_1189, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1196 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1197 = bits(_T_1189, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1198 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1192, _T_1193, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = mux(_T_1194, _T_1195, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1201 = mux(_T_1196, _T_1197, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1202 = or(_T_1198, _T_1199) @[Mux.scala 27:72] + node _T_1203 = or(_T_1202, _T_1200) @[Mux.scala 27:72] + node _T_1204 = or(_T_1203, _T_1201) @[Mux.scala 27:72] + wire _T_1205 : UInt<1> @[Mux.scala 27:72] + _T_1205 <= _T_1204 @[Mux.scala 27:72] + node _T_1206 = and(_T_1186, _T_1205) @[el2_lsu_bus_buffer.scala 345:77] + node _T_1207 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1208 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1209 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1210 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1211 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1212 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1213 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1214 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1215 = mux(_T_1207, _T_1208, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1216 = mux(_T_1209, _T_1210, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1217 = mux(_T_1211, _T_1212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1218 = mux(_T_1213, _T_1214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1219 = or(_T_1215, _T_1216) @[Mux.scala 27:72] + node _T_1220 = or(_T_1219, _T_1217) @[Mux.scala 27:72] + node _T_1221 = or(_T_1220, _T_1218) @[Mux.scala 27:72] + wire _T_1222 : UInt<1> @[Mux.scala 27:72] + _T_1222 <= _T_1221 @[Mux.scala 27:72] + node _T_1223 = eq(_T_1222, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:150] + node _T_1224 = and(_T_1206, _T_1223) @[el2_lsu_bus_buffer.scala 345:148] + node _T_1225 = eq(_T_1224, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:8] + node _T_1226 = or(_T_1225, found_cmdptr1) @[el2_lsu_bus_buffer.scala 345:181] + node _T_1227 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] + node _T_1228 = cat(_T_1227, buf_nomerge[1]) @[Cat.scala 29:58] + node _T_1229 = cat(_T_1228, buf_nomerge[0]) @[Cat.scala 29:58] + node _T_1230 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1231 = bits(_T_1229, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1232 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1233 = bits(_T_1229, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1234 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1235 = bits(_T_1229, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1236 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1237 = bits(_T_1229, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1238 = mux(_T_1230, _T_1231, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1239 = mux(_T_1232, _T_1233, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1240 = mux(_T_1234, _T_1235, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1241 = mux(_T_1236, _T_1237, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1242 = or(_T_1238, _T_1239) @[Mux.scala 27:72] + node _T_1243 = or(_T_1242, _T_1240) @[Mux.scala 27:72] node _T_1244 = or(_T_1243, _T_1241) @[Mux.scala 27:72] - node _T_1245 = or(_T_1244, _T_1242) @[Mux.scala 27:72] - wire _T_1246 : UInt<1> @[Mux.scala 27:72] - _T_1246 <= _T_1245 @[Mux.scala 27:72] - node _T_1247 = eq(_T_1246, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:150] - node _T_1248 = and(_T_1230, _T_1247) @[el2_lsu_bus_buffer.scala 345:148] - node _T_1249 = eq(_T_1248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:8] - node _T_1250 = or(_T_1249, found_cmdptr1) @[el2_lsu_bus_buffer.scala 345:181] - node _T_1251 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] - node _T_1252 = cat(_T_1251, buf_nomerge[1]) @[Cat.scala 29:58] - node _T_1253 = cat(_T_1252, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1254 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1255 = bits(_T_1253, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1256 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1257 = bits(_T_1253, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1258 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1259 = bits(_T_1253, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1260 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1261 = bits(_T_1253, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1262 = mux(_T_1254, _T_1255, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1263 = mux(_T_1256, _T_1257, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1264 = mux(_T_1258, _T_1259, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1265 = mux(_T_1260, _T_1261, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1266 = or(_T_1262, _T_1263) @[Mux.scala 27:72] - node _T_1267 = or(_T_1266, _T_1264) @[Mux.scala 27:72] - node _T_1268 = or(_T_1267, _T_1265) @[Mux.scala 27:72] - wire _T_1269 : UInt<1> @[Mux.scala 27:72] - _T_1269 <= _T_1268 @[Mux.scala 27:72] - node _T_1270 = or(_T_1250, _T_1269) @[el2_lsu_bus_buffer.scala 345:197] - node _T_1271 = or(_T_1270, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 345:269] - node _T_1272 = and(_T_1191, _T_1271) @[el2_lsu_bus_buffer.scala 344:164] - node _T_1273 = or(_T_1137, _T_1272) @[el2_lsu_bus_buffer.scala 342:98] - node _T_1274 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:48] - node _T_1275 = or(bus_cmd_ready, _T_1274) @[el2_lsu_bus_buffer.scala 346:46] - node _T_1276 = or(_T_1275, obuf_nosend) @[el2_lsu_bus_buffer.scala 346:60] - node _T_1277 = and(_T_1273, _T_1276) @[el2_lsu_bus_buffer.scala 346:29] - node _T_1278 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:77] - node _T_1279 = and(_T_1277, _T_1278) @[el2_lsu_bus_buffer.scala 346:75] - node _T_1280 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:93] - node _T_1281 = and(_T_1279, _T_1280) @[el2_lsu_bus_buffer.scala 346:91] - node _T_1282 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:118] - node _T_1283 = and(_T_1281, _T_1282) @[el2_lsu_bus_buffer.scala 346:116] - node _T_1284 = and(_T_1283, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 346:142] - obuf_wr_en <= _T_1284 @[el2_lsu_bus_buffer.scala 342:14] + wire _T_1245 : UInt<1> @[Mux.scala 27:72] + _T_1245 <= _T_1244 @[Mux.scala 27:72] + node _T_1246 = or(_T_1226, _T_1245) @[el2_lsu_bus_buffer.scala 345:197] + node _T_1247 = or(_T_1246, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 345:269] + node _T_1248 = and(_T_1167, _T_1247) @[el2_lsu_bus_buffer.scala 344:164] + node _T_1249 = or(_T_1113, _T_1248) @[el2_lsu_bus_buffer.scala 342:98] + node _T_1250 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:48] + node _T_1251 = or(bus_cmd_ready, _T_1250) @[el2_lsu_bus_buffer.scala 346:46] + node _T_1252 = or(_T_1251, obuf_nosend) @[el2_lsu_bus_buffer.scala 346:60] + node _T_1253 = and(_T_1249, _T_1252) @[el2_lsu_bus_buffer.scala 346:29] + node _T_1254 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:77] + node _T_1255 = and(_T_1253, _T_1254) @[el2_lsu_bus_buffer.scala 346:75] + node _T_1256 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:93] + node _T_1257 = and(_T_1255, _T_1256) @[el2_lsu_bus_buffer.scala 346:91] + node _T_1258 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:118] + node _T_1259 = and(_T_1257, _T_1258) @[el2_lsu_bus_buffer.scala 346:116] + node _T_1260 = and(_T_1259, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 346:142] + obuf_wr_en <= _T_1260 @[el2_lsu_bus_buffer.scala 342:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1285 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 348:47] - node _T_1286 = or(bus_cmd_sent, _T_1285) @[el2_lsu_bus_buffer.scala 348:33] - node _T_1287 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:65] - node _T_1288 = and(_T_1286, _T_1287) @[el2_lsu_bus_buffer.scala 348:63] - node _T_1289 = and(_T_1288, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 348:77] - node obuf_rst = or(_T_1289, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 348:98] - node _T_1290 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1291 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1292 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1293 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1295 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1296 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1297 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1298 = mux(_T_1290, _T_1291, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1299 = mux(_T_1292, _T_1293, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1300 = mux(_T_1294, _T_1295, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1301 = mux(_T_1296, _T_1297, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1302 = or(_T_1298, _T_1299) @[Mux.scala 27:72] - node _T_1303 = or(_T_1302, _T_1300) @[Mux.scala 27:72] - node _T_1304 = or(_T_1303, _T_1301) @[Mux.scala 27:72] - wire _T_1305 : UInt<1> @[Mux.scala 27:72] - _T_1305 <= _T_1304 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1305) @[el2_lsu_bus_buffer.scala 349:26] - node _T_1306 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1307 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1308 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1309 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1310 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1311 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1312 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1313 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1314 = mux(_T_1306, _T_1307, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1315 = mux(_T_1308, _T_1309, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1316 = mux(_T_1310, _T_1311, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1317 = mux(_T_1312, _T_1313, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1318 = or(_T_1314, _T_1315) @[Mux.scala 27:72] - node _T_1319 = or(_T_1318, _T_1316) @[Mux.scala 27:72] - node _T_1320 = or(_T_1319, _T_1317) @[Mux.scala 27:72] - wire _T_1321 : UInt<1> @[Mux.scala 27:72] - _T_1321 <= _T_1320 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1321) @[el2_lsu_bus_buffer.scala 350:31] - node _T_1322 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1323 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1324 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1325 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1326 = mux(_T_1322, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1327 = mux(_T_1323, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1328 = mux(_T_1324, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1329 = mux(_T_1325, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1330 = or(_T_1326, _T_1327) @[Mux.scala 27:72] - node _T_1331 = or(_T_1330, _T_1328) @[Mux.scala 27:72] - node _T_1332 = or(_T_1331, _T_1329) @[Mux.scala 27:72] - wire _T_1333 : UInt<32> @[Mux.scala 27:72] - _T_1333 <= _T_1332 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1333) @[el2_lsu_bus_buffer.scala 351:25] + node _T_1261 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 348:47] + node _T_1262 = or(bus_cmd_sent, _T_1261) @[el2_lsu_bus_buffer.scala 348:33] + node _T_1263 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:65] + node _T_1264 = and(_T_1262, _T_1263) @[el2_lsu_bus_buffer.scala 348:63] + node _T_1265 = and(_T_1264, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 348:77] + node obuf_rst = or(_T_1265, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 348:98] + node _T_1266 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1267 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1268 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1269 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1270 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1271 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1272 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1273 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1274 = mux(_T_1266, _T_1267, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1275 = mux(_T_1268, _T_1269, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1276 = mux(_T_1270, _T_1271, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1277 = mux(_T_1272, _T_1273, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1278 = or(_T_1274, _T_1275) @[Mux.scala 27:72] + node _T_1279 = or(_T_1278, _T_1276) @[Mux.scala 27:72] + node _T_1280 = or(_T_1279, _T_1277) @[Mux.scala 27:72] + wire _T_1281 : UInt<1> @[Mux.scala 27:72] + _T_1281 <= _T_1280 @[Mux.scala 27:72] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1281) @[el2_lsu_bus_buffer.scala 349:26] + node _T_1282 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1283 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1284 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1285 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1286 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1287 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1288 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1289 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1290 = mux(_T_1282, _T_1283, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1291 = mux(_T_1284, _T_1285, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1292 = mux(_T_1286, _T_1287, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1293 = mux(_T_1288, _T_1289, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1294 = or(_T_1290, _T_1291) @[Mux.scala 27:72] + node _T_1295 = or(_T_1294, _T_1292) @[Mux.scala 27:72] + node _T_1296 = or(_T_1295, _T_1293) @[Mux.scala 27:72] + wire _T_1297 : UInt<1> @[Mux.scala 27:72] + _T_1297 <= _T_1296 @[Mux.scala 27:72] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1297) @[el2_lsu_bus_buffer.scala 350:31] + node _T_1298 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1299 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1300 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1301 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1302 = mux(_T_1298, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1303 = mux(_T_1299, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1304 = mux(_T_1300, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1305 = mux(_T_1301, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1306 = or(_T_1302, _T_1303) @[Mux.scala 27:72] + node _T_1307 = or(_T_1306, _T_1304) @[Mux.scala 27:72] + node _T_1308 = or(_T_1307, _T_1305) @[Mux.scala 27:72] + wire _T_1309 : UInt<32> @[Mux.scala 27:72] + _T_1309 <= _T_1308 @[Mux.scala 27:72] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1309) @[el2_lsu_bus_buffer.scala 351:25] wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 352:20] buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 353:10] buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 353:10] buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 353:10] buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 353:10] - node _T_1334 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1335 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1336 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1337 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1338 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1339 = mux(_T_1335, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1340 = mux(_T_1336, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1341 = mux(_T_1337, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1342 = mux(_T_1338, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1343 = or(_T_1339, _T_1340) @[Mux.scala 27:72] - node _T_1344 = or(_T_1343, _T_1341) @[Mux.scala 27:72] - node _T_1345 = or(_T_1344, _T_1342) @[Mux.scala 27:72] - wire _T_1346 : UInt<2> @[Mux.scala 27:72] - _T_1346 <= _T_1345 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1334, _T_1346) @[el2_lsu_bus_buffer.scala 354:23] + node _T_1310 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_1311 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1312 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1313 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1314 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1315 = mux(_T_1311, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1316 = mux(_T_1312, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1317 = mux(_T_1313, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1318 = mux(_T_1314, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1319 = or(_T_1315, _T_1316) @[Mux.scala 27:72] + node _T_1320 = or(_T_1319, _T_1317) @[Mux.scala 27:72] + node _T_1321 = or(_T_1320, _T_1318) @[Mux.scala 27:72] + wire _T_1322 : UInt<2> @[Mux.scala 27:72] + _T_1322 <= _T_1321 @[Mux.scala 27:72] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1310, _T_1322) @[el2_lsu_bus_buffer.scala 354:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[el2_lsu_bus_buffer.scala 357:25] @@ -2039,32 +2009,32 @@ circuit el2_lsu_bus_buffer : obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1347 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 363:39] - node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 363:26] - node _T_1349 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 363:68] - node obuf_cmd_done_in = and(_T_1348, _T_1349) @[el2_lsu_bus_buffer.scala 363:51] + node _T_1323 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 363:39] + node _T_1324 = eq(_T_1323, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 363:26] + node _T_1325 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 363:68] + node obuf_cmd_done_in = and(_T_1324, _T_1325) @[el2_lsu_bus_buffer.scala 363:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1350 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 366:40] - node _T_1351 = eq(_T_1350, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:27] - node _T_1352 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 366:70] - node obuf_data_done_in = and(_T_1351, _T_1352) @[el2_lsu_bus_buffer.scala 366:52] - node _T_1353 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 367:67] - node _T_1354 = eq(_T_1353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:72] - node _T_1355 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 367:92] - node _T_1356 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 367:111] - node _T_1357 = eq(_T_1356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:98] - node _T_1358 = and(_T_1355, _T_1357) @[el2_lsu_bus_buffer.scala 367:96] - node _T_1359 = or(_T_1354, _T_1358) @[el2_lsu_bus_buffer.scala 367:79] - node _T_1360 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 367:129] - node _T_1361 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 367:147] - node _T_1362 = orr(_T_1361) @[el2_lsu_bus_buffer.scala 367:153] - node _T_1363 = eq(_T_1362, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:134] - node _T_1364 = and(_T_1360, _T_1363) @[el2_lsu_bus_buffer.scala 367:132] - node _T_1365 = or(_T_1359, _T_1364) @[el2_lsu_bus_buffer.scala 367:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1365) @[el2_lsu_bus_buffer.scala 367:28] + node _T_1326 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 366:40] + node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:27] + node _T_1328 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 366:70] + node obuf_data_done_in = and(_T_1327, _T_1328) @[el2_lsu_bus_buffer.scala 366:52] + node _T_1329 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 367:67] + node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:72] + node _T_1331 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 367:92] + node _T_1332 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 367:111] + node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:98] + node _T_1334 = and(_T_1331, _T_1333) @[el2_lsu_bus_buffer.scala 367:96] + node _T_1335 = or(_T_1330, _T_1334) @[el2_lsu_bus_buffer.scala 367:79] + node _T_1336 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 367:129] + node _T_1337 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 367:147] + node _T_1338 = orr(_T_1337) @[el2_lsu_bus_buffer.scala 367:153] + node _T_1339 = eq(_T_1338, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:134] + node _T_1340 = and(_T_1336, _T_1339) @[el2_lsu_bus_buffer.scala 367:132] + node _T_1341 = or(_T_1335, _T_1340) @[el2_lsu_bus_buffer.scala 367:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1341) @[el2_lsu_bus_buffer.scala 367:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -2077,598 +2047,598 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1366 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:44] - node _T_1367 = and(obuf_wr_en, _T_1366) @[el2_lsu_bus_buffer.scala 375:42] - node _T_1368 = eq(_T_1367, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:29] - node _T_1369 = and(_T_1368, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 375:61] - node _T_1370 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 375:116] - node _T_1371 = and(bus_rsp_read, _T_1370) @[el2_lsu_bus_buffer.scala 375:96] - node _T_1372 = eq(_T_1371, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:81] - node _T_1373 = and(_T_1369, _T_1372) @[el2_lsu_bus_buffer.scala 375:79] - node _T_1374 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:22] - node _T_1375 = and(bus_cmd_sent, _T_1374) @[el2_lsu_bus_buffer.scala 376:20] - node _T_1376 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:37] - node _T_1377 = and(_T_1375, _T_1376) @[el2_lsu_bus_buffer.scala 376:35] - node obuf_rdrsp_pend_in = or(_T_1373, _T_1377) @[el2_lsu_bus_buffer.scala 375:138] + node _T_1342 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:44] + node _T_1343 = and(obuf_wr_en, _T_1342) @[el2_lsu_bus_buffer.scala 375:42] + node _T_1344 = eq(_T_1343, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:29] + node _T_1345 = and(_T_1344, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 375:61] + node _T_1346 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 375:116] + node _T_1347 = and(bus_rsp_read, _T_1346) @[el2_lsu_bus_buffer.scala 375:96] + node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:81] + node _T_1349 = and(_T_1345, _T_1348) @[el2_lsu_bus_buffer.scala 375:79] + node _T_1350 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:22] + node _T_1351 = and(bus_cmd_sent, _T_1350) @[el2_lsu_bus_buffer.scala 376:20] + node _T_1352 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:37] + node _T_1353 = and(_T_1351, _T_1352) @[el2_lsu_bus_buffer.scala 376:35] + node obuf_rdrsp_pend_in = or(_T_1349, _T_1353) @[el2_lsu_bus_buffer.scala 375:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1378 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:46] - node _T_1379 = or(bus_cmd_sent, _T_1378) @[el2_lsu_bus_buffer.scala 378:44] - node obuf_rdrsp_tag_in = mux(_T_1379, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 378:30] + node _T_1354 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:46] + node _T_1355 = or(bus_cmd_sent, _T_1354) @[el2_lsu_bus_buffer.scala 378:44] + node obuf_rdrsp_tag_in = mux(_T_1355, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 378:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1380 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 381:34] - node _T_1381 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 381:52] - node _T_1382 = eq(_T_1380, _T_1381) @[el2_lsu_bus_buffer.scala 381:40] - node _T_1383 = and(_T_1382, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 381:60] - node _T_1384 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:80] - node _T_1385 = and(_T_1383, _T_1384) @[el2_lsu_bus_buffer.scala 381:78] - node _T_1386 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:99] - node _T_1387 = and(_T_1385, _T_1386) @[el2_lsu_bus_buffer.scala 381:97] - node _T_1388 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:113] - node _T_1389 = and(_T_1387, _T_1388) @[el2_lsu_bus_buffer.scala 381:111] - node _T_1390 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:130] - node _T_1391 = and(_T_1389, _T_1390) @[el2_lsu_bus_buffer.scala 381:128] - node _T_1392 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:20] - node _T_1393 = and(obuf_valid, _T_1392) @[el2_lsu_bus_buffer.scala 382:18] - node _T_1394 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 382:90] - node _T_1395 = and(bus_rsp_read, _T_1394) @[el2_lsu_bus_buffer.scala 382:70] - node _T_1396 = eq(_T_1395, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:55] - node _T_1397 = and(obuf_rdrsp_pend, _T_1396) @[el2_lsu_bus_buffer.scala 382:53] - node _T_1398 = or(_T_1393, _T_1397) @[el2_lsu_bus_buffer.scala 382:34] - node _T_1399 = and(_T_1391, _T_1398) @[el2_lsu_bus_buffer.scala 381:165] - obuf_nosend_in <= _T_1399 @[el2_lsu_bus_buffer.scala 381:18] - node _T_1400 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 383:60] - node _T_1401 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1402 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1403 = mux(_T_1400, _T_1401, _T_1402) @[el2_lsu_bus_buffer.scala 383:46] - node _T_1404 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1405 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1406 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1407 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1408 = mux(_T_1404, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1409 = mux(_T_1405, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1410 = mux(_T_1406, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1411 = mux(_T_1407, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1412 = or(_T_1408, _T_1409) @[Mux.scala 27:72] - node _T_1413 = or(_T_1412, _T_1410) @[Mux.scala 27:72] - node _T_1414 = or(_T_1413, _T_1411) @[Mux.scala 27:72] - wire _T_1415 : UInt<32> @[Mux.scala 27:72] - _T_1415 <= _T_1414 @[Mux.scala 27:72] - node _T_1416 = bits(_T_1415, 2, 2) @[el2_lsu_bus_buffer.scala 384:36] - node _T_1417 = bits(_T_1416, 0, 0) @[el2_lsu_bus_buffer.scala 384:46] - node _T_1418 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1419 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1420 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1421 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1422 = mux(_T_1418, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1423 = mux(_T_1419, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1424 = mux(_T_1420, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1425 = mux(_T_1421, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1426 = or(_T_1422, _T_1423) @[Mux.scala 27:72] - node _T_1427 = or(_T_1426, _T_1424) @[Mux.scala 27:72] - node _T_1428 = or(_T_1427, _T_1425) @[Mux.scala 27:72] - wire _T_1429 : UInt<4> @[Mux.scala 27:72] - _T_1429 <= _T_1428 @[Mux.scala 27:72] - node _T_1430 = cat(_T_1429, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1431 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1432 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1433 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1434 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1435 = mux(_T_1431, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1436 = mux(_T_1432, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1437 = mux(_T_1433, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1438 = mux(_T_1434, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1439 = or(_T_1435, _T_1436) @[Mux.scala 27:72] - node _T_1440 = or(_T_1439, _T_1437) @[Mux.scala 27:72] - node _T_1441 = or(_T_1440, _T_1438) @[Mux.scala 27:72] - wire _T_1442 : UInt<4> @[Mux.scala 27:72] - _T_1442 <= _T_1441 @[Mux.scala 27:72] - node _T_1443 = cat(UInt<4>("h00"), _T_1442) @[Cat.scala 29:58] - node _T_1444 = mux(_T_1417, _T_1430, _T_1443) @[el2_lsu_bus_buffer.scala 384:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1403, _T_1444) @[el2_lsu_bus_buffer.scala 383:28] - node _T_1445 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 385:60] - node _T_1446 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1447 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1448 = mux(_T_1445, _T_1446, _T_1447) @[el2_lsu_bus_buffer.scala 385:46] - node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1453 = mux(_T_1449, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1454 = mux(_T_1450, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1455 = mux(_T_1451, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1456 = mux(_T_1452, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1457 = or(_T_1453, _T_1454) @[Mux.scala 27:72] - node _T_1458 = or(_T_1457, _T_1455) @[Mux.scala 27:72] - node _T_1459 = or(_T_1458, _T_1456) @[Mux.scala 27:72] - wire _T_1460 : UInt<32> @[Mux.scala 27:72] - _T_1460 <= _T_1459 @[Mux.scala 27:72] - node _T_1461 = bits(_T_1460, 2, 2) @[el2_lsu_bus_buffer.scala 386:36] - node _T_1462 = bits(_T_1461, 0, 0) @[el2_lsu_bus_buffer.scala 386:46] - node _T_1463 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1464 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1465 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1466 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1467 = mux(_T_1463, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1468 = mux(_T_1464, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1469 = mux(_T_1465, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1470 = mux(_T_1466, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1471 = or(_T_1467, _T_1468) @[Mux.scala 27:72] - node _T_1472 = or(_T_1471, _T_1469) @[Mux.scala 27:72] - node _T_1473 = or(_T_1472, _T_1470) @[Mux.scala 27:72] - wire _T_1474 : UInt<4> @[Mux.scala 27:72] - _T_1474 <= _T_1473 @[Mux.scala 27:72] - node _T_1475 = cat(_T_1474, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1476 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1477 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1478 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1479 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1480 = mux(_T_1476, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1481 = mux(_T_1477, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1482 = mux(_T_1478, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1483 = mux(_T_1479, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1484 = or(_T_1480, _T_1481) @[Mux.scala 27:72] - node _T_1485 = or(_T_1484, _T_1482) @[Mux.scala 27:72] - node _T_1486 = or(_T_1485, _T_1483) @[Mux.scala 27:72] - wire _T_1487 : UInt<4> @[Mux.scala 27:72] - _T_1487 <= _T_1486 @[Mux.scala 27:72] - node _T_1488 = cat(UInt<4>("h00"), _T_1487) @[Cat.scala 29:58] - node _T_1489 = mux(_T_1462, _T_1475, _T_1488) @[el2_lsu_bus_buffer.scala 386:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1448, _T_1489) @[el2_lsu_bus_buffer.scala 385:28] - node _T_1490 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 388:58] - node _T_1491 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1492 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1493 = mux(_T_1490, _T_1491, _T_1492) @[el2_lsu_bus_buffer.scala 388:44] - node _T_1494 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1495 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1496 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1497 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1498 = mux(_T_1494, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1499 = mux(_T_1495, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1500 = mux(_T_1496, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1501 = mux(_T_1497, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1502 = or(_T_1498, _T_1499) @[Mux.scala 27:72] - node _T_1503 = or(_T_1502, _T_1500) @[Mux.scala 27:72] - node _T_1504 = or(_T_1503, _T_1501) @[Mux.scala 27:72] - wire _T_1505 : UInt<32> @[Mux.scala 27:72] - _T_1505 <= _T_1504 @[Mux.scala 27:72] - node _T_1506 = bits(_T_1505, 2, 2) @[el2_lsu_bus_buffer.scala 389:36] - node _T_1507 = bits(_T_1506, 0, 0) @[el2_lsu_bus_buffer.scala 389:46] - node _T_1508 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1509 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1510 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1511 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1512 = mux(_T_1508, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1513 = mux(_T_1509, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1514 = mux(_T_1510, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1515 = mux(_T_1511, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1516 = or(_T_1512, _T_1513) @[Mux.scala 27:72] - node _T_1517 = or(_T_1516, _T_1514) @[Mux.scala 27:72] - node _T_1518 = or(_T_1517, _T_1515) @[Mux.scala 27:72] - wire _T_1519 : UInt<32> @[Mux.scala 27:72] - _T_1519 <= _T_1518 @[Mux.scala 27:72] - node _T_1520 = cat(_T_1519, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1521 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1522 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1523 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1524 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1525 = mux(_T_1521, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1526 = mux(_T_1522, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1527 = mux(_T_1523, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1528 = mux(_T_1524, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1529 = or(_T_1525, _T_1526) @[Mux.scala 27:72] - node _T_1530 = or(_T_1529, _T_1527) @[Mux.scala 27:72] - node _T_1531 = or(_T_1530, _T_1528) @[Mux.scala 27:72] - wire _T_1532 : UInt<32> @[Mux.scala 27:72] - _T_1532 <= _T_1531 @[Mux.scala 27:72] - node _T_1533 = cat(UInt<32>("h00"), _T_1532) @[Cat.scala 29:58] - node _T_1534 = mux(_T_1507, _T_1520, _T_1533) @[el2_lsu_bus_buffer.scala 389:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1493, _T_1534) @[el2_lsu_bus_buffer.scala 388:26] - node _T_1535 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 390:58] - node _T_1536 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1537 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1538 = mux(_T_1535, _T_1536, _T_1537) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1539 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1540 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1541 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1542 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1543 = mux(_T_1539, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1544 = mux(_T_1540, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1545 = mux(_T_1541, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1546 = mux(_T_1542, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1547 = or(_T_1543, _T_1544) @[Mux.scala 27:72] - node _T_1548 = or(_T_1547, _T_1545) @[Mux.scala 27:72] - node _T_1549 = or(_T_1548, _T_1546) @[Mux.scala 27:72] - wire _T_1550 : UInt<32> @[Mux.scala 27:72] - _T_1550 <= _T_1549 @[Mux.scala 27:72] - node _T_1551 = bits(_T_1550, 2, 2) @[el2_lsu_bus_buffer.scala 391:36] - node _T_1552 = bits(_T_1551, 0, 0) @[el2_lsu_bus_buffer.scala 391:46] - node _T_1553 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1554 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1555 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1556 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1557 = mux(_T_1553, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1558 = mux(_T_1554, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1559 = mux(_T_1555, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1560 = mux(_T_1556, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1561 = or(_T_1557, _T_1558) @[Mux.scala 27:72] - node _T_1562 = or(_T_1561, _T_1559) @[Mux.scala 27:72] - node _T_1563 = or(_T_1562, _T_1560) @[Mux.scala 27:72] - wire _T_1564 : UInt<32> @[Mux.scala 27:72] - _T_1564 <= _T_1563 @[Mux.scala 27:72] - node _T_1565 = cat(_T_1564, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1566 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1567 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1568 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1569 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1570 = mux(_T_1566, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1571 = mux(_T_1567, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1572 = mux(_T_1568, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1573 = mux(_T_1569, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1574 = or(_T_1570, _T_1571) @[Mux.scala 27:72] - node _T_1575 = or(_T_1574, _T_1572) @[Mux.scala 27:72] - node _T_1576 = or(_T_1575, _T_1573) @[Mux.scala 27:72] - wire _T_1577 : UInt<32> @[Mux.scala 27:72] - _T_1577 <= _T_1576 @[Mux.scala 27:72] - node _T_1578 = cat(UInt<32>("h00"), _T_1577) @[Cat.scala 29:58] - node _T_1579 = mux(_T_1552, _T_1565, _T_1578) @[el2_lsu_bus_buffer.scala 391:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1538, _T_1579) @[el2_lsu_bus_buffer.scala 390:26] - node _T_1580 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1581 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1356 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 381:34] + node _T_1357 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 381:52] + node _T_1358 = eq(_T_1356, _T_1357) @[el2_lsu_bus_buffer.scala 381:40] + node _T_1359 = and(_T_1358, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 381:60] + node _T_1360 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:80] + node _T_1361 = and(_T_1359, _T_1360) @[el2_lsu_bus_buffer.scala 381:78] + node _T_1362 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:99] + node _T_1363 = and(_T_1361, _T_1362) @[el2_lsu_bus_buffer.scala 381:97] + node _T_1364 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:113] + node _T_1365 = and(_T_1363, _T_1364) @[el2_lsu_bus_buffer.scala 381:111] + node _T_1366 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:130] + node _T_1367 = and(_T_1365, _T_1366) @[el2_lsu_bus_buffer.scala 381:128] + node _T_1368 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:20] + node _T_1369 = and(obuf_valid, _T_1368) @[el2_lsu_bus_buffer.scala 382:18] + node _T_1370 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 382:90] + node _T_1371 = and(bus_rsp_read, _T_1370) @[el2_lsu_bus_buffer.scala 382:70] + node _T_1372 = eq(_T_1371, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:55] + node _T_1373 = and(obuf_rdrsp_pend, _T_1372) @[el2_lsu_bus_buffer.scala 382:53] + node _T_1374 = or(_T_1369, _T_1373) @[el2_lsu_bus_buffer.scala 382:34] + node _T_1375 = and(_T_1367, _T_1374) @[el2_lsu_bus_buffer.scala 381:165] + obuf_nosend_in <= _T_1375 @[el2_lsu_bus_buffer.scala 381:18] + node _T_1376 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 383:60] + node _T_1377 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1378 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] + node _T_1379 = mux(_T_1376, _T_1377, _T_1378) @[el2_lsu_bus_buffer.scala 383:46] + node _T_1380 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1381 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1382 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1383 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1384 = mux(_T_1380, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1385 = mux(_T_1381, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1386 = mux(_T_1382, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1387 = mux(_T_1383, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1388 = or(_T_1384, _T_1385) @[Mux.scala 27:72] + node _T_1389 = or(_T_1388, _T_1386) @[Mux.scala 27:72] + node _T_1390 = or(_T_1389, _T_1387) @[Mux.scala 27:72] + wire _T_1391 : UInt<32> @[Mux.scala 27:72] + _T_1391 <= _T_1390 @[Mux.scala 27:72] + node _T_1392 = bits(_T_1391, 2, 2) @[el2_lsu_bus_buffer.scala 384:36] + node _T_1393 = bits(_T_1392, 0, 0) @[el2_lsu_bus_buffer.scala 384:46] + node _T_1394 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1395 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1396 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1397 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1398 = mux(_T_1394, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1399 = mux(_T_1395, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1400 = mux(_T_1396, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1401 = mux(_T_1397, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1402 = or(_T_1398, _T_1399) @[Mux.scala 27:72] + node _T_1403 = or(_T_1402, _T_1400) @[Mux.scala 27:72] + node _T_1404 = or(_T_1403, _T_1401) @[Mux.scala 27:72] + wire _T_1405 : UInt<4> @[Mux.scala 27:72] + _T_1405 <= _T_1404 @[Mux.scala 27:72] + node _T_1406 = cat(_T_1405, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1407 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1408 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1409 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1410 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1411 = mux(_T_1407, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1412 = mux(_T_1408, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1413 = mux(_T_1409, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1414 = mux(_T_1410, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1415 = or(_T_1411, _T_1412) @[Mux.scala 27:72] + node _T_1416 = or(_T_1415, _T_1413) @[Mux.scala 27:72] + node _T_1417 = or(_T_1416, _T_1414) @[Mux.scala 27:72] + wire _T_1418 : UInt<4> @[Mux.scala 27:72] + _T_1418 <= _T_1417 @[Mux.scala 27:72] + node _T_1419 = cat(UInt<4>("h00"), _T_1418) @[Cat.scala 29:58] + node _T_1420 = mux(_T_1393, _T_1406, _T_1419) @[el2_lsu_bus_buffer.scala 384:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1379, _T_1420) @[el2_lsu_bus_buffer.scala 383:28] + node _T_1421 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 385:60] + node _T_1422 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1423 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] + node _T_1424 = mux(_T_1421, _T_1422, _T_1423) @[el2_lsu_bus_buffer.scala 385:46] + node _T_1425 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1426 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1427 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1428 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1429 = mux(_T_1425, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1430 = mux(_T_1426, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1431 = mux(_T_1427, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1432 = mux(_T_1428, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1433 = or(_T_1429, _T_1430) @[Mux.scala 27:72] + node _T_1434 = or(_T_1433, _T_1431) @[Mux.scala 27:72] + node _T_1435 = or(_T_1434, _T_1432) @[Mux.scala 27:72] + wire _T_1436 : UInt<32> @[Mux.scala 27:72] + _T_1436 <= _T_1435 @[Mux.scala 27:72] + node _T_1437 = bits(_T_1436, 2, 2) @[el2_lsu_bus_buffer.scala 386:36] + node _T_1438 = bits(_T_1437, 0, 0) @[el2_lsu_bus_buffer.scala 386:46] + node _T_1439 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1440 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1441 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1442 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1443 = mux(_T_1439, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1444 = mux(_T_1440, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1445 = mux(_T_1441, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1446 = mux(_T_1442, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1447 = or(_T_1443, _T_1444) @[Mux.scala 27:72] + node _T_1448 = or(_T_1447, _T_1445) @[Mux.scala 27:72] + node _T_1449 = or(_T_1448, _T_1446) @[Mux.scala 27:72] + wire _T_1450 : UInt<4> @[Mux.scala 27:72] + _T_1450 <= _T_1449 @[Mux.scala 27:72] + node _T_1451 = cat(_T_1450, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1452 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1453 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1454 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1455 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1456 = mux(_T_1452, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1457 = mux(_T_1453, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1458 = mux(_T_1454, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1459 = mux(_T_1455, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1460 = or(_T_1456, _T_1457) @[Mux.scala 27:72] + node _T_1461 = or(_T_1460, _T_1458) @[Mux.scala 27:72] + node _T_1462 = or(_T_1461, _T_1459) @[Mux.scala 27:72] + wire _T_1463 : UInt<4> @[Mux.scala 27:72] + _T_1463 <= _T_1462 @[Mux.scala 27:72] + node _T_1464 = cat(UInt<4>("h00"), _T_1463) @[Cat.scala 29:58] + node _T_1465 = mux(_T_1438, _T_1451, _T_1464) @[el2_lsu_bus_buffer.scala 386:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1424, _T_1465) @[el2_lsu_bus_buffer.scala 385:28] + node _T_1466 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 388:58] + node _T_1467 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1468 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] + node _T_1469 = mux(_T_1466, _T_1467, _T_1468) @[el2_lsu_bus_buffer.scala 388:44] + node _T_1470 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1471 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1472 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1473 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1474 = mux(_T_1470, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1475 = mux(_T_1471, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1476 = mux(_T_1472, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1477 = mux(_T_1473, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1478 = or(_T_1474, _T_1475) @[Mux.scala 27:72] + node _T_1479 = or(_T_1478, _T_1476) @[Mux.scala 27:72] + node _T_1480 = or(_T_1479, _T_1477) @[Mux.scala 27:72] + wire _T_1481 : UInt<32> @[Mux.scala 27:72] + _T_1481 <= _T_1480 @[Mux.scala 27:72] + node _T_1482 = bits(_T_1481, 2, 2) @[el2_lsu_bus_buffer.scala 389:36] + node _T_1483 = bits(_T_1482, 0, 0) @[el2_lsu_bus_buffer.scala 389:46] + node _T_1484 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1485 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1486 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1487 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1488 = mux(_T_1484, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1489 = mux(_T_1485, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1490 = mux(_T_1486, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1491 = mux(_T_1487, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1492 = or(_T_1488, _T_1489) @[Mux.scala 27:72] + node _T_1493 = or(_T_1492, _T_1490) @[Mux.scala 27:72] + node _T_1494 = or(_T_1493, _T_1491) @[Mux.scala 27:72] + wire _T_1495 : UInt<32> @[Mux.scala 27:72] + _T_1495 <= _T_1494 @[Mux.scala 27:72] + node _T_1496 = cat(_T_1495, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1497 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1498 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1499 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1500 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1501 = mux(_T_1497, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = mux(_T_1498, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1503 = mux(_T_1499, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1504 = mux(_T_1500, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1505 = or(_T_1501, _T_1502) @[Mux.scala 27:72] + node _T_1506 = or(_T_1505, _T_1503) @[Mux.scala 27:72] + node _T_1507 = or(_T_1506, _T_1504) @[Mux.scala 27:72] + wire _T_1508 : UInt<32> @[Mux.scala 27:72] + _T_1508 <= _T_1507 @[Mux.scala 27:72] + node _T_1509 = cat(UInt<32>("h00"), _T_1508) @[Cat.scala 29:58] + node _T_1510 = mux(_T_1483, _T_1496, _T_1509) @[el2_lsu_bus_buffer.scala 389:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1469, _T_1510) @[el2_lsu_bus_buffer.scala 388:26] + node _T_1511 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 390:58] + node _T_1512 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1513 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] + node _T_1514 = mux(_T_1511, _T_1512, _T_1513) @[el2_lsu_bus_buffer.scala 390:44] + node _T_1515 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1516 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1517 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1518 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1519 = mux(_T_1515, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1520 = mux(_T_1516, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1521 = mux(_T_1517, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1522 = mux(_T_1518, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1523 = or(_T_1519, _T_1520) @[Mux.scala 27:72] + node _T_1524 = or(_T_1523, _T_1521) @[Mux.scala 27:72] + node _T_1525 = or(_T_1524, _T_1522) @[Mux.scala 27:72] + wire _T_1526 : UInt<32> @[Mux.scala 27:72] + _T_1526 <= _T_1525 @[Mux.scala 27:72] + node _T_1527 = bits(_T_1526, 2, 2) @[el2_lsu_bus_buffer.scala 391:36] + node _T_1528 = bits(_T_1527, 0, 0) @[el2_lsu_bus_buffer.scala 391:46] + node _T_1529 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1530 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1531 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1532 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1533 = mux(_T_1529, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1534 = mux(_T_1530, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1535 = mux(_T_1531, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1536 = mux(_T_1532, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1537 = or(_T_1533, _T_1534) @[Mux.scala 27:72] + node _T_1538 = or(_T_1537, _T_1535) @[Mux.scala 27:72] + node _T_1539 = or(_T_1538, _T_1536) @[Mux.scala 27:72] + wire _T_1540 : UInt<32> @[Mux.scala 27:72] + _T_1540 <= _T_1539 @[Mux.scala 27:72] + node _T_1541 = cat(_T_1540, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1542 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1543 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1544 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1545 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1546 = mux(_T_1542, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1547 = mux(_T_1543, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1548 = mux(_T_1544, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1549 = mux(_T_1545, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1550 = or(_T_1546, _T_1547) @[Mux.scala 27:72] + node _T_1551 = or(_T_1550, _T_1548) @[Mux.scala 27:72] + node _T_1552 = or(_T_1551, _T_1549) @[Mux.scala 27:72] + wire _T_1553 : UInt<32> @[Mux.scala 27:72] + _T_1553 <= _T_1552 @[Mux.scala 27:72] + node _T_1554 = cat(UInt<32>("h00"), _T_1553) @[Cat.scala 29:58] + node _T_1555 = mux(_T_1528, _T_1541, _T_1554) @[el2_lsu_bus_buffer.scala 391:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1514, _T_1555) @[el2_lsu_bus_buffer.scala 390:26] + node _T_1556 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1557 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1558 = and(obuf_merge_en, _T_1557) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1559 = or(_T_1556, _T_1558) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1560 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1561 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1562 = and(obuf_merge_en, _T_1561) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1563 = or(_T_1560, _T_1562) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1564 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1565 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1566 = and(obuf_merge_en, _T_1565) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1567 = or(_T_1564, _T_1566) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1568 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1569 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1570 = and(obuf_merge_en, _T_1569) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1571 = or(_T_1568, _T_1570) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1572 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1573 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1574 = and(obuf_merge_en, _T_1573) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1575 = or(_T_1572, _T_1574) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1576 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1577 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1578 = and(obuf_merge_en, _T_1577) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1579 = or(_T_1576, _T_1578) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1580 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1581 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 392:97] node _T_1582 = and(obuf_merge_en, _T_1581) @[el2_lsu_bus_buffer.scala 392:80] node _T_1583 = or(_T_1580, _T_1582) @[el2_lsu_bus_buffer.scala 392:63] - node _T_1584 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1585 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1584 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1585 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 392:97] node _T_1586 = and(obuf_merge_en, _T_1585) @[el2_lsu_bus_buffer.scala 392:80] node _T_1587 = or(_T_1584, _T_1586) @[el2_lsu_bus_buffer.scala 392:63] - node _T_1588 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1589 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 392:97] - node _T_1590 = and(obuf_merge_en, _T_1589) @[el2_lsu_bus_buffer.scala 392:80] - node _T_1591 = or(_T_1588, _T_1590) @[el2_lsu_bus_buffer.scala 392:63] - node _T_1592 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1593 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 392:97] - node _T_1594 = and(obuf_merge_en, _T_1593) @[el2_lsu_bus_buffer.scala 392:80] - node _T_1595 = or(_T_1592, _T_1594) @[el2_lsu_bus_buffer.scala 392:63] - node _T_1596 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1597 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 392:97] - node _T_1598 = and(obuf_merge_en, _T_1597) @[el2_lsu_bus_buffer.scala 392:80] - node _T_1599 = or(_T_1596, _T_1598) @[el2_lsu_bus_buffer.scala 392:63] - node _T_1600 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1601 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 392:97] - node _T_1602 = and(obuf_merge_en, _T_1601) @[el2_lsu_bus_buffer.scala 392:80] - node _T_1603 = or(_T_1600, _T_1602) @[el2_lsu_bus_buffer.scala 392:63] - node _T_1604 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1605 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 392:97] - node _T_1606 = and(obuf_merge_en, _T_1605) @[el2_lsu_bus_buffer.scala 392:80] - node _T_1607 = or(_T_1604, _T_1606) @[el2_lsu_bus_buffer.scala 392:63] - node _T_1608 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1609 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 392:97] - node _T_1610 = and(obuf_merge_en, _T_1609) @[el2_lsu_bus_buffer.scala 392:80] - node _T_1611 = or(_T_1608, _T_1610) @[el2_lsu_bus_buffer.scala 392:63] - node _T_1612 = cat(_T_1611, _T_1607) @[Cat.scala 29:58] - node _T_1613 = cat(_T_1612, _T_1603) @[Cat.scala 29:58] - node _T_1614 = cat(_T_1613, _T_1599) @[Cat.scala 29:58] - node _T_1615 = cat(_T_1614, _T_1595) @[Cat.scala 29:58] - node _T_1616 = cat(_T_1615, _T_1591) @[Cat.scala 29:58] - node _T_1617 = cat(_T_1616, _T_1587) @[Cat.scala 29:58] - node obuf_byteen_in = cat(_T_1617, _T_1583) @[Cat.scala 29:58] - node _T_1618 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 393:76] - node _T_1619 = and(obuf_merge_en, _T_1618) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1620 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 393:94] - node _T_1621 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 393:123] - node _T_1622 = mux(_T_1619, _T_1620, _T_1621) @[el2_lsu_bus_buffer.scala 393:44] - node _T_1623 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 393:76] - node _T_1624 = and(obuf_merge_en, _T_1623) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1625 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 393:94] - node _T_1626 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 393:123] - node _T_1627 = mux(_T_1624, _T_1625, _T_1626) @[el2_lsu_bus_buffer.scala 393:44] - node _T_1628 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 393:76] - node _T_1629 = and(obuf_merge_en, _T_1628) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1630 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 393:94] - node _T_1631 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 393:123] - node _T_1632 = mux(_T_1629, _T_1630, _T_1631) @[el2_lsu_bus_buffer.scala 393:44] - node _T_1633 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 393:76] - node _T_1634 = and(obuf_merge_en, _T_1633) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1635 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 393:94] - node _T_1636 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 393:123] - node _T_1637 = mux(_T_1634, _T_1635, _T_1636) @[el2_lsu_bus_buffer.scala 393:44] - node _T_1638 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 393:76] - node _T_1639 = and(obuf_merge_en, _T_1638) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1640 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 393:94] - node _T_1641 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 393:123] - node _T_1642 = mux(_T_1639, _T_1640, _T_1641) @[el2_lsu_bus_buffer.scala 393:44] - node _T_1643 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 393:76] - node _T_1644 = and(obuf_merge_en, _T_1643) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1645 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 393:94] - node _T_1646 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 393:123] - node _T_1647 = mux(_T_1644, _T_1645, _T_1646) @[el2_lsu_bus_buffer.scala 393:44] - node _T_1648 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 393:76] - node _T_1649 = and(obuf_merge_en, _T_1648) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1650 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 393:94] - node _T_1651 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 393:123] - node _T_1652 = mux(_T_1649, _T_1650, _T_1651) @[el2_lsu_bus_buffer.scala 393:44] - node _T_1653 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 393:76] - node _T_1654 = and(obuf_merge_en, _T_1653) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1655 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 393:94] - node _T_1656 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 393:123] - node _T_1657 = mux(_T_1654, _T_1655, _T_1656) @[el2_lsu_bus_buffer.scala 393:44] - node _T_1658 = cat(_T_1657, _T_1652) @[Cat.scala 29:58] - node _T_1659 = cat(_T_1658, _T_1647) @[Cat.scala 29:58] - node _T_1660 = cat(_T_1659, _T_1642) @[Cat.scala 29:58] - node _T_1661 = cat(_T_1660, _T_1637) @[Cat.scala 29:58] - node _T_1662 = cat(_T_1661, _T_1632) @[Cat.scala 29:58] - node _T_1663 = cat(_T_1662, _T_1627) @[Cat.scala 29:58] - node obuf_data_in = cat(_T_1663, _T_1622) @[Cat.scala 29:58] + node _T_1588 = cat(_T_1587, _T_1583) @[Cat.scala 29:58] + node _T_1589 = cat(_T_1588, _T_1579) @[Cat.scala 29:58] + node _T_1590 = cat(_T_1589, _T_1575) @[Cat.scala 29:58] + node _T_1591 = cat(_T_1590, _T_1571) @[Cat.scala 29:58] + node _T_1592 = cat(_T_1591, _T_1567) @[Cat.scala 29:58] + node _T_1593 = cat(_T_1592, _T_1563) @[Cat.scala 29:58] + node obuf_byteen_in = cat(_T_1593, _T_1559) @[Cat.scala 29:58] + node _T_1594 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1595 = and(obuf_merge_en, _T_1594) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1596 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1597 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1598 = mux(_T_1595, _T_1596, _T_1597) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1599 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1600 = and(obuf_merge_en, _T_1599) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1601 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1602 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1603 = mux(_T_1600, _T_1601, _T_1602) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1604 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1605 = and(obuf_merge_en, _T_1604) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1606 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1607 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1608 = mux(_T_1605, _T_1606, _T_1607) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1609 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1610 = and(obuf_merge_en, _T_1609) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1611 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1612 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1613 = mux(_T_1610, _T_1611, _T_1612) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1614 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1615 = and(obuf_merge_en, _T_1614) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1616 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1617 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1618 = mux(_T_1615, _T_1616, _T_1617) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1619 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1620 = and(obuf_merge_en, _T_1619) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1621 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1622 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1623 = mux(_T_1620, _T_1621, _T_1622) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1624 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1625 = and(obuf_merge_en, _T_1624) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1626 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1627 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1628 = mux(_T_1625, _T_1626, _T_1627) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1629 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1630 = and(obuf_merge_en, _T_1629) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1631 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1632 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1633 = mux(_T_1630, _T_1631, _T_1632) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1634 = cat(_T_1633, _T_1628) @[Cat.scala 29:58] + node _T_1635 = cat(_T_1634, _T_1623) @[Cat.scala 29:58] + node _T_1636 = cat(_T_1635, _T_1618) @[Cat.scala 29:58] + node _T_1637 = cat(_T_1636, _T_1613) @[Cat.scala 29:58] + node _T_1638 = cat(_T_1637, _T_1608) @[Cat.scala 29:58] + node _T_1639 = cat(_T_1638, _T_1603) @[Cat.scala 29:58] + node obuf_data_in = cat(_T_1639, _T_1598) @[Cat.scala 29:58] io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 394:15] wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 395:24] buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 396:14] buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 396:14] buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 396:14] buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 396:14] - node _T_1664 = neq(CmdPtr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 397:30] - node _T_1665 = and(_T_1664, found_cmdptr0) @[el2_lsu_bus_buffer.scala 397:43] - node _T_1666 = and(_T_1665, found_cmdptr1) @[el2_lsu_bus_buffer.scala 397:59] - node _T_1667 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1668 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1669 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1670 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1671 = mux(_T_1667, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1672 = mux(_T_1668, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1673 = mux(_T_1669, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1674 = mux(_T_1670, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1675 = or(_T_1671, _T_1672) @[Mux.scala 27:72] - node _T_1676 = or(_T_1675, _T_1673) @[Mux.scala 27:72] - node _T_1677 = or(_T_1676, _T_1674) @[Mux.scala 27:72] - wire _T_1678 : UInt<3> @[Mux.scala 27:72] - _T_1678 <= _T_1677 @[Mux.scala 27:72] - node _T_1679 = eq(_T_1678, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 397:107] - node _T_1680 = and(_T_1666, _T_1679) @[el2_lsu_bus_buffer.scala 397:75] - node _T_1681 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1682 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1683 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1684 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1685 = mux(_T_1681, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1686 = mux(_T_1682, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1687 = mux(_T_1683, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1688 = mux(_T_1684, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1689 = or(_T_1685, _T_1686) @[Mux.scala 27:72] - node _T_1690 = or(_T_1689, _T_1687) @[Mux.scala 27:72] - node _T_1691 = or(_T_1690, _T_1688) @[Mux.scala 27:72] - wire _T_1692 : UInt<3> @[Mux.scala 27:72] - _T_1692 <= _T_1691 @[Mux.scala 27:72] - node _T_1693 = eq(_T_1692, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 397:150] - node _T_1694 = and(_T_1680, _T_1693) @[el2_lsu_bus_buffer.scala 397:118] - node _T_1695 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] - node _T_1696 = cat(_T_1695, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] - node _T_1697 = cat(_T_1696, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1698 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1699 = bits(_T_1697, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1700 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1701 = bits(_T_1697, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1702 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1703 = bits(_T_1697, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1704 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1705 = bits(_T_1697, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1706 = mux(_T_1698, _T_1699, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1707 = mux(_T_1700, _T_1701, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1708 = mux(_T_1702, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1709 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1710 = or(_T_1706, _T_1707) @[Mux.scala 27:72] - node _T_1711 = or(_T_1710, _T_1708) @[Mux.scala 27:72] - node _T_1712 = or(_T_1711, _T_1709) @[Mux.scala 27:72] - wire _T_1713 : UInt<1> @[Mux.scala 27:72] - _T_1713 <= _T_1712 @[Mux.scala 27:72] - node _T_1714 = eq(_T_1713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:3] - node _T_1715 = and(_T_1694, _T_1714) @[el2_lsu_bus_buffer.scala 397:161] - node _T_1716 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1717 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1718 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1719 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1720 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1721 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1722 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1723 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1724 = mux(_T_1716, _T_1717, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1725 = mux(_T_1718, _T_1719, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1726 = mux(_T_1720, _T_1721, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1727 = mux(_T_1722, _T_1723, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1728 = or(_T_1724, _T_1725) @[Mux.scala 27:72] - node _T_1729 = or(_T_1728, _T_1726) @[Mux.scala 27:72] - node _T_1730 = or(_T_1729, _T_1727) @[Mux.scala 27:72] - wire _T_1731 : UInt<1> @[Mux.scala 27:72] - _T_1731 <= _T_1730 @[Mux.scala 27:72] - node _T_1732 = eq(_T_1731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:85] - node _T_1733 = and(_T_1715, _T_1732) @[el2_lsu_bus_buffer.scala 398:83] - node _T_1734 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1735 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1736 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1737 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1738 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1739 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1740 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1741 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1742 = mux(_T_1734, _T_1735, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1743 = mux(_T_1736, _T_1737, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1744 = mux(_T_1738, _T_1739, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1745 = mux(_T_1740, _T_1741, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1746 = or(_T_1742, _T_1743) @[Mux.scala 27:72] - node _T_1747 = or(_T_1746, _T_1744) @[Mux.scala 27:72] - node _T_1748 = or(_T_1747, _T_1745) @[Mux.scala 27:72] - wire _T_1749 : UInt<1> @[Mux.scala 27:72] - _T_1749 <= _T_1748 @[Mux.scala 27:72] - node _T_1750 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1751 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1752 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1753 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1754 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1755 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1756 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1757 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1758 = mux(_T_1750, _T_1751, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1759 = mux(_T_1752, _T_1753, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1760 = mux(_T_1754, _T_1755, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1761 = mux(_T_1756, _T_1757, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1762 = or(_T_1758, _T_1759) @[Mux.scala 27:72] - node _T_1763 = or(_T_1762, _T_1760) @[Mux.scala 27:72] - node _T_1764 = or(_T_1763, _T_1761) @[Mux.scala 27:72] - wire _T_1765 : UInt<1> @[Mux.scala 27:72] - _T_1765 <= _T_1764 @[Mux.scala 27:72] - node _T_1766 = and(_T_1749, _T_1765) @[el2_lsu_bus_buffer.scala 399:36] - node _T_1767 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1768 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1769 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1770 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1771 = mux(_T_1767, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1772 = mux(_T_1768, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1773 = mux(_T_1769, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1774 = mux(_T_1770, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1775 = or(_T_1771, _T_1772) @[Mux.scala 27:72] - node _T_1776 = or(_T_1775, _T_1773) @[Mux.scala 27:72] - node _T_1777 = or(_T_1776, _T_1774) @[Mux.scala 27:72] - wire _T_1778 : UInt<32> @[Mux.scala 27:72] - _T_1778 <= _T_1777 @[Mux.scala 27:72] - node _T_1779 = bits(_T_1778, 31, 3) @[el2_lsu_bus_buffer.scala 400:33] - node _T_1780 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1781 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1782 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1783 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1784 = mux(_T_1780, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1785 = mux(_T_1781, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1786 = mux(_T_1782, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1787 = mux(_T_1783, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1788 = or(_T_1784, _T_1785) @[Mux.scala 27:72] + node _T_1640 = neq(CmdPtr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 397:30] + node _T_1641 = and(_T_1640, found_cmdptr0) @[el2_lsu_bus_buffer.scala 397:43] + node _T_1642 = and(_T_1641, found_cmdptr1) @[el2_lsu_bus_buffer.scala 397:59] + node _T_1643 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1644 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1645 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1646 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1647 = mux(_T_1643, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1648 = mux(_T_1644, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1649 = mux(_T_1645, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1650 = mux(_T_1646, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1651 = or(_T_1647, _T_1648) @[Mux.scala 27:72] + node _T_1652 = or(_T_1651, _T_1649) @[Mux.scala 27:72] + node _T_1653 = or(_T_1652, _T_1650) @[Mux.scala 27:72] + wire _T_1654 : UInt<3> @[Mux.scala 27:72] + _T_1654 <= _T_1653 @[Mux.scala 27:72] + node _T_1655 = eq(_T_1654, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 397:107] + node _T_1656 = and(_T_1642, _T_1655) @[el2_lsu_bus_buffer.scala 397:75] + node _T_1657 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1658 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1659 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1660 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1661 = mux(_T_1657, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1662 = mux(_T_1658, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1663 = mux(_T_1659, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1664 = mux(_T_1660, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1665 = or(_T_1661, _T_1662) @[Mux.scala 27:72] + node _T_1666 = or(_T_1665, _T_1663) @[Mux.scala 27:72] + node _T_1667 = or(_T_1666, _T_1664) @[Mux.scala 27:72] + wire _T_1668 : UInt<3> @[Mux.scala 27:72] + _T_1668 <= _T_1667 @[Mux.scala 27:72] + node _T_1669 = eq(_T_1668, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 397:150] + node _T_1670 = and(_T_1656, _T_1669) @[el2_lsu_bus_buffer.scala 397:118] + node _T_1671 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1672 = cat(_T_1671, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1673 = cat(_T_1672, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1674 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1675 = bits(_T_1673, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1676 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1677 = bits(_T_1673, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1678 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1679 = bits(_T_1673, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1680 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1681 = bits(_T_1673, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1682 = mux(_T_1674, _T_1675, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1683 = mux(_T_1676, _T_1677, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1684 = mux(_T_1678, _T_1679, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1685 = mux(_T_1680, _T_1681, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1686 = or(_T_1682, _T_1683) @[Mux.scala 27:72] + node _T_1687 = or(_T_1686, _T_1684) @[Mux.scala 27:72] + node _T_1688 = or(_T_1687, _T_1685) @[Mux.scala 27:72] + wire _T_1689 : UInt<1> @[Mux.scala 27:72] + _T_1689 <= _T_1688 @[Mux.scala 27:72] + node _T_1690 = eq(_T_1689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:3] + node _T_1691 = and(_T_1670, _T_1690) @[el2_lsu_bus_buffer.scala 397:161] + node _T_1692 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1693 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1694 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1695 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1696 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1697 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1698 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1699 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1700 = mux(_T_1692, _T_1693, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1701 = mux(_T_1694, _T_1695, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1702 = mux(_T_1696, _T_1697, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1703 = mux(_T_1698, _T_1699, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1704 = or(_T_1700, _T_1701) @[Mux.scala 27:72] + node _T_1705 = or(_T_1704, _T_1702) @[Mux.scala 27:72] + node _T_1706 = or(_T_1705, _T_1703) @[Mux.scala 27:72] + wire _T_1707 : UInt<1> @[Mux.scala 27:72] + _T_1707 <= _T_1706 @[Mux.scala 27:72] + node _T_1708 = eq(_T_1707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:85] + node _T_1709 = and(_T_1691, _T_1708) @[el2_lsu_bus_buffer.scala 398:83] + node _T_1710 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1711 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1712 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1713 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1714 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1715 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1716 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1717 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1718 = mux(_T_1710, _T_1711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1719 = mux(_T_1712, _T_1713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1720 = mux(_T_1714, _T_1715, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1721 = mux(_T_1716, _T_1717, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1722 = or(_T_1718, _T_1719) @[Mux.scala 27:72] + node _T_1723 = or(_T_1722, _T_1720) @[Mux.scala 27:72] + node _T_1724 = or(_T_1723, _T_1721) @[Mux.scala 27:72] + wire _T_1725 : UInt<1> @[Mux.scala 27:72] + _T_1725 <= _T_1724 @[Mux.scala 27:72] + node _T_1726 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1727 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1728 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1729 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1730 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1731 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1732 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1733 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1734 = mux(_T_1726, _T_1727, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1735 = mux(_T_1728, _T_1729, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1736 = mux(_T_1730, _T_1731, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1737 = mux(_T_1732, _T_1733, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1738 = or(_T_1734, _T_1735) @[Mux.scala 27:72] + node _T_1739 = or(_T_1738, _T_1736) @[Mux.scala 27:72] + node _T_1740 = or(_T_1739, _T_1737) @[Mux.scala 27:72] + wire _T_1741 : UInt<1> @[Mux.scala 27:72] + _T_1741 <= _T_1740 @[Mux.scala 27:72] + node _T_1742 = and(_T_1725, _T_1741) @[el2_lsu_bus_buffer.scala 399:36] + node _T_1743 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1744 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1745 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1746 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1747 = mux(_T_1743, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1748 = mux(_T_1744, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1749 = mux(_T_1745, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1750 = mux(_T_1746, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1751 = or(_T_1747, _T_1748) @[Mux.scala 27:72] + node _T_1752 = or(_T_1751, _T_1749) @[Mux.scala 27:72] + node _T_1753 = or(_T_1752, _T_1750) @[Mux.scala 27:72] + wire _T_1754 : UInt<32> @[Mux.scala 27:72] + _T_1754 <= _T_1753 @[Mux.scala 27:72] + node _T_1755 = bits(_T_1754, 31, 3) @[el2_lsu_bus_buffer.scala 400:33] + node _T_1756 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1757 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1758 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1759 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1760 = mux(_T_1756, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1761 = mux(_T_1757, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1762 = mux(_T_1758, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1763 = mux(_T_1759, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1764 = or(_T_1760, _T_1761) @[Mux.scala 27:72] + node _T_1765 = or(_T_1764, _T_1762) @[Mux.scala 27:72] + node _T_1766 = or(_T_1765, _T_1763) @[Mux.scala 27:72] + wire _T_1767 : UInt<32> @[Mux.scala 27:72] + _T_1767 <= _T_1766 @[Mux.scala 27:72] + node _T_1768 = bits(_T_1767, 31, 3) @[el2_lsu_bus_buffer.scala 400:69] + node _T_1769 = eq(_T_1755, _T_1768) @[el2_lsu_bus_buffer.scala 400:39] + node _T_1770 = and(_T_1742, _T_1769) @[el2_lsu_bus_buffer.scala 399:67] + node _T_1771 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:79] + node _T_1772 = and(_T_1770, _T_1771) @[el2_lsu_bus_buffer.scala 400:77] + node _T_1773 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:105] + node _T_1774 = and(_T_1772, _T_1773) @[el2_lsu_bus_buffer.scala 400:103] + node _T_1775 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1776 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1777 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1778 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1779 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1780 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1781 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1782 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1783 = mux(_T_1775, _T_1776, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1784 = mux(_T_1777, _T_1778, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1785 = mux(_T_1779, _T_1780, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1786 = mux(_T_1781, _T_1782, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1787 = or(_T_1783, _T_1784) @[Mux.scala 27:72] + node _T_1788 = or(_T_1787, _T_1785) @[Mux.scala 27:72] node _T_1789 = or(_T_1788, _T_1786) @[Mux.scala 27:72] - node _T_1790 = or(_T_1789, _T_1787) @[Mux.scala 27:72] - wire _T_1791 : UInt<32> @[Mux.scala 27:72] - _T_1791 <= _T_1790 @[Mux.scala 27:72] - node _T_1792 = bits(_T_1791, 31, 3) @[el2_lsu_bus_buffer.scala 400:69] - node _T_1793 = eq(_T_1779, _T_1792) @[el2_lsu_bus_buffer.scala 400:39] - node _T_1794 = and(_T_1766, _T_1793) @[el2_lsu_bus_buffer.scala 399:67] - node _T_1795 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:79] - node _T_1796 = and(_T_1794, _T_1795) @[el2_lsu_bus_buffer.scala 400:77] - node _T_1797 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:105] - node _T_1798 = and(_T_1796, _T_1797) @[el2_lsu_bus_buffer.scala 400:103] - node _T_1799 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1800 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1801 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1802 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1803 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1804 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1805 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1806 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1807 = mux(_T_1799, _T_1800, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1808 = mux(_T_1801, _T_1802, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1809 = mux(_T_1803, _T_1804, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1810 = mux(_T_1805, _T_1806, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1811 = or(_T_1807, _T_1808) @[Mux.scala 27:72] - node _T_1812 = or(_T_1811, _T_1809) @[Mux.scala 27:72] - node _T_1813 = or(_T_1812, _T_1810) @[Mux.scala 27:72] - wire _T_1814 : UInt<1> @[Mux.scala 27:72] - _T_1814 <= _T_1813 @[Mux.scala 27:72] - node _T_1815 = eq(_T_1814, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:6] - node _T_1816 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_1817 = cat(_T_1816, buf_dual[1]) @[Cat.scala 29:58] - node _T_1818 = cat(_T_1817, buf_dual[0]) @[Cat.scala 29:58] - node _T_1819 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1820 = bits(_T_1818, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1821 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1822 = bits(_T_1818, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1823 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1824 = bits(_T_1818, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1825 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1826 = bits(_T_1818, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1827 = mux(_T_1819, _T_1820, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1828 = mux(_T_1821, _T_1822, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1829 = mux(_T_1823, _T_1824, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1830 = mux(_T_1825, _T_1826, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1831 = or(_T_1827, _T_1828) @[Mux.scala 27:72] - node _T_1832 = or(_T_1831, _T_1829) @[Mux.scala 27:72] - node _T_1833 = or(_T_1832, _T_1830) @[Mux.scala 27:72] - wire _T_1834 : UInt<1> @[Mux.scala 27:72] - _T_1834 <= _T_1833 @[Mux.scala 27:72] - node _T_1835 = and(_T_1815, _T_1834) @[el2_lsu_bus_buffer.scala 401:36] - node _T_1836 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] - node _T_1837 = cat(_T_1836, buf_dualhi[1]) @[Cat.scala 29:58] - node _T_1838 = cat(_T_1837, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1839 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1840 = bits(_T_1838, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1841 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1842 = bits(_T_1838, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1843 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1844 = bits(_T_1838, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1845 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1846 = bits(_T_1838, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1847 = mux(_T_1839, _T_1840, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1848 = mux(_T_1841, _T_1842, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1849 = mux(_T_1843, _T_1844, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1850 = mux(_T_1845, _T_1846, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1851 = or(_T_1847, _T_1848) @[Mux.scala 27:72] - node _T_1852 = or(_T_1851, _T_1849) @[Mux.scala 27:72] - node _T_1853 = or(_T_1852, _T_1850) @[Mux.scala 27:72] - wire _T_1854 : UInt<1> @[Mux.scala 27:72] - _T_1854 <= _T_1853 @[Mux.scala 27:72] - node _T_1855 = eq(_T_1854, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:107] - node _T_1856 = and(_T_1835, _T_1855) @[el2_lsu_bus_buffer.scala 401:105] - node _T_1857 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] - node _T_1858 = cat(_T_1857, buf_samedw[1]) @[Cat.scala 29:58] - node _T_1859 = cat(_T_1858, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1860 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1861 = bits(_T_1859, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1862 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1863 = bits(_T_1859, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1864 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1865 = bits(_T_1859, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1866 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1867 = bits(_T_1859, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1868 = mux(_T_1860, _T_1861, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1869 = mux(_T_1862, _T_1863, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1870 = mux(_T_1864, _T_1865, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1871 = mux(_T_1866, _T_1867, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1872 = or(_T_1868, _T_1869) @[Mux.scala 27:72] - node _T_1873 = or(_T_1872, _T_1870) @[Mux.scala 27:72] - node _T_1874 = or(_T_1873, _T_1871) @[Mux.scala 27:72] - wire _T_1875 : UInt<1> @[Mux.scala 27:72] - _T_1875 <= _T_1874 @[Mux.scala 27:72] - node _T_1876 = and(_T_1856, _T_1875) @[el2_lsu_bus_buffer.scala 401:177] - node _T_1877 = or(_T_1798, _T_1876) @[el2_lsu_bus_buffer.scala 400:126] - node _T_1878 = and(_T_1733, _T_1877) @[el2_lsu_bus_buffer.scala 398:120] - node _T_1879 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 402:19] - node _T_1880 = and(_T_1879, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 402:35] - node _T_1881 = or(_T_1878, _T_1880) @[el2_lsu_bus_buffer.scala 401:251] - obuf_merge_en <= _T_1881 @[el2_lsu_bus_buffer.scala 397:17] + wire _T_1790 : UInt<1> @[Mux.scala 27:72] + _T_1790 <= _T_1789 @[Mux.scala 27:72] + node _T_1791 = eq(_T_1790, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:6] + node _T_1792 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1793 = cat(_T_1792, buf_dual[1]) @[Cat.scala 29:58] + node _T_1794 = cat(_T_1793, buf_dual[0]) @[Cat.scala 29:58] + node _T_1795 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1796 = bits(_T_1794, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1797 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1798 = bits(_T_1794, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1799 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1800 = bits(_T_1794, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1801 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1802 = bits(_T_1794, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1803 = mux(_T_1795, _T_1796, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1804 = mux(_T_1797, _T_1798, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1805 = mux(_T_1799, _T_1800, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1806 = mux(_T_1801, _T_1802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1807 = or(_T_1803, _T_1804) @[Mux.scala 27:72] + node _T_1808 = or(_T_1807, _T_1805) @[Mux.scala 27:72] + node _T_1809 = or(_T_1808, _T_1806) @[Mux.scala 27:72] + wire _T_1810 : UInt<1> @[Mux.scala 27:72] + _T_1810 <= _T_1809 @[Mux.scala 27:72] + node _T_1811 = and(_T_1791, _T_1810) @[el2_lsu_bus_buffer.scala 401:36] + node _T_1812 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] + node _T_1813 = cat(_T_1812, buf_dualhi[1]) @[Cat.scala 29:58] + node _T_1814 = cat(_T_1813, buf_dualhi[0]) @[Cat.scala 29:58] + node _T_1815 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1816 = bits(_T_1814, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1817 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1818 = bits(_T_1814, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1819 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1820 = bits(_T_1814, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1821 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1822 = bits(_T_1814, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1823 = mux(_T_1815, _T_1816, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1824 = mux(_T_1817, _T_1818, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1825 = mux(_T_1819, _T_1820, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1826 = mux(_T_1821, _T_1822, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1827 = or(_T_1823, _T_1824) @[Mux.scala 27:72] + node _T_1828 = or(_T_1827, _T_1825) @[Mux.scala 27:72] + node _T_1829 = or(_T_1828, _T_1826) @[Mux.scala 27:72] + wire _T_1830 : UInt<1> @[Mux.scala 27:72] + _T_1830 <= _T_1829 @[Mux.scala 27:72] + node _T_1831 = eq(_T_1830, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:107] + node _T_1832 = and(_T_1811, _T_1831) @[el2_lsu_bus_buffer.scala 401:105] + node _T_1833 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1834 = cat(_T_1833, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1835 = cat(_T_1834, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1836 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1837 = bits(_T_1835, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1838 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1839 = bits(_T_1835, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1840 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1841 = bits(_T_1835, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1842 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1843 = bits(_T_1835, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1844 = mux(_T_1836, _T_1837, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1845 = mux(_T_1838, _T_1839, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1846 = mux(_T_1840, _T_1841, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1847 = mux(_T_1842, _T_1843, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1848 = or(_T_1844, _T_1845) @[Mux.scala 27:72] + node _T_1849 = or(_T_1848, _T_1846) @[Mux.scala 27:72] + node _T_1850 = or(_T_1849, _T_1847) @[Mux.scala 27:72] + wire _T_1851 : UInt<1> @[Mux.scala 27:72] + _T_1851 <= _T_1850 @[Mux.scala 27:72] + node _T_1852 = and(_T_1832, _T_1851) @[el2_lsu_bus_buffer.scala 401:177] + node _T_1853 = or(_T_1774, _T_1852) @[el2_lsu_bus_buffer.scala 400:126] + node _T_1854 = and(_T_1709, _T_1853) @[el2_lsu_bus_buffer.scala 398:120] + node _T_1855 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 402:19] + node _T_1856 = and(_T_1855, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 402:35] + node _T_1857 = or(_T_1854, _T_1856) @[el2_lsu_bus_buffer.scala 401:251] + obuf_merge_en <= _T_1857 @[el2_lsu_bus_buffer.scala 397:17] reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 404:55] obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 404:55] - node _T_1882 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 405:58] - node _T_1883 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:93] - node _T_1884 = and(_T_1882, _T_1883) @[el2_lsu_bus_buffer.scala 405:91] - reg _T_1885 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 405:54] - _T_1885 <= _T_1884 @[el2_lsu_bus_buffer.scala 405:54] - obuf_valid <= _T_1885 @[el2_lsu_bus_buffer.scala 405:14] - reg _T_1886 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_1858 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 405:58] + node _T_1859 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:93] + node _T_1860 = and(_T_1858, _T_1859) @[el2_lsu_bus_buffer.scala 405:91] + reg _T_1861 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 405:54] + _T_1861 <= _T_1860 @[el2_lsu_bus_buffer.scala 405:54] + obuf_valid <= _T_1861 @[el2_lsu_bus_buffer.scala 405:14] + reg _T_1862 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1886 <= obuf_nosend_in @[Reg.scala 28:23] + _T_1862 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1886 @[el2_lsu_bus_buffer.scala 406:15] - reg _T_1887 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 407:54] - _T_1887 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 407:54] - obuf_cmd_done <= _T_1887 @[el2_lsu_bus_buffer.scala 407:17] - reg _T_1888 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 408:55] - _T_1888 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 408:55] - obuf_data_done <= _T_1888 @[el2_lsu_bus_buffer.scala 408:18] - reg _T_1889 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 409:56] - _T_1889 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 409:56] - obuf_rdrsp_pend <= _T_1889 @[el2_lsu_bus_buffer.scala 409:19] - reg _T_1890 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 410:55] - _T_1890 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 410:55] - obuf_rdrsp_tag <= _T_1890 @[el2_lsu_bus_buffer.scala 410:18] - reg _T_1891 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_nosend <= _T_1862 @[el2_lsu_bus_buffer.scala 406:15] + reg _T_1863 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 407:54] + _T_1863 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 407:54] + obuf_cmd_done <= _T_1863 @[el2_lsu_bus_buffer.scala 407:17] + reg _T_1864 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 408:55] + _T_1864 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 408:55] + obuf_data_done <= _T_1864 @[el2_lsu_bus_buffer.scala 408:18] + reg _T_1865 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 409:56] + _T_1865 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 409:56] + obuf_rdrsp_pend <= _T_1865 @[el2_lsu_bus_buffer.scala 409:19] + reg _T_1866 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 410:55] + _T_1866 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 410:55] + obuf_rdrsp_tag <= _T_1866 @[el2_lsu_bus_buffer.scala 410:18] + reg _T_1867 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1891 <= obuf_tag0_in @[Reg.scala 28:23] + _T_1867 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1891 @[el2_lsu_bus_buffer.scala 411:13] + obuf_tag0 <= _T_1867 @[el2_lsu_bus_buffer.scala 411:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2677,16 +2647,16 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] obuf_merge <= obuf_merge_en @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg _T_1892 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1868 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1892 <= obuf_write_in @[Reg.scala 28:23] + _T_1868 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1892 @[el2_lsu_bus_buffer.scala 414:14] - reg _T_1893 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_write <= _T_1868 @[el2_lsu_bus_buffer.scala 414:14] + reg _T_1869 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1893 <= obuf_sideeffect_in @[Reg.scala 28:23] + _T_1869 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1893 @[el2_lsu_bus_buffer.scala 415:19] + obuf_sideeffect <= _T_1869 @[el2_lsu_bus_buffer.scala 415:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2697,9 +2667,9 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.clk <= io.lsu_busm_clk @[el2_lib.scala 487:18] rvclkhdr_2.io.en <= obuf_wr_en @[el2_lib.scala 488:17] rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1894 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1894 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1894 @[el2_lsu_bus_buffer.scala 417:13] + reg _T_1870 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1870 <= obuf_addr_in @[el2_lib.scala 491:16] + obuf_addr <= _T_1870 @[el2_lsu_bus_buffer.scala 417:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2712,315 +2682,315 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1895 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 420:54] - _T_1895 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 420:54] - obuf_wr_timer <= _T_1895 @[el2_lsu_bus_buffer.scala 420:17] + reg _T_1871 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 420:54] + _T_1871 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 420:54] + obuf_wr_timer <= _T_1871 @[el2_lsu_bus_buffer.scala 420:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1896 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:65] - node _T_1897 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:103] - node _T_1898 = and(ibuf_valid, _T_1897) @[el2_lsu_bus_buffer.scala 423:92] - node _T_1899 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:35] - node _T_1900 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:33] - node _T_1901 = and(io.ldst_dual_r, _T_1900) @[el2_lsu_bus_buffer.scala 425:21] - node _T_1902 = or(_T_1899, _T_1901) @[el2_lsu_bus_buffer.scala 424:44] - node _T_1903 = and(io.lsu_busreq_r, _T_1902) @[el2_lsu_bus_buffer.scala 424:22] - node _T_1904 = or(_T_1898, _T_1903) @[el2_lsu_bus_buffer.scala 423:112] - node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:78] - node _T_1906 = and(_T_1896, _T_1905) @[el2_lsu_bus_buffer.scala 423:76] - node _T_1907 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:65] - node _T_1908 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:103] - node _T_1909 = and(ibuf_valid, _T_1908) @[el2_lsu_bus_buffer.scala 423:92] - node _T_1910 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:35] - node _T_1911 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:33] - node _T_1912 = and(io.ldst_dual_r, _T_1911) @[el2_lsu_bus_buffer.scala 425:21] - node _T_1913 = or(_T_1910, _T_1912) @[el2_lsu_bus_buffer.scala 424:44] - node _T_1914 = and(io.lsu_busreq_r, _T_1913) @[el2_lsu_bus_buffer.scala 424:22] - node _T_1915 = or(_T_1909, _T_1914) @[el2_lsu_bus_buffer.scala 423:112] - node _T_1916 = eq(_T_1915, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:78] - node _T_1917 = and(_T_1907, _T_1916) @[el2_lsu_bus_buffer.scala 423:76] - node _T_1918 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:65] - node _T_1919 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:103] - node _T_1920 = and(ibuf_valid, _T_1919) @[el2_lsu_bus_buffer.scala 423:92] - node _T_1921 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:35] - node _T_1922 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:33] - node _T_1923 = and(io.ldst_dual_r, _T_1922) @[el2_lsu_bus_buffer.scala 425:21] - node _T_1924 = or(_T_1921, _T_1923) @[el2_lsu_bus_buffer.scala 424:44] - node _T_1925 = and(io.lsu_busreq_r, _T_1924) @[el2_lsu_bus_buffer.scala 424:22] - node _T_1926 = or(_T_1920, _T_1925) @[el2_lsu_bus_buffer.scala 423:112] - node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:78] - node _T_1928 = and(_T_1918, _T_1927) @[el2_lsu_bus_buffer.scala 423:76] - node _T_1929 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:65] - node _T_1930 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:103] - node _T_1931 = and(ibuf_valid, _T_1930) @[el2_lsu_bus_buffer.scala 423:92] - node _T_1932 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:35] - node _T_1933 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:33] - node _T_1934 = and(io.ldst_dual_r, _T_1933) @[el2_lsu_bus_buffer.scala 425:21] - node _T_1935 = or(_T_1932, _T_1934) @[el2_lsu_bus_buffer.scala 424:44] - node _T_1936 = and(io.lsu_busreq_r, _T_1935) @[el2_lsu_bus_buffer.scala 424:22] - node _T_1937 = or(_T_1931, _T_1936) @[el2_lsu_bus_buffer.scala 423:112] - node _T_1938 = eq(_T_1937, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:78] - node _T_1939 = and(_T_1929, _T_1938) @[el2_lsu_bus_buffer.scala 423:76] - node _T_1940 = mux(_T_1939, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_1941 = mux(_T_1928, UInt<2>("h02"), _T_1940) @[Mux.scala 98:16] - node _T_1942 = mux(_T_1917, UInt<1>("h01"), _T_1941) @[Mux.scala 98:16] - node _T_1943 = mux(_T_1906, UInt<1>("h00"), _T_1942) @[Mux.scala 98:16] - WrPtr0_m <= _T_1943 @[el2_lsu_bus_buffer.scala 423:12] - node _T_1944 = cat(buf_state[0], buf_state[1]) @[Cat.scala 29:58] - node _T_1945 = cat(_T_1944, buf_state[2]) @[Cat.scala 29:58] - node _T_1946 = cat(_T_1945, buf_state[3]) @[Cat.scala 29:58] - io.buf_state <= _T_1946 @[el2_lsu_bus_buffer.scala 426:16] - node _T_1947 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 428:68] - node _T_1948 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:106] - node _T_1949 = and(ibuf_valid, _T_1948) @[el2_lsu_bus_buffer.scala 428:95] - node _T_1950 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:33] - node _T_1951 = and(io.lsu_busreq_m, _T_1950) @[el2_lsu_bus_buffer.scala 429:22] - node _T_1952 = or(_T_1949, _T_1951) @[el2_lsu_bus_buffer.scala 428:115] - node _T_1953 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:36] - node _T_1954 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:34] - node _T_1955 = and(io.ldst_dual_r, _T_1954) @[el2_lsu_bus_buffer.scala 431:23] - node _T_1956 = or(_T_1953, _T_1955) @[el2_lsu_bus_buffer.scala 430:46] - node _T_1957 = and(io.lsu_busreq_r, _T_1956) @[el2_lsu_bus_buffer.scala 430:22] - node _T_1958 = or(_T_1952, _T_1957) @[el2_lsu_bus_buffer.scala 429:42] - node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:81] - node _T_1960 = and(_T_1947, _T_1959) @[el2_lsu_bus_buffer.scala 428:79] - node _T_1961 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 428:68] - node _T_1962 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 428:106] - node _T_1963 = and(ibuf_valid, _T_1962) @[el2_lsu_bus_buffer.scala 428:95] - node _T_1964 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:33] - node _T_1965 = and(io.lsu_busreq_m, _T_1964) @[el2_lsu_bus_buffer.scala 429:22] - node _T_1966 = or(_T_1963, _T_1965) @[el2_lsu_bus_buffer.scala 428:115] - node _T_1967 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:36] - node _T_1968 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:34] - node _T_1969 = and(io.ldst_dual_r, _T_1968) @[el2_lsu_bus_buffer.scala 431:23] - node _T_1970 = or(_T_1967, _T_1969) @[el2_lsu_bus_buffer.scala 430:46] - node _T_1971 = and(io.lsu_busreq_r, _T_1970) @[el2_lsu_bus_buffer.scala 430:22] - node _T_1972 = or(_T_1966, _T_1971) @[el2_lsu_bus_buffer.scala 429:42] - node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:81] - node _T_1974 = and(_T_1961, _T_1973) @[el2_lsu_bus_buffer.scala 428:79] - node _T_1975 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 428:68] - node _T_1976 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 428:106] - node _T_1977 = and(ibuf_valid, _T_1976) @[el2_lsu_bus_buffer.scala 428:95] - node _T_1978 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:33] - node _T_1979 = and(io.lsu_busreq_m, _T_1978) @[el2_lsu_bus_buffer.scala 429:22] - node _T_1980 = or(_T_1977, _T_1979) @[el2_lsu_bus_buffer.scala 428:115] - node _T_1981 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:36] - node _T_1982 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:34] - node _T_1983 = and(io.ldst_dual_r, _T_1982) @[el2_lsu_bus_buffer.scala 431:23] - node _T_1984 = or(_T_1981, _T_1983) @[el2_lsu_bus_buffer.scala 430:46] - node _T_1985 = and(io.lsu_busreq_r, _T_1984) @[el2_lsu_bus_buffer.scala 430:22] - node _T_1986 = or(_T_1980, _T_1985) @[el2_lsu_bus_buffer.scala 429:42] - node _T_1987 = eq(_T_1986, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:81] - node _T_1988 = and(_T_1975, _T_1987) @[el2_lsu_bus_buffer.scala 428:79] - node _T_1989 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 428:68] - node _T_1990 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 428:106] - node _T_1991 = and(ibuf_valid, _T_1990) @[el2_lsu_bus_buffer.scala 428:95] - node _T_1992 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:33] - node _T_1993 = and(io.lsu_busreq_m, _T_1992) @[el2_lsu_bus_buffer.scala 429:22] - node _T_1994 = or(_T_1991, _T_1993) @[el2_lsu_bus_buffer.scala 428:115] - node _T_1995 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:36] - node _T_1996 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:34] - node _T_1997 = and(io.ldst_dual_r, _T_1996) @[el2_lsu_bus_buffer.scala 431:23] - node _T_1998 = or(_T_1995, _T_1997) @[el2_lsu_bus_buffer.scala 430:46] - node _T_1999 = and(io.lsu_busreq_r, _T_1998) @[el2_lsu_bus_buffer.scala 430:22] - node _T_2000 = or(_T_1994, _T_1999) @[el2_lsu_bus_buffer.scala 429:42] - node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:81] - node _T_2002 = and(_T_1989, _T_2001) @[el2_lsu_bus_buffer.scala 428:79] - node _T_2003 = mux(_T_2002, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_2004 = mux(_T_1988, UInt<2>("h02"), _T_2003) @[Mux.scala 98:16] - node _T_2005 = mux(_T_1974, UInt<1>("h01"), _T_2004) @[Mux.scala 98:16] - node WrPtr1_m = mux(_T_1960, UInt<1>("h00"), _T_2005) @[Mux.scala 98:16] + node _T_1872 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:65] + node _T_1873 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:103] + node _T_1874 = and(ibuf_valid, _T_1873) @[el2_lsu_bus_buffer.scala 423:92] + node _T_1875 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:35] + node _T_1876 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:33] + node _T_1877 = and(io.ldst_dual_r, _T_1876) @[el2_lsu_bus_buffer.scala 425:21] + node _T_1878 = or(_T_1875, _T_1877) @[el2_lsu_bus_buffer.scala 424:44] + node _T_1879 = and(io.lsu_busreq_r, _T_1878) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1880 = or(_T_1874, _T_1879) @[el2_lsu_bus_buffer.scala 423:112] + node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:78] + node _T_1882 = and(_T_1872, _T_1881) @[el2_lsu_bus_buffer.scala 423:76] + node _T_1883 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:65] + node _T_1884 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:103] + node _T_1885 = and(ibuf_valid, _T_1884) @[el2_lsu_bus_buffer.scala 423:92] + node _T_1886 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:35] + node _T_1887 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:33] + node _T_1888 = and(io.ldst_dual_r, _T_1887) @[el2_lsu_bus_buffer.scala 425:21] + node _T_1889 = or(_T_1886, _T_1888) @[el2_lsu_bus_buffer.scala 424:44] + node _T_1890 = and(io.lsu_busreq_r, _T_1889) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1891 = or(_T_1885, _T_1890) @[el2_lsu_bus_buffer.scala 423:112] + node _T_1892 = eq(_T_1891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:78] + node _T_1893 = and(_T_1883, _T_1892) @[el2_lsu_bus_buffer.scala 423:76] + node _T_1894 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:65] + node _T_1895 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:103] + node _T_1896 = and(ibuf_valid, _T_1895) @[el2_lsu_bus_buffer.scala 423:92] + node _T_1897 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:35] + node _T_1898 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:33] + node _T_1899 = and(io.ldst_dual_r, _T_1898) @[el2_lsu_bus_buffer.scala 425:21] + node _T_1900 = or(_T_1897, _T_1899) @[el2_lsu_bus_buffer.scala 424:44] + node _T_1901 = and(io.lsu_busreq_r, _T_1900) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1902 = or(_T_1896, _T_1901) @[el2_lsu_bus_buffer.scala 423:112] + node _T_1903 = eq(_T_1902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:78] + node _T_1904 = and(_T_1894, _T_1903) @[el2_lsu_bus_buffer.scala 423:76] + node _T_1905 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:65] + node _T_1906 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:103] + node _T_1907 = and(ibuf_valid, _T_1906) @[el2_lsu_bus_buffer.scala 423:92] + node _T_1908 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:35] + node _T_1909 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:33] + node _T_1910 = and(io.ldst_dual_r, _T_1909) @[el2_lsu_bus_buffer.scala 425:21] + node _T_1911 = or(_T_1908, _T_1910) @[el2_lsu_bus_buffer.scala 424:44] + node _T_1912 = and(io.lsu_busreq_r, _T_1911) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1913 = or(_T_1907, _T_1912) @[el2_lsu_bus_buffer.scala 423:112] + node _T_1914 = eq(_T_1913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:78] + node _T_1915 = and(_T_1905, _T_1914) @[el2_lsu_bus_buffer.scala 423:76] + node _T_1916 = mux(_T_1915, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1917 = mux(_T_1904, UInt<2>("h02"), _T_1916) @[Mux.scala 98:16] + node _T_1918 = mux(_T_1893, UInt<1>("h01"), _T_1917) @[Mux.scala 98:16] + node _T_1919 = mux(_T_1882, UInt<1>("h00"), _T_1918) @[Mux.scala 98:16] + WrPtr0_m <= _T_1919 @[el2_lsu_bus_buffer.scala 423:12] + node _T_1920 = cat(buf_state[0], buf_state[1]) @[Cat.scala 29:58] + node _T_1921 = cat(_T_1920, buf_state[2]) @[Cat.scala 29:58] + node _T_1922 = cat(_T_1921, buf_state[3]) @[Cat.scala 29:58] + io.buf_state <= _T_1922 @[el2_lsu_bus_buffer.scala 426:16] + node _T_1923 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 428:68] + node _T_1924 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:106] + node _T_1925 = and(ibuf_valid, _T_1924) @[el2_lsu_bus_buffer.scala 428:95] + node _T_1926 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:33] + node _T_1927 = and(io.lsu_busreq_m, _T_1926) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1928 = or(_T_1925, _T_1927) @[el2_lsu_bus_buffer.scala 428:115] + node _T_1929 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:36] + node _T_1930 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:34] + node _T_1931 = and(io.ldst_dual_r, _T_1930) @[el2_lsu_bus_buffer.scala 431:23] + node _T_1932 = or(_T_1929, _T_1931) @[el2_lsu_bus_buffer.scala 430:46] + node _T_1933 = and(io.lsu_busreq_r, _T_1932) @[el2_lsu_bus_buffer.scala 430:22] + node _T_1934 = or(_T_1928, _T_1933) @[el2_lsu_bus_buffer.scala 429:42] + node _T_1935 = eq(_T_1934, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:81] + node _T_1936 = and(_T_1923, _T_1935) @[el2_lsu_bus_buffer.scala 428:79] + node _T_1937 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 428:68] + node _T_1938 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 428:106] + node _T_1939 = and(ibuf_valid, _T_1938) @[el2_lsu_bus_buffer.scala 428:95] + node _T_1940 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:33] + node _T_1941 = and(io.lsu_busreq_m, _T_1940) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1942 = or(_T_1939, _T_1941) @[el2_lsu_bus_buffer.scala 428:115] + node _T_1943 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:36] + node _T_1944 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:34] + node _T_1945 = and(io.ldst_dual_r, _T_1944) @[el2_lsu_bus_buffer.scala 431:23] + node _T_1946 = or(_T_1943, _T_1945) @[el2_lsu_bus_buffer.scala 430:46] + node _T_1947 = and(io.lsu_busreq_r, _T_1946) @[el2_lsu_bus_buffer.scala 430:22] + node _T_1948 = or(_T_1942, _T_1947) @[el2_lsu_bus_buffer.scala 429:42] + node _T_1949 = eq(_T_1948, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:81] + node _T_1950 = and(_T_1937, _T_1949) @[el2_lsu_bus_buffer.scala 428:79] + node _T_1951 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 428:68] + node _T_1952 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 428:106] + node _T_1953 = and(ibuf_valid, _T_1952) @[el2_lsu_bus_buffer.scala 428:95] + node _T_1954 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:33] + node _T_1955 = and(io.lsu_busreq_m, _T_1954) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1956 = or(_T_1953, _T_1955) @[el2_lsu_bus_buffer.scala 428:115] + node _T_1957 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:36] + node _T_1958 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:34] + node _T_1959 = and(io.ldst_dual_r, _T_1958) @[el2_lsu_bus_buffer.scala 431:23] + node _T_1960 = or(_T_1957, _T_1959) @[el2_lsu_bus_buffer.scala 430:46] + node _T_1961 = and(io.lsu_busreq_r, _T_1960) @[el2_lsu_bus_buffer.scala 430:22] + node _T_1962 = or(_T_1956, _T_1961) @[el2_lsu_bus_buffer.scala 429:42] + node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:81] + node _T_1964 = and(_T_1951, _T_1963) @[el2_lsu_bus_buffer.scala 428:79] + node _T_1965 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 428:68] + node _T_1966 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 428:106] + node _T_1967 = and(ibuf_valid, _T_1966) @[el2_lsu_bus_buffer.scala 428:95] + node _T_1968 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:33] + node _T_1969 = and(io.lsu_busreq_m, _T_1968) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1970 = or(_T_1967, _T_1969) @[el2_lsu_bus_buffer.scala 428:115] + node _T_1971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:36] + node _T_1972 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:34] + node _T_1973 = and(io.ldst_dual_r, _T_1972) @[el2_lsu_bus_buffer.scala 431:23] + node _T_1974 = or(_T_1971, _T_1973) @[el2_lsu_bus_buffer.scala 430:46] + node _T_1975 = and(io.lsu_busreq_r, _T_1974) @[el2_lsu_bus_buffer.scala 430:22] + node _T_1976 = or(_T_1970, _T_1975) @[el2_lsu_bus_buffer.scala 429:42] + node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:81] + node _T_1978 = and(_T_1965, _T_1977) @[el2_lsu_bus_buffer.scala 428:79] + node _T_1979 = mux(_T_1978, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1980 = mux(_T_1964, UInt<2>("h02"), _T_1979) @[Mux.scala 98:16] + node _T_1981 = mux(_T_1950, UInt<1>("h01"), _T_1980) @[Mux.scala 98:16] + node WrPtr1_m = mux(_T_1936, UInt<1>("h00"), _T_1981) @[Mux.scala 98:16] io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 433:15] wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 434:21] buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:11] buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:11] buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:11] buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:11] - node _T_2006 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 437:58] - node _T_2007 = eq(_T_2006, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2008 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2009 = and(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 437:63] - node _T_2010 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:90] - node _T_2011 = and(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 437:88] - node _T_2012 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 437:58] - node _T_2013 = eq(_T_2012, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2014 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2015 = and(_T_2013, _T_2014) @[el2_lsu_bus_buffer.scala 437:63] - node _T_2016 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:90] - node _T_2017 = and(_T_2015, _T_2016) @[el2_lsu_bus_buffer.scala 437:88] - node _T_2018 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 437:58] - node _T_2019 = eq(_T_2018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2020 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2021 = and(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 437:63] - node _T_2022 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:90] - node _T_2023 = and(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 437:88] - node _T_2024 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 437:58] - node _T_2025 = eq(_T_2024, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2026 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2027 = and(_T_2025, _T_2026) @[el2_lsu_bus_buffer.scala 437:63] - node _T_2028 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:90] - node _T_2029 = and(_T_2027, _T_2028) @[el2_lsu_bus_buffer.scala 437:88] - node _T_2030 = cat(_T_2029, _T_2023) @[Cat.scala 29:58] - node _T_2031 = cat(_T_2030, _T_2017) @[Cat.scala 29:58] - node CmdPtr0Dec = cat(_T_2031, _T_2011) @[Cat.scala 29:58] - node _T_2032 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 438:62] - node _T_2033 = and(buf_age[0], _T_2032) @[el2_lsu_bus_buffer.scala 438:59] - node _T_2034 = orr(_T_2033) @[el2_lsu_bus_buffer.scala 438:76] - node _T_2035 = eq(_T_2034, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] - node _T_2036 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2037 = eq(_T_2036, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2038 = and(_T_2035, _T_2037) @[el2_lsu_bus_buffer.scala 438:81] - node _T_2039 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2040 = and(_T_2038, _T_2039) @[el2_lsu_bus_buffer.scala 438:98] - node _T_2041 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:125] - node _T_2042 = and(_T_2040, _T_2041) @[el2_lsu_bus_buffer.scala 438:123] - node _T_2043 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 438:62] - node _T_2044 = and(buf_age[1], _T_2043) @[el2_lsu_bus_buffer.scala 438:59] - node _T_2045 = orr(_T_2044) @[el2_lsu_bus_buffer.scala 438:76] - node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] - node _T_2047 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2048 = eq(_T_2047, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2049 = and(_T_2046, _T_2048) @[el2_lsu_bus_buffer.scala 438:81] - node _T_2050 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 438:98] - node _T_2052 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:125] - node _T_2053 = and(_T_2051, _T_2052) @[el2_lsu_bus_buffer.scala 438:123] - node _T_2054 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 438:62] - node _T_2055 = and(buf_age[2], _T_2054) @[el2_lsu_bus_buffer.scala 438:59] - node _T_2056 = orr(_T_2055) @[el2_lsu_bus_buffer.scala 438:76] - node _T_2057 = eq(_T_2056, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] - node _T_2058 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2059 = eq(_T_2058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2060 = and(_T_2057, _T_2059) @[el2_lsu_bus_buffer.scala 438:81] - node _T_2061 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 438:98] - node _T_2063 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:125] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 438:123] - node _T_2065 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 438:62] - node _T_2066 = and(buf_age[3], _T_2065) @[el2_lsu_bus_buffer.scala 438:59] - node _T_2067 = orr(_T_2066) @[el2_lsu_bus_buffer.scala 438:76] - node _T_2068 = eq(_T_2067, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] - node _T_2069 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2070 = eq(_T_2069, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2071 = and(_T_2068, _T_2070) @[el2_lsu_bus_buffer.scala 438:81] - node _T_2072 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 438:98] - node _T_2074 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:125] - node _T_2075 = and(_T_2073, _T_2074) @[el2_lsu_bus_buffer.scala 438:123] - node _T_2076 = cat(_T_2075, _T_2064) @[Cat.scala 29:58] - node _T_2077 = cat(_T_2076, _T_2053) @[Cat.scala 29:58] - node CmdPtr1Dec = cat(_T_2077, _T_2042) @[Cat.scala 29:58] + node _T_1982 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 437:58] + node _T_1983 = eq(_T_1982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_1984 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_1985 = and(_T_1983, _T_1984) @[el2_lsu_bus_buffer.scala 437:63] + node _T_1986 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:90] + node _T_1987 = and(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 437:88] + node _T_1988 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 437:58] + node _T_1989 = eq(_T_1988, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_1990 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_1991 = and(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 437:63] + node _T_1992 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:90] + node _T_1993 = and(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 437:88] + node _T_1994 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 437:58] + node _T_1995 = eq(_T_1994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_1996 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_1997 = and(_T_1995, _T_1996) @[el2_lsu_bus_buffer.scala 437:63] + node _T_1998 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:90] + node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 437:88] + node _T_2000 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 437:58] + node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2002 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2003 = and(_T_2001, _T_2002) @[el2_lsu_bus_buffer.scala 437:63] + node _T_2004 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:90] + node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 437:88] + node _T_2006 = cat(_T_2005, _T_1999) @[Cat.scala 29:58] + node _T_2007 = cat(_T_2006, _T_1993) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_2007, _T_1987) @[Cat.scala 29:58] + node _T_2008 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 438:62] + node _T_2009 = and(buf_age[0], _T_2008) @[el2_lsu_bus_buffer.scala 438:59] + node _T_2010 = orr(_T_2009) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2011 = eq(_T_2010, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2012 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2013 = eq(_T_2012, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2014 = and(_T_2011, _T_2013) @[el2_lsu_bus_buffer.scala 438:81] + node _T_2015 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2016 = and(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2017 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:125] + node _T_2018 = and(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 438:123] + node _T_2019 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 438:62] + node _T_2020 = and(buf_age[1], _T_2019) @[el2_lsu_bus_buffer.scala 438:59] + node _T_2021 = orr(_T_2020) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2022 = eq(_T_2021, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2023 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2024 = eq(_T_2023, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2025 = and(_T_2022, _T_2024) @[el2_lsu_bus_buffer.scala 438:81] + node _T_2026 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2027 = and(_T_2025, _T_2026) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2028 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:125] + node _T_2029 = and(_T_2027, _T_2028) @[el2_lsu_bus_buffer.scala 438:123] + node _T_2030 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 438:62] + node _T_2031 = and(buf_age[2], _T_2030) @[el2_lsu_bus_buffer.scala 438:59] + node _T_2032 = orr(_T_2031) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2033 = eq(_T_2032, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2034 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2035 = eq(_T_2034, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2036 = and(_T_2033, _T_2035) @[el2_lsu_bus_buffer.scala 438:81] + node _T_2037 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2038 = and(_T_2036, _T_2037) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2039 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:125] + node _T_2040 = and(_T_2038, _T_2039) @[el2_lsu_bus_buffer.scala 438:123] + node _T_2041 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 438:62] + node _T_2042 = and(buf_age[3], _T_2041) @[el2_lsu_bus_buffer.scala 438:59] + node _T_2043 = orr(_T_2042) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2044 = eq(_T_2043, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2045 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2047 = and(_T_2044, _T_2046) @[el2_lsu_bus_buffer.scala 438:81] + node _T_2048 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2049 = and(_T_2047, _T_2048) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2050 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:125] + node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 438:123] + node _T_2052 = cat(_T_2051, _T_2040) @[Cat.scala 29:58] + node _T_2053 = cat(_T_2052, _T_2029) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_2053, _T_2018) @[Cat.scala 29:58] wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 439:29] buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 440:19] buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 440:19] buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 440:19] buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 440:19] - node _T_2078 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 441:65] - node _T_2079 = eq(_T_2078, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:44] - node _T_2080 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2081 = and(_T_2079, _T_2080) @[el2_lsu_bus_buffer.scala 441:70] - node _T_2082 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 441:65] - node _T_2083 = eq(_T_2082, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:44] - node _T_2084 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2085 = and(_T_2083, _T_2084) @[el2_lsu_bus_buffer.scala 441:70] - node _T_2086 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 441:65] - node _T_2087 = eq(_T_2086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:44] - node _T_2088 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 441:70] - node _T_2090 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 441:65] - node _T_2091 = eq(_T_2090, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:44] - node _T_2092 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2093 = and(_T_2091, _T_2092) @[el2_lsu_bus_buffer.scala 441:70] - node _T_2094 = cat(_T_2093, _T_2089) @[Cat.scala 29:58] - node _T_2095 = cat(_T_2094, _T_2085) @[Cat.scala 29:58] - node RspPtrDec = cat(_T_2095, _T_2081) @[Cat.scala 29:58] - node _T_2096 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 442:31] - found_cmdptr0 <= _T_2096 @[el2_lsu_bus_buffer.scala 442:17] - node _T_2097 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 443:31] - found_cmdptr1 <= _T_2097 @[el2_lsu_bus_buffer.scala 443:17] + node _T_2054 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 441:65] + node _T_2055 = eq(_T_2054, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:44] + node _T_2056 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 441:70] + node _T_2058 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 441:65] + node _T_2059 = eq(_T_2058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:44] + node _T_2060 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2061 = and(_T_2059, _T_2060) @[el2_lsu_bus_buffer.scala 441:70] + node _T_2062 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 441:65] + node _T_2063 = eq(_T_2062, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:44] + node _T_2064 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2065 = and(_T_2063, _T_2064) @[el2_lsu_bus_buffer.scala 441:70] + node _T_2066 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 441:65] + node _T_2067 = eq(_T_2066, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:44] + node _T_2068 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2069 = and(_T_2067, _T_2068) @[el2_lsu_bus_buffer.scala 441:70] + node _T_2070 = cat(_T_2069, _T_2065) @[Cat.scala 29:58] + node _T_2071 = cat(_T_2070, _T_2061) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_2071, _T_2057) @[Cat.scala 29:58] + node _T_2072 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 442:31] + found_cmdptr0 <= _T_2072 @[el2_lsu_bus_buffer.scala 442:17] + node _T_2073 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 443:31] + found_cmdptr1 <= _T_2073 @[el2_lsu_bus_buffer.scala 443:17] io.Cmdptr0 <= CmdPtr0 @[el2_lsu_bus_buffer.scala 448:14] wire CmdPtr1 : UInt<2> CmdPtr1 <= UInt<1>("h00") wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") - node _T_2098 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2099 = cat(_T_2098, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_2100 = bits(_T_2099, 4, 4) @[el2_lsu_bus_buffer.scala 445:39] - node _T_2101 = bits(_T_2099, 5, 5) @[el2_lsu_bus_buffer.scala 445:45] - node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 445:42] - node _T_2103 = bits(_T_2099, 6, 6) @[el2_lsu_bus_buffer.scala 445:51] - node _T_2104 = or(_T_2102, _T_2103) @[el2_lsu_bus_buffer.scala 445:48] - node _T_2105 = bits(_T_2099, 7, 7) @[el2_lsu_bus_buffer.scala 445:57] - node _T_2106 = or(_T_2104, _T_2105) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2107 = bits(_T_2099, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] - node _T_2108 = bits(_T_2099, 3, 3) @[el2_lsu_bus_buffer.scala 445:70] - node _T_2109 = or(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 445:67] - node _T_2110 = bits(_T_2099, 6, 6) @[el2_lsu_bus_buffer.scala 445:76] - node _T_2111 = or(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2112 = bits(_T_2099, 7, 7) @[el2_lsu_bus_buffer.scala 445:82] - node _T_2113 = or(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 445:79] - node _T_2114 = bits(_T_2099, 1, 1) @[el2_lsu_bus_buffer.scala 445:89] - node _T_2115 = bits(_T_2099, 3, 3) @[el2_lsu_bus_buffer.scala 445:95] - node _T_2116 = or(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2117 = bits(_T_2099, 5, 5) @[el2_lsu_bus_buffer.scala 445:101] - node _T_2118 = or(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 445:98] - node _T_2119 = bits(_T_2099, 7, 7) @[el2_lsu_bus_buffer.scala 445:107] - node _T_2120 = or(_T_2118, _T_2119) @[el2_lsu_bus_buffer.scala 445:104] - node _T_2121 = cat(_T_2106, _T_2113) @[Cat.scala 29:58] - node _T_2122 = cat(_T_2121, _T_2120) @[Cat.scala 29:58] - CmdPtr0 <= _T_2122 @[el2_lsu_bus_buffer.scala 451:11] - node _T_2123 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2124 = cat(_T_2123, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2125 = bits(_T_2124, 4, 4) @[el2_lsu_bus_buffer.scala 445:39] - node _T_2126 = bits(_T_2124, 5, 5) @[el2_lsu_bus_buffer.scala 445:45] - node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 445:42] - node _T_2128 = bits(_T_2124, 6, 6) @[el2_lsu_bus_buffer.scala 445:51] - node _T_2129 = or(_T_2127, _T_2128) @[el2_lsu_bus_buffer.scala 445:48] - node _T_2130 = bits(_T_2124, 7, 7) @[el2_lsu_bus_buffer.scala 445:57] - node _T_2131 = or(_T_2129, _T_2130) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2132 = bits(_T_2124, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] - node _T_2133 = bits(_T_2124, 3, 3) @[el2_lsu_bus_buffer.scala 445:70] - node _T_2134 = or(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 445:67] - node _T_2135 = bits(_T_2124, 6, 6) @[el2_lsu_bus_buffer.scala 445:76] - node _T_2136 = or(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2137 = bits(_T_2124, 7, 7) @[el2_lsu_bus_buffer.scala 445:82] - node _T_2138 = or(_T_2136, _T_2137) @[el2_lsu_bus_buffer.scala 445:79] - node _T_2139 = bits(_T_2124, 1, 1) @[el2_lsu_bus_buffer.scala 445:89] - node _T_2140 = bits(_T_2124, 3, 3) @[el2_lsu_bus_buffer.scala 445:95] - node _T_2141 = or(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2142 = bits(_T_2124, 5, 5) @[el2_lsu_bus_buffer.scala 445:101] - node _T_2143 = or(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 445:98] - node _T_2144 = bits(_T_2124, 7, 7) @[el2_lsu_bus_buffer.scala 445:107] - node _T_2145 = or(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 445:104] - node _T_2146 = cat(_T_2131, _T_2138) @[Cat.scala 29:58] - node _T_2147 = cat(_T_2146, _T_2145) @[Cat.scala 29:58] - CmdPtr1 <= _T_2147 @[el2_lsu_bus_buffer.scala 453:11] - node _T_2148 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2149 = cat(_T_2148, RspPtrDec) @[Cat.scala 29:58] - node _T_2150 = bits(_T_2149, 4, 4) @[el2_lsu_bus_buffer.scala 445:39] - node _T_2151 = bits(_T_2149, 5, 5) @[el2_lsu_bus_buffer.scala 445:45] - node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 445:42] - node _T_2153 = bits(_T_2149, 6, 6) @[el2_lsu_bus_buffer.scala 445:51] - node _T_2154 = or(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 445:48] - node _T_2155 = bits(_T_2149, 7, 7) @[el2_lsu_bus_buffer.scala 445:57] - node _T_2156 = or(_T_2154, _T_2155) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2157 = bits(_T_2149, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] - node _T_2158 = bits(_T_2149, 3, 3) @[el2_lsu_bus_buffer.scala 445:70] - node _T_2159 = or(_T_2157, _T_2158) @[el2_lsu_bus_buffer.scala 445:67] - node _T_2160 = bits(_T_2149, 6, 6) @[el2_lsu_bus_buffer.scala 445:76] - node _T_2161 = or(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2162 = bits(_T_2149, 7, 7) @[el2_lsu_bus_buffer.scala 445:82] - node _T_2163 = or(_T_2161, _T_2162) @[el2_lsu_bus_buffer.scala 445:79] - node _T_2164 = bits(_T_2149, 1, 1) @[el2_lsu_bus_buffer.scala 445:89] - node _T_2165 = bits(_T_2149, 3, 3) @[el2_lsu_bus_buffer.scala 445:95] - node _T_2166 = or(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2167 = bits(_T_2149, 5, 5) @[el2_lsu_bus_buffer.scala 445:101] - node _T_2168 = or(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 445:98] - node _T_2169 = bits(_T_2149, 7, 7) @[el2_lsu_bus_buffer.scala 445:107] - node _T_2170 = or(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 445:104] - node _T_2171 = cat(_T_2156, _T_2163) @[Cat.scala 29:58] - node _T_2172 = cat(_T_2171, _T_2170) @[Cat.scala 29:58] - RspPtr <= _T_2172 @[el2_lsu_bus_buffer.scala 454:10] + node _T_2074 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2075 = cat(_T_2074, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_2076 = bits(_T_2075, 4, 4) @[el2_lsu_bus_buffer.scala 445:39] + node _T_2077 = bits(_T_2075, 5, 5) @[el2_lsu_bus_buffer.scala 445:45] + node _T_2078 = or(_T_2076, _T_2077) @[el2_lsu_bus_buffer.scala 445:42] + node _T_2079 = bits(_T_2075, 6, 6) @[el2_lsu_bus_buffer.scala 445:51] + node _T_2080 = or(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 445:48] + node _T_2081 = bits(_T_2075, 7, 7) @[el2_lsu_bus_buffer.scala 445:57] + node _T_2082 = or(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2083 = bits(_T_2075, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] + node _T_2084 = bits(_T_2075, 3, 3) @[el2_lsu_bus_buffer.scala 445:70] + node _T_2085 = or(_T_2083, _T_2084) @[el2_lsu_bus_buffer.scala 445:67] + node _T_2086 = bits(_T_2075, 6, 6) @[el2_lsu_bus_buffer.scala 445:76] + node _T_2087 = or(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2088 = bits(_T_2075, 7, 7) @[el2_lsu_bus_buffer.scala 445:82] + node _T_2089 = or(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 445:79] + node _T_2090 = bits(_T_2075, 1, 1) @[el2_lsu_bus_buffer.scala 445:89] + node _T_2091 = bits(_T_2075, 3, 3) @[el2_lsu_bus_buffer.scala 445:95] + node _T_2092 = or(_T_2090, _T_2091) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2093 = bits(_T_2075, 5, 5) @[el2_lsu_bus_buffer.scala 445:101] + node _T_2094 = or(_T_2092, _T_2093) @[el2_lsu_bus_buffer.scala 445:98] + node _T_2095 = bits(_T_2075, 7, 7) @[el2_lsu_bus_buffer.scala 445:107] + node _T_2096 = or(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 445:104] + node _T_2097 = cat(_T_2082, _T_2089) @[Cat.scala 29:58] + node _T_2098 = cat(_T_2097, _T_2096) @[Cat.scala 29:58] + CmdPtr0 <= _T_2098 @[el2_lsu_bus_buffer.scala 451:11] + node _T_2099 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2100 = cat(_T_2099, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2101 = bits(_T_2100, 4, 4) @[el2_lsu_bus_buffer.scala 445:39] + node _T_2102 = bits(_T_2100, 5, 5) @[el2_lsu_bus_buffer.scala 445:45] + node _T_2103 = or(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 445:42] + node _T_2104 = bits(_T_2100, 6, 6) @[el2_lsu_bus_buffer.scala 445:51] + node _T_2105 = or(_T_2103, _T_2104) @[el2_lsu_bus_buffer.scala 445:48] + node _T_2106 = bits(_T_2100, 7, 7) @[el2_lsu_bus_buffer.scala 445:57] + node _T_2107 = or(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2108 = bits(_T_2100, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] + node _T_2109 = bits(_T_2100, 3, 3) @[el2_lsu_bus_buffer.scala 445:70] + node _T_2110 = or(_T_2108, _T_2109) @[el2_lsu_bus_buffer.scala 445:67] + node _T_2111 = bits(_T_2100, 6, 6) @[el2_lsu_bus_buffer.scala 445:76] + node _T_2112 = or(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2113 = bits(_T_2100, 7, 7) @[el2_lsu_bus_buffer.scala 445:82] + node _T_2114 = or(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 445:79] + node _T_2115 = bits(_T_2100, 1, 1) @[el2_lsu_bus_buffer.scala 445:89] + node _T_2116 = bits(_T_2100, 3, 3) @[el2_lsu_bus_buffer.scala 445:95] + node _T_2117 = or(_T_2115, _T_2116) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2118 = bits(_T_2100, 5, 5) @[el2_lsu_bus_buffer.scala 445:101] + node _T_2119 = or(_T_2117, _T_2118) @[el2_lsu_bus_buffer.scala 445:98] + node _T_2120 = bits(_T_2100, 7, 7) @[el2_lsu_bus_buffer.scala 445:107] + node _T_2121 = or(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 445:104] + node _T_2122 = cat(_T_2107, _T_2114) @[Cat.scala 29:58] + node _T_2123 = cat(_T_2122, _T_2121) @[Cat.scala 29:58] + CmdPtr1 <= _T_2123 @[el2_lsu_bus_buffer.scala 453:11] + node _T_2124 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2125 = cat(_T_2124, RspPtrDec) @[Cat.scala 29:58] + node _T_2126 = bits(_T_2125, 4, 4) @[el2_lsu_bus_buffer.scala 445:39] + node _T_2127 = bits(_T_2125, 5, 5) @[el2_lsu_bus_buffer.scala 445:45] + node _T_2128 = or(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 445:42] + node _T_2129 = bits(_T_2125, 6, 6) @[el2_lsu_bus_buffer.scala 445:51] + node _T_2130 = or(_T_2128, _T_2129) @[el2_lsu_bus_buffer.scala 445:48] + node _T_2131 = bits(_T_2125, 7, 7) @[el2_lsu_bus_buffer.scala 445:57] + node _T_2132 = or(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2133 = bits(_T_2125, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] + node _T_2134 = bits(_T_2125, 3, 3) @[el2_lsu_bus_buffer.scala 445:70] + node _T_2135 = or(_T_2133, _T_2134) @[el2_lsu_bus_buffer.scala 445:67] + node _T_2136 = bits(_T_2125, 6, 6) @[el2_lsu_bus_buffer.scala 445:76] + node _T_2137 = or(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2138 = bits(_T_2125, 7, 7) @[el2_lsu_bus_buffer.scala 445:82] + node _T_2139 = or(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 445:79] + node _T_2140 = bits(_T_2125, 1, 1) @[el2_lsu_bus_buffer.scala 445:89] + node _T_2141 = bits(_T_2125, 3, 3) @[el2_lsu_bus_buffer.scala 445:95] + node _T_2142 = or(_T_2140, _T_2141) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2143 = bits(_T_2125, 5, 5) @[el2_lsu_bus_buffer.scala 445:101] + node _T_2144 = or(_T_2142, _T_2143) @[el2_lsu_bus_buffer.scala 445:98] + node _T_2145 = bits(_T_2125, 7, 7) @[el2_lsu_bus_buffer.scala 445:107] + node _T_2146 = or(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 445:104] + node _T_2147 = cat(_T_2132, _T_2139) @[Cat.scala 29:58] + node _T_2148 = cat(_T_2147, _T_2146) @[Cat.scala 29:58] + RspPtr <= _T_2148 @[el2_lsu_bus_buffer.scala 454:10] wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 455:26] buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:16] buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:16] @@ -3046,3530 +3016,3530 @@ circuit el2_lsu_bus_buffer : buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:14] buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:14] buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:14] - node _T_2173 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2174 = and(_T_2173, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2175 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2176 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2177 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2179 = or(_T_2175, _T_2178) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2180 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2181 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2183 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2184 = and(_T_2182, _T_2183) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2185 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2187 = or(_T_2179, _T_2186) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2188 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2189 = and(_T_2188, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2190 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2192 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2194 = or(_T_2187, _T_2193) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2195 = and(_T_2174, _T_2194) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2196 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2197 = or(_T_2195, _T_2196) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2198 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2199 = and(_T_2198, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2200 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2201 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2202 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2203 = and(_T_2201, _T_2202) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2204 = or(_T_2200, _T_2203) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2205 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2206 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2207 = and(_T_2205, _T_2206) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2208 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2209 = and(_T_2207, _T_2208) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2210 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2212 = or(_T_2204, _T_2211) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2213 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2214 = and(_T_2213, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2215 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2217 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2219 = or(_T_2212, _T_2218) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2220 = and(_T_2199, _T_2219) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2221 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2222 = or(_T_2220, _T_2221) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2223 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2224 = and(_T_2223, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2225 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2226 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2227 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2228 = and(_T_2226, _T_2227) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2229 = or(_T_2225, _T_2228) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2230 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2231 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2232 = and(_T_2230, _T_2231) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2233 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2234 = and(_T_2232, _T_2233) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2235 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2237 = or(_T_2229, _T_2236) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2238 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2239 = and(_T_2238, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2240 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2242 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2244 = or(_T_2237, _T_2243) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2245 = and(_T_2224, _T_2244) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2246 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2247 = or(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2248 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2249 = and(_T_2248, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2250 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2251 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2252 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2253 = and(_T_2251, _T_2252) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2254 = or(_T_2250, _T_2253) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2255 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2256 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2257 = and(_T_2255, _T_2256) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2258 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2260 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2261 = and(_T_2259, _T_2260) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2262 = or(_T_2254, _T_2261) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2263 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2264 = and(_T_2263, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2265 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2267 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2269 = or(_T_2262, _T_2268) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2270 = and(_T_2249, _T_2269) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2271 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2272 = or(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2273 = cat(_T_2272, _T_2247) @[Cat.scala 29:58] - node _T_2274 = cat(_T_2273, _T_2222) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2274, _T_2197) @[Cat.scala 29:58] - node _T_2275 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2276 = and(_T_2275, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2277 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2278 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2279 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2281 = or(_T_2277, _T_2280) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2282 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2283 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2285 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2286 = and(_T_2284, _T_2285) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2287 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2289 = or(_T_2281, _T_2288) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2290 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2291 = and(_T_2290, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2294 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2296 = or(_T_2289, _T_2295) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2297 = and(_T_2276, _T_2296) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2298 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2299 = or(_T_2297, _T_2298) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2300 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2301 = and(_T_2300, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2302 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2303 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2304 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2305 = and(_T_2303, _T_2304) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2306 = or(_T_2302, _T_2305) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2307 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2308 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2309 = and(_T_2307, _T_2308) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2310 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2311 = and(_T_2309, _T_2310) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2312 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2314 = or(_T_2306, _T_2313) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2315 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2316 = and(_T_2315, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2317 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2319 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2321 = or(_T_2314, _T_2320) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2322 = and(_T_2301, _T_2321) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2323 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2324 = or(_T_2322, _T_2323) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2325 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2326 = and(_T_2325, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2327 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2328 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2329 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2330 = and(_T_2328, _T_2329) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2331 = or(_T_2327, _T_2330) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2332 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2333 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2334 = and(_T_2332, _T_2333) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2335 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2336 = and(_T_2334, _T_2335) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2337 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2339 = or(_T_2331, _T_2338) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2340 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2341 = and(_T_2340, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2342 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2344 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2346 = or(_T_2339, _T_2345) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2347 = and(_T_2326, _T_2346) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2348 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2349 = or(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2350 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2351 = and(_T_2350, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2352 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2353 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2354 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2355 = and(_T_2353, _T_2354) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2356 = or(_T_2352, _T_2355) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2357 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2358 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2359 = and(_T_2357, _T_2358) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2360 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2362 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2363 = and(_T_2361, _T_2362) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2364 = or(_T_2356, _T_2363) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2365 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2366 = and(_T_2365, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2367 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2371 = or(_T_2364, _T_2370) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2372 = and(_T_2351, _T_2371) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2373 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2374 = or(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2375 = cat(_T_2374, _T_2349) @[Cat.scala 29:58] - node _T_2376 = cat(_T_2375, _T_2324) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2376, _T_2299) @[Cat.scala 29:58] - node _T_2377 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2378 = and(_T_2377, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2379 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2380 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2381 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2383 = or(_T_2379, _T_2382) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2384 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2385 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2387 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2388 = and(_T_2386, _T_2387) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2389 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2391 = or(_T_2383, _T_2390) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2392 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2393 = and(_T_2392, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2394 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2396 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2398 = or(_T_2391, _T_2397) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2399 = and(_T_2378, _T_2398) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2400 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2401 = or(_T_2399, _T_2400) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2402 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2403 = and(_T_2402, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2404 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2405 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2406 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2407 = and(_T_2405, _T_2406) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2408 = or(_T_2404, _T_2407) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2409 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2410 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2411 = and(_T_2409, _T_2410) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2412 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2413 = and(_T_2411, _T_2412) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2414 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2415 = and(_T_2413, _T_2414) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2416 = or(_T_2408, _T_2415) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2417 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2418 = and(_T_2417, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2419 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2421 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2423 = or(_T_2416, _T_2422) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2424 = and(_T_2403, _T_2423) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2425 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2426 = or(_T_2424, _T_2425) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2427 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2428 = and(_T_2427, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2429 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2430 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2431 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2432 = and(_T_2430, _T_2431) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2433 = or(_T_2429, _T_2432) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2434 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2435 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2436 = and(_T_2434, _T_2435) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2437 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2438 = and(_T_2436, _T_2437) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2439 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2440 = and(_T_2438, _T_2439) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2441 = or(_T_2433, _T_2440) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2442 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2443 = and(_T_2442, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2444 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2446 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2448 = or(_T_2441, _T_2447) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2449 = and(_T_2428, _T_2448) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2450 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2451 = or(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2452 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2453 = and(_T_2452, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2454 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2456 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2457 = and(_T_2455, _T_2456) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2458 = or(_T_2454, _T_2457) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2459 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2460 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2461 = and(_T_2459, _T_2460) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2462 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2463 = and(_T_2461, _T_2462) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2464 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2465 = and(_T_2463, _T_2464) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2466 = or(_T_2458, _T_2465) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2467 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2468 = and(_T_2467, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2469 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2470 = and(_T_2468, _T_2469) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2471 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2472 = and(_T_2470, _T_2471) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2473 = or(_T_2466, _T_2472) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2474 = and(_T_2453, _T_2473) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2475 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2476 = or(_T_2474, _T_2475) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2477 = cat(_T_2476, _T_2451) @[Cat.scala 29:58] - node _T_2478 = cat(_T_2477, _T_2426) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2478, _T_2401) @[Cat.scala 29:58] - node _T_2479 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2480 = and(_T_2479, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2481 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2482 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2483 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2485 = or(_T_2481, _T_2484) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2486 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2487 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2488 = and(_T_2486, _T_2487) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2489 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2490 = and(_T_2488, _T_2489) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2491 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2492 = and(_T_2490, _T_2491) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2493 = or(_T_2485, _T_2492) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2494 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2495 = and(_T_2494, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2496 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2497 = and(_T_2495, _T_2496) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2498 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2499 = and(_T_2497, _T_2498) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2500 = or(_T_2493, _T_2499) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2501 = and(_T_2480, _T_2500) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2502 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2503 = or(_T_2501, _T_2502) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2504 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2505 = and(_T_2504, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2506 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2507 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2508 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2509 = and(_T_2507, _T_2508) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2510 = or(_T_2506, _T_2509) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2511 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2512 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2513 = and(_T_2511, _T_2512) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2514 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2515 = and(_T_2513, _T_2514) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2516 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2518 = or(_T_2510, _T_2517) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2519 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2520 = and(_T_2519, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2521 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2522 = and(_T_2520, _T_2521) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2523 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2524 = and(_T_2522, _T_2523) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2525 = or(_T_2518, _T_2524) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2526 = and(_T_2505, _T_2525) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2527 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2528 = or(_T_2526, _T_2527) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2529 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2530 = and(_T_2529, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2531 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2532 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2533 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2534 = and(_T_2532, _T_2533) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2535 = or(_T_2531, _T_2534) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2536 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2537 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2538 = and(_T_2536, _T_2537) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2539 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2540 = and(_T_2538, _T_2539) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2541 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2542 = and(_T_2540, _T_2541) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2543 = or(_T_2535, _T_2542) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2544 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2545 = and(_T_2544, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2546 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2548 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2549 = and(_T_2547, _T_2548) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2550 = or(_T_2543, _T_2549) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2551 = and(_T_2530, _T_2550) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2552 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2553 = or(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2554 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] - node _T_2555 = and(_T_2554, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 466:94] - node _T_2556 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] - node _T_2557 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] - node _T_2558 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] - node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 467:57] - node _T_2560 = or(_T_2556, _T_2559) @[el2_lsu_bus_buffer.scala 467:31] - node _T_2561 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2562 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] - node _T_2563 = and(_T_2561, _T_2562) @[el2_lsu_bus_buffer.scala 468:41] - node _T_2564 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2565 = and(_T_2563, _T_2564) @[el2_lsu_bus_buffer.scala 468:71] - node _T_2566 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:104] - node _T_2567 = and(_T_2565, _T_2566) @[el2_lsu_bus_buffer.scala 468:92] - node _T_2568 = or(_T_2560, _T_2567) @[el2_lsu_bus_buffer.scala 467:86] - node _T_2569 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] - node _T_2570 = and(_T_2569, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] - node _T_2571 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:64] - node _T_2572 = and(_T_2570, _T_2571) @[el2_lsu_bus_buffer.scala 469:52] - node _T_2573 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:85] - node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2575 = or(_T_2568, _T_2574) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2576 = and(_T_2555, _T_2575) @[el2_lsu_bus_buffer.scala 466:113] - node _T_2577 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 469:109] - node _T_2578 = or(_T_2576, _T_2577) @[el2_lsu_bus_buffer.scala 469:97] - node _T_2579 = cat(_T_2578, _T_2553) @[Cat.scala 29:58] - node _T_2580 = cat(_T_2579, _T_2528) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2580, _T_2503) @[Cat.scala 29:58] + node _T_2149 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2150 = and(_T_2149, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2151 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2152 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2153 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2155 = or(_T_2151, _T_2154) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2156 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2157 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2158 = and(_T_2156, _T_2157) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2159 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2161 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2162 = and(_T_2160, _T_2161) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2163 = or(_T_2155, _T_2162) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2164 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2165 = and(_T_2164, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2166 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2167 = and(_T_2165, _T_2166) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2168 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2169 = and(_T_2167, _T_2168) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2170 = or(_T_2163, _T_2169) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2171 = and(_T_2150, _T_2170) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2172 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2173 = or(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2174 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2175 = and(_T_2174, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2176 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2177 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2178 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2180 = or(_T_2176, _T_2179) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2181 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2182 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2183 = and(_T_2181, _T_2182) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2184 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2186 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2187 = and(_T_2185, _T_2186) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2188 = or(_T_2180, _T_2187) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2189 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2190 = and(_T_2189, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2191 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2192 = and(_T_2190, _T_2191) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2193 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2194 = and(_T_2192, _T_2193) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2195 = or(_T_2188, _T_2194) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2196 = and(_T_2175, _T_2195) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2197 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2198 = or(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2199 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2200 = and(_T_2199, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2201 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2202 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2203 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2205 = or(_T_2201, _T_2204) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2206 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2207 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2208 = and(_T_2206, _T_2207) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2209 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2211 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2212 = and(_T_2210, _T_2211) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2213 = or(_T_2205, _T_2212) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2214 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2215 = and(_T_2214, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2216 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2217 = and(_T_2215, _T_2216) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2218 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2219 = and(_T_2217, _T_2218) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2220 = or(_T_2213, _T_2219) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2221 = and(_T_2200, _T_2220) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2222 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2223 = or(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2224 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2225 = and(_T_2224, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2226 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2227 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2228 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2229 = and(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2230 = or(_T_2226, _T_2229) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2231 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2232 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2233 = and(_T_2231, _T_2232) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2234 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2236 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2237 = and(_T_2235, _T_2236) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2238 = or(_T_2230, _T_2237) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2239 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2240 = and(_T_2239, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2241 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2242 = and(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2243 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2244 = and(_T_2242, _T_2243) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2245 = or(_T_2238, _T_2244) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2246 = and(_T_2225, _T_2245) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2247 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2248 = or(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2249 = cat(_T_2248, _T_2223) @[Cat.scala 29:58] + node _T_2250 = cat(_T_2249, _T_2198) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2250, _T_2173) @[Cat.scala 29:58] + node _T_2251 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2252 = and(_T_2251, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2253 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2254 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2255 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2257 = or(_T_2253, _T_2256) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2258 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2259 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2260 = and(_T_2258, _T_2259) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2261 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2263 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2264 = and(_T_2262, _T_2263) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2265 = or(_T_2257, _T_2264) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2266 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2267 = and(_T_2266, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2268 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2269 = and(_T_2267, _T_2268) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2270 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2271 = and(_T_2269, _T_2270) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2272 = or(_T_2265, _T_2271) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2273 = and(_T_2252, _T_2272) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2274 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2275 = or(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2276 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2277 = and(_T_2276, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2278 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2279 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2280 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2282 = or(_T_2278, _T_2281) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2283 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2284 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2285 = and(_T_2283, _T_2284) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2286 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2288 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2289 = and(_T_2287, _T_2288) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2290 = or(_T_2282, _T_2289) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2291 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2292 = and(_T_2291, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2293 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2294 = and(_T_2292, _T_2293) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2295 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2296 = and(_T_2294, _T_2295) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2297 = or(_T_2290, _T_2296) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2298 = and(_T_2277, _T_2297) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2299 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2300 = or(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2301 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2302 = and(_T_2301, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2303 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2304 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2305 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2307 = or(_T_2303, _T_2306) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2308 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2309 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2310 = and(_T_2308, _T_2309) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2311 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2313 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2314 = and(_T_2312, _T_2313) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2315 = or(_T_2307, _T_2314) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2316 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2317 = and(_T_2316, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2318 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2319 = and(_T_2317, _T_2318) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2320 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2321 = and(_T_2319, _T_2320) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2322 = or(_T_2315, _T_2321) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2323 = and(_T_2302, _T_2322) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2324 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2325 = or(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2326 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2327 = and(_T_2326, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2328 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2329 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2330 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2331 = and(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2332 = or(_T_2328, _T_2331) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2333 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2334 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2335 = and(_T_2333, _T_2334) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2336 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2338 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2339 = and(_T_2337, _T_2338) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2340 = or(_T_2332, _T_2339) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2341 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2342 = and(_T_2341, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2343 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2344 = and(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2345 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2346 = and(_T_2344, _T_2345) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2347 = or(_T_2340, _T_2346) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2348 = and(_T_2327, _T_2347) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2349 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2350 = or(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2351 = cat(_T_2350, _T_2325) @[Cat.scala 29:58] + node _T_2352 = cat(_T_2351, _T_2300) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2352, _T_2275) @[Cat.scala 29:58] + node _T_2353 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2354 = and(_T_2353, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2355 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2356 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2357 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2359 = or(_T_2355, _T_2358) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2360 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2361 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2362 = and(_T_2360, _T_2361) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2363 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2365 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2366 = and(_T_2364, _T_2365) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2367 = or(_T_2359, _T_2366) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2368 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2369 = and(_T_2368, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2370 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2371 = and(_T_2369, _T_2370) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2372 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2373 = and(_T_2371, _T_2372) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2374 = or(_T_2367, _T_2373) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2375 = and(_T_2354, _T_2374) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2376 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2377 = or(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2378 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2379 = and(_T_2378, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2380 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2381 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2382 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2384 = or(_T_2380, _T_2383) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2385 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2386 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2387 = and(_T_2385, _T_2386) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2388 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2390 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2391 = and(_T_2389, _T_2390) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2392 = or(_T_2384, _T_2391) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2393 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2394 = and(_T_2393, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2395 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2396 = and(_T_2394, _T_2395) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2397 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2398 = and(_T_2396, _T_2397) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2399 = or(_T_2392, _T_2398) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2400 = and(_T_2379, _T_2399) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2401 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2402 = or(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2403 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2404 = and(_T_2403, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2405 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2406 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2407 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2409 = or(_T_2405, _T_2408) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2410 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2411 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2412 = and(_T_2410, _T_2411) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2413 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2415 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2416 = and(_T_2414, _T_2415) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2417 = or(_T_2409, _T_2416) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2418 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2419 = and(_T_2418, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2420 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2421 = and(_T_2419, _T_2420) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2422 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2423 = and(_T_2421, _T_2422) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2424 = or(_T_2417, _T_2423) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2425 = and(_T_2404, _T_2424) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2426 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2427 = or(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2428 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2429 = and(_T_2428, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2430 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2431 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2432 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2433 = and(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2434 = or(_T_2430, _T_2433) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2435 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2436 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2437 = and(_T_2435, _T_2436) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2438 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2440 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2441 = and(_T_2439, _T_2440) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2442 = or(_T_2434, _T_2441) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2443 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2444 = and(_T_2443, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2445 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2446 = and(_T_2444, _T_2445) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2447 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2448 = and(_T_2446, _T_2447) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2449 = or(_T_2442, _T_2448) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2450 = and(_T_2429, _T_2449) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2451 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2452 = or(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2453 = cat(_T_2452, _T_2427) @[Cat.scala 29:58] + node _T_2454 = cat(_T_2453, _T_2402) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2454, _T_2377) @[Cat.scala 29:58] + node _T_2455 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2456 = and(_T_2455, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2457 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2458 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2459 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2460 = and(_T_2458, _T_2459) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2461 = or(_T_2457, _T_2460) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2462 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2463 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2464 = and(_T_2462, _T_2463) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2465 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2466 = and(_T_2464, _T_2465) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2467 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2468 = and(_T_2466, _T_2467) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2469 = or(_T_2461, _T_2468) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2470 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2471 = and(_T_2470, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2472 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2473 = and(_T_2471, _T_2472) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2474 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2475 = and(_T_2473, _T_2474) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2476 = or(_T_2469, _T_2475) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2477 = and(_T_2456, _T_2476) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2478 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2479 = or(_T_2477, _T_2478) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2480 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2481 = and(_T_2480, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2482 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2483 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2484 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2485 = and(_T_2483, _T_2484) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2486 = or(_T_2482, _T_2485) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2487 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2488 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2489 = and(_T_2487, _T_2488) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2490 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2491 = and(_T_2489, _T_2490) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2492 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2493 = and(_T_2491, _T_2492) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2494 = or(_T_2486, _T_2493) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2495 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2496 = and(_T_2495, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2497 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2498 = and(_T_2496, _T_2497) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2499 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2500 = and(_T_2498, _T_2499) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2501 = or(_T_2494, _T_2500) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2502 = and(_T_2481, _T_2501) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2503 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2504 = or(_T_2502, _T_2503) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2505 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2506 = and(_T_2505, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2507 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2509 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2510 = and(_T_2508, _T_2509) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2511 = or(_T_2507, _T_2510) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2512 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2513 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2514 = and(_T_2512, _T_2513) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2515 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2516 = and(_T_2514, _T_2515) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2517 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2518 = and(_T_2516, _T_2517) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2519 = or(_T_2511, _T_2518) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2520 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2521 = and(_T_2520, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2522 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2523 = and(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2524 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2525 = and(_T_2523, _T_2524) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2526 = or(_T_2519, _T_2525) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2527 = and(_T_2506, _T_2526) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2528 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2529 = or(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2530 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2531 = and(_T_2530, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2532 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2533 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2534 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2535 = and(_T_2533, _T_2534) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2536 = or(_T_2532, _T_2535) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2537 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2538 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2539 = and(_T_2537, _T_2538) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2540 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2542 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2543 = and(_T_2541, _T_2542) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2544 = or(_T_2536, _T_2543) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2545 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2546 = and(_T_2545, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2547 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2548 = and(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2549 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2550 = and(_T_2548, _T_2549) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2551 = or(_T_2544, _T_2550) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2552 = and(_T_2531, _T_2551) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2553 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2554 = or(_T_2552, _T_2553) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2555 = cat(_T_2554, _T_2529) @[Cat.scala 29:58] + node _T_2556 = cat(_T_2555, _T_2504) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2556, _T_2479) @[Cat.scala 29:58] wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 470:22] buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 471:12] buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 471:12] buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 471:12] buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 471:12] - node _T_2581 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2582 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2583 = and(_T_2582, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2585 = and(_T_2581, _T_2584) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2586 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2587 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2588 = and(_T_2587, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2589 = eq(_T_2588, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2590 = and(_T_2586, _T_2589) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2591 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2592 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2593 = and(_T_2592, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2594 = eq(_T_2593, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2595 = and(_T_2591, _T_2594) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2596 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2597 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2598 = and(_T_2597, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2600 = and(_T_2596, _T_2599) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2601 = cat(_T_2600, _T_2595) @[Cat.scala 29:58] - node _T_2602 = cat(_T_2601, _T_2590) @[Cat.scala 29:58] - node _T_2603 = cat(_T_2602, _T_2585) @[Cat.scala 29:58] - node _T_2604 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2605 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2606 = and(_T_2605, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2608 = and(_T_2604, _T_2607) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2609 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2610 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2611 = and(_T_2610, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2612 = eq(_T_2611, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2613 = and(_T_2609, _T_2612) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2614 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2615 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2616 = and(_T_2615, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2617 = eq(_T_2616, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2618 = and(_T_2614, _T_2617) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2619 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2620 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2621 = and(_T_2620, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2622 = eq(_T_2621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2623 = and(_T_2619, _T_2622) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2624 = cat(_T_2623, _T_2618) @[Cat.scala 29:58] - node _T_2625 = cat(_T_2624, _T_2613) @[Cat.scala 29:58] - node _T_2626 = cat(_T_2625, _T_2608) @[Cat.scala 29:58] - node _T_2627 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2628 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2629 = and(_T_2628, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2631 = and(_T_2627, _T_2630) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2632 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2633 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2634 = and(_T_2633, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2635 = eq(_T_2634, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2636 = and(_T_2632, _T_2635) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2637 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2638 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2639 = and(_T_2638, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2640 = eq(_T_2639, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2641 = and(_T_2637, _T_2640) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2642 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2643 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2644 = and(_T_2643, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2645 = eq(_T_2644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2646 = and(_T_2642, _T_2645) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2647 = cat(_T_2646, _T_2641) @[Cat.scala 29:58] - node _T_2648 = cat(_T_2647, _T_2636) @[Cat.scala 29:58] - node _T_2649 = cat(_T_2648, _T_2631) @[Cat.scala 29:58] - node _T_2650 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2651 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2652 = and(_T_2651, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2653 = eq(_T_2652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2654 = and(_T_2650, _T_2653) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2655 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2656 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2657 = and(_T_2656, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2658 = eq(_T_2657, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2659 = and(_T_2655, _T_2658) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2660 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2661 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2662 = and(_T_2661, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2664 = and(_T_2660, _T_2663) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2665 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2666 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] - node _T_2667 = and(_T_2666, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 472:105] - node _T_2668 = eq(_T_2667, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] - node _T_2669 = and(_T_2665, _T_2668) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2670 = cat(_T_2669, _T_2664) @[Cat.scala 29:58] - node _T_2671 = cat(_T_2670, _T_2659) @[Cat.scala 29:58] - node _T_2672 = cat(_T_2671, _T_2654) @[Cat.scala 29:58] - buf_age[0] <= _T_2603 @[el2_lsu_bus_buffer.scala 472:13] - buf_age[1] <= _T_2626 @[el2_lsu_bus_buffer.scala 472:13] - buf_age[2] <= _T_2649 @[el2_lsu_bus_buffer.scala 472:13] - buf_age[3] <= _T_2672 @[el2_lsu_bus_buffer.scala 472:13] - node _T_2673 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2674 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2676 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2679 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2680 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2681 = eq(_T_2680, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2682 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2684 = mux(_T_2679, UInt<1>("h00"), _T_2683) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2685 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2686 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2687 = eq(_T_2686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2688 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2690 = mux(_T_2685, UInt<1>("h00"), _T_2689) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2691 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2692 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2693 = eq(_T_2692, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2694 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2696 = mux(_T_2691, UInt<1>("h00"), _T_2695) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2697 = cat(_T_2696, _T_2690) @[Cat.scala 29:58] - node _T_2698 = cat(_T_2697, _T_2684) @[Cat.scala 29:58] - node _T_2699 = cat(_T_2698, _T_2678) @[Cat.scala 29:58] - node _T_2700 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2701 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2703 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2706 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2707 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2708 = eq(_T_2707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2709 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2710 = and(_T_2708, _T_2709) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2711 = mux(_T_2706, UInt<1>("h00"), _T_2710) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2712 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2713 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2714 = eq(_T_2713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2715 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2716 = and(_T_2714, _T_2715) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2717 = mux(_T_2712, UInt<1>("h00"), _T_2716) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2718 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2719 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2720 = eq(_T_2719, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2721 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2723 = mux(_T_2718, UInt<1>("h00"), _T_2722) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2724 = cat(_T_2723, _T_2717) @[Cat.scala 29:58] - node _T_2725 = cat(_T_2724, _T_2711) @[Cat.scala 29:58] - node _T_2726 = cat(_T_2725, _T_2705) @[Cat.scala 29:58] - node _T_2727 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2728 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2730 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2733 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2734 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2735 = eq(_T_2734, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2736 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2738 = mux(_T_2733, UInt<1>("h00"), _T_2737) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2739 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2740 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2741 = eq(_T_2740, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2742 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2743 = and(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2744 = mux(_T_2739, UInt<1>("h00"), _T_2743) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2745 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2746 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2747 = eq(_T_2746, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2748 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2750 = mux(_T_2745, UInt<1>("h00"), _T_2749) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2751 = cat(_T_2750, _T_2744) @[Cat.scala 29:58] - node _T_2752 = cat(_T_2751, _T_2738) @[Cat.scala 29:58] - node _T_2753 = cat(_T_2752, _T_2732) @[Cat.scala 29:58] - node _T_2754 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2755 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2756 = eq(_T_2755, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2757 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2759 = mux(_T_2754, UInt<1>("h00"), _T_2758) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2760 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2761 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2762 = eq(_T_2761, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2763 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2764 = and(_T_2762, _T_2763) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2765 = mux(_T_2760, UInt<1>("h00"), _T_2764) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2766 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2767 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2768 = eq(_T_2767, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2769 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2770 = and(_T_2768, _T_2769) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2771 = mux(_T_2766, UInt<1>("h00"), _T_2770) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2772 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2773 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:102] - node _T_2774 = eq(_T_2773, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] - node _T_2775 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] - node _T_2776 = and(_T_2774, _T_2775) @[el2_lsu_bus_buffer.scala 473:106] - node _T_2777 = mux(_T_2772, UInt<1>("h00"), _T_2776) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2778 = cat(_T_2777, _T_2771) @[Cat.scala 29:58] - node _T_2779 = cat(_T_2778, _T_2765) @[Cat.scala 29:58] - node _T_2780 = cat(_T_2779, _T_2759) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2699 @[el2_lsu_bus_buffer.scala 473:21] - buf_age_younger[1] <= _T_2726 @[el2_lsu_bus_buffer.scala 473:21] - buf_age_younger[2] <= _T_2753 @[el2_lsu_bus_buffer.scala 473:21] - buf_age_younger[3] <= _T_2780 @[el2_lsu_bus_buffer.scala 473:21] - node _T_2781 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2557 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2558 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2559 = and(_T_2558, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2560 = eq(_T_2559, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2561 = and(_T_2557, _T_2560) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2562 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2563 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2564 = and(_T_2563, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2565 = eq(_T_2564, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2566 = and(_T_2562, _T_2565) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2567 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2568 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2569 = and(_T_2568, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2570 = eq(_T_2569, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2571 = and(_T_2567, _T_2570) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2572 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2573 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2574 = and(_T_2573, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2575 = eq(_T_2574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2576 = and(_T_2572, _T_2575) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2577 = cat(_T_2576, _T_2571) @[Cat.scala 29:58] + node _T_2578 = cat(_T_2577, _T_2566) @[Cat.scala 29:58] + node _T_2579 = cat(_T_2578, _T_2561) @[Cat.scala 29:58] + node _T_2580 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2581 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2582 = and(_T_2581, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2583 = eq(_T_2582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2584 = and(_T_2580, _T_2583) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2585 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2586 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2587 = and(_T_2586, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2588 = eq(_T_2587, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2589 = and(_T_2585, _T_2588) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2590 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2591 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2592 = and(_T_2591, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2594 = and(_T_2590, _T_2593) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2595 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2596 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2597 = and(_T_2596, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2598 = eq(_T_2597, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2599 = and(_T_2595, _T_2598) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2600 = cat(_T_2599, _T_2594) @[Cat.scala 29:58] + node _T_2601 = cat(_T_2600, _T_2589) @[Cat.scala 29:58] + node _T_2602 = cat(_T_2601, _T_2584) @[Cat.scala 29:58] + node _T_2603 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2604 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2605 = and(_T_2604, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2606 = eq(_T_2605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2607 = and(_T_2603, _T_2606) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2608 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2609 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2610 = and(_T_2609, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2612 = and(_T_2608, _T_2611) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2613 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2614 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2615 = and(_T_2614, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2616 = eq(_T_2615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2617 = and(_T_2613, _T_2616) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2618 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2619 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2620 = and(_T_2619, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2621 = eq(_T_2620, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2622 = and(_T_2618, _T_2621) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2623 = cat(_T_2622, _T_2617) @[Cat.scala 29:58] + node _T_2624 = cat(_T_2623, _T_2612) @[Cat.scala 29:58] + node _T_2625 = cat(_T_2624, _T_2607) @[Cat.scala 29:58] + node _T_2626 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2627 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2628 = and(_T_2627, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2629 = eq(_T_2628, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2630 = and(_T_2626, _T_2629) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2631 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2632 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2633 = and(_T_2632, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2634 = eq(_T_2633, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2635 = and(_T_2631, _T_2634) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2636 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2637 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2638 = and(_T_2637, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2639 = eq(_T_2638, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2640 = and(_T_2636, _T_2639) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2641 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2642 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2643 = and(_T_2642, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2644 = eq(_T_2643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2645 = and(_T_2641, _T_2644) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2646 = cat(_T_2645, _T_2640) @[Cat.scala 29:58] + node _T_2647 = cat(_T_2646, _T_2635) @[Cat.scala 29:58] + node _T_2648 = cat(_T_2647, _T_2630) @[Cat.scala 29:58] + buf_age[0] <= _T_2579 @[el2_lsu_bus_buffer.scala 472:13] + buf_age[1] <= _T_2602 @[el2_lsu_bus_buffer.scala 472:13] + buf_age[2] <= _T_2625 @[el2_lsu_bus_buffer.scala 472:13] + buf_age[3] <= _T_2648 @[el2_lsu_bus_buffer.scala 472:13] + node _T_2649 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2650 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2652 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2655 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2656 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2658 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2661 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2662 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2664 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2667 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2668 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2670 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2673 = cat(_T_2672, _T_2666) @[Cat.scala 29:58] + node _T_2674 = cat(_T_2673, _T_2660) @[Cat.scala 29:58] + node _T_2675 = cat(_T_2674, _T_2654) @[Cat.scala 29:58] + node _T_2676 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2677 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2679 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2682 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2683 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2685 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2688 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2689 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2691 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2694 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2695 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2697 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2700 = cat(_T_2699, _T_2693) @[Cat.scala 29:58] + node _T_2701 = cat(_T_2700, _T_2687) @[Cat.scala 29:58] + node _T_2702 = cat(_T_2701, _T_2681) @[Cat.scala 29:58] + node _T_2703 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2704 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2706 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2709 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2710 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2712 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2715 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2716 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2718 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2721 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2722 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2724 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2725 = and(_T_2723, _T_2724) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2727 = cat(_T_2726, _T_2720) @[Cat.scala 29:58] + node _T_2728 = cat(_T_2727, _T_2714) @[Cat.scala 29:58] + node _T_2729 = cat(_T_2728, _T_2708) @[Cat.scala 29:58] + node _T_2730 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2731 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2733 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2735 = mux(_T_2730, UInt<1>("h00"), _T_2734) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2736 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2737 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2738 = eq(_T_2737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2739 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2741 = mux(_T_2736, UInt<1>("h00"), _T_2740) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2742 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2743 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2745 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2747 = mux(_T_2742, UInt<1>("h00"), _T_2746) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2748 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2749 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2750 = eq(_T_2749, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2751 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2752 = and(_T_2750, _T_2751) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2753 = mux(_T_2748, UInt<1>("h00"), _T_2752) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2754 = cat(_T_2753, _T_2747) @[Cat.scala 29:58] + node _T_2755 = cat(_T_2754, _T_2741) @[Cat.scala 29:58] + node _T_2756 = cat(_T_2755, _T_2735) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2675 @[el2_lsu_bus_buffer.scala 473:21] + buf_age_younger[1] <= _T_2702 @[el2_lsu_bus_buffer.scala 473:21] + buf_age_younger[2] <= _T_2729 @[el2_lsu_bus_buffer.scala 473:21] + buf_age_younger[3] <= _T_2756 @[el2_lsu_bus_buffer.scala 473:21] + node _T_2757 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2758 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2760 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2761 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2763 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2764 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2766 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2767 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2769 = cat(_T_2768, _T_2765) @[Cat.scala 29:58] + node _T_2770 = cat(_T_2769, _T_2762) @[Cat.scala 29:58] + node _T_2771 = cat(_T_2770, _T_2759) @[Cat.scala 29:58] + node _T_2772 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2773 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2775 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2776 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2778 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2779 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2781 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2782 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2784 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] - node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2787 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2784 = cat(_T_2783, _T_2780) @[Cat.scala 29:58] + node _T_2785 = cat(_T_2784, _T_2777) @[Cat.scala 29:58] + node _T_2786 = cat(_T_2785, _T_2774) @[Cat.scala 29:58] + node _T_2787 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2788 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2790 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2790 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2791 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2793 = cat(_T_2792, _T_2789) @[Cat.scala 29:58] - node _T_2794 = cat(_T_2793, _T_2786) @[Cat.scala 29:58] - node _T_2795 = cat(_T_2794, _T_2783) @[Cat.scala 29:58] - node _T_2796 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2797 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2793 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2794 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2796 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2797 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2799 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2800 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] - node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2802 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2803 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2799 = cat(_T_2798, _T_2795) @[Cat.scala 29:58] + node _T_2800 = cat(_T_2799, _T_2792) @[Cat.scala 29:58] + node _T_2801 = cat(_T_2800, _T_2789) @[Cat.scala 29:58] + node _T_2802 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2803 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2805 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2806 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2805 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2806 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2808 = cat(_T_2807, _T_2804) @[Cat.scala 29:58] - node _T_2809 = cat(_T_2808, _T_2801) @[Cat.scala 29:58] - node _T_2810 = cat(_T_2809, _T_2798) @[Cat.scala 29:58] - node _T_2811 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2812 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2808 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2809 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2810 = and(_T_2808, _T_2809) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2811 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2812 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2814 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2815 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] - node _T_2816 = and(_T_2814, _T_2815) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2817 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2818 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] - node _T_2819 = and(_T_2817, _T_2818) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2820 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2821 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] - node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2823 = cat(_T_2822, _T_2819) @[Cat.scala 29:58] - node _T_2824 = cat(_T_2823, _T_2816) @[Cat.scala 29:58] - node _T_2825 = cat(_T_2824, _T_2813) @[Cat.scala 29:58] - node _T_2826 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2827 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] - node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2829 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2830 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] - node _T_2831 = and(_T_2829, _T_2830) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2832 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2833 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] - node _T_2834 = and(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2835 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 474:85] - node _T_2836 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] - node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 474:89] - node _T_2838 = cat(_T_2837, _T_2834) @[Cat.scala 29:58] - node _T_2839 = cat(_T_2838, _T_2831) @[Cat.scala 29:58] - node _T_2840 = cat(_T_2839, _T_2828) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 474:21] - buf_rsp_pickage[1] <= _T_2810 @[el2_lsu_bus_buffer.scala 474:21] - buf_rsp_pickage[2] <= _T_2825 @[el2_lsu_bus_buffer.scala 474:21] - buf_rsp_pickage[3] <= _T_2840 @[el2_lsu_bus_buffer.scala 474:21] - node _T_2841 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_2842 = and(_T_2841, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_2843 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_2844 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_2845 = or(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 477:34] - node _T_2846 = eq(_T_2845, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_2847 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_2848 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 478:43] - node _T_2850 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2851 = and(_T_2849, _T_2850) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2852 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_2853 = and(_T_2851, _T_2852) @[el2_lsu_bus_buffer.scala 478:92] - node _T_2854 = or(_T_2846, _T_2853) @[el2_lsu_bus_buffer.scala 477:61] - node _T_2855 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_2856 = and(_T_2855, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_2857 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_2858 = and(_T_2856, _T_2857) @[el2_lsu_bus_buffer.scala 479:54] - node _T_2859 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2861 = or(_T_2854, _T_2860) @[el2_lsu_bus_buffer.scala 478:112] - node _T_2862 = and(_T_2842, _T_2861) @[el2_lsu_bus_buffer.scala 476:114] - node _T_2863 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_2864 = and(_T_2863, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_2865 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_2866 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_2867 = or(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 477:34] - node _T_2868 = eq(_T_2867, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_2869 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_2870 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 478:43] - node _T_2872 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2873 = and(_T_2871, _T_2872) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2874 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_2875 = and(_T_2873, _T_2874) @[el2_lsu_bus_buffer.scala 478:92] - node _T_2876 = or(_T_2868, _T_2875) @[el2_lsu_bus_buffer.scala 477:61] - node _T_2877 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_2878 = and(_T_2877, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_2879 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_2880 = and(_T_2878, _T_2879) @[el2_lsu_bus_buffer.scala 479:54] - node _T_2881 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2883 = or(_T_2876, _T_2882) @[el2_lsu_bus_buffer.scala 478:112] - node _T_2884 = and(_T_2864, _T_2883) @[el2_lsu_bus_buffer.scala 476:114] - node _T_2885 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_2886 = and(_T_2885, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_2888 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_2889 = or(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 477:34] - node _T_2890 = eq(_T_2889, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_2891 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_2892 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 478:43] - node _T_2894 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2896 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 478:92] - node _T_2898 = or(_T_2890, _T_2897) @[el2_lsu_bus_buffer.scala 477:61] - node _T_2899 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_2900 = and(_T_2899, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_2901 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_2902 = and(_T_2900, _T_2901) @[el2_lsu_bus_buffer.scala 479:54] - node _T_2903 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2905 = or(_T_2898, _T_2904) @[el2_lsu_bus_buffer.scala 478:112] - node _T_2906 = and(_T_2886, _T_2905) @[el2_lsu_bus_buffer.scala 476:114] - node _T_2907 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_2908 = and(_T_2907, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_2909 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_2910 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_2911 = or(_T_2909, _T_2910) @[el2_lsu_bus_buffer.scala 477:34] - node _T_2912 = eq(_T_2911, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_2913 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_2914 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 478:43] - node _T_2916 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2918 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 478:92] - node _T_2920 = or(_T_2912, _T_2919) @[el2_lsu_bus_buffer.scala 477:61] - node _T_2921 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_2922 = and(_T_2921, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_2923 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_2924 = and(_T_2922, _T_2923) @[el2_lsu_bus_buffer.scala 479:54] - node _T_2925 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2927 = or(_T_2920, _T_2926) @[el2_lsu_bus_buffer.scala 478:112] - node _T_2928 = and(_T_2908, _T_2927) @[el2_lsu_bus_buffer.scala 476:114] - node _T_2929 = cat(_T_2928, _T_2906) @[Cat.scala 29:58] - node _T_2930 = cat(_T_2929, _T_2884) @[Cat.scala 29:58] - node _T_2931 = cat(_T_2930, _T_2862) @[Cat.scala 29:58] - node _T_2932 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_2933 = and(_T_2932, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_2934 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_2935 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_2936 = or(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 477:34] - node _T_2937 = eq(_T_2936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_2938 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_2939 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 478:43] - node _T_2941 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2942 = and(_T_2940, _T_2941) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2943 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_2944 = and(_T_2942, _T_2943) @[el2_lsu_bus_buffer.scala 478:92] - node _T_2945 = or(_T_2937, _T_2944) @[el2_lsu_bus_buffer.scala 477:61] - node _T_2946 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_2947 = and(_T_2946, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_2948 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_2949 = and(_T_2947, _T_2948) @[el2_lsu_bus_buffer.scala 479:54] - node _T_2950 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2952 = or(_T_2945, _T_2951) @[el2_lsu_bus_buffer.scala 478:112] - node _T_2953 = and(_T_2933, _T_2952) @[el2_lsu_bus_buffer.scala 476:114] - node _T_2954 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_2955 = and(_T_2954, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_2956 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_2957 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_2958 = or(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 477:34] - node _T_2959 = eq(_T_2958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_2960 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_2961 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 478:43] - node _T_2963 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2964 = and(_T_2962, _T_2963) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2965 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_2966 = and(_T_2964, _T_2965) @[el2_lsu_bus_buffer.scala 478:92] - node _T_2967 = or(_T_2959, _T_2966) @[el2_lsu_bus_buffer.scala 477:61] - node _T_2968 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_2969 = and(_T_2968, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_2970 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_2971 = and(_T_2969, _T_2970) @[el2_lsu_bus_buffer.scala 479:54] - node _T_2972 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2974 = or(_T_2967, _T_2973) @[el2_lsu_bus_buffer.scala 478:112] - node _T_2975 = and(_T_2955, _T_2974) @[el2_lsu_bus_buffer.scala 476:114] - node _T_2976 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_2977 = and(_T_2976, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_2978 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_2979 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_2980 = or(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 477:34] - node _T_2981 = eq(_T_2980, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_2982 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_2983 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 478:43] - node _T_2985 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2987 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 478:92] - node _T_2989 = or(_T_2981, _T_2988) @[el2_lsu_bus_buffer.scala 477:61] - node _T_2990 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_2991 = and(_T_2990, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_2992 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_2993 = and(_T_2991, _T_2992) @[el2_lsu_bus_buffer.scala 479:54] - node _T_2994 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2996 = or(_T_2989, _T_2995) @[el2_lsu_bus_buffer.scala 478:112] - node _T_2997 = and(_T_2977, _T_2996) @[el2_lsu_bus_buffer.scala 476:114] - node _T_2998 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_2999 = and(_T_2998, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_3001 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3002 = or(_T_3000, _T_3001) @[el2_lsu_bus_buffer.scala 477:34] - node _T_3003 = eq(_T_3002, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_3004 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_3005 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 478:43] - node _T_3007 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3009 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 478:92] - node _T_3011 = or(_T_3003, _T_3010) @[el2_lsu_bus_buffer.scala 477:61] - node _T_3012 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_3013 = and(_T_3012, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_3014 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3015 = and(_T_3013, _T_3014) @[el2_lsu_bus_buffer.scala 479:54] - node _T_3016 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3018 = or(_T_3011, _T_3017) @[el2_lsu_bus_buffer.scala 478:112] - node _T_3019 = and(_T_2999, _T_3018) @[el2_lsu_bus_buffer.scala 476:114] - node _T_3020 = cat(_T_3019, _T_2997) @[Cat.scala 29:58] - node _T_3021 = cat(_T_3020, _T_2975) @[Cat.scala 29:58] - node _T_3022 = cat(_T_3021, _T_2953) @[Cat.scala 29:58] - node _T_3023 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_3024 = and(_T_3023, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_3025 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_3026 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3027 = or(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 477:34] - node _T_3028 = eq(_T_3027, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_3029 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_3030 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_3031 = and(_T_3029, _T_3030) @[el2_lsu_bus_buffer.scala 478:43] - node _T_3032 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3033 = and(_T_3031, _T_3032) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3034 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_3035 = and(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 478:92] - node _T_3036 = or(_T_3028, _T_3035) @[el2_lsu_bus_buffer.scala 477:61] - node _T_3037 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_3038 = and(_T_3037, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_3039 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3040 = and(_T_3038, _T_3039) @[el2_lsu_bus_buffer.scala 479:54] - node _T_3041 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3042 = and(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3043 = or(_T_3036, _T_3042) @[el2_lsu_bus_buffer.scala 478:112] - node _T_3044 = and(_T_3024, _T_3043) @[el2_lsu_bus_buffer.scala 476:114] - node _T_3045 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_3046 = and(_T_3045, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_3047 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_3048 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3049 = or(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 477:34] - node _T_3050 = eq(_T_3049, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_3051 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_3052 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_3053 = and(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 478:43] - node _T_3054 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3055 = and(_T_3053, _T_3054) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3056 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_3057 = and(_T_3055, _T_3056) @[el2_lsu_bus_buffer.scala 478:92] - node _T_3058 = or(_T_3050, _T_3057) @[el2_lsu_bus_buffer.scala 477:61] - node _T_3059 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_3060 = and(_T_3059, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_3061 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3062 = and(_T_3060, _T_3061) @[el2_lsu_bus_buffer.scala 479:54] - node _T_3063 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3064 = and(_T_3062, _T_3063) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3065 = or(_T_3058, _T_3064) @[el2_lsu_bus_buffer.scala 478:112] - node _T_3066 = and(_T_3046, _T_3065) @[el2_lsu_bus_buffer.scala 476:114] - node _T_3067 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_3068 = and(_T_3067, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_3069 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_3070 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 477:34] - node _T_3072 = eq(_T_3071, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_3073 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_3074 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 478:43] - node _T_3076 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3077 = and(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3078 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_3079 = and(_T_3077, _T_3078) @[el2_lsu_bus_buffer.scala 478:92] - node _T_3080 = or(_T_3072, _T_3079) @[el2_lsu_bus_buffer.scala 477:61] - node _T_3081 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_3082 = and(_T_3081, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_3083 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3084 = and(_T_3082, _T_3083) @[el2_lsu_bus_buffer.scala 479:54] - node _T_3085 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3086 = and(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3087 = or(_T_3080, _T_3086) @[el2_lsu_bus_buffer.scala 478:112] - node _T_3088 = and(_T_3068, _T_3087) @[el2_lsu_bus_buffer.scala 476:114] - node _T_3089 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_3090 = and(_T_3089, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_3091 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_3092 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3093 = or(_T_3091, _T_3092) @[el2_lsu_bus_buffer.scala 477:34] - node _T_3094 = eq(_T_3093, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_3095 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_3096 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_3097 = and(_T_3095, _T_3096) @[el2_lsu_bus_buffer.scala 478:43] - node _T_3098 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3099 = and(_T_3097, _T_3098) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3100 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_3101 = and(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 478:92] - node _T_3102 = or(_T_3094, _T_3101) @[el2_lsu_bus_buffer.scala 477:61] - node _T_3103 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_3104 = and(_T_3103, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_3105 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3106 = and(_T_3104, _T_3105) @[el2_lsu_bus_buffer.scala 479:54] - node _T_3107 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3108 = and(_T_3106, _T_3107) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3109 = or(_T_3102, _T_3108) @[el2_lsu_bus_buffer.scala 478:112] - node _T_3110 = and(_T_3090, _T_3109) @[el2_lsu_bus_buffer.scala 476:114] - node _T_3111 = cat(_T_3110, _T_3088) @[Cat.scala 29:58] - node _T_3112 = cat(_T_3111, _T_3066) @[Cat.scala 29:58] - node _T_3113 = cat(_T_3112, _T_3044) @[Cat.scala 29:58] - node _T_3114 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_3115 = and(_T_3114, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_3116 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_3117 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3118 = or(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 477:34] - node _T_3119 = eq(_T_3118, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_3120 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_3121 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_3122 = and(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 478:43] - node _T_3123 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3124 = and(_T_3122, _T_3123) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3125 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_3126 = and(_T_3124, _T_3125) @[el2_lsu_bus_buffer.scala 478:92] - node _T_3127 = or(_T_3119, _T_3126) @[el2_lsu_bus_buffer.scala 477:61] - node _T_3128 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_3129 = and(_T_3128, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_3130 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3131 = and(_T_3129, _T_3130) @[el2_lsu_bus_buffer.scala 479:54] - node _T_3132 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3133 = and(_T_3131, _T_3132) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3134 = or(_T_3127, _T_3133) @[el2_lsu_bus_buffer.scala 478:112] - node _T_3135 = and(_T_3115, _T_3134) @[el2_lsu_bus_buffer.scala 476:114] - node _T_3136 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_3137 = and(_T_3136, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_3138 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_3139 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3140 = or(_T_3138, _T_3139) @[el2_lsu_bus_buffer.scala 477:34] - node _T_3141 = eq(_T_3140, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_3142 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_3143 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_3144 = and(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 478:43] - node _T_3145 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3146 = and(_T_3144, _T_3145) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3147 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_3148 = and(_T_3146, _T_3147) @[el2_lsu_bus_buffer.scala 478:92] - node _T_3149 = or(_T_3141, _T_3148) @[el2_lsu_bus_buffer.scala 477:61] - node _T_3150 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_3151 = and(_T_3150, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_3152 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3153 = and(_T_3151, _T_3152) @[el2_lsu_bus_buffer.scala 479:54] - node _T_3154 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3155 = and(_T_3153, _T_3154) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3156 = or(_T_3149, _T_3155) @[el2_lsu_bus_buffer.scala 478:112] - node _T_3157 = and(_T_3137, _T_3156) @[el2_lsu_bus_buffer.scala 476:114] - node _T_3158 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_3159 = and(_T_3158, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_3160 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_3161 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3162 = or(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 477:34] - node _T_3163 = eq(_T_3162, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_3164 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_3165 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_3166 = and(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 478:43] - node _T_3167 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3168 = and(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3169 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_3170 = and(_T_3168, _T_3169) @[el2_lsu_bus_buffer.scala 478:92] - node _T_3171 = or(_T_3163, _T_3170) @[el2_lsu_bus_buffer.scala 477:61] - node _T_3172 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_3173 = and(_T_3172, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_3174 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3175 = and(_T_3173, _T_3174) @[el2_lsu_bus_buffer.scala 479:54] - node _T_3176 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3177 = and(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3178 = or(_T_3171, _T_3177) @[el2_lsu_bus_buffer.scala 478:112] - node _T_3179 = and(_T_3159, _T_3178) @[el2_lsu_bus_buffer.scala 476:114] - node _T_3180 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] - node _T_3181 = and(_T_3180, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 476:95] - node _T_3182 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] - node _T_3183 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] - node _T_3184 = or(_T_3182, _T_3183) @[el2_lsu_bus_buffer.scala 477:34] - node _T_3185 = eq(_T_3184, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] - node _T_3186 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] - node _T_3187 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] - node _T_3188 = and(_T_3186, _T_3187) @[el2_lsu_bus_buffer.scala 478:43] - node _T_3189 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3190 = and(_T_3188, _T_3189) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3191 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:103] - node _T_3192 = and(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 478:92] - node _T_3193 = or(_T_3185, _T_3192) @[el2_lsu_bus_buffer.scala 477:61] - node _T_3194 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] - node _T_3195 = and(_T_3194, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] - node _T_3196 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3197 = and(_T_3195, _T_3196) @[el2_lsu_bus_buffer.scala 479:54] - node _T_3198 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3199 = and(_T_3197, _T_3198) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3200 = or(_T_3193, _T_3199) @[el2_lsu_bus_buffer.scala 478:112] - node _T_3201 = and(_T_3181, _T_3200) @[el2_lsu_bus_buffer.scala 476:114] - node _T_3202 = cat(_T_3201, _T_3179) @[Cat.scala 29:58] - node _T_3203 = cat(_T_3202, _T_3157) @[Cat.scala 29:58] - node _T_3204 = cat(_T_3203, _T_3135) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2931 @[el2_lsu_bus_buffer.scala 476:20] - buf_rspage_set[1] <= _T_3022 @[el2_lsu_bus_buffer.scala 476:20] - buf_rspage_set[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 476:20] - buf_rspage_set[3] <= _T_3204 @[el2_lsu_bus_buffer.scala 476:20] - node _T_3205 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3206 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 480:105] + node _T_2814 = cat(_T_2813, _T_2810) @[Cat.scala 29:58] + node _T_2815 = cat(_T_2814, _T_2807) @[Cat.scala 29:58] + node _T_2816 = cat(_T_2815, _T_2804) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2771 @[el2_lsu_bus_buffer.scala 474:21] + buf_rsp_pickage[1] <= _T_2786 @[el2_lsu_bus_buffer.scala 474:21] + buf_rsp_pickage[2] <= _T_2801 @[el2_lsu_bus_buffer.scala 474:21] + buf_rsp_pickage[3] <= _T_2816 @[el2_lsu_bus_buffer.scala 474:21] + node _T_2817 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2818 = and(_T_2817, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2819 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2820 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2821 = or(_T_2819, _T_2820) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2822 = eq(_T_2821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2823 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2824 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2826 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2827 = and(_T_2825, _T_2826) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2829 = and(_T_2827, _T_2828) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2830 = or(_T_2822, _T_2829) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2831 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2832 = and(_T_2831, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2833 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2834 = and(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2835 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2836 = and(_T_2834, _T_2835) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2837 = or(_T_2830, _T_2836) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2838 = and(_T_2818, _T_2837) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2839 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2840 = and(_T_2839, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2842 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2843 = or(_T_2841, _T_2842) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2844 = eq(_T_2843, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2845 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2846 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2848 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2850 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2851 = and(_T_2849, _T_2850) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2852 = or(_T_2844, _T_2851) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2853 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2854 = and(_T_2853, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2855 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2856 = and(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2857 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2858 = and(_T_2856, _T_2857) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2859 = or(_T_2852, _T_2858) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2860 = and(_T_2840, _T_2859) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2861 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2862 = and(_T_2861, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2863 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2864 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2865 = or(_T_2863, _T_2864) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2866 = eq(_T_2865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2867 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2868 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2870 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2872 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2873 = and(_T_2871, _T_2872) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2874 = or(_T_2866, _T_2873) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2875 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2876 = and(_T_2875, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2877 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2878 = and(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2879 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2880 = and(_T_2878, _T_2879) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2881 = or(_T_2874, _T_2880) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2882 = and(_T_2862, _T_2881) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2883 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2884 = and(_T_2883, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2885 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2886 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2887 = or(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2888 = eq(_T_2887, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2889 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2890 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2892 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2894 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2896 = or(_T_2888, _T_2895) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2897 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2898 = and(_T_2897, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2899 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2900 = and(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2901 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2902 = and(_T_2900, _T_2901) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2903 = or(_T_2896, _T_2902) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2904 = and(_T_2884, _T_2903) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2905 = cat(_T_2904, _T_2882) @[Cat.scala 29:58] + node _T_2906 = cat(_T_2905, _T_2860) @[Cat.scala 29:58] + node _T_2907 = cat(_T_2906, _T_2838) @[Cat.scala 29:58] + node _T_2908 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2909 = and(_T_2908, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2910 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2911 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2912 = or(_T_2910, _T_2911) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2913 = eq(_T_2912, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2914 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2915 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2917 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2918 = and(_T_2916, _T_2917) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2919 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2920 = and(_T_2918, _T_2919) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2921 = or(_T_2913, _T_2920) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2922 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2923 = and(_T_2922, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2924 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2925 = and(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2926 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2927 = and(_T_2925, _T_2926) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2928 = or(_T_2921, _T_2927) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2929 = and(_T_2909, _T_2928) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2930 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2931 = and(_T_2930, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2932 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2933 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2934 = or(_T_2932, _T_2933) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2935 = eq(_T_2934, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2936 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2937 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2939 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2941 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2942 = and(_T_2940, _T_2941) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2943 = or(_T_2935, _T_2942) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2944 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2945 = and(_T_2944, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2946 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2947 = and(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2948 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2949 = and(_T_2947, _T_2948) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2950 = or(_T_2943, _T_2949) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2951 = and(_T_2931, _T_2950) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2952 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2953 = and(_T_2952, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2954 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2955 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2956 = or(_T_2954, _T_2955) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2957 = eq(_T_2956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2958 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2959 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2961 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2963 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2964 = and(_T_2962, _T_2963) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2965 = or(_T_2957, _T_2964) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2966 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2967 = and(_T_2966, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2968 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2969 = and(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2970 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2971 = and(_T_2969, _T_2970) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2972 = or(_T_2965, _T_2971) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2973 = and(_T_2953, _T_2972) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2974 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2975 = and(_T_2974, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2976 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2977 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2978 = or(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2979 = eq(_T_2978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2980 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2981 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2983 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2985 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2987 = or(_T_2979, _T_2986) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2988 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2989 = and(_T_2988, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2990 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2991 = and(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2992 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2993 = and(_T_2991, _T_2992) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2994 = or(_T_2987, _T_2993) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2995 = and(_T_2975, _T_2994) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2996 = cat(_T_2995, _T_2973) @[Cat.scala 29:58] + node _T_2997 = cat(_T_2996, _T_2951) @[Cat.scala 29:58] + node _T_2998 = cat(_T_2997, _T_2929) @[Cat.scala 29:58] + node _T_2999 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3000 = and(_T_2999, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3001 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3002 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3003 = or(_T_3001, _T_3002) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3004 = eq(_T_3003, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3005 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3006 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3008 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3009 = and(_T_3007, _T_3008) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3010 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3011 = and(_T_3009, _T_3010) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3012 = or(_T_3004, _T_3011) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3013 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3014 = and(_T_3013, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3015 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3016 = and(_T_3014, _T_3015) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3017 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3018 = and(_T_3016, _T_3017) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3019 = or(_T_3012, _T_3018) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3020 = and(_T_3000, _T_3019) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3021 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3022 = and(_T_3021, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3023 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3024 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3025 = or(_T_3023, _T_3024) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3026 = eq(_T_3025, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3027 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3028 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3030 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3031 = and(_T_3029, _T_3030) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3032 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3033 = and(_T_3031, _T_3032) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3034 = or(_T_3026, _T_3033) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3035 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3036 = and(_T_3035, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3037 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3038 = and(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3039 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3040 = and(_T_3038, _T_3039) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3041 = or(_T_3034, _T_3040) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3042 = and(_T_3022, _T_3041) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3043 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3044 = and(_T_3043, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3045 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3046 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3047 = or(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3048 = eq(_T_3047, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3049 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3050 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3052 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3053 = and(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3054 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3055 = and(_T_3053, _T_3054) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3056 = or(_T_3048, _T_3055) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3057 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3058 = and(_T_3057, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3059 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3060 = and(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3061 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3062 = and(_T_3060, _T_3061) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3063 = or(_T_3056, _T_3062) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3064 = and(_T_3044, _T_3063) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3065 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3066 = and(_T_3065, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3067 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3068 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3069 = or(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3070 = eq(_T_3069, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3071 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3072 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3073 = and(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3074 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3076 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3077 = and(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3078 = or(_T_3070, _T_3077) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3079 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3080 = and(_T_3079, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3081 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3082 = and(_T_3080, _T_3081) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3083 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3084 = and(_T_3082, _T_3083) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3085 = or(_T_3078, _T_3084) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3086 = and(_T_3066, _T_3085) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3087 = cat(_T_3086, _T_3064) @[Cat.scala 29:58] + node _T_3088 = cat(_T_3087, _T_3042) @[Cat.scala 29:58] + node _T_3089 = cat(_T_3088, _T_3020) @[Cat.scala 29:58] + node _T_3090 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3091 = and(_T_3090, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3092 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3093 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3094 = or(_T_3092, _T_3093) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3095 = eq(_T_3094, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3096 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3097 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3098 = and(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3099 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3100 = and(_T_3098, _T_3099) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3101 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3102 = and(_T_3100, _T_3101) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3103 = or(_T_3095, _T_3102) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3104 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3105 = and(_T_3104, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3106 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3107 = and(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3108 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3109 = and(_T_3107, _T_3108) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3110 = or(_T_3103, _T_3109) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3111 = and(_T_3091, _T_3110) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3112 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3113 = and(_T_3112, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3114 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3115 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3117 = eq(_T_3116, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3118 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3119 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3120 = and(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3121 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3122 = and(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3123 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3124 = and(_T_3122, _T_3123) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3125 = or(_T_3117, _T_3124) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3126 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3127 = and(_T_3126, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3128 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3129 = and(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3130 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3131 = and(_T_3129, _T_3130) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3132 = or(_T_3125, _T_3131) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3133 = and(_T_3113, _T_3132) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3134 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3135 = and(_T_3134, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3136 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3137 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3140 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3141 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3142 = and(_T_3140, _T_3141) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3143 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3144 = and(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3145 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3146 = and(_T_3144, _T_3145) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3147 = or(_T_3139, _T_3146) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3148 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3149 = and(_T_3148, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3150 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3151 = and(_T_3149, _T_3150) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3152 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3153 = and(_T_3151, _T_3152) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3154 = or(_T_3147, _T_3153) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3155 = and(_T_3135, _T_3154) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3156 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3157 = and(_T_3156, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3158 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3159 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3160 = or(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3161 = eq(_T_3160, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3164 = and(_T_3162, _T_3163) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3165 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3166 = and(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3167 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3168 = and(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3169 = or(_T_3161, _T_3168) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3171 = and(_T_3170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3172 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3173 = and(_T_3171, _T_3172) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3174 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3175 = and(_T_3173, _T_3174) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3176 = or(_T_3169, _T_3175) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3177 = and(_T_3157, _T_3176) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3178 = cat(_T_3177, _T_3155) @[Cat.scala 29:58] + node _T_3179 = cat(_T_3178, _T_3133) @[Cat.scala 29:58] + node _T_3180 = cat(_T_3179, _T_3111) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2907 @[el2_lsu_bus_buffer.scala 476:20] + buf_rspage_set[1] <= _T_2998 @[el2_lsu_bus_buffer.scala 476:20] + buf_rspage_set[2] <= _T_3089 @[el2_lsu_bus_buffer.scala 476:20] + buf_rspage_set[3] <= _T_3180 @[el2_lsu_bus_buffer.scala 476:20] + node _T_3181 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3182 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3184 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3185 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3187 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3188 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3190 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3191 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3193 = cat(_T_3192, _T_3189) @[Cat.scala 29:58] + node _T_3194 = cat(_T_3193, _T_3186) @[Cat.scala 29:58] + node _T_3195 = cat(_T_3194, _T_3183) @[Cat.scala 29:58] + node _T_3196 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3197 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3199 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3200 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3202 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3203 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3205 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3206 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 480:105] node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3208 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3209 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 480:105] - node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3211 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3212 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3208 = cat(_T_3207, _T_3204) @[Cat.scala 29:58] + node _T_3209 = cat(_T_3208, _T_3201) @[Cat.scala 29:58] + node _T_3210 = cat(_T_3209, _T_3198) @[Cat.scala 29:58] + node _T_3211 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3212 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 480:105] node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3214 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3215 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3214 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3215 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 480:105] node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3217 = cat(_T_3216, _T_3213) @[Cat.scala 29:58] - node _T_3218 = cat(_T_3217, _T_3210) @[Cat.scala 29:58] - node _T_3219 = cat(_T_3218, _T_3207) @[Cat.scala 29:58] - node _T_3220 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3221 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3217 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3218 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3220 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3221 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 480:105] node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3223 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3224 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 480:105] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3226 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3227 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3223 = cat(_T_3222, _T_3219) @[Cat.scala 29:58] + node _T_3224 = cat(_T_3223, _T_3216) @[Cat.scala 29:58] + node _T_3225 = cat(_T_3224, _T_3213) @[Cat.scala 29:58] + node _T_3226 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3227 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 480:105] node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3229 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3230 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3229 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3230 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 480:105] node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3232 = cat(_T_3231, _T_3228) @[Cat.scala 29:58] - node _T_3233 = cat(_T_3232, _T_3225) @[Cat.scala 29:58] - node _T_3234 = cat(_T_3233, _T_3222) @[Cat.scala 29:58] - node _T_3235 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3236 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3232 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3233 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3234 = or(_T_3232, _T_3233) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3235 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3236 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 480:105] node _T_3237 = or(_T_3235, _T_3236) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3238 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3239 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 480:105] - node _T_3240 = or(_T_3238, _T_3239) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3241 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3242 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:105] - node _T_3243 = or(_T_3241, _T_3242) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3244 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3245 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 480:105] - node _T_3246 = or(_T_3244, _T_3245) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3247 = cat(_T_3246, _T_3243) @[Cat.scala 29:58] - node _T_3248 = cat(_T_3247, _T_3240) @[Cat.scala 29:58] - node _T_3249 = cat(_T_3248, _T_3237) @[Cat.scala 29:58] - node _T_3250 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3251 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 480:105] - node _T_3252 = or(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3253 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3254 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 480:105] - node _T_3255 = or(_T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3256 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3257 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:105] - node _T_3258 = or(_T_3256, _T_3257) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3259 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3260 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 480:105] - node _T_3261 = or(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 480:90] - node _T_3262 = cat(_T_3261, _T_3258) @[Cat.scala 29:58] - node _T_3263 = cat(_T_3262, _T_3255) @[Cat.scala 29:58] - node _T_3264 = cat(_T_3263, _T_3252) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3219 @[el2_lsu_bus_buffer.scala 480:19] - buf_rspage_in[1] <= _T_3234 @[el2_lsu_bus_buffer.scala 480:19] - buf_rspage_in[2] <= _T_3249 @[el2_lsu_bus_buffer.scala 480:19] - buf_rspage_in[3] <= _T_3264 @[el2_lsu_bus_buffer.scala 480:19] - node _T_3265 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3266 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3267 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3268 = or(_T_3266, _T_3267) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3270 = and(_T_3265, _T_3269) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3271 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3272 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3273 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3274 = or(_T_3272, _T_3273) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3275 = eq(_T_3274, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3276 = and(_T_3271, _T_3275) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3277 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3278 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3279 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3280 = or(_T_3278, _T_3279) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3282 = and(_T_3277, _T_3281) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3283 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3284 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3285 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3286 = or(_T_3284, _T_3285) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3287 = eq(_T_3286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3288 = and(_T_3283, _T_3287) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3289 = cat(_T_3288, _T_3282) @[Cat.scala 29:58] - node _T_3290 = cat(_T_3289, _T_3276) @[Cat.scala 29:58] - node _T_3291 = cat(_T_3290, _T_3270) @[Cat.scala 29:58] - node _T_3292 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3293 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3294 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3295 = or(_T_3293, _T_3294) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3297 = and(_T_3292, _T_3296) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3298 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3299 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3300 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3301 = or(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3302 = eq(_T_3301, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3303 = and(_T_3298, _T_3302) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3304 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3305 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3306 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3307 = or(_T_3305, _T_3306) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3309 = and(_T_3304, _T_3308) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3310 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3311 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3312 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3313 = or(_T_3311, _T_3312) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3314 = eq(_T_3313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3315 = and(_T_3310, _T_3314) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3316 = cat(_T_3315, _T_3309) @[Cat.scala 29:58] - node _T_3317 = cat(_T_3316, _T_3303) @[Cat.scala 29:58] - node _T_3318 = cat(_T_3317, _T_3297) @[Cat.scala 29:58] - node _T_3319 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3320 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3321 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3322 = or(_T_3320, _T_3321) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3324 = and(_T_3319, _T_3323) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3325 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3326 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3327 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3328 = or(_T_3326, _T_3327) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3329 = eq(_T_3328, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3330 = and(_T_3325, _T_3329) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3331 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3332 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3333 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3334 = or(_T_3332, _T_3333) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3335 = eq(_T_3334, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3336 = and(_T_3331, _T_3335) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3337 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3338 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3339 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3340 = or(_T_3338, _T_3339) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3341 = eq(_T_3340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3342 = and(_T_3337, _T_3341) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3343 = cat(_T_3342, _T_3336) @[Cat.scala 29:58] - node _T_3344 = cat(_T_3343, _T_3330) @[Cat.scala 29:58] - node _T_3345 = cat(_T_3344, _T_3324) @[Cat.scala 29:58] - node _T_3346 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3347 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3348 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3349 = or(_T_3347, _T_3348) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3350 = eq(_T_3349, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3351 = and(_T_3346, _T_3350) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3352 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3353 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3354 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3355 = or(_T_3353, _T_3354) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3356 = eq(_T_3355, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3357 = and(_T_3352, _T_3356) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3358 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3359 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3360 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3361 = or(_T_3359, _T_3360) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3362 = eq(_T_3361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3363 = and(_T_3358, _T_3362) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3364 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 481:80] - node _T_3365 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] - node _T_3366 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] - node _T_3367 = or(_T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 481:112] - node _T_3368 = eq(_T_3367, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3369 = and(_T_3364, _T_3368) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3370 = cat(_T_3369, _T_3363) @[Cat.scala 29:58] - node _T_3371 = cat(_T_3370, _T_3357) @[Cat.scala 29:58] - node _T_3372 = cat(_T_3371, _T_3351) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3291 @[el2_lsu_bus_buffer.scala 481:16] - buf_rspage[1] <= _T_3318 @[el2_lsu_bus_buffer.scala 481:16] - buf_rspage[2] <= _T_3345 @[el2_lsu_bus_buffer.scala 481:16] - buf_rspage[3] <= _T_3372 @[el2_lsu_bus_buffer.scala 481:16] - node _T_3373 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3374 = and(ibuf_drain_vld, _T_3373) @[el2_lsu_bus_buffer.scala 486:65] - node _T_3375 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3376 = and(ibuf_drain_vld, _T_3375) @[el2_lsu_bus_buffer.scala 486:65] - node _T_3377 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3378 = and(ibuf_drain_vld, _T_3377) @[el2_lsu_bus_buffer.scala 486:65] - node _T_3379 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3380 = and(ibuf_drain_vld, _T_3379) @[el2_lsu_bus_buffer.scala 486:65] - node _T_3381 = cat(_T_3380, _T_3378) @[Cat.scala 29:58] - node _T_3382 = cat(_T_3381, _T_3376) @[Cat.scala 29:58] - node _T_3383 = cat(_T_3382, _T_3374) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3383 @[el2_lsu_bus_buffer.scala 486:23] - node _T_3384 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:66] - node _T_3385 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 487:86] - node _T_3386 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:20] - node _T_3387 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3388 = and(_T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 488:37] - node _T_3389 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:73] - node _T_3390 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:96] - node _T_3391 = mux(_T_3388, _T_3389, _T_3390) @[el2_lsu_bus_buffer.scala 488:10] - node _T_3392 = mux(_T_3384, _T_3385, _T_3391) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3393 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:66] - node _T_3394 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 487:86] - node _T_3395 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:20] - node _T_3396 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3397 = and(_T_3395, _T_3396) @[el2_lsu_bus_buffer.scala 488:37] - node _T_3398 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:73] - node _T_3399 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:96] - node _T_3400 = mux(_T_3397, _T_3398, _T_3399) @[el2_lsu_bus_buffer.scala 488:10] - node _T_3401 = mux(_T_3393, _T_3394, _T_3400) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3402 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:66] - node _T_3403 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 487:86] - node _T_3404 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:20] - node _T_3405 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3406 = and(_T_3404, _T_3405) @[el2_lsu_bus_buffer.scala 488:37] - node _T_3407 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:73] - node _T_3408 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:96] - node _T_3409 = mux(_T_3406, _T_3407, _T_3408) @[el2_lsu_bus_buffer.scala 488:10] - node _T_3410 = mux(_T_3402, _T_3403, _T_3409) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3411 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:66] - node _T_3412 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 487:86] - node _T_3413 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:20] - node _T_3414 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3415 = and(_T_3413, _T_3414) @[el2_lsu_bus_buffer.scala 488:37] - node _T_3416 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:73] - node _T_3417 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:96] - node _T_3418 = mux(_T_3415, _T_3416, _T_3417) @[el2_lsu_bus_buffer.scala 488:10] - node _T_3419 = mux(_T_3411, _T_3412, _T_3418) @[el2_lsu_bus_buffer.scala 487:48] - buf_byteen_in[0] <= _T_3392 @[el2_lsu_bus_buffer.scala 487:19] - buf_byteen_in[1] <= _T_3401 @[el2_lsu_bus_buffer.scala 487:19] - buf_byteen_in[2] <= _T_3410 @[el2_lsu_bus_buffer.scala 487:19] - buf_byteen_in[3] <= _T_3419 @[el2_lsu_bus_buffer.scala 487:19] - node _T_3420 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:64] - node _T_3421 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:93] - node _T_3422 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:121] - node _T_3423 = and(_T_3421, _T_3422) @[el2_lsu_bus_buffer.scala 489:110] - node _T_3424 = mux(_T_3423, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 489:83] - node _T_3425 = mux(_T_3420, ibuf_addr, _T_3424) @[el2_lsu_bus_buffer.scala 489:46] - node _T_3426 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:64] - node _T_3427 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:93] - node _T_3428 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 489:121] - node _T_3429 = and(_T_3427, _T_3428) @[el2_lsu_bus_buffer.scala 489:110] - node _T_3430 = mux(_T_3429, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 489:83] - node _T_3431 = mux(_T_3426, ibuf_addr, _T_3430) @[el2_lsu_bus_buffer.scala 489:46] - node _T_3432 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:64] - node _T_3433 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:93] - node _T_3434 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 489:121] - node _T_3435 = and(_T_3433, _T_3434) @[el2_lsu_bus_buffer.scala 489:110] - node _T_3436 = mux(_T_3435, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 489:83] - node _T_3437 = mux(_T_3432, ibuf_addr, _T_3436) @[el2_lsu_bus_buffer.scala 489:46] - node _T_3438 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:64] - node _T_3439 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:93] - node _T_3440 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 489:121] - node _T_3441 = and(_T_3439, _T_3440) @[el2_lsu_bus_buffer.scala 489:110] - node _T_3442 = mux(_T_3441, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 489:83] - node _T_3443 = mux(_T_3438, ibuf_addr, _T_3442) @[el2_lsu_bus_buffer.scala 489:46] - buf_addr_in[0] <= _T_3425 @[el2_lsu_bus_buffer.scala 489:17] - buf_addr_in[1] <= _T_3431 @[el2_lsu_bus_buffer.scala 489:17] - buf_addr_in[2] <= _T_3437 @[el2_lsu_bus_buffer.scala 489:17] - buf_addr_in[3] <= _T_3443 @[el2_lsu_bus_buffer.scala 489:17] - node _T_3444 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:65] - node _T_3445 = mux(_T_3444, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:47] - node _T_3446 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:65] - node _T_3447 = mux(_T_3446, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:47] - node _T_3448 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:65] - node _T_3449 = mux(_T_3448, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:47] - node _T_3450 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:65] - node _T_3451 = mux(_T_3450, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:47] - node _T_3452 = cat(_T_3451, _T_3449) @[Cat.scala 29:58] - node _T_3453 = cat(_T_3452, _T_3447) @[Cat.scala 29:58] - node _T_3454 = cat(_T_3453, _T_3445) @[Cat.scala 29:58] - buf_dual_in <= _T_3454 @[el2_lsu_bus_buffer.scala 490:17] - node _T_3455 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 491:67] - node _T_3456 = mux(_T_3455, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3457 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 491:67] - node _T_3458 = mux(_T_3457, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3459 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 491:67] - node _T_3460 = mux(_T_3459, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3461 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 491:67] - node _T_3462 = mux(_T_3461, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3463 = cat(_T_3462, _T_3460) @[Cat.scala 29:58] - node _T_3464 = cat(_T_3463, _T_3458) @[Cat.scala 29:58] - node _T_3465 = cat(_T_3464, _T_3456) @[Cat.scala 29:58] - buf_samedw_in <= _T_3465 @[el2_lsu_bus_buffer.scala 491:19] - node _T_3466 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 492:68] - node _T_3467 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 492:86] - node _T_3468 = mux(_T_3466, _T_3467, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 492:50] - node _T_3469 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 492:68] - node _T_3470 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 492:86] - node _T_3471 = mux(_T_3469, _T_3470, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 492:50] - node _T_3472 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 492:68] - node _T_3473 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 492:86] - node _T_3474 = mux(_T_3472, _T_3473, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 492:50] - node _T_3475 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 492:68] - node _T_3476 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 492:86] - node _T_3477 = mux(_T_3475, _T_3476, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 492:50] - node _T_3478 = cat(_T_3477, _T_3474) @[Cat.scala 29:58] - node _T_3479 = cat(_T_3478, _T_3471) @[Cat.scala 29:58] - node _T_3480 = cat(_T_3479, _T_3468) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3480 @[el2_lsu_bus_buffer.scala 492:20] - node _T_3481 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 493:67] - node _T_3482 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:92] - node _T_3483 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:120] - node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 493:109] - node _T_3485 = mux(_T_3481, ibuf_dual, _T_3484) @[el2_lsu_bus_buffer.scala 493:49] - node _T_3486 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 493:67] - node _T_3487 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:92] - node _T_3488 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 493:120] - node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 493:109] - node _T_3490 = mux(_T_3486, ibuf_dual, _T_3489) @[el2_lsu_bus_buffer.scala 493:49] - node _T_3491 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 493:67] - node _T_3492 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:92] - node _T_3493 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 493:120] - node _T_3494 = and(_T_3492, _T_3493) @[el2_lsu_bus_buffer.scala 493:109] - node _T_3495 = mux(_T_3491, ibuf_dual, _T_3494) @[el2_lsu_bus_buffer.scala 493:49] - node _T_3496 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 493:67] - node _T_3497 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:92] - node _T_3498 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 493:120] - node _T_3499 = and(_T_3497, _T_3498) @[el2_lsu_bus_buffer.scala 493:109] - node _T_3500 = mux(_T_3496, ibuf_dual, _T_3499) @[el2_lsu_bus_buffer.scala 493:49] - node _T_3501 = cat(_T_3500, _T_3495) @[Cat.scala 29:58] - node _T_3502 = cat(_T_3501, _T_3490) @[Cat.scala 29:58] - node _T_3503 = cat(_T_3502, _T_3485) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3503 @[el2_lsu_bus_buffer.scala 493:19] - node _T_3504 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 494:67] - node _T_3505 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:99] - node _T_3506 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:127] - node _T_3507 = and(_T_3505, _T_3506) @[el2_lsu_bus_buffer.scala 494:116] - node _T_3508 = mux(_T_3507, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:89] - node _T_3509 = mux(_T_3504, ibuf_dualtag, _T_3508) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3510 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 494:67] - node _T_3511 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:99] - node _T_3512 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:127] - node _T_3513 = and(_T_3511, _T_3512) @[el2_lsu_bus_buffer.scala 494:116] - node _T_3514 = mux(_T_3513, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:89] - node _T_3515 = mux(_T_3510, ibuf_dualtag, _T_3514) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3516 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 494:67] - node _T_3517 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:99] - node _T_3518 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 494:127] - node _T_3519 = and(_T_3517, _T_3518) @[el2_lsu_bus_buffer.scala 494:116] - node _T_3520 = mux(_T_3519, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:89] - node _T_3521 = mux(_T_3516, ibuf_dualtag, _T_3520) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3522 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 494:67] - node _T_3523 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:99] - node _T_3524 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 494:127] - node _T_3525 = and(_T_3523, _T_3524) @[el2_lsu_bus_buffer.scala 494:116] - node _T_3526 = mux(_T_3525, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:89] - node _T_3527 = mux(_T_3522, ibuf_dualtag, _T_3526) @[el2_lsu_bus_buffer.scala 494:49] - buf_dualtag_in[0] <= _T_3509 @[el2_lsu_bus_buffer.scala 494:20] - buf_dualtag_in[1] <= _T_3515 @[el2_lsu_bus_buffer.scala 494:20] - buf_dualtag_in[2] <= _T_3521 @[el2_lsu_bus_buffer.scala 494:20] - buf_dualtag_in[3] <= _T_3527 @[el2_lsu_bus_buffer.scala 494:20] - node _T_3528 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 495:71] - node _T_3529 = mux(_T_3528, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 495:53] - node _T_3530 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 495:71] - node _T_3531 = mux(_T_3530, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 495:53] - node _T_3532 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 495:71] - node _T_3533 = mux(_T_3532, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 495:53] - node _T_3534 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 495:71] - node _T_3535 = mux(_T_3534, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 495:53] - node _T_3536 = cat(_T_3535, _T_3533) @[Cat.scala 29:58] - node _T_3537 = cat(_T_3536, _T_3531) @[Cat.scala 29:58] - node _T_3538 = cat(_T_3537, _T_3529) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3538 @[el2_lsu_bus_buffer.scala 495:23] - node _T_3539 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 496:67] - node _T_3540 = mux(_T_3539, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 496:49] - node _T_3541 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 496:67] - node _T_3542 = mux(_T_3541, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 496:49] - node _T_3543 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 496:67] - node _T_3544 = mux(_T_3543, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 496:49] - node _T_3545 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 496:67] - node _T_3546 = mux(_T_3545, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 496:49] - node _T_3547 = cat(_T_3546, _T_3544) @[Cat.scala 29:58] - node _T_3548 = cat(_T_3547, _T_3542) @[Cat.scala 29:58] - node _T_3549 = cat(_T_3548, _T_3540) @[Cat.scala 29:58] - buf_unsign_in <= _T_3549 @[el2_lsu_bus_buffer.scala 496:19] - node _T_3550 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 497:62] - node _T_3551 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3552 = mux(_T_3550, ibuf_sz, _T_3551) @[el2_lsu_bus_buffer.scala 497:44] - node _T_3553 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 497:62] - node _T_3554 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3555 = mux(_T_3553, ibuf_sz, _T_3554) @[el2_lsu_bus_buffer.scala 497:44] - node _T_3556 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 497:62] - node _T_3557 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3558 = mux(_T_3556, ibuf_sz, _T_3557) @[el2_lsu_bus_buffer.scala 497:44] - node _T_3559 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 497:62] - node _T_3560 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3561 = mux(_T_3559, ibuf_sz, _T_3560) @[el2_lsu_bus_buffer.scala 497:44] - buf_sz_in[0] <= _T_3552 @[el2_lsu_bus_buffer.scala 497:15] - buf_sz_in[1] <= _T_3555 @[el2_lsu_bus_buffer.scala 497:15] - buf_sz_in[2] <= _T_3558 @[el2_lsu_bus_buffer.scala 497:15] - buf_sz_in[3] <= _T_3561 @[el2_lsu_bus_buffer.scala 497:15] - node _T_3562 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 498:66] - node _T_3563 = mux(_T_3562, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 498:48] - node _T_3564 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 498:66] - node _T_3565 = mux(_T_3564, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 498:48] - node _T_3566 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 498:66] - node _T_3567 = mux(_T_3566, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 498:48] - node _T_3568 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 498:66] - node _T_3569 = mux(_T_3568, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 498:48] - node _T_3570 = cat(_T_3569, _T_3567) @[Cat.scala 29:58] - node _T_3571 = cat(_T_3570, _T_3565) @[Cat.scala 29:58] - node _T_3572 = cat(_T_3571, _T_3563) @[Cat.scala 29:58] - buf_write_in <= _T_3572 @[el2_lsu_bus_buffer.scala 498:18] - node _T_3573 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3573 : @[Conditional.scala 40:58] - node _T_3574 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 503:56] - node _T_3575 = mux(_T_3574, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[0] <= _T_3575 @[el2_lsu_bus_buffer.scala 503:25] - node _T_3576 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 504:45] - node _T_3577 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:77] - node _T_3578 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:97] - node _T_3579 = and(_T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 504:95] - node _T_3580 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 504:117] - node _T_3581 = and(_T_3579, _T_3580) @[el2_lsu_bus_buffer.scala 504:112] - node _T_3582 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:144] - node _T_3583 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 504:166] - node _T_3584 = and(_T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 504:161] - node _T_3585 = or(_T_3581, _T_3584) @[el2_lsu_bus_buffer.scala 504:132] - node _T_3586 = and(_T_3576, _T_3585) @[el2_lsu_bus_buffer.scala 504:63] - node _T_3587 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 504:206] - node _T_3588 = and(ibuf_drain_vld, _T_3587) @[el2_lsu_bus_buffer.scala 504:201] - node _T_3589 = or(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 504:183] - buf_state_en[0] <= _T_3589 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3238 = cat(_T_3237, _T_3234) @[Cat.scala 29:58] + node _T_3239 = cat(_T_3238, _T_3231) @[Cat.scala 29:58] + node _T_3240 = cat(_T_3239, _T_3228) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3195 @[el2_lsu_bus_buffer.scala 480:19] + buf_rspage_in[1] <= _T_3210 @[el2_lsu_bus_buffer.scala 480:19] + buf_rspage_in[2] <= _T_3225 @[el2_lsu_bus_buffer.scala 480:19] + buf_rspage_in[3] <= _T_3240 @[el2_lsu_bus_buffer.scala 480:19] + node _T_3241 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3242 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3243 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3247 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3248 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3249 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3253 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3254 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3255 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3259 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3260 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3261 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3262 = or(_T_3260, _T_3261) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3264 = and(_T_3259, _T_3263) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3265 = cat(_T_3264, _T_3258) @[Cat.scala 29:58] + node _T_3266 = cat(_T_3265, _T_3252) @[Cat.scala 29:58] + node _T_3267 = cat(_T_3266, _T_3246) @[Cat.scala 29:58] + node _T_3268 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3269 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3270 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3274 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3275 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3276 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3280 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3281 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3286 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3287 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3288 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3289 = or(_T_3287, _T_3288) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3291 = and(_T_3286, _T_3290) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3292 = cat(_T_3291, _T_3285) @[Cat.scala 29:58] + node _T_3293 = cat(_T_3292, _T_3279) @[Cat.scala 29:58] + node _T_3294 = cat(_T_3293, _T_3273) @[Cat.scala 29:58] + node _T_3295 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3296 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3297 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3301 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3302 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3303 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3307 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3308 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3309 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3313 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3314 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3315 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3316 = or(_T_3314, _T_3315) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3318 = and(_T_3313, _T_3317) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3319 = cat(_T_3318, _T_3312) @[Cat.scala 29:58] + node _T_3320 = cat(_T_3319, _T_3306) @[Cat.scala 29:58] + node _T_3321 = cat(_T_3320, _T_3300) @[Cat.scala 29:58] + node _T_3322 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3323 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3324 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3325 = or(_T_3323, _T_3324) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3326 = eq(_T_3325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3327 = and(_T_3322, _T_3326) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3328 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3329 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3330 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3331 = or(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3332 = eq(_T_3331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3333 = and(_T_3328, _T_3332) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3334 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3335 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3336 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3337 = or(_T_3335, _T_3336) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3338 = eq(_T_3337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3339 = and(_T_3334, _T_3338) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3340 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3341 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3342 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3343 = or(_T_3341, _T_3342) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3344 = eq(_T_3343, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3345 = and(_T_3340, _T_3344) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3346 = cat(_T_3345, _T_3339) @[Cat.scala 29:58] + node _T_3347 = cat(_T_3346, _T_3333) @[Cat.scala 29:58] + node _T_3348 = cat(_T_3347, _T_3327) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3267 @[el2_lsu_bus_buffer.scala 481:16] + buf_rspage[1] <= _T_3294 @[el2_lsu_bus_buffer.scala 481:16] + buf_rspage[2] <= _T_3321 @[el2_lsu_bus_buffer.scala 481:16] + buf_rspage[3] <= _T_3348 @[el2_lsu_bus_buffer.scala 481:16] + node _T_3349 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3350 = and(ibuf_drain_vld, _T_3349) @[el2_lsu_bus_buffer.scala 486:65] + node _T_3351 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3352 = and(ibuf_drain_vld, _T_3351) @[el2_lsu_bus_buffer.scala 486:65] + node _T_3353 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3354 = and(ibuf_drain_vld, _T_3353) @[el2_lsu_bus_buffer.scala 486:65] + node _T_3355 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3356 = and(ibuf_drain_vld, _T_3355) @[el2_lsu_bus_buffer.scala 486:65] + node _T_3357 = cat(_T_3356, _T_3354) @[Cat.scala 29:58] + node _T_3358 = cat(_T_3357, _T_3352) @[Cat.scala 29:58] + node _T_3359 = cat(_T_3358, _T_3350) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3359 @[el2_lsu_bus_buffer.scala 486:23] + node _T_3360 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:66] + node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 487:86] + node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:20] + node _T_3363 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3364 = and(_T_3362, _T_3363) @[el2_lsu_bus_buffer.scala 488:37] + node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:96] + node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 488:10] + node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3369 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:66] + node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 487:86] + node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:20] + node _T_3372 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3373 = and(_T_3371, _T_3372) @[el2_lsu_bus_buffer.scala 488:37] + node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:96] + node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 488:10] + node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3378 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:66] + node _T_3379 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 487:86] + node _T_3380 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:20] + node _T_3381 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3382 = and(_T_3380, _T_3381) @[el2_lsu_bus_buffer.scala 488:37] + node _T_3383 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3384 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:96] + node _T_3385 = mux(_T_3382, _T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 488:10] + node _T_3386 = mux(_T_3378, _T_3379, _T_3385) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3387 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:66] + node _T_3388 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 487:86] + node _T_3389 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:20] + node _T_3390 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3391 = and(_T_3389, _T_3390) @[el2_lsu_bus_buffer.scala 488:37] + node _T_3392 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3393 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:96] + node _T_3394 = mux(_T_3391, _T_3392, _T_3393) @[el2_lsu_bus_buffer.scala 488:10] + node _T_3395 = mux(_T_3387, _T_3388, _T_3394) @[el2_lsu_bus_buffer.scala 487:48] + buf_byteen_in[0] <= _T_3368 @[el2_lsu_bus_buffer.scala 487:19] + buf_byteen_in[1] <= _T_3377 @[el2_lsu_bus_buffer.scala 487:19] + buf_byteen_in[2] <= _T_3386 @[el2_lsu_bus_buffer.scala 487:19] + buf_byteen_in[3] <= _T_3395 @[el2_lsu_bus_buffer.scala 487:19] + node _T_3396 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:64] + node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:93] + node _T_3398 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:121] + node _T_3399 = and(_T_3397, _T_3398) @[el2_lsu_bus_buffer.scala 489:110] + node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 489:83] + node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[el2_lsu_bus_buffer.scala 489:46] + node _T_3402 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:64] + node _T_3403 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:93] + node _T_3404 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 489:121] + node _T_3405 = and(_T_3403, _T_3404) @[el2_lsu_bus_buffer.scala 489:110] + node _T_3406 = mux(_T_3405, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 489:83] + node _T_3407 = mux(_T_3402, ibuf_addr, _T_3406) @[el2_lsu_bus_buffer.scala 489:46] + node _T_3408 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:64] + node _T_3409 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:93] + node _T_3410 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 489:121] + node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 489:110] + node _T_3412 = mux(_T_3411, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 489:83] + node _T_3413 = mux(_T_3408, ibuf_addr, _T_3412) @[el2_lsu_bus_buffer.scala 489:46] + node _T_3414 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:64] + node _T_3415 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:93] + node _T_3416 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 489:121] + node _T_3417 = and(_T_3415, _T_3416) @[el2_lsu_bus_buffer.scala 489:110] + node _T_3418 = mux(_T_3417, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 489:83] + node _T_3419 = mux(_T_3414, ibuf_addr, _T_3418) @[el2_lsu_bus_buffer.scala 489:46] + buf_addr_in[0] <= _T_3401 @[el2_lsu_bus_buffer.scala 489:17] + buf_addr_in[1] <= _T_3407 @[el2_lsu_bus_buffer.scala 489:17] + buf_addr_in[2] <= _T_3413 @[el2_lsu_bus_buffer.scala 489:17] + buf_addr_in[3] <= _T_3419 @[el2_lsu_bus_buffer.scala 489:17] + node _T_3420 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:65] + node _T_3421 = mux(_T_3420, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3422 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:65] + node _T_3423 = mux(_T_3422, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3424 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:65] + node _T_3425 = mux(_T_3424, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:65] + node _T_3427 = mux(_T_3426, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3428 = cat(_T_3427, _T_3425) @[Cat.scala 29:58] + node _T_3429 = cat(_T_3428, _T_3423) @[Cat.scala 29:58] + node _T_3430 = cat(_T_3429, _T_3421) @[Cat.scala 29:58] + buf_dual_in <= _T_3430 @[el2_lsu_bus_buffer.scala 490:17] + node _T_3431 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 491:67] + node _T_3432 = mux(_T_3431, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3433 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 491:67] + node _T_3434 = mux(_T_3433, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3435 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 491:67] + node _T_3436 = mux(_T_3435, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3437 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 491:67] + node _T_3438 = mux(_T_3437, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3439 = cat(_T_3438, _T_3436) @[Cat.scala 29:58] + node _T_3440 = cat(_T_3439, _T_3434) @[Cat.scala 29:58] + node _T_3441 = cat(_T_3440, _T_3432) @[Cat.scala 29:58] + buf_samedw_in <= _T_3441 @[el2_lsu_bus_buffer.scala 491:19] + node _T_3442 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 492:68] + node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 492:86] + node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 492:50] + node _T_3445 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 492:68] + node _T_3446 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 492:86] + node _T_3447 = mux(_T_3445, _T_3446, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 492:50] + node _T_3448 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 492:68] + node _T_3449 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 492:86] + node _T_3450 = mux(_T_3448, _T_3449, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 492:50] + node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 492:68] + node _T_3452 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 492:86] + node _T_3453 = mux(_T_3451, _T_3452, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 492:50] + node _T_3454 = cat(_T_3453, _T_3450) @[Cat.scala 29:58] + node _T_3455 = cat(_T_3454, _T_3447) @[Cat.scala 29:58] + node _T_3456 = cat(_T_3455, _T_3444) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3456 @[el2_lsu_bus_buffer.scala 492:20] + node _T_3457 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3458 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:92] + node _T_3459 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:120] + node _T_3460 = and(_T_3458, _T_3459) @[el2_lsu_bus_buffer.scala 493:109] + node _T_3461 = mux(_T_3457, ibuf_dual, _T_3460) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3462 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3463 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:92] + node _T_3464 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 493:120] + node _T_3465 = and(_T_3463, _T_3464) @[el2_lsu_bus_buffer.scala 493:109] + node _T_3466 = mux(_T_3462, ibuf_dual, _T_3465) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:92] + node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 493:120] + node _T_3470 = and(_T_3468, _T_3469) @[el2_lsu_bus_buffer.scala 493:109] + node _T_3471 = mux(_T_3467, ibuf_dual, _T_3470) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3472 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3473 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:92] + node _T_3474 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 493:120] + node _T_3475 = and(_T_3473, _T_3474) @[el2_lsu_bus_buffer.scala 493:109] + node _T_3476 = mux(_T_3472, ibuf_dual, _T_3475) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3477 = cat(_T_3476, _T_3471) @[Cat.scala 29:58] + node _T_3478 = cat(_T_3477, _T_3466) @[Cat.scala 29:58] + node _T_3479 = cat(_T_3478, _T_3461) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3479 @[el2_lsu_bus_buffer.scala 493:19] + node _T_3480 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 494:67] + node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:99] + node _T_3482 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:127] + node _T_3483 = and(_T_3481, _T_3482) @[el2_lsu_bus_buffer.scala 494:116] + node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:89] + node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[el2_lsu_bus_buffer.scala 494:49] + node _T_3486 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 494:67] + node _T_3487 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:99] + node _T_3488 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:127] + node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 494:116] + node _T_3490 = mux(_T_3489, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:89] + node _T_3491 = mux(_T_3486, ibuf_dualtag, _T_3490) @[el2_lsu_bus_buffer.scala 494:49] + node _T_3492 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 494:67] + node _T_3493 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:99] + node _T_3494 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 494:127] + node _T_3495 = and(_T_3493, _T_3494) @[el2_lsu_bus_buffer.scala 494:116] + node _T_3496 = mux(_T_3495, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:89] + node _T_3497 = mux(_T_3492, ibuf_dualtag, _T_3496) @[el2_lsu_bus_buffer.scala 494:49] + node _T_3498 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 494:67] + node _T_3499 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:99] + node _T_3500 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 494:127] + node _T_3501 = and(_T_3499, _T_3500) @[el2_lsu_bus_buffer.scala 494:116] + node _T_3502 = mux(_T_3501, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:89] + node _T_3503 = mux(_T_3498, ibuf_dualtag, _T_3502) @[el2_lsu_bus_buffer.scala 494:49] + buf_dualtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 494:20] + buf_dualtag_in[1] <= _T_3491 @[el2_lsu_bus_buffer.scala 494:20] + buf_dualtag_in[2] <= _T_3497 @[el2_lsu_bus_buffer.scala 494:20] + buf_dualtag_in[3] <= _T_3503 @[el2_lsu_bus_buffer.scala 494:20] + node _T_3504 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 495:71] + node _T_3505 = mux(_T_3504, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3506 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 495:71] + node _T_3507 = mux(_T_3506, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3508 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 495:71] + node _T_3509 = mux(_T_3508, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 495:71] + node _T_3511 = mux(_T_3510, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3512 = cat(_T_3511, _T_3509) @[Cat.scala 29:58] + node _T_3513 = cat(_T_3512, _T_3507) @[Cat.scala 29:58] + node _T_3514 = cat(_T_3513, _T_3505) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3514 @[el2_lsu_bus_buffer.scala 495:23] + node _T_3515 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 496:67] + node _T_3516 = mux(_T_3515, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3517 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 496:67] + node _T_3518 = mux(_T_3517, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3519 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 496:67] + node _T_3520 = mux(_T_3519, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3521 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 496:67] + node _T_3522 = mux(_T_3521, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3523 = cat(_T_3522, _T_3520) @[Cat.scala 29:58] + node _T_3524 = cat(_T_3523, _T_3518) @[Cat.scala 29:58] + node _T_3525 = cat(_T_3524, _T_3516) @[Cat.scala 29:58] + buf_unsign_in <= _T_3525 @[el2_lsu_bus_buffer.scala 496:19] + node _T_3526 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 497:62] + node _T_3527 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 497:44] + node _T_3529 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 497:62] + node _T_3530 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3531 = mux(_T_3529, ibuf_sz, _T_3530) @[el2_lsu_bus_buffer.scala 497:44] + node _T_3532 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 497:62] + node _T_3533 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3534 = mux(_T_3532, ibuf_sz, _T_3533) @[el2_lsu_bus_buffer.scala 497:44] + node _T_3535 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 497:62] + node _T_3536 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3537 = mux(_T_3535, ibuf_sz, _T_3536) @[el2_lsu_bus_buffer.scala 497:44] + buf_sz_in[0] <= _T_3528 @[el2_lsu_bus_buffer.scala 497:15] + buf_sz_in[1] <= _T_3531 @[el2_lsu_bus_buffer.scala 497:15] + buf_sz_in[2] <= _T_3534 @[el2_lsu_bus_buffer.scala 497:15] + buf_sz_in[3] <= _T_3537 @[el2_lsu_bus_buffer.scala 497:15] + node _T_3538 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 498:66] + node _T_3539 = mux(_T_3538, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3540 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 498:66] + node _T_3541 = mux(_T_3540, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3542 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 498:66] + node _T_3543 = mux(_T_3542, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3544 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 498:66] + node _T_3545 = mux(_T_3544, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3546 = cat(_T_3545, _T_3543) @[Cat.scala 29:58] + node _T_3547 = cat(_T_3546, _T_3541) @[Cat.scala 29:58] + node _T_3548 = cat(_T_3547, _T_3539) @[Cat.scala 29:58] + buf_write_in <= _T_3548 @[el2_lsu_bus_buffer.scala 498:18] + node _T_3549 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3549 : @[Conditional.scala 40:58] + node _T_3550 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 503:56] + node _T_3551 = mux(_T_3550, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[0] <= _T_3551 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3552 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 504:45] + node _T_3553 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:77] + node _T_3554 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:97] + node _T_3555 = and(_T_3553, _T_3554) @[el2_lsu_bus_buffer.scala 504:95] + node _T_3556 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 504:117] + node _T_3557 = and(_T_3555, _T_3556) @[el2_lsu_bus_buffer.scala 504:112] + node _T_3558 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:144] + node _T_3559 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 504:166] + node _T_3560 = and(_T_3558, _T_3559) @[el2_lsu_bus_buffer.scala 504:161] + node _T_3561 = or(_T_3557, _T_3560) @[el2_lsu_bus_buffer.scala 504:132] + node _T_3562 = and(_T_3552, _T_3561) @[el2_lsu_bus_buffer.scala 504:63] + node _T_3563 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 504:206] + node _T_3564 = and(ibuf_drain_vld, _T_3563) @[el2_lsu_bus_buffer.scala 504:201] + node _T_3565 = or(_T_3562, _T_3564) @[el2_lsu_bus_buffer.scala 504:183] + buf_state_en[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 504:25] buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 505:22] buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 506:24] - node _T_3590 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 507:52] - node _T_3591 = and(ibuf_drain_vld, _T_3590) @[el2_lsu_bus_buffer.scala 507:47] - node _T_3592 = bits(_T_3591, 0, 0) @[el2_lsu_bus_buffer.scala 507:73] - node _T_3593 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 507:90] - node _T_3594 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 507:114] - node _T_3595 = mux(_T_3592, _T_3593, _T_3594) @[el2_lsu_bus_buffer.scala 507:30] - buf_data_in[0] <= _T_3595 @[el2_lsu_bus_buffer.scala 507:24] + node _T_3566 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 507:52] + node _T_3567 = and(ibuf_drain_vld, _T_3566) @[el2_lsu_bus_buffer.scala 507:47] + node _T_3568 = bits(_T_3567, 0, 0) @[el2_lsu_bus_buffer.scala 507:73] + node _T_3569 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 507:90] + node _T_3570 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 507:114] + node _T_3571 = mux(_T_3568, _T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 507:30] + buf_data_in[0] <= _T_3571 @[el2_lsu_bus_buffer.scala 507:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3596 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3596 : @[Conditional.scala 39:67] - node _T_3597 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] - node _T_3598 = mux(_T_3597, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:31] - buf_nxtstate[0] <= _T_3598 @[el2_lsu_bus_buffer.scala 510:25] - node _T_3599 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:46] - buf_state_en[0] <= _T_3599 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3572 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3572 : @[Conditional.scala 39:67] + node _T_3573 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3574 = mux(_T_3573, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[0] <= _T_3574 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3575 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:46] + buf_state_en[0] <= _T_3575 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3600 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3600 : @[Conditional.scala 39:67] - node _T_3601 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] - node _T_3602 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:89] - node _T_3603 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 514:124] - node _T_3604 = and(_T_3602, _T_3603) @[el2_lsu_bus_buffer.scala 514:104] - node _T_3605 = mux(_T_3604, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:75] - node _T_3606 = mux(_T_3601, UInt<3>("h00"), _T_3605) @[el2_lsu_bus_buffer.scala 514:31] - buf_nxtstate[0] <= _T_3606 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3607 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 515:48] - node _T_3608 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 515:104] - node _T_3609 = and(obuf_merge, _T_3608) @[el2_lsu_bus_buffer.scala 515:91] - node _T_3610 = or(_T_3607, _T_3609) @[el2_lsu_bus_buffer.scala 515:77] - node _T_3611 = and(_T_3610, obuf_valid) @[el2_lsu_bus_buffer.scala 515:135] - node _T_3612 = and(_T_3611, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 515:148] - buf_cmd_state_bus_en[0] <= _T_3612 @[el2_lsu_bus_buffer.scala 515:33] + node _T_3576 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3576 : @[Conditional.scala 39:67] + node _T_3577 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] + node _T_3578 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:89] + node _T_3579 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 514:124] + node _T_3580 = and(_T_3578, _T_3579) @[el2_lsu_bus_buffer.scala 514:104] + node _T_3581 = mux(_T_3580, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:75] + node _T_3582 = mux(_T_3577, UInt<3>("h00"), _T_3581) @[el2_lsu_bus_buffer.scala 514:31] + buf_nxtstate[0] <= _T_3582 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3583 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 515:48] + node _T_3584 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 515:104] + node _T_3585 = and(obuf_merge, _T_3584) @[el2_lsu_bus_buffer.scala 515:91] + node _T_3586 = or(_T_3583, _T_3585) @[el2_lsu_bus_buffer.scala 515:77] + node _T_3587 = and(_T_3586, obuf_valid) @[el2_lsu_bus_buffer.scala 515:135] + node _T_3588 = and(_T_3587, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 515:148] + buf_cmd_state_bus_en[0] <= _T_3588 @[el2_lsu_bus_buffer.scala 515:33] buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 516:29] - node _T_3613 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 517:49] - node _T_3614 = or(_T_3613, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 517:70] - buf_state_en[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 517:25] + node _T_3589 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 517:49] + node _T_3590 = or(_T_3589, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 517:70] + buf_state_en[0] <= _T_3590 @[el2_lsu_bus_buffer.scala 517:25] buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:25] - node _T_3615 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:56] - node _T_3616 = eq(_T_3615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:46] - node _T_3617 = and(buf_state_en[0], _T_3616) @[el2_lsu_bus_buffer.scala 519:44] - node _T_3618 = and(_T_3617, obuf_nosend) @[el2_lsu_bus_buffer.scala 519:60] - node _T_3619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:76] - node _T_3620 = and(_T_3618, _T_3619) @[el2_lsu_bus_buffer.scala 519:74] - buf_ldfwd_en[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 519:25] - node _T_3621 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 520:46] - buf_ldfwdtag_in[0] <= _T_3621 @[el2_lsu_bus_buffer.scala 520:28] - node _T_3622 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:47] - node _T_3623 = and(_T_3622, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:67] - node _T_3624 = and(_T_3623, bus_rsp_read) @[el2_lsu_bus_buffer.scala 521:81] - buf_data_en[0] <= _T_3624 @[el2_lsu_bus_buffer.scala 521:24] - node _T_3625 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:48] - node _T_3626 = and(_T_3625, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:68] - node _T_3627 = and(_T_3626, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 522:82] - buf_error_en[0] <= _T_3627 @[el2_lsu_bus_buffer.scala 522:25] - node _T_3628 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:61] - node _T_3629 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 523:85] - node _T_3630 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 523:103] - node _T_3631 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:126] - node _T_3632 = mux(_T_3629, _T_3630, _T_3631) @[el2_lsu_bus_buffer.scala 523:73] - node _T_3633 = mux(buf_error_en[0], _T_3628, _T_3632) @[el2_lsu_bus_buffer.scala 523:30] - buf_data_in[0] <= _T_3633 @[el2_lsu_bus_buffer.scala 523:24] + node _T_3591 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:56] + node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:46] + node _T_3593 = and(buf_state_en[0], _T_3592) @[el2_lsu_bus_buffer.scala 519:44] + node _T_3594 = and(_T_3593, obuf_nosend) @[el2_lsu_bus_buffer.scala 519:60] + node _T_3595 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:76] + node _T_3596 = and(_T_3594, _T_3595) @[el2_lsu_bus_buffer.scala 519:74] + buf_ldfwd_en[0] <= _T_3596 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3597 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 520:46] + buf_ldfwdtag_in[0] <= _T_3597 @[el2_lsu_bus_buffer.scala 520:28] + node _T_3598 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:47] + node _T_3599 = and(_T_3598, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:67] + node _T_3600 = and(_T_3599, bus_rsp_read) @[el2_lsu_bus_buffer.scala 521:81] + buf_data_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 521:24] + node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:48] + node _T_3602 = and(_T_3601, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:68] + node _T_3603 = and(_T_3602, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 522:82] + buf_error_en[0] <= _T_3603 @[el2_lsu_bus_buffer.scala 522:25] + node _T_3604 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:61] + node _T_3605 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 523:85] + node _T_3606 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 523:103] + node _T_3607 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:126] + node _T_3608 = mux(_T_3605, _T_3606, _T_3607) @[el2_lsu_bus_buffer.scala 523:73] + node _T_3609 = mux(buf_error_en[0], _T_3604, _T_3608) @[el2_lsu_bus_buffer.scala 523:30] + buf_data_in[0] <= _T_3609 @[el2_lsu_bus_buffer.scala 523:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3634 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3634 : @[Conditional.scala 39:67] - node _T_3635 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 526:67] - node _T_3636 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 526:94] - node _T_3637 = eq(_T_3636, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:73] - node _T_3638 = and(_T_3635, _T_3637) @[el2_lsu_bus_buffer.scala 526:71] - node _T_3639 = or(io.dec_tlu_force_halt, _T_3638) @[el2_lsu_bus_buffer.scala 526:55] - node _T_3640 = bits(_T_3639, 0, 0) @[el2_lsu_bus_buffer.scala 526:125] - node _T_3641 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:30] - node _T_3642 = and(buf_dual[0], _T_3641) @[el2_lsu_bus_buffer.scala 527:28] - node _T_3643 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 527:57] - node _T_3644 = eq(_T_3643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:47] - node _T_3645 = and(_T_3642, _T_3644) @[el2_lsu_bus_buffer.scala 527:45] - node _T_3646 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:90] - node _T_3647 = and(_T_3645, _T_3646) @[el2_lsu_bus_buffer.scala 527:61] - node _T_3648 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 528:27] - node _T_3649 = or(_T_3648, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:31] - node _T_3650 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:70] - node _T_3651 = and(buf_dual[0], _T_3650) @[el2_lsu_bus_buffer.scala 528:68] - node _T_3652 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 528:97] - node _T_3653 = eq(_T_3652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:87] - node _T_3654 = and(_T_3651, _T_3653) @[el2_lsu_bus_buffer.scala 528:85] - node _T_3655 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3656 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3657 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3658 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3659 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3660 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3661 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3662 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3663 = mux(_T_3655, _T_3656, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3664 = mux(_T_3657, _T_3658, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3665 = mux(_T_3659, _T_3660, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3666 = mux(_T_3661, _T_3662, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3667 = or(_T_3663, _T_3664) @[Mux.scala 27:72] - node _T_3668 = or(_T_3667, _T_3665) @[Mux.scala 27:72] - node _T_3669 = or(_T_3668, _T_3666) @[Mux.scala 27:72] - wire _T_3670 : UInt<1> @[Mux.scala 27:72] - _T_3670 <= _T_3669 @[Mux.scala 27:72] - node _T_3671 = and(_T_3654, _T_3670) @[el2_lsu_bus_buffer.scala 528:101] - node _T_3672 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:167] - node _T_3673 = and(_T_3671, _T_3672) @[el2_lsu_bus_buffer.scala 528:138] - node _T_3674 = and(_T_3673, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:187] - node _T_3675 = or(_T_3649, _T_3674) @[el2_lsu_bus_buffer.scala 528:53] - node _T_3676 = mux(_T_3675, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 528:16] - node _T_3677 = mux(_T_3647, UInt<3>("h04"), _T_3676) @[el2_lsu_bus_buffer.scala 527:14] - node _T_3678 = mux(_T_3640, UInt<3>("h00"), _T_3677) @[el2_lsu_bus_buffer.scala 526:31] - buf_nxtstate[0] <= _T_3678 @[el2_lsu_bus_buffer.scala 526:25] - node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 529:73] - node _T_3680 = and(bus_rsp_write, _T_3679) @[el2_lsu_bus_buffer.scala 529:52] - node _T_3681 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 530:46] - node _T_3682 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 531:23] - node _T_3683 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 531:47] - node _T_3684 = and(_T_3682, _T_3683) @[el2_lsu_bus_buffer.scala 531:27] - node _T_3685 = or(_T_3681, _T_3684) @[el2_lsu_bus_buffer.scala 530:77] - node _T_3686 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 532:26] - node _T_3687 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 532:54] - node _T_3688 = not(_T_3687) @[el2_lsu_bus_buffer.scala 532:44] - node _T_3689 = and(_T_3686, _T_3688) @[el2_lsu_bus_buffer.scala 532:42] - node _T_3690 = and(_T_3689, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 532:58] - node _T_3691 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 532:94] - node _T_3692 = and(_T_3690, _T_3691) @[el2_lsu_bus_buffer.scala 532:74] - node _T_3693 = or(_T_3685, _T_3692) @[el2_lsu_bus_buffer.scala 531:71] - node _T_3694 = and(bus_rsp_read, _T_3693) @[el2_lsu_bus_buffer.scala 530:25] - node _T_3695 = or(_T_3680, _T_3694) @[el2_lsu_bus_buffer.scala 529:105] - buf_resp_state_bus_en[0] <= _T_3695 @[el2_lsu_bus_buffer.scala 529:34] + node _T_3610 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3610 : @[Conditional.scala 39:67] + node _T_3611 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 526:67] + node _T_3612 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 526:94] + node _T_3613 = eq(_T_3612, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:73] + node _T_3614 = and(_T_3611, _T_3613) @[el2_lsu_bus_buffer.scala 526:71] + node _T_3615 = or(io.dec_tlu_force_halt, _T_3614) @[el2_lsu_bus_buffer.scala 526:55] + node _T_3616 = bits(_T_3615, 0, 0) @[el2_lsu_bus_buffer.scala 526:125] + node _T_3617 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:30] + node _T_3618 = and(buf_dual[0], _T_3617) @[el2_lsu_bus_buffer.scala 527:28] + node _T_3619 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 527:57] + node _T_3620 = eq(_T_3619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:47] + node _T_3621 = and(_T_3618, _T_3620) @[el2_lsu_bus_buffer.scala 527:45] + node _T_3622 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:90] + node _T_3623 = and(_T_3621, _T_3622) @[el2_lsu_bus_buffer.scala 527:61] + node _T_3624 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 528:27] + node _T_3625 = or(_T_3624, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:31] + node _T_3626 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:70] + node _T_3627 = and(buf_dual[0], _T_3626) @[el2_lsu_bus_buffer.scala 528:68] + node _T_3628 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 528:97] + node _T_3629 = eq(_T_3628, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:87] + node _T_3630 = and(_T_3627, _T_3629) @[el2_lsu_bus_buffer.scala 528:85] + node _T_3631 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3632 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3633 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3634 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3635 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3636 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3637 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3638 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3639 = mux(_T_3631, _T_3632, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3640 = mux(_T_3633, _T_3634, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3641 = mux(_T_3635, _T_3636, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3642 = mux(_T_3637, _T_3638, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3643 = or(_T_3639, _T_3640) @[Mux.scala 27:72] + node _T_3644 = or(_T_3643, _T_3641) @[Mux.scala 27:72] + node _T_3645 = or(_T_3644, _T_3642) @[Mux.scala 27:72] + wire _T_3646 : UInt<1> @[Mux.scala 27:72] + _T_3646 <= _T_3645 @[Mux.scala 27:72] + node _T_3647 = and(_T_3630, _T_3646) @[el2_lsu_bus_buffer.scala 528:101] + node _T_3648 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:167] + node _T_3649 = and(_T_3647, _T_3648) @[el2_lsu_bus_buffer.scala 528:138] + node _T_3650 = and(_T_3649, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:187] + node _T_3651 = or(_T_3625, _T_3650) @[el2_lsu_bus_buffer.scala 528:53] + node _T_3652 = mux(_T_3651, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 528:16] + node _T_3653 = mux(_T_3623, UInt<3>("h04"), _T_3652) @[el2_lsu_bus_buffer.scala 527:14] + node _T_3654 = mux(_T_3616, UInt<3>("h00"), _T_3653) @[el2_lsu_bus_buffer.scala 526:31] + buf_nxtstate[0] <= _T_3654 @[el2_lsu_bus_buffer.scala 526:25] + node _T_3655 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 529:73] + node _T_3656 = and(bus_rsp_write, _T_3655) @[el2_lsu_bus_buffer.scala 529:52] + node _T_3657 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 530:46] + node _T_3658 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 531:23] + node _T_3659 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 531:47] + node _T_3660 = and(_T_3658, _T_3659) @[el2_lsu_bus_buffer.scala 531:27] + node _T_3661 = or(_T_3657, _T_3660) @[el2_lsu_bus_buffer.scala 530:77] + node _T_3662 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 532:26] + node _T_3663 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 532:54] + node _T_3664 = not(_T_3663) @[el2_lsu_bus_buffer.scala 532:44] + node _T_3665 = and(_T_3662, _T_3664) @[el2_lsu_bus_buffer.scala 532:42] + node _T_3666 = and(_T_3665, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 532:58] + node _T_3667 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 532:94] + node _T_3668 = and(_T_3666, _T_3667) @[el2_lsu_bus_buffer.scala 532:74] + node _T_3669 = or(_T_3661, _T_3668) @[el2_lsu_bus_buffer.scala 531:71] + node _T_3670 = and(bus_rsp_read, _T_3669) @[el2_lsu_bus_buffer.scala 530:25] + node _T_3671 = or(_T_3656, _T_3670) @[el2_lsu_bus_buffer.scala 529:105] + buf_resp_state_bus_en[0] <= _T_3671 @[el2_lsu_bus_buffer.scala 529:34] buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 533:29] - node _T_3696 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] - node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] - buf_state_en[0] <= _T_3697 @[el2_lsu_bus_buffer.scala 534:25] - node _T_3698 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 535:47] - node _T_3699 = and(_T_3698, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:62] - buf_data_en[0] <= _T_3699 @[el2_lsu_bus_buffer.scala 535:24] - node _T_3700 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:48] - node _T_3701 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:111] - node _T_3702 = and(bus_rsp_read_error, _T_3701) @[el2_lsu_bus_buffer.scala 536:91] - node _T_3703 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 537:42] - node _T_3704 = and(bus_rsp_read_error, _T_3703) @[el2_lsu_bus_buffer.scala 537:31] - node _T_3705 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 537:66] - node _T_3706 = and(_T_3704, _T_3705) @[el2_lsu_bus_buffer.scala 537:46] - node _T_3707 = or(_T_3702, _T_3706) @[el2_lsu_bus_buffer.scala 536:143] - node _T_3708 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 538:32] - node _T_3709 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 538:74] - node _T_3710 = and(_T_3708, _T_3709) @[el2_lsu_bus_buffer.scala 538:53] - node _T_3711 = or(_T_3707, _T_3710) @[el2_lsu_bus_buffer.scala 537:88] - node _T_3712 = and(_T_3700, _T_3711) @[el2_lsu_bus_buffer.scala 536:68] - buf_error_en[0] <= _T_3712 @[el2_lsu_bus_buffer.scala 536:25] - node _T_3713 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:50] - node _T_3714 = and(buf_state_en[0], _T_3713) @[el2_lsu_bus_buffer.scala 539:48] - node _T_3715 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 539:84] - node _T_3716 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 539:102] - node _T_3717 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:125] - node _T_3718 = mux(_T_3715, _T_3716, _T_3717) @[el2_lsu_bus_buffer.scala 539:72] - node _T_3719 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:148] - node _T_3720 = mux(_T_3714, _T_3718, _T_3719) @[el2_lsu_bus_buffer.scala 539:30] - buf_data_in[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 539:24] + node _T_3672 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] + node _T_3673 = or(_T_3672, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] + buf_state_en[0] <= _T_3673 @[el2_lsu_bus_buffer.scala 534:25] + node _T_3674 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 535:47] + node _T_3675 = and(_T_3674, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:62] + buf_data_en[0] <= _T_3675 @[el2_lsu_bus_buffer.scala 535:24] + node _T_3676 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:48] + node _T_3677 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:111] + node _T_3678 = and(bus_rsp_read_error, _T_3677) @[el2_lsu_bus_buffer.scala 536:91] + node _T_3679 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 537:42] + node _T_3680 = and(bus_rsp_read_error, _T_3679) @[el2_lsu_bus_buffer.scala 537:31] + node _T_3681 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 537:66] + node _T_3682 = and(_T_3680, _T_3681) @[el2_lsu_bus_buffer.scala 537:46] + node _T_3683 = or(_T_3678, _T_3682) @[el2_lsu_bus_buffer.scala 536:143] + node _T_3684 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 538:32] + node _T_3685 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 538:74] + node _T_3686 = and(_T_3684, _T_3685) @[el2_lsu_bus_buffer.scala 538:53] + node _T_3687 = or(_T_3683, _T_3686) @[el2_lsu_bus_buffer.scala 537:88] + node _T_3688 = and(_T_3676, _T_3687) @[el2_lsu_bus_buffer.scala 536:68] + buf_error_en[0] <= _T_3688 @[el2_lsu_bus_buffer.scala 536:25] + node _T_3689 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:50] + node _T_3690 = and(buf_state_en[0], _T_3689) @[el2_lsu_bus_buffer.scala 539:48] + node _T_3691 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 539:84] + node _T_3692 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 539:102] + node _T_3693 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:125] + node _T_3694 = mux(_T_3691, _T_3692, _T_3693) @[el2_lsu_bus_buffer.scala 539:72] + node _T_3695 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:148] + node _T_3696 = mux(_T_3690, _T_3694, _T_3695) @[el2_lsu_bus_buffer.scala 539:30] + buf_data_in[0] <= _T_3696 @[el2_lsu_bus_buffer.scala 539:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3721 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3721 : @[Conditional.scala 39:67] - node _T_3722 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] - node _T_3723 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 542:86] - node _T_3724 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 542:101] - node _T_3725 = bits(_T_3724, 0, 0) @[el2_lsu_bus_buffer.scala 542:101] - node _T_3726 = or(_T_3723, _T_3725) @[el2_lsu_bus_buffer.scala 542:90] - node _T_3727 = or(_T_3726, any_done_wait_state) @[el2_lsu_bus_buffer.scala 542:118] - node _T_3728 = mux(_T_3727, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 542:75] - node _T_3729 = mux(_T_3722, UInt<3>("h00"), _T_3728) @[el2_lsu_bus_buffer.scala 542:31] - buf_nxtstate[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 542:25] - node _T_3730 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 543:66] - node _T_3731 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 544:21] - node _T_3732 = bits(_T_3731, 0, 0) @[el2_lsu_bus_buffer.scala 544:21] - node _T_3733 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 544:58] - node _T_3734 = and(_T_3732, _T_3733) @[el2_lsu_bus_buffer.scala 544:38] - node _T_3735 = or(_T_3730, _T_3734) @[el2_lsu_bus_buffer.scala 543:95] - node _T_3736 = and(bus_rsp_read, _T_3735) @[el2_lsu_bus_buffer.scala 543:45] - buf_state_bus_en[0] <= _T_3736 @[el2_lsu_bus_buffer.scala 543:29] - node _T_3737 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 545:49] - node _T_3738 = or(_T_3737, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 545:70] - buf_state_en[0] <= _T_3738 @[el2_lsu_bus_buffer.scala 545:25] + node _T_3697 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3697 : @[Conditional.scala 39:67] + node _T_3698 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] + node _T_3699 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 542:86] + node _T_3700 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 542:101] + node _T_3701 = bits(_T_3700, 0, 0) @[el2_lsu_bus_buffer.scala 542:101] + node _T_3702 = or(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 542:90] + node _T_3703 = or(_T_3702, any_done_wait_state) @[el2_lsu_bus_buffer.scala 542:118] + node _T_3704 = mux(_T_3703, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 542:75] + node _T_3705 = mux(_T_3698, UInt<3>("h00"), _T_3704) @[el2_lsu_bus_buffer.scala 542:31] + buf_nxtstate[0] <= _T_3705 @[el2_lsu_bus_buffer.scala 542:25] + node _T_3706 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 543:66] + node _T_3707 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 544:21] + node _T_3708 = bits(_T_3707, 0, 0) @[el2_lsu_bus_buffer.scala 544:21] + node _T_3709 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 544:58] + node _T_3710 = and(_T_3708, _T_3709) @[el2_lsu_bus_buffer.scala 544:38] + node _T_3711 = or(_T_3706, _T_3710) @[el2_lsu_bus_buffer.scala 543:95] + node _T_3712 = and(bus_rsp_read, _T_3711) @[el2_lsu_bus_buffer.scala 543:45] + buf_state_bus_en[0] <= _T_3712 @[el2_lsu_bus_buffer.scala 543:29] + node _T_3713 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 545:49] + node _T_3714 = or(_T_3713, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 545:70] + buf_state_en[0] <= _T_3714 @[el2_lsu_bus_buffer.scala 545:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3739 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3739 : @[Conditional.scala 39:67] - node _T_3740 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] - node _T_3741 = mux(_T_3740, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 548:31] - buf_nxtstate[0] <= _T_3741 @[el2_lsu_bus_buffer.scala 548:25] - node _T_3742 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 549:37] - node _T_3743 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 549:98] - node _T_3744 = and(buf_dual[0], _T_3743) @[el2_lsu_bus_buffer.scala 549:80] - node _T_3745 = or(_T_3742, _T_3744) @[el2_lsu_bus_buffer.scala 549:65] - node _T_3746 = or(_T_3745, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 549:112] - buf_state_en[0] <= _T_3746 @[el2_lsu_bus_buffer.scala 549:25] + node _T_3715 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3715 : @[Conditional.scala 39:67] + node _T_3716 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] + node _T_3717 = mux(_T_3716, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 548:31] + buf_nxtstate[0] <= _T_3717 @[el2_lsu_bus_buffer.scala 548:25] + node _T_3718 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 549:37] + node _T_3719 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 549:98] + node _T_3720 = and(buf_dual[0], _T_3719) @[el2_lsu_bus_buffer.scala 549:80] + node _T_3721 = or(_T_3718, _T_3720) @[el2_lsu_bus_buffer.scala 549:65] + node _T_3722 = or(_T_3721, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 549:112] + buf_state_en[0] <= _T_3722 @[el2_lsu_bus_buffer.scala 549:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3747 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3747 : @[Conditional.scala 39:67] + node _T_3723 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3723 : @[Conditional.scala 39:67] buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 552:25] buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:20] buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:25] buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 555:25] buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 556:25] skip @[Conditional.scala 39:67] - node _T_3748 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 559:108] - reg _T_3749 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3748 : @[Reg.scala 28:19] - _T_3749 <= buf_nxtstate[0] @[Reg.scala 28:23] + node _T_3724 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 559:108] + reg _T_3725 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3724 : @[Reg.scala 28:19] + _T_3725 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3749 @[el2_lsu_bus_buffer.scala 559:18] - reg _T_3750 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:60] - _T_3750 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 560:60] - buf_ageQ[0] <= _T_3750 @[el2_lsu_bus_buffer.scala 560:17] - reg _T_3751 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:63] - _T_3751 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 561:63] - buf_rspageQ[0] <= _T_3751 @[el2_lsu_bus_buffer.scala 561:20] - node _T_3752 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:109] - reg _T_3753 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3752 : @[Reg.scala 28:19] - _T_3753 <= buf_dualtag_in[0] @[Reg.scala 28:23] + buf_state[0] <= _T_3725 @[el2_lsu_bus_buffer.scala 559:18] + reg _T_3726 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:60] + _T_3726 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 560:60] + buf_ageQ[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 560:17] + reg _T_3727 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:63] + _T_3727 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 561:63] + buf_rspageQ[0] <= _T_3727 @[el2_lsu_bus_buffer.scala 561:20] + node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:109] + reg _T_3729 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3728 : @[Reg.scala 28:19] + _T_3729 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3753 @[el2_lsu_bus_buffer.scala 562:20] - node _T_3754 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:74] - node _T_3755 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:107] - reg _T_3756 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3755 : @[Reg.scala 28:19] - _T_3756 <= _T_3754 @[Reg.scala 28:23] + buf_dualtag[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 562:20] + node _T_3730 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:74] + node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:107] + reg _T_3732 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3731 : @[Reg.scala 28:19] + _T_3732 <= _T_3730 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3756 @[el2_lsu_bus_buffer.scala 563:17] - node _T_3757 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:78] - node _T_3758 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:111] - reg _T_3759 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3758 : @[Reg.scala 28:19] - _T_3759 <= _T_3757 @[Reg.scala 28:23] + buf_dual[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 563:17] + node _T_3733 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:78] + node _T_3734 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:111] + reg _T_3735 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3734 : @[Reg.scala 28:19] + _T_3735 <= _T_3733 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3759 @[el2_lsu_bus_buffer.scala 564:19] - node _T_3760 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:80] - node _T_3761 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:113] - reg _T_3762 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3761 : @[Reg.scala 28:19] - _T_3762 <= _T_3760 @[Reg.scala 28:23] + buf_samedw[0] <= _T_3735 @[el2_lsu_bus_buffer.scala 564:19] + node _T_3736 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:80] + node _T_3737 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:113] + reg _T_3738 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3737 : @[Reg.scala 28:19] + _T_3738 <= _T_3736 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3762 @[el2_lsu_bus_buffer.scala 565:20] - node _T_3763 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 566:78] - node _T_3764 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] - reg _T_3765 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3764 : @[Reg.scala 28:19] - _T_3765 <= _T_3763 @[Reg.scala 28:23] + buf_nomerge[0] <= _T_3738 @[el2_lsu_bus_buffer.scala 565:20] + node _T_3739 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 566:78] + node _T_3740 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] + reg _T_3741 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3740 : @[Reg.scala 28:19] + _T_3741 <= _T_3739 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3765 @[el2_lsu_bus_buffer.scala 566:19] - node _T_3766 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3766 : @[Conditional.scala 40:58] - node _T_3767 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 503:56] - node _T_3768 = mux(_T_3767, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[1] <= _T_3768 @[el2_lsu_bus_buffer.scala 503:25] - node _T_3769 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 504:45] - node _T_3770 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:77] - node _T_3771 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:97] - node _T_3772 = and(_T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 504:95] - node _T_3773 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 504:117] - node _T_3774 = and(_T_3772, _T_3773) @[el2_lsu_bus_buffer.scala 504:112] - node _T_3775 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:144] - node _T_3776 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 504:166] - node _T_3777 = and(_T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 504:161] - node _T_3778 = or(_T_3774, _T_3777) @[el2_lsu_bus_buffer.scala 504:132] - node _T_3779 = and(_T_3769, _T_3778) @[el2_lsu_bus_buffer.scala 504:63] - node _T_3780 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 504:206] - node _T_3781 = and(ibuf_drain_vld, _T_3780) @[el2_lsu_bus_buffer.scala 504:201] - node _T_3782 = or(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 504:183] - buf_state_en[1] <= _T_3782 @[el2_lsu_bus_buffer.scala 504:25] + buf_dualhi[0] <= _T_3741 @[el2_lsu_bus_buffer.scala 566:19] + node _T_3742 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3742 : @[Conditional.scala 40:58] + node _T_3743 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 503:56] + node _T_3744 = mux(_T_3743, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[1] <= _T_3744 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3745 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 504:45] + node _T_3746 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:77] + node _T_3747 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:97] + node _T_3748 = and(_T_3746, _T_3747) @[el2_lsu_bus_buffer.scala 504:95] + node _T_3749 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 504:117] + node _T_3750 = and(_T_3748, _T_3749) @[el2_lsu_bus_buffer.scala 504:112] + node _T_3751 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:144] + node _T_3752 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 504:166] + node _T_3753 = and(_T_3751, _T_3752) @[el2_lsu_bus_buffer.scala 504:161] + node _T_3754 = or(_T_3750, _T_3753) @[el2_lsu_bus_buffer.scala 504:132] + node _T_3755 = and(_T_3745, _T_3754) @[el2_lsu_bus_buffer.scala 504:63] + node _T_3756 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 504:206] + node _T_3757 = and(ibuf_drain_vld, _T_3756) @[el2_lsu_bus_buffer.scala 504:201] + node _T_3758 = or(_T_3755, _T_3757) @[el2_lsu_bus_buffer.scala 504:183] + buf_state_en[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 504:25] buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 505:22] buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 506:24] - node _T_3783 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 507:52] - node _T_3784 = and(ibuf_drain_vld, _T_3783) @[el2_lsu_bus_buffer.scala 507:47] - node _T_3785 = bits(_T_3784, 0, 0) @[el2_lsu_bus_buffer.scala 507:73] - node _T_3786 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 507:90] - node _T_3787 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 507:114] - node _T_3788 = mux(_T_3785, _T_3786, _T_3787) @[el2_lsu_bus_buffer.scala 507:30] - buf_data_in[1] <= _T_3788 @[el2_lsu_bus_buffer.scala 507:24] + node _T_3759 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 507:52] + node _T_3760 = and(ibuf_drain_vld, _T_3759) @[el2_lsu_bus_buffer.scala 507:47] + node _T_3761 = bits(_T_3760, 0, 0) @[el2_lsu_bus_buffer.scala 507:73] + node _T_3762 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 507:90] + node _T_3763 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 507:114] + node _T_3764 = mux(_T_3761, _T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 507:30] + buf_data_in[1] <= _T_3764 @[el2_lsu_bus_buffer.scala 507:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3789 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3789 : @[Conditional.scala 39:67] - node _T_3790 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] - node _T_3791 = mux(_T_3790, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:31] - buf_nxtstate[1] <= _T_3791 @[el2_lsu_bus_buffer.scala 510:25] - node _T_3792 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:46] - buf_state_en[1] <= _T_3792 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3765 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3765 : @[Conditional.scala 39:67] + node _T_3766 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3767 = mux(_T_3766, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[1] <= _T_3767 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3768 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:46] + buf_state_en[1] <= _T_3768 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3793 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3793 : @[Conditional.scala 39:67] - node _T_3794 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] - node _T_3795 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:89] - node _T_3796 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 514:124] - node _T_3797 = and(_T_3795, _T_3796) @[el2_lsu_bus_buffer.scala 514:104] - node _T_3798 = mux(_T_3797, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:75] - node _T_3799 = mux(_T_3794, UInt<3>("h00"), _T_3798) @[el2_lsu_bus_buffer.scala 514:31] - buf_nxtstate[1] <= _T_3799 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3800 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 515:48] - node _T_3801 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 515:104] - node _T_3802 = and(obuf_merge, _T_3801) @[el2_lsu_bus_buffer.scala 515:91] - node _T_3803 = or(_T_3800, _T_3802) @[el2_lsu_bus_buffer.scala 515:77] - node _T_3804 = and(_T_3803, obuf_valid) @[el2_lsu_bus_buffer.scala 515:135] - node _T_3805 = and(_T_3804, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 515:148] - buf_cmd_state_bus_en[1] <= _T_3805 @[el2_lsu_bus_buffer.scala 515:33] + node _T_3769 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3769 : @[Conditional.scala 39:67] + node _T_3770 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] + node _T_3771 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:89] + node _T_3772 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 514:124] + node _T_3773 = and(_T_3771, _T_3772) @[el2_lsu_bus_buffer.scala 514:104] + node _T_3774 = mux(_T_3773, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:75] + node _T_3775 = mux(_T_3770, UInt<3>("h00"), _T_3774) @[el2_lsu_bus_buffer.scala 514:31] + buf_nxtstate[1] <= _T_3775 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3776 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 515:48] + node _T_3777 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 515:104] + node _T_3778 = and(obuf_merge, _T_3777) @[el2_lsu_bus_buffer.scala 515:91] + node _T_3779 = or(_T_3776, _T_3778) @[el2_lsu_bus_buffer.scala 515:77] + node _T_3780 = and(_T_3779, obuf_valid) @[el2_lsu_bus_buffer.scala 515:135] + node _T_3781 = and(_T_3780, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 515:148] + buf_cmd_state_bus_en[1] <= _T_3781 @[el2_lsu_bus_buffer.scala 515:33] buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 516:29] - node _T_3806 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 517:49] - node _T_3807 = or(_T_3806, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 517:70] - buf_state_en[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 517:25] + node _T_3782 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 517:49] + node _T_3783 = or(_T_3782, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 517:70] + buf_state_en[1] <= _T_3783 @[el2_lsu_bus_buffer.scala 517:25] buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:25] - node _T_3808 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:56] - node _T_3809 = eq(_T_3808, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:46] - node _T_3810 = and(buf_state_en[1], _T_3809) @[el2_lsu_bus_buffer.scala 519:44] - node _T_3811 = and(_T_3810, obuf_nosend) @[el2_lsu_bus_buffer.scala 519:60] - node _T_3812 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:76] - node _T_3813 = and(_T_3811, _T_3812) @[el2_lsu_bus_buffer.scala 519:74] - buf_ldfwd_en[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 519:25] - node _T_3814 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 520:46] - buf_ldfwdtag_in[1] <= _T_3814 @[el2_lsu_bus_buffer.scala 520:28] - node _T_3815 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:47] - node _T_3816 = and(_T_3815, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:67] - node _T_3817 = and(_T_3816, bus_rsp_read) @[el2_lsu_bus_buffer.scala 521:81] - buf_data_en[1] <= _T_3817 @[el2_lsu_bus_buffer.scala 521:24] - node _T_3818 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:48] - node _T_3819 = and(_T_3818, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:68] - node _T_3820 = and(_T_3819, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 522:82] - buf_error_en[1] <= _T_3820 @[el2_lsu_bus_buffer.scala 522:25] - node _T_3821 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:61] - node _T_3822 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 523:85] - node _T_3823 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 523:103] - node _T_3824 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:126] - node _T_3825 = mux(_T_3822, _T_3823, _T_3824) @[el2_lsu_bus_buffer.scala 523:73] - node _T_3826 = mux(buf_error_en[1], _T_3821, _T_3825) @[el2_lsu_bus_buffer.scala 523:30] - buf_data_in[1] <= _T_3826 @[el2_lsu_bus_buffer.scala 523:24] + node _T_3784 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:56] + node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:46] + node _T_3786 = and(buf_state_en[1], _T_3785) @[el2_lsu_bus_buffer.scala 519:44] + node _T_3787 = and(_T_3786, obuf_nosend) @[el2_lsu_bus_buffer.scala 519:60] + node _T_3788 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:76] + node _T_3789 = and(_T_3787, _T_3788) @[el2_lsu_bus_buffer.scala 519:74] + buf_ldfwd_en[1] <= _T_3789 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3790 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 520:46] + buf_ldfwdtag_in[1] <= _T_3790 @[el2_lsu_bus_buffer.scala 520:28] + node _T_3791 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:47] + node _T_3792 = and(_T_3791, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:67] + node _T_3793 = and(_T_3792, bus_rsp_read) @[el2_lsu_bus_buffer.scala 521:81] + buf_data_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 521:24] + node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:48] + node _T_3795 = and(_T_3794, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:68] + node _T_3796 = and(_T_3795, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 522:82] + buf_error_en[1] <= _T_3796 @[el2_lsu_bus_buffer.scala 522:25] + node _T_3797 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:61] + node _T_3798 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 523:85] + node _T_3799 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 523:103] + node _T_3800 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:126] + node _T_3801 = mux(_T_3798, _T_3799, _T_3800) @[el2_lsu_bus_buffer.scala 523:73] + node _T_3802 = mux(buf_error_en[1], _T_3797, _T_3801) @[el2_lsu_bus_buffer.scala 523:30] + buf_data_in[1] <= _T_3802 @[el2_lsu_bus_buffer.scala 523:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3827 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3827 : @[Conditional.scala 39:67] - node _T_3828 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 526:67] - node _T_3829 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 526:94] - node _T_3830 = eq(_T_3829, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:73] - node _T_3831 = and(_T_3828, _T_3830) @[el2_lsu_bus_buffer.scala 526:71] - node _T_3832 = or(io.dec_tlu_force_halt, _T_3831) @[el2_lsu_bus_buffer.scala 526:55] - node _T_3833 = bits(_T_3832, 0, 0) @[el2_lsu_bus_buffer.scala 526:125] - node _T_3834 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:30] - node _T_3835 = and(buf_dual[1], _T_3834) @[el2_lsu_bus_buffer.scala 527:28] - node _T_3836 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 527:57] - node _T_3837 = eq(_T_3836, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:47] - node _T_3838 = and(_T_3835, _T_3837) @[el2_lsu_bus_buffer.scala 527:45] - node _T_3839 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:90] - node _T_3840 = and(_T_3838, _T_3839) @[el2_lsu_bus_buffer.scala 527:61] - node _T_3841 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 528:27] - node _T_3842 = or(_T_3841, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:31] - node _T_3843 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:70] - node _T_3844 = and(buf_dual[1], _T_3843) @[el2_lsu_bus_buffer.scala 528:68] - node _T_3845 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 528:97] - node _T_3846 = eq(_T_3845, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:87] - node _T_3847 = and(_T_3844, _T_3846) @[el2_lsu_bus_buffer.scala 528:85] - node _T_3848 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3849 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3850 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3851 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3852 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3853 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3854 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3855 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3856 = mux(_T_3848, _T_3849, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3857 = mux(_T_3850, _T_3851, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3858 = mux(_T_3852, _T_3853, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3859 = mux(_T_3854, _T_3855, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3860 = or(_T_3856, _T_3857) @[Mux.scala 27:72] - node _T_3861 = or(_T_3860, _T_3858) @[Mux.scala 27:72] - node _T_3862 = or(_T_3861, _T_3859) @[Mux.scala 27:72] - wire _T_3863 : UInt<1> @[Mux.scala 27:72] - _T_3863 <= _T_3862 @[Mux.scala 27:72] - node _T_3864 = and(_T_3847, _T_3863) @[el2_lsu_bus_buffer.scala 528:101] - node _T_3865 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:167] - node _T_3866 = and(_T_3864, _T_3865) @[el2_lsu_bus_buffer.scala 528:138] - node _T_3867 = and(_T_3866, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:187] - node _T_3868 = or(_T_3842, _T_3867) @[el2_lsu_bus_buffer.scala 528:53] - node _T_3869 = mux(_T_3868, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 528:16] - node _T_3870 = mux(_T_3840, UInt<3>("h04"), _T_3869) @[el2_lsu_bus_buffer.scala 527:14] - node _T_3871 = mux(_T_3833, UInt<3>("h00"), _T_3870) @[el2_lsu_bus_buffer.scala 526:31] - buf_nxtstate[1] <= _T_3871 @[el2_lsu_bus_buffer.scala 526:25] - node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 529:73] - node _T_3873 = and(bus_rsp_write, _T_3872) @[el2_lsu_bus_buffer.scala 529:52] - node _T_3874 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 530:46] - node _T_3875 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 531:23] - node _T_3876 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 531:47] - node _T_3877 = and(_T_3875, _T_3876) @[el2_lsu_bus_buffer.scala 531:27] - node _T_3878 = or(_T_3874, _T_3877) @[el2_lsu_bus_buffer.scala 530:77] - node _T_3879 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 532:26] - node _T_3880 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 532:54] - node _T_3881 = not(_T_3880) @[el2_lsu_bus_buffer.scala 532:44] - node _T_3882 = and(_T_3879, _T_3881) @[el2_lsu_bus_buffer.scala 532:42] - node _T_3883 = and(_T_3882, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 532:58] - node _T_3884 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 532:94] - node _T_3885 = and(_T_3883, _T_3884) @[el2_lsu_bus_buffer.scala 532:74] - node _T_3886 = or(_T_3878, _T_3885) @[el2_lsu_bus_buffer.scala 531:71] - node _T_3887 = and(bus_rsp_read, _T_3886) @[el2_lsu_bus_buffer.scala 530:25] - node _T_3888 = or(_T_3873, _T_3887) @[el2_lsu_bus_buffer.scala 529:105] - buf_resp_state_bus_en[1] <= _T_3888 @[el2_lsu_bus_buffer.scala 529:34] + node _T_3803 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3803 : @[Conditional.scala 39:67] + node _T_3804 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 526:67] + node _T_3805 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 526:94] + node _T_3806 = eq(_T_3805, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:73] + node _T_3807 = and(_T_3804, _T_3806) @[el2_lsu_bus_buffer.scala 526:71] + node _T_3808 = or(io.dec_tlu_force_halt, _T_3807) @[el2_lsu_bus_buffer.scala 526:55] + node _T_3809 = bits(_T_3808, 0, 0) @[el2_lsu_bus_buffer.scala 526:125] + node _T_3810 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:30] + node _T_3811 = and(buf_dual[1], _T_3810) @[el2_lsu_bus_buffer.scala 527:28] + node _T_3812 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 527:57] + node _T_3813 = eq(_T_3812, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:47] + node _T_3814 = and(_T_3811, _T_3813) @[el2_lsu_bus_buffer.scala 527:45] + node _T_3815 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:90] + node _T_3816 = and(_T_3814, _T_3815) @[el2_lsu_bus_buffer.scala 527:61] + node _T_3817 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 528:27] + node _T_3818 = or(_T_3817, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:31] + node _T_3819 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:70] + node _T_3820 = and(buf_dual[1], _T_3819) @[el2_lsu_bus_buffer.scala 528:68] + node _T_3821 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 528:97] + node _T_3822 = eq(_T_3821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:87] + node _T_3823 = and(_T_3820, _T_3822) @[el2_lsu_bus_buffer.scala 528:85] + node _T_3824 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3825 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3826 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3827 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3828 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3829 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3830 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3831 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3832 = mux(_T_3824, _T_3825, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3833 = mux(_T_3826, _T_3827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3834 = mux(_T_3828, _T_3829, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3835 = mux(_T_3830, _T_3831, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3836 = or(_T_3832, _T_3833) @[Mux.scala 27:72] + node _T_3837 = or(_T_3836, _T_3834) @[Mux.scala 27:72] + node _T_3838 = or(_T_3837, _T_3835) @[Mux.scala 27:72] + wire _T_3839 : UInt<1> @[Mux.scala 27:72] + _T_3839 <= _T_3838 @[Mux.scala 27:72] + node _T_3840 = and(_T_3823, _T_3839) @[el2_lsu_bus_buffer.scala 528:101] + node _T_3841 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:167] + node _T_3842 = and(_T_3840, _T_3841) @[el2_lsu_bus_buffer.scala 528:138] + node _T_3843 = and(_T_3842, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:187] + node _T_3844 = or(_T_3818, _T_3843) @[el2_lsu_bus_buffer.scala 528:53] + node _T_3845 = mux(_T_3844, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 528:16] + node _T_3846 = mux(_T_3816, UInt<3>("h04"), _T_3845) @[el2_lsu_bus_buffer.scala 527:14] + node _T_3847 = mux(_T_3809, UInt<3>("h00"), _T_3846) @[el2_lsu_bus_buffer.scala 526:31] + buf_nxtstate[1] <= _T_3847 @[el2_lsu_bus_buffer.scala 526:25] + node _T_3848 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 529:73] + node _T_3849 = and(bus_rsp_write, _T_3848) @[el2_lsu_bus_buffer.scala 529:52] + node _T_3850 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 530:46] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 531:23] + node _T_3852 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 531:47] + node _T_3853 = and(_T_3851, _T_3852) @[el2_lsu_bus_buffer.scala 531:27] + node _T_3854 = or(_T_3850, _T_3853) @[el2_lsu_bus_buffer.scala 530:77] + node _T_3855 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 532:26] + node _T_3856 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 532:54] + node _T_3857 = not(_T_3856) @[el2_lsu_bus_buffer.scala 532:44] + node _T_3858 = and(_T_3855, _T_3857) @[el2_lsu_bus_buffer.scala 532:42] + node _T_3859 = and(_T_3858, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 532:58] + node _T_3860 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 532:94] + node _T_3861 = and(_T_3859, _T_3860) @[el2_lsu_bus_buffer.scala 532:74] + node _T_3862 = or(_T_3854, _T_3861) @[el2_lsu_bus_buffer.scala 531:71] + node _T_3863 = and(bus_rsp_read, _T_3862) @[el2_lsu_bus_buffer.scala 530:25] + node _T_3864 = or(_T_3849, _T_3863) @[el2_lsu_bus_buffer.scala 529:105] + buf_resp_state_bus_en[1] <= _T_3864 @[el2_lsu_bus_buffer.scala 529:34] buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 533:29] - node _T_3889 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] - node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] - buf_state_en[1] <= _T_3890 @[el2_lsu_bus_buffer.scala 534:25] - node _T_3891 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 535:47] - node _T_3892 = and(_T_3891, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:62] - buf_data_en[1] <= _T_3892 @[el2_lsu_bus_buffer.scala 535:24] - node _T_3893 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:48] - node _T_3894 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 536:111] - node _T_3895 = and(bus_rsp_read_error, _T_3894) @[el2_lsu_bus_buffer.scala 536:91] - node _T_3896 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 537:42] - node _T_3897 = and(bus_rsp_read_error, _T_3896) @[el2_lsu_bus_buffer.scala 537:31] - node _T_3898 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 537:66] - node _T_3899 = and(_T_3897, _T_3898) @[el2_lsu_bus_buffer.scala 537:46] - node _T_3900 = or(_T_3895, _T_3899) @[el2_lsu_bus_buffer.scala 536:143] - node _T_3901 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 538:32] - node _T_3902 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 538:74] - node _T_3903 = and(_T_3901, _T_3902) @[el2_lsu_bus_buffer.scala 538:53] - node _T_3904 = or(_T_3900, _T_3903) @[el2_lsu_bus_buffer.scala 537:88] - node _T_3905 = and(_T_3893, _T_3904) @[el2_lsu_bus_buffer.scala 536:68] - buf_error_en[1] <= _T_3905 @[el2_lsu_bus_buffer.scala 536:25] - node _T_3906 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:50] - node _T_3907 = and(buf_state_en[1], _T_3906) @[el2_lsu_bus_buffer.scala 539:48] - node _T_3908 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 539:84] - node _T_3909 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 539:102] - node _T_3910 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:125] - node _T_3911 = mux(_T_3908, _T_3909, _T_3910) @[el2_lsu_bus_buffer.scala 539:72] - node _T_3912 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:148] - node _T_3913 = mux(_T_3907, _T_3911, _T_3912) @[el2_lsu_bus_buffer.scala 539:30] - buf_data_in[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 539:24] + node _T_3865 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] + node _T_3866 = or(_T_3865, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] + buf_state_en[1] <= _T_3866 @[el2_lsu_bus_buffer.scala 534:25] + node _T_3867 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 535:47] + node _T_3868 = and(_T_3867, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:62] + buf_data_en[1] <= _T_3868 @[el2_lsu_bus_buffer.scala 535:24] + node _T_3869 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:48] + node _T_3870 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 536:111] + node _T_3871 = and(bus_rsp_read_error, _T_3870) @[el2_lsu_bus_buffer.scala 536:91] + node _T_3872 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 537:42] + node _T_3873 = and(bus_rsp_read_error, _T_3872) @[el2_lsu_bus_buffer.scala 537:31] + node _T_3874 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 537:66] + node _T_3875 = and(_T_3873, _T_3874) @[el2_lsu_bus_buffer.scala 537:46] + node _T_3876 = or(_T_3871, _T_3875) @[el2_lsu_bus_buffer.scala 536:143] + node _T_3877 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 538:32] + node _T_3878 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 538:74] + node _T_3879 = and(_T_3877, _T_3878) @[el2_lsu_bus_buffer.scala 538:53] + node _T_3880 = or(_T_3876, _T_3879) @[el2_lsu_bus_buffer.scala 537:88] + node _T_3881 = and(_T_3869, _T_3880) @[el2_lsu_bus_buffer.scala 536:68] + buf_error_en[1] <= _T_3881 @[el2_lsu_bus_buffer.scala 536:25] + node _T_3882 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:50] + node _T_3883 = and(buf_state_en[1], _T_3882) @[el2_lsu_bus_buffer.scala 539:48] + node _T_3884 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 539:84] + node _T_3885 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 539:102] + node _T_3886 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:125] + node _T_3887 = mux(_T_3884, _T_3885, _T_3886) @[el2_lsu_bus_buffer.scala 539:72] + node _T_3888 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:148] + node _T_3889 = mux(_T_3883, _T_3887, _T_3888) @[el2_lsu_bus_buffer.scala 539:30] + buf_data_in[1] <= _T_3889 @[el2_lsu_bus_buffer.scala 539:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3914 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3914 : @[Conditional.scala 39:67] - node _T_3915 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] - node _T_3916 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 542:86] - node _T_3917 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 542:101] - node _T_3918 = bits(_T_3917, 0, 0) @[el2_lsu_bus_buffer.scala 542:101] - node _T_3919 = or(_T_3916, _T_3918) @[el2_lsu_bus_buffer.scala 542:90] - node _T_3920 = or(_T_3919, any_done_wait_state) @[el2_lsu_bus_buffer.scala 542:118] - node _T_3921 = mux(_T_3920, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 542:75] - node _T_3922 = mux(_T_3915, UInt<3>("h00"), _T_3921) @[el2_lsu_bus_buffer.scala 542:31] - buf_nxtstate[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 542:25] - node _T_3923 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 543:66] - node _T_3924 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 544:21] - node _T_3925 = bits(_T_3924, 0, 0) @[el2_lsu_bus_buffer.scala 544:21] - node _T_3926 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 544:58] - node _T_3927 = and(_T_3925, _T_3926) @[el2_lsu_bus_buffer.scala 544:38] - node _T_3928 = or(_T_3923, _T_3927) @[el2_lsu_bus_buffer.scala 543:95] - node _T_3929 = and(bus_rsp_read, _T_3928) @[el2_lsu_bus_buffer.scala 543:45] - buf_state_bus_en[1] <= _T_3929 @[el2_lsu_bus_buffer.scala 543:29] - node _T_3930 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 545:49] - node _T_3931 = or(_T_3930, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 545:70] - buf_state_en[1] <= _T_3931 @[el2_lsu_bus_buffer.scala 545:25] + node _T_3890 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3890 : @[Conditional.scala 39:67] + node _T_3891 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] + node _T_3892 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 542:86] + node _T_3893 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 542:101] + node _T_3894 = bits(_T_3893, 0, 0) @[el2_lsu_bus_buffer.scala 542:101] + node _T_3895 = or(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 542:90] + node _T_3896 = or(_T_3895, any_done_wait_state) @[el2_lsu_bus_buffer.scala 542:118] + node _T_3897 = mux(_T_3896, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 542:75] + node _T_3898 = mux(_T_3891, UInt<3>("h00"), _T_3897) @[el2_lsu_bus_buffer.scala 542:31] + buf_nxtstate[1] <= _T_3898 @[el2_lsu_bus_buffer.scala 542:25] + node _T_3899 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 543:66] + node _T_3900 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 544:21] + node _T_3901 = bits(_T_3900, 0, 0) @[el2_lsu_bus_buffer.scala 544:21] + node _T_3902 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 544:58] + node _T_3903 = and(_T_3901, _T_3902) @[el2_lsu_bus_buffer.scala 544:38] + node _T_3904 = or(_T_3899, _T_3903) @[el2_lsu_bus_buffer.scala 543:95] + node _T_3905 = and(bus_rsp_read, _T_3904) @[el2_lsu_bus_buffer.scala 543:45] + buf_state_bus_en[1] <= _T_3905 @[el2_lsu_bus_buffer.scala 543:29] + node _T_3906 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 545:49] + node _T_3907 = or(_T_3906, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 545:70] + buf_state_en[1] <= _T_3907 @[el2_lsu_bus_buffer.scala 545:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3932 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3932 : @[Conditional.scala 39:67] - node _T_3933 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] - node _T_3934 = mux(_T_3933, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 548:31] - buf_nxtstate[1] <= _T_3934 @[el2_lsu_bus_buffer.scala 548:25] - node _T_3935 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 549:37] - node _T_3936 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 549:98] - node _T_3937 = and(buf_dual[1], _T_3936) @[el2_lsu_bus_buffer.scala 549:80] - node _T_3938 = or(_T_3935, _T_3937) @[el2_lsu_bus_buffer.scala 549:65] - node _T_3939 = or(_T_3938, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 549:112] - buf_state_en[1] <= _T_3939 @[el2_lsu_bus_buffer.scala 549:25] + node _T_3908 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3908 : @[Conditional.scala 39:67] + node _T_3909 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] + node _T_3910 = mux(_T_3909, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 548:31] + buf_nxtstate[1] <= _T_3910 @[el2_lsu_bus_buffer.scala 548:25] + node _T_3911 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 549:37] + node _T_3912 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 549:98] + node _T_3913 = and(buf_dual[1], _T_3912) @[el2_lsu_bus_buffer.scala 549:80] + node _T_3914 = or(_T_3911, _T_3913) @[el2_lsu_bus_buffer.scala 549:65] + node _T_3915 = or(_T_3914, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 549:112] + buf_state_en[1] <= _T_3915 @[el2_lsu_bus_buffer.scala 549:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3940 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3940 : @[Conditional.scala 39:67] + node _T_3916 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3916 : @[Conditional.scala 39:67] buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 552:25] buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:20] buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:25] buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 555:25] buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 556:25] skip @[Conditional.scala 39:67] - node _T_3941 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 559:108] - reg _T_3942 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3941 : @[Reg.scala 28:19] - _T_3942 <= buf_nxtstate[1] @[Reg.scala 28:23] + node _T_3917 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 559:108] + reg _T_3918 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3917 : @[Reg.scala 28:19] + _T_3918 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3942 @[el2_lsu_bus_buffer.scala 559:18] - reg _T_3943 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:60] - _T_3943 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 560:60] - buf_ageQ[1] <= _T_3943 @[el2_lsu_bus_buffer.scala 560:17] - reg _T_3944 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:63] - _T_3944 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 561:63] - buf_rspageQ[1] <= _T_3944 @[el2_lsu_bus_buffer.scala 561:20] - node _T_3945 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:109] - reg _T_3946 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3945 : @[Reg.scala 28:19] - _T_3946 <= buf_dualtag_in[1] @[Reg.scala 28:23] + buf_state[1] <= _T_3918 @[el2_lsu_bus_buffer.scala 559:18] + reg _T_3919 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:60] + _T_3919 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 560:60] + buf_ageQ[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 560:17] + reg _T_3920 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:63] + _T_3920 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 561:63] + buf_rspageQ[1] <= _T_3920 @[el2_lsu_bus_buffer.scala 561:20] + node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:109] + reg _T_3922 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3921 : @[Reg.scala 28:19] + _T_3922 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3946 @[el2_lsu_bus_buffer.scala 562:20] - node _T_3947 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:74] - node _T_3948 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:107] - reg _T_3949 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3948 : @[Reg.scala 28:19] - _T_3949 <= _T_3947 @[Reg.scala 28:23] + buf_dualtag[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 562:20] + node _T_3923 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:74] + node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:107] + reg _T_3925 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3924 : @[Reg.scala 28:19] + _T_3925 <= _T_3923 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3949 @[el2_lsu_bus_buffer.scala 563:17] - node _T_3950 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:78] - node _T_3951 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:111] - reg _T_3952 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3951 : @[Reg.scala 28:19] - _T_3952 <= _T_3950 @[Reg.scala 28:23] + buf_dual[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 563:17] + node _T_3926 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:78] + node _T_3927 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:111] + reg _T_3928 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3927 : @[Reg.scala 28:19] + _T_3928 <= _T_3926 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3952 @[el2_lsu_bus_buffer.scala 564:19] - node _T_3953 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:80] - node _T_3954 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:113] - reg _T_3955 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3954 : @[Reg.scala 28:19] - _T_3955 <= _T_3953 @[Reg.scala 28:23] + buf_samedw[1] <= _T_3928 @[el2_lsu_bus_buffer.scala 564:19] + node _T_3929 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:80] + node _T_3930 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:113] + reg _T_3931 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3930 : @[Reg.scala 28:19] + _T_3931 <= _T_3929 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3955 @[el2_lsu_bus_buffer.scala 565:20] - node _T_3956 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 566:78] - node _T_3957 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] - reg _T_3958 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3957 : @[Reg.scala 28:19] - _T_3958 <= _T_3956 @[Reg.scala 28:23] + buf_nomerge[1] <= _T_3931 @[el2_lsu_bus_buffer.scala 565:20] + node _T_3932 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 566:78] + node _T_3933 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] + reg _T_3934 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3933 : @[Reg.scala 28:19] + _T_3934 <= _T_3932 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3958 @[el2_lsu_bus_buffer.scala 566:19] - node _T_3959 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3959 : @[Conditional.scala 40:58] - node _T_3960 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 503:56] - node _T_3961 = mux(_T_3960, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[2] <= _T_3961 @[el2_lsu_bus_buffer.scala 503:25] - node _T_3962 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 504:45] - node _T_3963 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:77] - node _T_3964 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:97] - node _T_3965 = and(_T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 504:95] - node _T_3966 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 504:117] - node _T_3967 = and(_T_3965, _T_3966) @[el2_lsu_bus_buffer.scala 504:112] - node _T_3968 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:144] - node _T_3969 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 504:166] - node _T_3970 = and(_T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 504:161] - node _T_3971 = or(_T_3967, _T_3970) @[el2_lsu_bus_buffer.scala 504:132] - node _T_3972 = and(_T_3962, _T_3971) @[el2_lsu_bus_buffer.scala 504:63] - node _T_3973 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 504:206] - node _T_3974 = and(ibuf_drain_vld, _T_3973) @[el2_lsu_bus_buffer.scala 504:201] - node _T_3975 = or(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 504:183] - buf_state_en[2] <= _T_3975 @[el2_lsu_bus_buffer.scala 504:25] + buf_dualhi[1] <= _T_3934 @[el2_lsu_bus_buffer.scala 566:19] + node _T_3935 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3935 : @[Conditional.scala 40:58] + node _T_3936 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 503:56] + node _T_3937 = mux(_T_3936, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[2] <= _T_3937 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3938 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 504:45] + node _T_3939 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:77] + node _T_3940 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:97] + node _T_3941 = and(_T_3939, _T_3940) @[el2_lsu_bus_buffer.scala 504:95] + node _T_3942 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 504:117] + node _T_3943 = and(_T_3941, _T_3942) @[el2_lsu_bus_buffer.scala 504:112] + node _T_3944 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:144] + node _T_3945 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 504:166] + node _T_3946 = and(_T_3944, _T_3945) @[el2_lsu_bus_buffer.scala 504:161] + node _T_3947 = or(_T_3943, _T_3946) @[el2_lsu_bus_buffer.scala 504:132] + node _T_3948 = and(_T_3938, _T_3947) @[el2_lsu_bus_buffer.scala 504:63] + node _T_3949 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 504:206] + node _T_3950 = and(ibuf_drain_vld, _T_3949) @[el2_lsu_bus_buffer.scala 504:201] + node _T_3951 = or(_T_3948, _T_3950) @[el2_lsu_bus_buffer.scala 504:183] + buf_state_en[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 504:25] buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 505:22] buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 506:24] - node _T_3976 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 507:52] - node _T_3977 = and(ibuf_drain_vld, _T_3976) @[el2_lsu_bus_buffer.scala 507:47] - node _T_3978 = bits(_T_3977, 0, 0) @[el2_lsu_bus_buffer.scala 507:73] - node _T_3979 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 507:90] - node _T_3980 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 507:114] - node _T_3981 = mux(_T_3978, _T_3979, _T_3980) @[el2_lsu_bus_buffer.scala 507:30] - buf_data_in[2] <= _T_3981 @[el2_lsu_bus_buffer.scala 507:24] + node _T_3952 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 507:52] + node _T_3953 = and(ibuf_drain_vld, _T_3952) @[el2_lsu_bus_buffer.scala 507:47] + node _T_3954 = bits(_T_3953, 0, 0) @[el2_lsu_bus_buffer.scala 507:73] + node _T_3955 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 507:90] + node _T_3956 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 507:114] + node _T_3957 = mux(_T_3954, _T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 507:30] + buf_data_in[2] <= _T_3957 @[el2_lsu_bus_buffer.scala 507:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3982 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3982 : @[Conditional.scala 39:67] - node _T_3983 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] - node _T_3984 = mux(_T_3983, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:31] - buf_nxtstate[2] <= _T_3984 @[el2_lsu_bus_buffer.scala 510:25] - node _T_3985 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:46] - buf_state_en[2] <= _T_3985 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3958 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3958 : @[Conditional.scala 39:67] + node _T_3959 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3960 = mux(_T_3959, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[2] <= _T_3960 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3961 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:46] + buf_state_en[2] <= _T_3961 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3986 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3986 : @[Conditional.scala 39:67] - node _T_3987 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] - node _T_3988 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:89] - node _T_3989 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 514:124] - node _T_3990 = and(_T_3988, _T_3989) @[el2_lsu_bus_buffer.scala 514:104] - node _T_3991 = mux(_T_3990, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:75] - node _T_3992 = mux(_T_3987, UInt<3>("h00"), _T_3991) @[el2_lsu_bus_buffer.scala 514:31] - buf_nxtstate[2] <= _T_3992 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3993 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 515:48] - node _T_3994 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 515:104] - node _T_3995 = and(obuf_merge, _T_3994) @[el2_lsu_bus_buffer.scala 515:91] - node _T_3996 = or(_T_3993, _T_3995) @[el2_lsu_bus_buffer.scala 515:77] - node _T_3997 = and(_T_3996, obuf_valid) @[el2_lsu_bus_buffer.scala 515:135] - node _T_3998 = and(_T_3997, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 515:148] - buf_cmd_state_bus_en[2] <= _T_3998 @[el2_lsu_bus_buffer.scala 515:33] + node _T_3962 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3962 : @[Conditional.scala 39:67] + node _T_3963 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] + node _T_3964 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:89] + node _T_3965 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 514:124] + node _T_3966 = and(_T_3964, _T_3965) @[el2_lsu_bus_buffer.scala 514:104] + node _T_3967 = mux(_T_3966, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:75] + node _T_3968 = mux(_T_3963, UInt<3>("h00"), _T_3967) @[el2_lsu_bus_buffer.scala 514:31] + buf_nxtstate[2] <= _T_3968 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3969 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 515:48] + node _T_3970 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 515:104] + node _T_3971 = and(obuf_merge, _T_3970) @[el2_lsu_bus_buffer.scala 515:91] + node _T_3972 = or(_T_3969, _T_3971) @[el2_lsu_bus_buffer.scala 515:77] + node _T_3973 = and(_T_3972, obuf_valid) @[el2_lsu_bus_buffer.scala 515:135] + node _T_3974 = and(_T_3973, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 515:148] + buf_cmd_state_bus_en[2] <= _T_3974 @[el2_lsu_bus_buffer.scala 515:33] buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 516:29] - node _T_3999 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 517:49] - node _T_4000 = or(_T_3999, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 517:70] - buf_state_en[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 517:25] + node _T_3975 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 517:49] + node _T_3976 = or(_T_3975, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 517:70] + buf_state_en[2] <= _T_3976 @[el2_lsu_bus_buffer.scala 517:25] buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:25] - node _T_4001 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:56] - node _T_4002 = eq(_T_4001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:46] - node _T_4003 = and(buf_state_en[2], _T_4002) @[el2_lsu_bus_buffer.scala 519:44] - node _T_4004 = and(_T_4003, obuf_nosend) @[el2_lsu_bus_buffer.scala 519:60] - node _T_4005 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:76] - node _T_4006 = and(_T_4004, _T_4005) @[el2_lsu_bus_buffer.scala 519:74] - buf_ldfwd_en[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 519:25] - node _T_4007 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 520:46] - buf_ldfwdtag_in[2] <= _T_4007 @[el2_lsu_bus_buffer.scala 520:28] - node _T_4008 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:47] - node _T_4009 = and(_T_4008, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:67] - node _T_4010 = and(_T_4009, bus_rsp_read) @[el2_lsu_bus_buffer.scala 521:81] - buf_data_en[2] <= _T_4010 @[el2_lsu_bus_buffer.scala 521:24] - node _T_4011 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:48] - node _T_4012 = and(_T_4011, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:68] - node _T_4013 = and(_T_4012, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 522:82] - buf_error_en[2] <= _T_4013 @[el2_lsu_bus_buffer.scala 522:25] - node _T_4014 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:61] - node _T_4015 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 523:85] - node _T_4016 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 523:103] - node _T_4017 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:126] - node _T_4018 = mux(_T_4015, _T_4016, _T_4017) @[el2_lsu_bus_buffer.scala 523:73] - node _T_4019 = mux(buf_error_en[2], _T_4014, _T_4018) @[el2_lsu_bus_buffer.scala 523:30] - buf_data_in[2] <= _T_4019 @[el2_lsu_bus_buffer.scala 523:24] + node _T_3977 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:56] + node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:46] + node _T_3979 = and(buf_state_en[2], _T_3978) @[el2_lsu_bus_buffer.scala 519:44] + node _T_3980 = and(_T_3979, obuf_nosend) @[el2_lsu_bus_buffer.scala 519:60] + node _T_3981 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:76] + node _T_3982 = and(_T_3980, _T_3981) @[el2_lsu_bus_buffer.scala 519:74] + buf_ldfwd_en[2] <= _T_3982 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3983 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 520:46] + buf_ldfwdtag_in[2] <= _T_3983 @[el2_lsu_bus_buffer.scala 520:28] + node _T_3984 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:47] + node _T_3985 = and(_T_3984, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:67] + node _T_3986 = and(_T_3985, bus_rsp_read) @[el2_lsu_bus_buffer.scala 521:81] + buf_data_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 521:24] + node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:48] + node _T_3988 = and(_T_3987, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:68] + node _T_3989 = and(_T_3988, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 522:82] + buf_error_en[2] <= _T_3989 @[el2_lsu_bus_buffer.scala 522:25] + node _T_3990 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:61] + node _T_3991 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 523:85] + node _T_3992 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 523:103] + node _T_3993 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:126] + node _T_3994 = mux(_T_3991, _T_3992, _T_3993) @[el2_lsu_bus_buffer.scala 523:73] + node _T_3995 = mux(buf_error_en[2], _T_3990, _T_3994) @[el2_lsu_bus_buffer.scala 523:30] + buf_data_in[2] <= _T_3995 @[el2_lsu_bus_buffer.scala 523:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4020 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4020 : @[Conditional.scala 39:67] - node _T_4021 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 526:67] - node _T_4022 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 526:94] - node _T_4023 = eq(_T_4022, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:73] - node _T_4024 = and(_T_4021, _T_4023) @[el2_lsu_bus_buffer.scala 526:71] - node _T_4025 = or(io.dec_tlu_force_halt, _T_4024) @[el2_lsu_bus_buffer.scala 526:55] - node _T_4026 = bits(_T_4025, 0, 0) @[el2_lsu_bus_buffer.scala 526:125] - node _T_4027 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:30] - node _T_4028 = and(buf_dual[2], _T_4027) @[el2_lsu_bus_buffer.scala 527:28] - node _T_4029 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 527:57] - node _T_4030 = eq(_T_4029, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:47] - node _T_4031 = and(_T_4028, _T_4030) @[el2_lsu_bus_buffer.scala 527:45] - node _T_4032 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:90] - node _T_4033 = and(_T_4031, _T_4032) @[el2_lsu_bus_buffer.scala 527:61] - node _T_4034 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 528:27] - node _T_4035 = or(_T_4034, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:31] - node _T_4036 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:70] - node _T_4037 = and(buf_dual[2], _T_4036) @[el2_lsu_bus_buffer.scala 528:68] - node _T_4038 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 528:97] - node _T_4039 = eq(_T_4038, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:87] - node _T_4040 = and(_T_4037, _T_4039) @[el2_lsu_bus_buffer.scala 528:85] - node _T_4041 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4042 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4043 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4044 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4045 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4046 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4047 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4048 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4049 = mux(_T_4041, _T_4042, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4050 = mux(_T_4043, _T_4044, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4051 = mux(_T_4045, _T_4046, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4052 = mux(_T_4047, _T_4048, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4053 = or(_T_4049, _T_4050) @[Mux.scala 27:72] - node _T_4054 = or(_T_4053, _T_4051) @[Mux.scala 27:72] - node _T_4055 = or(_T_4054, _T_4052) @[Mux.scala 27:72] - wire _T_4056 : UInt<1> @[Mux.scala 27:72] - _T_4056 <= _T_4055 @[Mux.scala 27:72] - node _T_4057 = and(_T_4040, _T_4056) @[el2_lsu_bus_buffer.scala 528:101] - node _T_4058 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:167] - node _T_4059 = and(_T_4057, _T_4058) @[el2_lsu_bus_buffer.scala 528:138] - node _T_4060 = and(_T_4059, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:187] - node _T_4061 = or(_T_4035, _T_4060) @[el2_lsu_bus_buffer.scala 528:53] - node _T_4062 = mux(_T_4061, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 528:16] - node _T_4063 = mux(_T_4033, UInt<3>("h04"), _T_4062) @[el2_lsu_bus_buffer.scala 527:14] - node _T_4064 = mux(_T_4026, UInt<3>("h00"), _T_4063) @[el2_lsu_bus_buffer.scala 526:31] - buf_nxtstate[2] <= _T_4064 @[el2_lsu_bus_buffer.scala 526:25] - node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 529:73] - node _T_4066 = and(bus_rsp_write, _T_4065) @[el2_lsu_bus_buffer.scala 529:52] - node _T_4067 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 530:46] - node _T_4068 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 531:23] - node _T_4069 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 531:47] - node _T_4070 = and(_T_4068, _T_4069) @[el2_lsu_bus_buffer.scala 531:27] - node _T_4071 = or(_T_4067, _T_4070) @[el2_lsu_bus_buffer.scala 530:77] - node _T_4072 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 532:26] - node _T_4073 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 532:54] - node _T_4074 = not(_T_4073) @[el2_lsu_bus_buffer.scala 532:44] - node _T_4075 = and(_T_4072, _T_4074) @[el2_lsu_bus_buffer.scala 532:42] - node _T_4076 = and(_T_4075, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 532:58] - node _T_4077 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 532:94] - node _T_4078 = and(_T_4076, _T_4077) @[el2_lsu_bus_buffer.scala 532:74] - node _T_4079 = or(_T_4071, _T_4078) @[el2_lsu_bus_buffer.scala 531:71] - node _T_4080 = and(bus_rsp_read, _T_4079) @[el2_lsu_bus_buffer.scala 530:25] - node _T_4081 = or(_T_4066, _T_4080) @[el2_lsu_bus_buffer.scala 529:105] - buf_resp_state_bus_en[2] <= _T_4081 @[el2_lsu_bus_buffer.scala 529:34] + node _T_3996 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3996 : @[Conditional.scala 39:67] + node _T_3997 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 526:67] + node _T_3998 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 526:94] + node _T_3999 = eq(_T_3998, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:73] + node _T_4000 = and(_T_3997, _T_3999) @[el2_lsu_bus_buffer.scala 526:71] + node _T_4001 = or(io.dec_tlu_force_halt, _T_4000) @[el2_lsu_bus_buffer.scala 526:55] + node _T_4002 = bits(_T_4001, 0, 0) @[el2_lsu_bus_buffer.scala 526:125] + node _T_4003 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:30] + node _T_4004 = and(buf_dual[2], _T_4003) @[el2_lsu_bus_buffer.scala 527:28] + node _T_4005 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 527:57] + node _T_4006 = eq(_T_4005, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:47] + node _T_4007 = and(_T_4004, _T_4006) @[el2_lsu_bus_buffer.scala 527:45] + node _T_4008 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:90] + node _T_4009 = and(_T_4007, _T_4008) @[el2_lsu_bus_buffer.scala 527:61] + node _T_4010 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 528:27] + node _T_4011 = or(_T_4010, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:31] + node _T_4012 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:70] + node _T_4013 = and(buf_dual[2], _T_4012) @[el2_lsu_bus_buffer.scala 528:68] + node _T_4014 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 528:97] + node _T_4015 = eq(_T_4014, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:87] + node _T_4016 = and(_T_4013, _T_4015) @[el2_lsu_bus_buffer.scala 528:85] + node _T_4017 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4018 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4019 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4020 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4021 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4022 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4023 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4024 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4025 = mux(_T_4017, _T_4018, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4026 = mux(_T_4019, _T_4020, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4027 = mux(_T_4021, _T_4022, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4028 = mux(_T_4023, _T_4024, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4029 = or(_T_4025, _T_4026) @[Mux.scala 27:72] + node _T_4030 = or(_T_4029, _T_4027) @[Mux.scala 27:72] + node _T_4031 = or(_T_4030, _T_4028) @[Mux.scala 27:72] + wire _T_4032 : UInt<1> @[Mux.scala 27:72] + _T_4032 <= _T_4031 @[Mux.scala 27:72] + node _T_4033 = and(_T_4016, _T_4032) @[el2_lsu_bus_buffer.scala 528:101] + node _T_4034 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:167] + node _T_4035 = and(_T_4033, _T_4034) @[el2_lsu_bus_buffer.scala 528:138] + node _T_4036 = and(_T_4035, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:187] + node _T_4037 = or(_T_4011, _T_4036) @[el2_lsu_bus_buffer.scala 528:53] + node _T_4038 = mux(_T_4037, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 528:16] + node _T_4039 = mux(_T_4009, UInt<3>("h04"), _T_4038) @[el2_lsu_bus_buffer.scala 527:14] + node _T_4040 = mux(_T_4002, UInt<3>("h00"), _T_4039) @[el2_lsu_bus_buffer.scala 526:31] + buf_nxtstate[2] <= _T_4040 @[el2_lsu_bus_buffer.scala 526:25] + node _T_4041 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 529:73] + node _T_4042 = and(bus_rsp_write, _T_4041) @[el2_lsu_bus_buffer.scala 529:52] + node _T_4043 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 530:46] + node _T_4044 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 531:23] + node _T_4045 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 531:47] + node _T_4046 = and(_T_4044, _T_4045) @[el2_lsu_bus_buffer.scala 531:27] + node _T_4047 = or(_T_4043, _T_4046) @[el2_lsu_bus_buffer.scala 530:77] + node _T_4048 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 532:26] + node _T_4049 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 532:54] + node _T_4050 = not(_T_4049) @[el2_lsu_bus_buffer.scala 532:44] + node _T_4051 = and(_T_4048, _T_4050) @[el2_lsu_bus_buffer.scala 532:42] + node _T_4052 = and(_T_4051, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 532:58] + node _T_4053 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 532:94] + node _T_4054 = and(_T_4052, _T_4053) @[el2_lsu_bus_buffer.scala 532:74] + node _T_4055 = or(_T_4047, _T_4054) @[el2_lsu_bus_buffer.scala 531:71] + node _T_4056 = and(bus_rsp_read, _T_4055) @[el2_lsu_bus_buffer.scala 530:25] + node _T_4057 = or(_T_4042, _T_4056) @[el2_lsu_bus_buffer.scala 529:105] + buf_resp_state_bus_en[2] <= _T_4057 @[el2_lsu_bus_buffer.scala 529:34] buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 533:29] - node _T_4082 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] - node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] - buf_state_en[2] <= _T_4083 @[el2_lsu_bus_buffer.scala 534:25] - node _T_4084 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 535:47] - node _T_4085 = and(_T_4084, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:62] - buf_data_en[2] <= _T_4085 @[el2_lsu_bus_buffer.scala 535:24] - node _T_4086 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:48] - node _T_4087 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 536:111] - node _T_4088 = and(bus_rsp_read_error, _T_4087) @[el2_lsu_bus_buffer.scala 536:91] - node _T_4089 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 537:42] - node _T_4090 = and(bus_rsp_read_error, _T_4089) @[el2_lsu_bus_buffer.scala 537:31] - node _T_4091 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 537:66] - node _T_4092 = and(_T_4090, _T_4091) @[el2_lsu_bus_buffer.scala 537:46] - node _T_4093 = or(_T_4088, _T_4092) @[el2_lsu_bus_buffer.scala 536:143] - node _T_4094 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 538:32] - node _T_4095 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:74] - node _T_4096 = and(_T_4094, _T_4095) @[el2_lsu_bus_buffer.scala 538:53] - node _T_4097 = or(_T_4093, _T_4096) @[el2_lsu_bus_buffer.scala 537:88] - node _T_4098 = and(_T_4086, _T_4097) @[el2_lsu_bus_buffer.scala 536:68] - buf_error_en[2] <= _T_4098 @[el2_lsu_bus_buffer.scala 536:25] - node _T_4099 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:50] - node _T_4100 = and(buf_state_en[2], _T_4099) @[el2_lsu_bus_buffer.scala 539:48] - node _T_4101 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 539:84] - node _T_4102 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4103 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:125] - node _T_4104 = mux(_T_4101, _T_4102, _T_4103) @[el2_lsu_bus_buffer.scala 539:72] - node _T_4105 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:148] - node _T_4106 = mux(_T_4100, _T_4104, _T_4105) @[el2_lsu_bus_buffer.scala 539:30] - buf_data_in[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 539:24] + node _T_4058 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] + node _T_4059 = or(_T_4058, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] + buf_state_en[2] <= _T_4059 @[el2_lsu_bus_buffer.scala 534:25] + node _T_4060 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 535:47] + node _T_4061 = and(_T_4060, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:62] + buf_data_en[2] <= _T_4061 @[el2_lsu_bus_buffer.scala 535:24] + node _T_4062 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:48] + node _T_4063 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 536:111] + node _T_4064 = and(bus_rsp_read_error, _T_4063) @[el2_lsu_bus_buffer.scala 536:91] + node _T_4065 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 537:42] + node _T_4066 = and(bus_rsp_read_error, _T_4065) @[el2_lsu_bus_buffer.scala 537:31] + node _T_4067 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 537:66] + node _T_4068 = and(_T_4066, _T_4067) @[el2_lsu_bus_buffer.scala 537:46] + node _T_4069 = or(_T_4064, _T_4068) @[el2_lsu_bus_buffer.scala 536:143] + node _T_4070 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 538:32] + node _T_4071 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:74] + node _T_4072 = and(_T_4070, _T_4071) @[el2_lsu_bus_buffer.scala 538:53] + node _T_4073 = or(_T_4069, _T_4072) @[el2_lsu_bus_buffer.scala 537:88] + node _T_4074 = and(_T_4062, _T_4073) @[el2_lsu_bus_buffer.scala 536:68] + buf_error_en[2] <= _T_4074 @[el2_lsu_bus_buffer.scala 536:25] + node _T_4075 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:50] + node _T_4076 = and(buf_state_en[2], _T_4075) @[el2_lsu_bus_buffer.scala 539:48] + node _T_4077 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 539:84] + node _T_4078 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 539:102] + node _T_4079 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:125] + node _T_4080 = mux(_T_4077, _T_4078, _T_4079) @[el2_lsu_bus_buffer.scala 539:72] + node _T_4081 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:148] + node _T_4082 = mux(_T_4076, _T_4080, _T_4081) @[el2_lsu_bus_buffer.scala 539:30] + buf_data_in[2] <= _T_4082 @[el2_lsu_bus_buffer.scala 539:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4107 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4107 : @[Conditional.scala 39:67] - node _T_4108 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] - node _T_4109 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 542:86] - node _T_4110 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 542:101] - node _T_4111 = bits(_T_4110, 0, 0) @[el2_lsu_bus_buffer.scala 542:101] - node _T_4112 = or(_T_4109, _T_4111) @[el2_lsu_bus_buffer.scala 542:90] - node _T_4113 = or(_T_4112, any_done_wait_state) @[el2_lsu_bus_buffer.scala 542:118] - node _T_4114 = mux(_T_4113, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 542:75] - node _T_4115 = mux(_T_4108, UInt<3>("h00"), _T_4114) @[el2_lsu_bus_buffer.scala 542:31] - buf_nxtstate[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 542:25] - node _T_4116 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 543:66] - node _T_4117 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 544:21] - node _T_4118 = bits(_T_4117, 0, 0) @[el2_lsu_bus_buffer.scala 544:21] - node _T_4119 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 544:58] - node _T_4120 = and(_T_4118, _T_4119) @[el2_lsu_bus_buffer.scala 544:38] - node _T_4121 = or(_T_4116, _T_4120) @[el2_lsu_bus_buffer.scala 543:95] - node _T_4122 = and(bus_rsp_read, _T_4121) @[el2_lsu_bus_buffer.scala 543:45] - buf_state_bus_en[2] <= _T_4122 @[el2_lsu_bus_buffer.scala 543:29] - node _T_4123 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 545:49] - node _T_4124 = or(_T_4123, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 545:70] - buf_state_en[2] <= _T_4124 @[el2_lsu_bus_buffer.scala 545:25] + node _T_4083 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4083 : @[Conditional.scala 39:67] + node _T_4084 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] + node _T_4085 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 542:86] + node _T_4086 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 542:101] + node _T_4087 = bits(_T_4086, 0, 0) @[el2_lsu_bus_buffer.scala 542:101] + node _T_4088 = or(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 542:90] + node _T_4089 = or(_T_4088, any_done_wait_state) @[el2_lsu_bus_buffer.scala 542:118] + node _T_4090 = mux(_T_4089, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 542:75] + node _T_4091 = mux(_T_4084, UInt<3>("h00"), _T_4090) @[el2_lsu_bus_buffer.scala 542:31] + buf_nxtstate[2] <= _T_4091 @[el2_lsu_bus_buffer.scala 542:25] + node _T_4092 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 543:66] + node _T_4093 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 544:21] + node _T_4094 = bits(_T_4093, 0, 0) @[el2_lsu_bus_buffer.scala 544:21] + node _T_4095 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 544:58] + node _T_4096 = and(_T_4094, _T_4095) @[el2_lsu_bus_buffer.scala 544:38] + node _T_4097 = or(_T_4092, _T_4096) @[el2_lsu_bus_buffer.scala 543:95] + node _T_4098 = and(bus_rsp_read, _T_4097) @[el2_lsu_bus_buffer.scala 543:45] + buf_state_bus_en[2] <= _T_4098 @[el2_lsu_bus_buffer.scala 543:29] + node _T_4099 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 545:49] + node _T_4100 = or(_T_4099, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 545:70] + buf_state_en[2] <= _T_4100 @[el2_lsu_bus_buffer.scala 545:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4125 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4125 : @[Conditional.scala 39:67] - node _T_4126 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] - node _T_4127 = mux(_T_4126, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 548:31] - buf_nxtstate[2] <= _T_4127 @[el2_lsu_bus_buffer.scala 548:25] - node _T_4128 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 549:37] - node _T_4129 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 549:98] - node _T_4130 = and(buf_dual[2], _T_4129) @[el2_lsu_bus_buffer.scala 549:80] - node _T_4131 = or(_T_4128, _T_4130) @[el2_lsu_bus_buffer.scala 549:65] - node _T_4132 = or(_T_4131, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 549:112] - buf_state_en[2] <= _T_4132 @[el2_lsu_bus_buffer.scala 549:25] + node _T_4101 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4101 : @[Conditional.scala 39:67] + node _T_4102 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] + node _T_4103 = mux(_T_4102, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 548:31] + buf_nxtstate[2] <= _T_4103 @[el2_lsu_bus_buffer.scala 548:25] + node _T_4104 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 549:37] + node _T_4105 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 549:98] + node _T_4106 = and(buf_dual[2], _T_4105) @[el2_lsu_bus_buffer.scala 549:80] + node _T_4107 = or(_T_4104, _T_4106) @[el2_lsu_bus_buffer.scala 549:65] + node _T_4108 = or(_T_4107, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 549:112] + buf_state_en[2] <= _T_4108 @[el2_lsu_bus_buffer.scala 549:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4133 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4133 : @[Conditional.scala 39:67] + node _T_4109 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4109 : @[Conditional.scala 39:67] buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 552:25] buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:20] buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:25] buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 555:25] buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 556:25] skip @[Conditional.scala 39:67] - node _T_4134 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 559:108] - reg _T_4135 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4134 : @[Reg.scala 28:19] - _T_4135 <= buf_nxtstate[2] @[Reg.scala 28:23] + node _T_4110 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 559:108] + reg _T_4111 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4110 : @[Reg.scala 28:19] + _T_4111 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4135 @[el2_lsu_bus_buffer.scala 559:18] - reg _T_4136 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:60] - _T_4136 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 560:60] - buf_ageQ[2] <= _T_4136 @[el2_lsu_bus_buffer.scala 560:17] - reg _T_4137 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:63] - _T_4137 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 561:63] - buf_rspageQ[2] <= _T_4137 @[el2_lsu_bus_buffer.scala 561:20] - node _T_4138 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:109] - reg _T_4139 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4138 : @[Reg.scala 28:19] - _T_4139 <= buf_dualtag_in[2] @[Reg.scala 28:23] + buf_state[2] <= _T_4111 @[el2_lsu_bus_buffer.scala 559:18] + reg _T_4112 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:60] + _T_4112 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 560:60] + buf_ageQ[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 560:17] + reg _T_4113 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:63] + _T_4113 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 561:63] + buf_rspageQ[2] <= _T_4113 @[el2_lsu_bus_buffer.scala 561:20] + node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:109] + reg _T_4115 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4114 : @[Reg.scala 28:19] + _T_4115 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4139 @[el2_lsu_bus_buffer.scala 562:20] - node _T_4140 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:74] - node _T_4141 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:107] - reg _T_4142 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4141 : @[Reg.scala 28:19] - _T_4142 <= _T_4140 @[Reg.scala 28:23] + buf_dualtag[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 562:20] + node _T_4116 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:74] + node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:107] + reg _T_4118 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4117 : @[Reg.scala 28:19] + _T_4118 <= _T_4116 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4142 @[el2_lsu_bus_buffer.scala 563:17] - node _T_4143 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4144 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:111] - reg _T_4145 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4144 : @[Reg.scala 28:19] - _T_4145 <= _T_4143 @[Reg.scala 28:23] + buf_dual[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 563:17] + node _T_4119 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:78] + node _T_4120 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:111] + reg _T_4121 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4120 : @[Reg.scala 28:19] + _T_4121 <= _T_4119 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4145 @[el2_lsu_bus_buffer.scala 564:19] - node _T_4146 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:80] - node _T_4147 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:113] - reg _T_4148 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4147 : @[Reg.scala 28:19] - _T_4148 <= _T_4146 @[Reg.scala 28:23] + buf_samedw[2] <= _T_4121 @[el2_lsu_bus_buffer.scala 564:19] + node _T_4122 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:80] + node _T_4123 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:113] + reg _T_4124 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4123 : @[Reg.scala 28:19] + _T_4124 <= _T_4122 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4148 @[el2_lsu_bus_buffer.scala 565:20] - node _T_4149 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 566:78] - node _T_4150 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] - reg _T_4151 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4150 : @[Reg.scala 28:19] - _T_4151 <= _T_4149 @[Reg.scala 28:23] + buf_nomerge[2] <= _T_4124 @[el2_lsu_bus_buffer.scala 565:20] + node _T_4125 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 566:78] + node _T_4126 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] + reg _T_4127 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4126 : @[Reg.scala 28:19] + _T_4127 <= _T_4125 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4151 @[el2_lsu_bus_buffer.scala 566:19] - node _T_4152 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4152 : @[Conditional.scala 40:58] - node _T_4153 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 503:56] - node _T_4154 = mux(_T_4153, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[3] <= _T_4154 @[el2_lsu_bus_buffer.scala 503:25] - node _T_4155 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 504:45] - node _T_4156 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:77] - node _T_4157 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:97] - node _T_4158 = and(_T_4156, _T_4157) @[el2_lsu_bus_buffer.scala 504:95] - node _T_4159 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 504:117] - node _T_4160 = and(_T_4158, _T_4159) @[el2_lsu_bus_buffer.scala 504:112] - node _T_4161 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:144] - node _T_4162 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 504:166] - node _T_4163 = and(_T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 504:161] - node _T_4164 = or(_T_4160, _T_4163) @[el2_lsu_bus_buffer.scala 504:132] - node _T_4165 = and(_T_4155, _T_4164) @[el2_lsu_bus_buffer.scala 504:63] - node _T_4166 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 504:206] - node _T_4167 = and(ibuf_drain_vld, _T_4166) @[el2_lsu_bus_buffer.scala 504:201] - node _T_4168 = or(_T_4165, _T_4167) @[el2_lsu_bus_buffer.scala 504:183] - buf_state_en[3] <= _T_4168 @[el2_lsu_bus_buffer.scala 504:25] + buf_dualhi[2] <= _T_4127 @[el2_lsu_bus_buffer.scala 566:19] + node _T_4128 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4128 : @[Conditional.scala 40:58] + node _T_4129 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 503:56] + node _T_4130 = mux(_T_4129, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[3] <= _T_4130 @[el2_lsu_bus_buffer.scala 503:25] + node _T_4131 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 504:45] + node _T_4132 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:77] + node _T_4133 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:97] + node _T_4134 = and(_T_4132, _T_4133) @[el2_lsu_bus_buffer.scala 504:95] + node _T_4135 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 504:117] + node _T_4136 = and(_T_4134, _T_4135) @[el2_lsu_bus_buffer.scala 504:112] + node _T_4137 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:144] + node _T_4138 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 504:166] + node _T_4139 = and(_T_4137, _T_4138) @[el2_lsu_bus_buffer.scala 504:161] + node _T_4140 = or(_T_4136, _T_4139) @[el2_lsu_bus_buffer.scala 504:132] + node _T_4141 = and(_T_4131, _T_4140) @[el2_lsu_bus_buffer.scala 504:63] + node _T_4142 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 504:206] + node _T_4143 = and(ibuf_drain_vld, _T_4142) @[el2_lsu_bus_buffer.scala 504:201] + node _T_4144 = or(_T_4141, _T_4143) @[el2_lsu_bus_buffer.scala 504:183] + buf_state_en[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 504:25] buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 505:22] buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 506:24] - node _T_4169 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 507:52] - node _T_4170 = and(ibuf_drain_vld, _T_4169) @[el2_lsu_bus_buffer.scala 507:47] - node _T_4171 = bits(_T_4170, 0, 0) @[el2_lsu_bus_buffer.scala 507:73] - node _T_4172 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 507:90] - node _T_4173 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 507:114] - node _T_4174 = mux(_T_4171, _T_4172, _T_4173) @[el2_lsu_bus_buffer.scala 507:30] - buf_data_in[3] <= _T_4174 @[el2_lsu_bus_buffer.scala 507:24] + node _T_4145 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 507:52] + node _T_4146 = and(ibuf_drain_vld, _T_4145) @[el2_lsu_bus_buffer.scala 507:47] + node _T_4147 = bits(_T_4146, 0, 0) @[el2_lsu_bus_buffer.scala 507:73] + node _T_4148 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 507:90] + node _T_4149 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 507:114] + node _T_4150 = mux(_T_4147, _T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 507:30] + buf_data_in[3] <= _T_4150 @[el2_lsu_bus_buffer.scala 507:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_4175 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4175 : @[Conditional.scala 39:67] - node _T_4176 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] - node _T_4177 = mux(_T_4176, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:31] - buf_nxtstate[3] <= _T_4177 @[el2_lsu_bus_buffer.scala 510:25] - node _T_4178 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:46] - buf_state_en[3] <= _T_4178 @[el2_lsu_bus_buffer.scala 511:25] + node _T_4151 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4151 : @[Conditional.scala 39:67] + node _T_4152 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_4153 = mux(_T_4152, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[3] <= _T_4153 @[el2_lsu_bus_buffer.scala 510:25] + node _T_4154 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:46] + buf_state_en[3] <= _T_4154 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4179 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4179 : @[Conditional.scala 39:67] - node _T_4180 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] - node _T_4181 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:89] - node _T_4182 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 514:124] - node _T_4183 = and(_T_4181, _T_4182) @[el2_lsu_bus_buffer.scala 514:104] - node _T_4184 = mux(_T_4183, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:75] - node _T_4185 = mux(_T_4180, UInt<3>("h00"), _T_4184) @[el2_lsu_bus_buffer.scala 514:31] - buf_nxtstate[3] <= _T_4185 @[el2_lsu_bus_buffer.scala 514:25] - node _T_4186 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:48] - node _T_4187 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:104] - node _T_4188 = and(obuf_merge, _T_4187) @[el2_lsu_bus_buffer.scala 515:91] - node _T_4189 = or(_T_4186, _T_4188) @[el2_lsu_bus_buffer.scala 515:77] - node _T_4190 = and(_T_4189, obuf_valid) @[el2_lsu_bus_buffer.scala 515:135] - node _T_4191 = and(_T_4190, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 515:148] - buf_cmd_state_bus_en[3] <= _T_4191 @[el2_lsu_bus_buffer.scala 515:33] + node _T_4155 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4155 : @[Conditional.scala 39:67] + node _T_4156 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] + node _T_4157 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:89] + node _T_4158 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 514:124] + node _T_4159 = and(_T_4157, _T_4158) @[el2_lsu_bus_buffer.scala 514:104] + node _T_4160 = mux(_T_4159, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:75] + node _T_4161 = mux(_T_4156, UInt<3>("h00"), _T_4160) @[el2_lsu_bus_buffer.scala 514:31] + buf_nxtstate[3] <= _T_4161 @[el2_lsu_bus_buffer.scala 514:25] + node _T_4162 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:48] + node _T_4163 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:104] + node _T_4164 = and(obuf_merge, _T_4163) @[el2_lsu_bus_buffer.scala 515:91] + node _T_4165 = or(_T_4162, _T_4164) @[el2_lsu_bus_buffer.scala 515:77] + node _T_4166 = and(_T_4165, obuf_valid) @[el2_lsu_bus_buffer.scala 515:135] + node _T_4167 = and(_T_4166, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 515:148] + buf_cmd_state_bus_en[3] <= _T_4167 @[el2_lsu_bus_buffer.scala 515:33] buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 516:29] - node _T_4192 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 517:49] - node _T_4193 = or(_T_4192, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 517:70] - buf_state_en[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 517:25] + node _T_4168 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 517:49] + node _T_4169 = or(_T_4168, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 517:70] + buf_state_en[3] <= _T_4169 @[el2_lsu_bus_buffer.scala 517:25] buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:25] - node _T_4194 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:56] - node _T_4195 = eq(_T_4194, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:46] - node _T_4196 = and(buf_state_en[3], _T_4195) @[el2_lsu_bus_buffer.scala 519:44] - node _T_4197 = and(_T_4196, obuf_nosend) @[el2_lsu_bus_buffer.scala 519:60] - node _T_4198 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:76] - node _T_4199 = and(_T_4197, _T_4198) @[el2_lsu_bus_buffer.scala 519:74] - buf_ldfwd_en[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 519:25] - node _T_4200 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 520:46] - buf_ldfwdtag_in[3] <= _T_4200 @[el2_lsu_bus_buffer.scala 520:28] - node _T_4201 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:47] - node _T_4202 = and(_T_4201, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:67] - node _T_4203 = and(_T_4202, bus_rsp_read) @[el2_lsu_bus_buffer.scala 521:81] - buf_data_en[3] <= _T_4203 @[el2_lsu_bus_buffer.scala 521:24] - node _T_4204 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:48] - node _T_4205 = and(_T_4204, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:68] - node _T_4206 = and(_T_4205, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 522:82] - buf_error_en[3] <= _T_4206 @[el2_lsu_bus_buffer.scala 522:25] - node _T_4207 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:61] - node _T_4208 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 523:85] - node _T_4209 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 523:103] - node _T_4210 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:126] - node _T_4211 = mux(_T_4208, _T_4209, _T_4210) @[el2_lsu_bus_buffer.scala 523:73] - node _T_4212 = mux(buf_error_en[3], _T_4207, _T_4211) @[el2_lsu_bus_buffer.scala 523:30] - buf_data_in[3] <= _T_4212 @[el2_lsu_bus_buffer.scala 523:24] + node _T_4170 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:56] + node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:46] + node _T_4172 = and(buf_state_en[3], _T_4171) @[el2_lsu_bus_buffer.scala 519:44] + node _T_4173 = and(_T_4172, obuf_nosend) @[el2_lsu_bus_buffer.scala 519:60] + node _T_4174 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:76] + node _T_4175 = and(_T_4173, _T_4174) @[el2_lsu_bus_buffer.scala 519:74] + buf_ldfwd_en[3] <= _T_4175 @[el2_lsu_bus_buffer.scala 519:25] + node _T_4176 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 520:46] + buf_ldfwdtag_in[3] <= _T_4176 @[el2_lsu_bus_buffer.scala 520:28] + node _T_4177 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:47] + node _T_4178 = and(_T_4177, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:67] + node _T_4179 = and(_T_4178, bus_rsp_read) @[el2_lsu_bus_buffer.scala 521:81] + buf_data_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 521:24] + node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:48] + node _T_4181 = and(_T_4180, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:68] + node _T_4182 = and(_T_4181, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 522:82] + buf_error_en[3] <= _T_4182 @[el2_lsu_bus_buffer.scala 522:25] + node _T_4183 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:61] + node _T_4184 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 523:85] + node _T_4185 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 523:103] + node _T_4186 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:126] + node _T_4187 = mux(_T_4184, _T_4185, _T_4186) @[el2_lsu_bus_buffer.scala 523:73] + node _T_4188 = mux(buf_error_en[3], _T_4183, _T_4187) @[el2_lsu_bus_buffer.scala 523:30] + buf_data_in[3] <= _T_4188 @[el2_lsu_bus_buffer.scala 523:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4213 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4213 : @[Conditional.scala 39:67] - node _T_4214 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 526:67] - node _T_4215 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 526:94] - node _T_4216 = eq(_T_4215, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:73] - node _T_4217 = and(_T_4214, _T_4216) @[el2_lsu_bus_buffer.scala 526:71] - node _T_4218 = or(io.dec_tlu_force_halt, _T_4217) @[el2_lsu_bus_buffer.scala 526:55] - node _T_4219 = bits(_T_4218, 0, 0) @[el2_lsu_bus_buffer.scala 526:125] - node _T_4220 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:30] - node _T_4221 = and(buf_dual[3], _T_4220) @[el2_lsu_bus_buffer.scala 527:28] - node _T_4222 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 527:57] - node _T_4223 = eq(_T_4222, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:47] - node _T_4224 = and(_T_4221, _T_4223) @[el2_lsu_bus_buffer.scala 527:45] - node _T_4225 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:90] - node _T_4226 = and(_T_4224, _T_4225) @[el2_lsu_bus_buffer.scala 527:61] - node _T_4227 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 528:27] - node _T_4228 = or(_T_4227, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:31] - node _T_4229 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:70] - node _T_4230 = and(buf_dual[3], _T_4229) @[el2_lsu_bus_buffer.scala 528:68] - node _T_4231 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 528:97] - node _T_4232 = eq(_T_4231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:87] - node _T_4233 = and(_T_4230, _T_4232) @[el2_lsu_bus_buffer.scala 528:85] - node _T_4234 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4235 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4236 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4237 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4238 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4239 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4240 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4241 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4242 = mux(_T_4234, _T_4235, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4243 = mux(_T_4236, _T_4237, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4244 = mux(_T_4238, _T_4239, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4245 = mux(_T_4240, _T_4241, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4246 = or(_T_4242, _T_4243) @[Mux.scala 27:72] - node _T_4247 = or(_T_4246, _T_4244) @[Mux.scala 27:72] - node _T_4248 = or(_T_4247, _T_4245) @[Mux.scala 27:72] - wire _T_4249 : UInt<1> @[Mux.scala 27:72] - _T_4249 <= _T_4248 @[Mux.scala 27:72] - node _T_4250 = and(_T_4233, _T_4249) @[el2_lsu_bus_buffer.scala 528:101] - node _T_4251 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:167] - node _T_4252 = and(_T_4250, _T_4251) @[el2_lsu_bus_buffer.scala 528:138] - node _T_4253 = and(_T_4252, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:187] - node _T_4254 = or(_T_4228, _T_4253) @[el2_lsu_bus_buffer.scala 528:53] - node _T_4255 = mux(_T_4254, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 528:16] - node _T_4256 = mux(_T_4226, UInt<3>("h04"), _T_4255) @[el2_lsu_bus_buffer.scala 527:14] - node _T_4257 = mux(_T_4219, UInt<3>("h00"), _T_4256) @[el2_lsu_bus_buffer.scala 526:31] - buf_nxtstate[3] <= _T_4257 @[el2_lsu_bus_buffer.scala 526:25] - node _T_4258 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 529:73] - node _T_4259 = and(bus_rsp_write, _T_4258) @[el2_lsu_bus_buffer.scala 529:52] - node _T_4260 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 530:46] - node _T_4261 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 531:23] - node _T_4262 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 531:47] - node _T_4263 = and(_T_4261, _T_4262) @[el2_lsu_bus_buffer.scala 531:27] - node _T_4264 = or(_T_4260, _T_4263) @[el2_lsu_bus_buffer.scala 530:77] - node _T_4265 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 532:26] - node _T_4266 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 532:54] - node _T_4267 = not(_T_4266) @[el2_lsu_bus_buffer.scala 532:44] - node _T_4268 = and(_T_4265, _T_4267) @[el2_lsu_bus_buffer.scala 532:42] - node _T_4269 = and(_T_4268, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 532:58] - node _T_4270 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 532:94] - node _T_4271 = and(_T_4269, _T_4270) @[el2_lsu_bus_buffer.scala 532:74] - node _T_4272 = or(_T_4264, _T_4271) @[el2_lsu_bus_buffer.scala 531:71] - node _T_4273 = and(bus_rsp_read, _T_4272) @[el2_lsu_bus_buffer.scala 530:25] - node _T_4274 = or(_T_4259, _T_4273) @[el2_lsu_bus_buffer.scala 529:105] - buf_resp_state_bus_en[3] <= _T_4274 @[el2_lsu_bus_buffer.scala 529:34] + node _T_4189 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4189 : @[Conditional.scala 39:67] + node _T_4190 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 526:67] + node _T_4191 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 526:94] + node _T_4192 = eq(_T_4191, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:73] + node _T_4193 = and(_T_4190, _T_4192) @[el2_lsu_bus_buffer.scala 526:71] + node _T_4194 = or(io.dec_tlu_force_halt, _T_4193) @[el2_lsu_bus_buffer.scala 526:55] + node _T_4195 = bits(_T_4194, 0, 0) @[el2_lsu_bus_buffer.scala 526:125] + node _T_4196 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:30] + node _T_4197 = and(buf_dual[3], _T_4196) @[el2_lsu_bus_buffer.scala 527:28] + node _T_4198 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 527:57] + node _T_4199 = eq(_T_4198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:47] + node _T_4200 = and(_T_4197, _T_4199) @[el2_lsu_bus_buffer.scala 527:45] + node _T_4201 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:90] + node _T_4202 = and(_T_4200, _T_4201) @[el2_lsu_bus_buffer.scala 527:61] + node _T_4203 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 528:27] + node _T_4204 = or(_T_4203, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:31] + node _T_4205 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:70] + node _T_4206 = and(buf_dual[3], _T_4205) @[el2_lsu_bus_buffer.scala 528:68] + node _T_4207 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 528:97] + node _T_4208 = eq(_T_4207, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:87] + node _T_4209 = and(_T_4206, _T_4208) @[el2_lsu_bus_buffer.scala 528:85] + node _T_4210 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4211 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4212 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4213 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4214 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4215 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4216 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4217 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4218 = mux(_T_4210, _T_4211, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4219 = mux(_T_4212, _T_4213, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4220 = mux(_T_4214, _T_4215, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4221 = mux(_T_4216, _T_4217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4222 = or(_T_4218, _T_4219) @[Mux.scala 27:72] + node _T_4223 = or(_T_4222, _T_4220) @[Mux.scala 27:72] + node _T_4224 = or(_T_4223, _T_4221) @[Mux.scala 27:72] + wire _T_4225 : UInt<1> @[Mux.scala 27:72] + _T_4225 <= _T_4224 @[Mux.scala 27:72] + node _T_4226 = and(_T_4209, _T_4225) @[el2_lsu_bus_buffer.scala 528:101] + node _T_4227 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:167] + node _T_4228 = and(_T_4226, _T_4227) @[el2_lsu_bus_buffer.scala 528:138] + node _T_4229 = and(_T_4228, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:187] + node _T_4230 = or(_T_4204, _T_4229) @[el2_lsu_bus_buffer.scala 528:53] + node _T_4231 = mux(_T_4230, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 528:16] + node _T_4232 = mux(_T_4202, UInt<3>("h04"), _T_4231) @[el2_lsu_bus_buffer.scala 527:14] + node _T_4233 = mux(_T_4195, UInt<3>("h00"), _T_4232) @[el2_lsu_bus_buffer.scala 526:31] + buf_nxtstate[3] <= _T_4233 @[el2_lsu_bus_buffer.scala 526:25] + node _T_4234 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 529:73] + node _T_4235 = and(bus_rsp_write, _T_4234) @[el2_lsu_bus_buffer.scala 529:52] + node _T_4236 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 530:46] + node _T_4237 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 531:23] + node _T_4238 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 531:47] + node _T_4239 = and(_T_4237, _T_4238) @[el2_lsu_bus_buffer.scala 531:27] + node _T_4240 = or(_T_4236, _T_4239) @[el2_lsu_bus_buffer.scala 530:77] + node _T_4241 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 532:26] + node _T_4242 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 532:54] + node _T_4243 = not(_T_4242) @[el2_lsu_bus_buffer.scala 532:44] + node _T_4244 = and(_T_4241, _T_4243) @[el2_lsu_bus_buffer.scala 532:42] + node _T_4245 = and(_T_4244, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 532:58] + node _T_4246 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 532:94] + node _T_4247 = and(_T_4245, _T_4246) @[el2_lsu_bus_buffer.scala 532:74] + node _T_4248 = or(_T_4240, _T_4247) @[el2_lsu_bus_buffer.scala 531:71] + node _T_4249 = and(bus_rsp_read, _T_4248) @[el2_lsu_bus_buffer.scala 530:25] + node _T_4250 = or(_T_4235, _T_4249) @[el2_lsu_bus_buffer.scala 529:105] + buf_resp_state_bus_en[3] <= _T_4250 @[el2_lsu_bus_buffer.scala 529:34] buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 533:29] - node _T_4275 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] - node _T_4276 = or(_T_4275, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] - buf_state_en[3] <= _T_4276 @[el2_lsu_bus_buffer.scala 534:25] - node _T_4277 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 535:47] - node _T_4278 = and(_T_4277, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:62] - buf_data_en[3] <= _T_4278 @[el2_lsu_bus_buffer.scala 535:24] - node _T_4279 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:48] - node _T_4280 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 536:111] - node _T_4281 = and(bus_rsp_read_error, _T_4280) @[el2_lsu_bus_buffer.scala 536:91] - node _T_4282 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 537:42] - node _T_4283 = and(bus_rsp_read_error, _T_4282) @[el2_lsu_bus_buffer.scala 537:31] - node _T_4284 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 537:66] - node _T_4285 = and(_T_4283, _T_4284) @[el2_lsu_bus_buffer.scala 537:46] - node _T_4286 = or(_T_4281, _T_4285) @[el2_lsu_bus_buffer.scala 536:143] - node _T_4287 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 538:32] - node _T_4288 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 538:74] - node _T_4289 = and(_T_4287, _T_4288) @[el2_lsu_bus_buffer.scala 538:53] - node _T_4290 = or(_T_4286, _T_4289) @[el2_lsu_bus_buffer.scala 537:88] - node _T_4291 = and(_T_4279, _T_4290) @[el2_lsu_bus_buffer.scala 536:68] - buf_error_en[3] <= _T_4291 @[el2_lsu_bus_buffer.scala 536:25] - node _T_4292 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:50] - node _T_4293 = and(buf_state_en[3], _T_4292) @[el2_lsu_bus_buffer.scala 539:48] - node _T_4294 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 539:84] - node _T_4295 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4296 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:125] - node _T_4297 = mux(_T_4294, _T_4295, _T_4296) @[el2_lsu_bus_buffer.scala 539:72] - node _T_4298 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:148] - node _T_4299 = mux(_T_4293, _T_4297, _T_4298) @[el2_lsu_bus_buffer.scala 539:30] - buf_data_in[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 539:24] + node _T_4251 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] + node _T_4252 = or(_T_4251, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] + buf_state_en[3] <= _T_4252 @[el2_lsu_bus_buffer.scala 534:25] + node _T_4253 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 535:47] + node _T_4254 = and(_T_4253, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:62] + buf_data_en[3] <= _T_4254 @[el2_lsu_bus_buffer.scala 535:24] + node _T_4255 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:48] + node _T_4256 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 536:111] + node _T_4257 = and(bus_rsp_read_error, _T_4256) @[el2_lsu_bus_buffer.scala 536:91] + node _T_4258 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 537:42] + node _T_4259 = and(bus_rsp_read_error, _T_4258) @[el2_lsu_bus_buffer.scala 537:31] + node _T_4260 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 537:66] + node _T_4261 = and(_T_4259, _T_4260) @[el2_lsu_bus_buffer.scala 537:46] + node _T_4262 = or(_T_4257, _T_4261) @[el2_lsu_bus_buffer.scala 536:143] + node _T_4263 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 538:32] + node _T_4264 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 538:74] + node _T_4265 = and(_T_4263, _T_4264) @[el2_lsu_bus_buffer.scala 538:53] + node _T_4266 = or(_T_4262, _T_4265) @[el2_lsu_bus_buffer.scala 537:88] + node _T_4267 = and(_T_4255, _T_4266) @[el2_lsu_bus_buffer.scala 536:68] + buf_error_en[3] <= _T_4267 @[el2_lsu_bus_buffer.scala 536:25] + node _T_4268 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:50] + node _T_4269 = and(buf_state_en[3], _T_4268) @[el2_lsu_bus_buffer.scala 539:48] + node _T_4270 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 539:84] + node _T_4271 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 539:102] + node _T_4272 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:125] + node _T_4273 = mux(_T_4270, _T_4271, _T_4272) @[el2_lsu_bus_buffer.scala 539:72] + node _T_4274 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:148] + node _T_4275 = mux(_T_4269, _T_4273, _T_4274) @[el2_lsu_bus_buffer.scala 539:30] + buf_data_in[3] <= _T_4275 @[el2_lsu_bus_buffer.scala 539:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4300 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4300 : @[Conditional.scala 39:67] - node _T_4301 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] - node _T_4302 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 542:86] - node _T_4303 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 542:101] - node _T_4304 = bits(_T_4303, 0, 0) @[el2_lsu_bus_buffer.scala 542:101] - node _T_4305 = or(_T_4302, _T_4304) @[el2_lsu_bus_buffer.scala 542:90] - node _T_4306 = or(_T_4305, any_done_wait_state) @[el2_lsu_bus_buffer.scala 542:118] - node _T_4307 = mux(_T_4306, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 542:75] - node _T_4308 = mux(_T_4301, UInt<3>("h00"), _T_4307) @[el2_lsu_bus_buffer.scala 542:31] - buf_nxtstate[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 542:25] - node _T_4309 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 543:66] - node _T_4310 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 544:21] - node _T_4311 = bits(_T_4310, 0, 0) @[el2_lsu_bus_buffer.scala 544:21] - node _T_4312 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 544:58] - node _T_4313 = and(_T_4311, _T_4312) @[el2_lsu_bus_buffer.scala 544:38] - node _T_4314 = or(_T_4309, _T_4313) @[el2_lsu_bus_buffer.scala 543:95] - node _T_4315 = and(bus_rsp_read, _T_4314) @[el2_lsu_bus_buffer.scala 543:45] - buf_state_bus_en[3] <= _T_4315 @[el2_lsu_bus_buffer.scala 543:29] - node _T_4316 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 545:49] - node _T_4317 = or(_T_4316, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 545:70] - buf_state_en[3] <= _T_4317 @[el2_lsu_bus_buffer.scala 545:25] + node _T_4276 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4276 : @[Conditional.scala 39:67] + node _T_4277 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] + node _T_4278 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 542:86] + node _T_4279 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 542:101] + node _T_4280 = bits(_T_4279, 0, 0) @[el2_lsu_bus_buffer.scala 542:101] + node _T_4281 = or(_T_4278, _T_4280) @[el2_lsu_bus_buffer.scala 542:90] + node _T_4282 = or(_T_4281, any_done_wait_state) @[el2_lsu_bus_buffer.scala 542:118] + node _T_4283 = mux(_T_4282, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 542:75] + node _T_4284 = mux(_T_4277, UInt<3>("h00"), _T_4283) @[el2_lsu_bus_buffer.scala 542:31] + buf_nxtstate[3] <= _T_4284 @[el2_lsu_bus_buffer.scala 542:25] + node _T_4285 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 543:66] + node _T_4286 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 544:21] + node _T_4287 = bits(_T_4286, 0, 0) @[el2_lsu_bus_buffer.scala 544:21] + node _T_4288 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 544:58] + node _T_4289 = and(_T_4287, _T_4288) @[el2_lsu_bus_buffer.scala 544:38] + node _T_4290 = or(_T_4285, _T_4289) @[el2_lsu_bus_buffer.scala 543:95] + node _T_4291 = and(bus_rsp_read, _T_4290) @[el2_lsu_bus_buffer.scala 543:45] + buf_state_bus_en[3] <= _T_4291 @[el2_lsu_bus_buffer.scala 543:29] + node _T_4292 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 545:49] + node _T_4293 = or(_T_4292, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 545:70] + buf_state_en[3] <= _T_4293 @[el2_lsu_bus_buffer.scala 545:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4318 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4318 : @[Conditional.scala 39:67] - node _T_4319 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] - node _T_4320 = mux(_T_4319, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 548:31] - buf_nxtstate[3] <= _T_4320 @[el2_lsu_bus_buffer.scala 548:25] - node _T_4321 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:37] - node _T_4322 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 549:98] - node _T_4323 = and(buf_dual[3], _T_4322) @[el2_lsu_bus_buffer.scala 549:80] - node _T_4324 = or(_T_4321, _T_4323) @[el2_lsu_bus_buffer.scala 549:65] - node _T_4325 = or(_T_4324, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 549:112] - buf_state_en[3] <= _T_4325 @[el2_lsu_bus_buffer.scala 549:25] + node _T_4294 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4294 : @[Conditional.scala 39:67] + node _T_4295 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] + node _T_4296 = mux(_T_4295, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 548:31] + buf_nxtstate[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 548:25] + node _T_4297 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:37] + node _T_4298 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 549:98] + node _T_4299 = and(buf_dual[3], _T_4298) @[el2_lsu_bus_buffer.scala 549:80] + node _T_4300 = or(_T_4297, _T_4299) @[el2_lsu_bus_buffer.scala 549:65] + node _T_4301 = or(_T_4300, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 549:112] + buf_state_en[3] <= _T_4301 @[el2_lsu_bus_buffer.scala 549:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4326 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4326 : @[Conditional.scala 39:67] + node _T_4302 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4302 : @[Conditional.scala 39:67] buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 552:25] buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:20] buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:25] buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 555:25] buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 556:25] skip @[Conditional.scala 39:67] - node _T_4327 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 559:108] - reg _T_4328 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4303 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 559:108] + reg _T_4304 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4303 : @[Reg.scala 28:19] + _T_4304 <= buf_nxtstate[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[3] <= _T_4304 @[el2_lsu_bus_buffer.scala 559:18] + reg _T_4305 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:60] + _T_4305 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 560:60] + buf_ageQ[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 560:17] + reg _T_4306 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:63] + _T_4306 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 561:63] + buf_rspageQ[3] <= _T_4306 @[el2_lsu_bus_buffer.scala 561:20] + node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:109] + reg _T_4308 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4307 : @[Reg.scala 28:19] + _T_4308 <= buf_dualtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 562:20] + node _T_4309 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:74] + node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:107] + reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4310 : @[Reg.scala 28:19] + _T_4311 <= _T_4309 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 563:17] + node _T_4312 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:78] + node _T_4313 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:111] + reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4313 : @[Reg.scala 28:19] + _T_4314 <= _T_4312 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 564:19] + node _T_4315 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:80] + node _T_4316 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:113] + reg _T_4317 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4316 : @[Reg.scala 28:19] + _T_4317 <= _T_4315 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[3] <= _T_4317 @[el2_lsu_bus_buffer.scala 565:20] + node _T_4318 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 566:78] + node _T_4319 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4319 : @[Reg.scala 28:19] + _T_4320 <= _T_4318 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[3] <= _T_4320 @[el2_lsu_bus_buffer.scala 566:19] + node _T_4321 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 569:133] + reg _T_4322 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4321 : @[Reg.scala 28:19] + _T_4322 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4323 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 569:133] + reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4323 : @[Reg.scala 28:19] + _T_4324 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4325 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 569:133] + reg _T_4326 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4325 : @[Reg.scala 28:19] + _T_4326 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4327 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 569:133] + reg _T_4328 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4327 : @[Reg.scala 28:19] - _T_4328 <= buf_nxtstate[3] @[Reg.scala 28:23] + _T_4328 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4328 @[el2_lsu_bus_buffer.scala 559:18] - reg _T_4329 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:60] - _T_4329 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 560:60] - buf_ageQ[3] <= _T_4329 @[el2_lsu_bus_buffer.scala 560:17] - reg _T_4330 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:63] - _T_4330 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 561:63] - buf_rspageQ[3] <= _T_4330 @[el2_lsu_bus_buffer.scala 561:20] - node _T_4331 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:109] - reg _T_4332 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4331 : @[Reg.scala 28:19] - _T_4332 <= buf_dualtag_in[3] @[Reg.scala 28:23] + node _T_4329 = cat(_T_4328, _T_4326) @[Cat.scala 29:58] + node _T_4330 = cat(_T_4329, _T_4324) @[Cat.scala 29:58] + node _T_4331 = cat(_T_4330, _T_4322) @[Cat.scala 29:58] + buf_ldfwd <= _T_4331 @[el2_lsu_bus_buffer.scala 569:15] + node _T_4332 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 570:134] + reg _T_4333 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4332 : @[Reg.scala 28:19] + _T_4333 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4332 @[el2_lsu_bus_buffer.scala 562:20] - node _T_4333 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:74] - node _T_4334 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:107] - reg _T_4335 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4334 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 570:134] + reg _T_4335 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4334 : @[Reg.scala 28:19] - _T_4335 <= _T_4333 @[Reg.scala 28:23] + _T_4335 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4335 @[el2_lsu_bus_buffer.scala 563:17] - node _T_4336 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4337 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:111] - reg _T_4338 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4337 : @[Reg.scala 28:19] - _T_4338 <= _T_4336 @[Reg.scala 28:23] + node _T_4336 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 570:134] + reg _T_4337 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4336 : @[Reg.scala 28:19] + _T_4337 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4338 @[el2_lsu_bus_buffer.scala 564:19] - node _T_4339 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:80] - node _T_4340 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:113] - reg _T_4341 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4340 : @[Reg.scala 28:19] - _T_4341 <= _T_4339 @[Reg.scala 28:23] + node _T_4338 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 570:134] + reg _T_4339 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4338 : @[Reg.scala 28:19] + _T_4339 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4341 @[el2_lsu_bus_buffer.scala 565:20] - node _T_4342 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 566:78] - node _T_4343 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] - reg _T_4344 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4343 : @[Reg.scala 28:19] - _T_4344 <= _T_4342 @[Reg.scala 28:23] + buf_ldfwdtag[0] <= _T_4333 @[el2_lsu_bus_buffer.scala 570:18] + buf_ldfwdtag[1] <= _T_4335 @[el2_lsu_bus_buffer.scala 570:18] + buf_ldfwdtag[2] <= _T_4337 @[el2_lsu_bus_buffer.scala 570:18] + buf_ldfwdtag[3] <= _T_4339 @[el2_lsu_bus_buffer.scala 570:18] + node _T_4340 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 571:107] + node _T_4341 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 571:140] + reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4341 : @[Reg.scala 28:19] + _T_4342 <= _T_4340 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4344 @[el2_lsu_bus_buffer.scala 566:19] - node _T_4345 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 569:133] - reg _T_4346 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4345 : @[Reg.scala 28:19] - _T_4346 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + node _T_4343 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 571:107] + node _T_4344 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 571:140] + reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4344 : @[Reg.scala 28:19] + _T_4345 <= _T_4343 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4347 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 569:133] + node _T_4346 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 571:107] + node _T_4347 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 571:140] reg _T_4348 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4347 : @[Reg.scala 28:19] - _T_4348 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + _T_4348 <= _T_4346 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4349 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 569:133] - reg _T_4350 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4349 : @[Reg.scala 28:19] - _T_4350 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + node _T_4349 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 571:107] + node _T_4350 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 571:140] + reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4350 : @[Reg.scala 28:19] + _T_4351 <= _T_4349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4351 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 569:133] - reg _T_4352 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4351 : @[Reg.scala 28:19] - _T_4352 <= buf_ldfwd_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4353 = cat(_T_4352, _T_4350) @[Cat.scala 29:58] - node _T_4354 = cat(_T_4353, _T_4348) @[Cat.scala 29:58] - node _T_4355 = cat(_T_4354, _T_4346) @[Cat.scala 29:58] - buf_ldfwd <= _T_4355 @[el2_lsu_bus_buffer.scala 569:15] - node _T_4356 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 570:134] - reg _T_4357 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4352 = cat(_T_4351, _T_4348) @[Cat.scala 29:58] + node _T_4353 = cat(_T_4352, _T_4345) @[Cat.scala 29:58] + node _T_4354 = cat(_T_4353, _T_4342) @[Cat.scala 29:58] + buf_sideeffect <= _T_4354 @[el2_lsu_bus_buffer.scala 571:20] + node _T_4355 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 572:99] + node _T_4356 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 572:132] + reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4356 : @[Reg.scala 28:19] - _T_4357 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + _T_4357 <= _T_4355 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4358 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 570:134] - reg _T_4359 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4358 : @[Reg.scala 28:19] - _T_4359 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + node _T_4358 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 572:99] + node _T_4359 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 572:132] + reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4359 : @[Reg.scala 28:19] + _T_4360 <= _T_4358 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4360 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 570:134] - reg _T_4361 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4360 : @[Reg.scala 28:19] - _T_4361 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4362 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 570:134] - reg _T_4363 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4361 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 572:99] + node _T_4362 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 572:132] + reg _T_4363 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4362 : @[Reg.scala 28:19] - _T_4363 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + _T_4363 <= _T_4361 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4357 @[el2_lsu_bus_buffer.scala 570:18] - buf_ldfwdtag[1] <= _T_4359 @[el2_lsu_bus_buffer.scala 570:18] - buf_ldfwdtag[2] <= _T_4361 @[el2_lsu_bus_buffer.scala 570:18] - buf_ldfwdtag[3] <= _T_4363 @[el2_lsu_bus_buffer.scala 570:18] - node _T_4364 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 571:107] - node _T_4365 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 571:140] + node _T_4364 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 572:99] + node _T_4365 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 572:132] reg _T_4366 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= _T_4364 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4367 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 571:107] - node _T_4368 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 571:140] - reg _T_4369 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4368 : @[Reg.scala 28:19] - _T_4369 <= _T_4367 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4370 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 571:107] - node _T_4371 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 571:140] + node _T_4367 = cat(_T_4366, _T_4363) @[Cat.scala 29:58] + node _T_4368 = cat(_T_4367, _T_4360) @[Cat.scala 29:58] + node _T_4369 = cat(_T_4368, _T_4357) @[Cat.scala 29:58] + buf_unsign <= _T_4369 @[el2_lsu_bus_buffer.scala 572:16] + node _T_4370 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 573:97] + node _T_4371 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 573:130] reg _T_4372 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4371 : @[Reg.scala 28:19] _T_4372 <= _T_4370 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4373 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 571:107] - node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 571:140] + node _T_4373 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 573:97] + node _T_4374 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 573:130] reg _T_4375 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4374 : @[Reg.scala 28:19] _T_4375 <= _T_4373 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4376 = cat(_T_4375, _T_4372) @[Cat.scala 29:58] - node _T_4377 = cat(_T_4376, _T_4369) @[Cat.scala 29:58] - node _T_4378 = cat(_T_4377, _T_4366) @[Cat.scala 29:58] - buf_sideeffect <= _T_4378 @[el2_lsu_bus_buffer.scala 571:20] - node _T_4379 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 572:99] - node _T_4380 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 572:132] + node _T_4376 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 573:97] + node _T_4377 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 573:130] + reg _T_4378 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4377 : @[Reg.scala 28:19] + _T_4378 <= _T_4376 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4379 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 573:97] + node _T_4380 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 573:130] reg _T_4381 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4380 : @[Reg.scala 28:19] _T_4381 <= _T_4379 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4382 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 572:99] - node _T_4383 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 572:132] - reg _T_4384 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4383 : @[Reg.scala 28:19] - _T_4384 <= _T_4382 @[Reg.scala 28:23] + node _T_4382 = cat(_T_4381, _T_4378) @[Cat.scala 29:58] + node _T_4383 = cat(_T_4382, _T_4375) @[Cat.scala 29:58] + node _T_4384 = cat(_T_4383, _T_4372) @[Cat.scala 29:58] + buf_write <= _T_4384 @[el2_lsu_bus_buffer.scala 573:15] + node _T_4385 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 574:119] + reg _T_4386 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4385 : @[Reg.scala 28:19] + _T_4386 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4385 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 572:99] - node _T_4386 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 572:132] - reg _T_4387 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4386 : @[Reg.scala 28:19] - _T_4387 <= _T_4385 @[Reg.scala 28:23] + node _T_4387 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 574:119] + reg _T_4388 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4387 : @[Reg.scala 28:19] + _T_4388 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4388 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 572:99] - node _T_4389 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 572:132] - reg _T_4390 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4389 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 574:119] + reg _T_4390 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4389 : @[Reg.scala 28:19] - _T_4390 <= _T_4388 @[Reg.scala 28:23] + _T_4390 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4391 = cat(_T_4390, _T_4387) @[Cat.scala 29:58] - node _T_4392 = cat(_T_4391, _T_4384) @[Cat.scala 29:58] - node _T_4393 = cat(_T_4392, _T_4381) @[Cat.scala 29:58] - buf_unsign <= _T_4393 @[el2_lsu_bus_buffer.scala 572:16] - node _T_4394 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 573:97] - node _T_4395 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 573:130] - reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4395 : @[Reg.scala 28:19] - _T_4396 <= _T_4394 @[Reg.scala 28:23] + node _T_4391 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 574:119] + reg _T_4392 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4391 : @[Reg.scala 28:19] + _T_4392 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4397 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 573:97] - node _T_4398 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 573:130] - reg _T_4399 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4398 : @[Reg.scala 28:19] - _T_4399 <= _T_4397 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4400 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 573:97] - node _T_4401 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 573:130] - reg _T_4402 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4401 : @[Reg.scala 28:19] - _T_4402 <= _T_4400 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4403 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 573:97] - node _T_4404 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 573:130] - reg _T_4405 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4404 : @[Reg.scala 28:19] - _T_4405 <= _T_4403 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4406 = cat(_T_4405, _T_4402) @[Cat.scala 29:58] - node _T_4407 = cat(_T_4406, _T_4399) @[Cat.scala 29:58] - node _T_4408 = cat(_T_4407, _T_4396) @[Cat.scala 29:58] - buf_write <= _T_4408 @[el2_lsu_bus_buffer.scala 573:15] - node _T_4409 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 574:119] - reg _T_4410 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4409 : @[Reg.scala 28:19] - _T_4410 <= buf_sz_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4411 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 574:119] - reg _T_4412 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4411 : @[Reg.scala 28:19] - _T_4412 <= buf_sz_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4413 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 574:119] - reg _T_4414 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4413 : @[Reg.scala 28:19] - _T_4414 <= buf_sz_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4415 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 574:119] - reg _T_4416 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4415 : @[Reg.scala 28:19] - _T_4416 <= buf_sz_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4410 @[el2_lsu_bus_buffer.scala 574:12] - buf_sz[1] <= _T_4412 @[el2_lsu_bus_buffer.scala 574:12] - buf_sz[2] <= _T_4414 @[el2_lsu_bus_buffer.scala 574:12] - buf_sz[3] <= _T_4416 @[el2_lsu_bus_buffer.scala 574:12] - node _T_4417 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 575:82] + buf_sz[0] <= _T_4386 @[el2_lsu_bus_buffer.scala 574:12] + buf_sz[1] <= _T_4388 @[el2_lsu_bus_buffer.scala 574:12] + buf_sz[2] <= _T_4390 @[el2_lsu_bus_buffer.scala 574:12] + buf_sz[3] <= _T_4392 @[el2_lsu_bus_buffer.scala 574:12] + node _T_4393 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 575:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_4.io.en <= _T_4417 @[el2_lib.scala 488:17] + rvclkhdr_4.io.en <= _T_4393 @[el2_lib.scala 488:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4418 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4418 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4419 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 575:82] + reg _T_4394 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4394 <= buf_addr_in[0] @[el2_lib.scala 491:16] + node _T_4395 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 575:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_5.io.en <= _T_4419 @[el2_lib.scala 488:17] + rvclkhdr_5.io.en <= _T_4395 @[el2_lib.scala 488:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4420 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4420 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4421 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 575:82] + reg _T_4396 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4396 <= buf_addr_in[1] @[el2_lib.scala 491:16] + node _T_4397 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 575:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_6.io.en <= _T_4421 @[el2_lib.scala 488:17] + rvclkhdr_6.io.en <= _T_4397 @[el2_lib.scala 488:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4422 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4422 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4423 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 575:82] + reg _T_4398 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4398 <= buf_addr_in[2] @[el2_lib.scala 491:16] + node _T_4399 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 575:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_7.io.en <= _T_4423 @[el2_lib.scala 488:17] + rvclkhdr_7.io.en <= _T_4399 @[el2_lib.scala 488:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4424 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4424 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4418 @[el2_lsu_bus_buffer.scala 575:14] - buf_addr[1] <= _T_4420 @[el2_lsu_bus_buffer.scala 575:14] - buf_addr[2] <= _T_4422 @[el2_lsu_bus_buffer.scala 575:14] - buf_addr[3] <= _T_4424 @[el2_lsu_bus_buffer.scala 575:14] - node _T_4425 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 576:127] - reg _T_4426 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4425 : @[Reg.scala 28:19] - _T_4426 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4400 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4400 <= buf_addr_in[3] @[el2_lib.scala 491:16] + buf_addr[0] <= _T_4394 @[el2_lsu_bus_buffer.scala 575:14] + buf_addr[1] <= _T_4396 @[el2_lsu_bus_buffer.scala 575:14] + buf_addr[2] <= _T_4398 @[el2_lsu_bus_buffer.scala 575:14] + buf_addr[3] <= _T_4400 @[el2_lsu_bus_buffer.scala 575:14] + node _T_4401 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 576:127] + reg _T_4402 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4401 : @[Reg.scala 28:19] + _T_4402 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4427 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 576:127] - reg _T_4428 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4427 : @[Reg.scala 28:19] - _T_4428 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4403 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 576:127] + reg _T_4404 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4403 : @[Reg.scala 28:19] + _T_4404 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4429 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 576:127] - reg _T_4430 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4429 : @[Reg.scala 28:19] - _T_4430 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4405 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 576:127] + reg _T_4406 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4405 : @[Reg.scala 28:19] + _T_4406 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4431 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 576:127] - reg _T_4432 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4431 : @[Reg.scala 28:19] - _T_4432 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4407 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 576:127] + reg _T_4408 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4407 : @[Reg.scala 28:19] + _T_4408 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4426 @[el2_lsu_bus_buffer.scala 576:16] - buf_byteen[1] <= _T_4428 @[el2_lsu_bus_buffer.scala 576:16] - buf_byteen[2] <= _T_4430 @[el2_lsu_bus_buffer.scala 576:16] - buf_byteen[3] <= _T_4432 @[el2_lsu_bus_buffer.scala 576:16] + buf_byteen[0] <= _T_4402 @[el2_lsu_bus_buffer.scala 576:16] + buf_byteen[1] <= _T_4404 @[el2_lsu_bus_buffer.scala 576:16] + buf_byteen[2] <= _T_4406 @[el2_lsu_bus_buffer.scala 576:16] + buf_byteen[3] <= _T_4408 @[el2_lsu_bus_buffer.scala 576:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 488:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4433 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4433 <= buf_data_in[0] @[el2_lib.scala 491:16] + reg _T_4409 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4409 <= buf_data_in[0] @[el2_lib.scala 491:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 488:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4434 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4434 <= buf_data_in[1] @[el2_lib.scala 491:16] + reg _T_4410 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4410 <= buf_data_in[1] @[el2_lib.scala 491:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 488:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4435 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4435 <= buf_data_in[2] @[el2_lib.scala 491:16] + reg _T_4411 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4411 <= buf_data_in[2] @[el2_lib.scala 491:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 488:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4436 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4436 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4433 @[el2_lsu_bus_buffer.scala 577:14] - buf_data[1] <= _T_4434 @[el2_lsu_bus_buffer.scala 577:14] - buf_data[2] <= _T_4435 @[el2_lsu_bus_buffer.scala 577:14] - buf_data[3] <= _T_4436 @[el2_lsu_bus_buffer.scala 577:14] - node _T_4437 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 578:121] - node _T_4438 = mux(buf_error_en[0], UInt<1>("h01"), _T_4437) @[el2_lsu_bus_buffer.scala 578:86] - node _T_4439 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:128] - node _T_4440 = and(_T_4438, _T_4439) @[el2_lsu_bus_buffer.scala 578:126] - reg _T_4441 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 578:82] - _T_4441 <= _T_4440 @[el2_lsu_bus_buffer.scala 578:82] - node _T_4442 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 578:121] - node _T_4443 = mux(buf_error_en[1], UInt<1>("h01"), _T_4442) @[el2_lsu_bus_buffer.scala 578:86] - node _T_4444 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:128] - node _T_4445 = and(_T_4443, _T_4444) @[el2_lsu_bus_buffer.scala 578:126] - reg _T_4446 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 578:82] - _T_4446 <= _T_4445 @[el2_lsu_bus_buffer.scala 578:82] - node _T_4447 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 578:121] - node _T_4448 = mux(buf_error_en[2], UInt<1>("h01"), _T_4447) @[el2_lsu_bus_buffer.scala 578:86] - node _T_4449 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:128] - node _T_4450 = and(_T_4448, _T_4449) @[el2_lsu_bus_buffer.scala 578:126] - reg _T_4451 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 578:82] - _T_4451 <= _T_4450 @[el2_lsu_bus_buffer.scala 578:82] - node _T_4452 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 578:121] - node _T_4453 = mux(buf_error_en[3], UInt<1>("h01"), _T_4452) @[el2_lsu_bus_buffer.scala 578:86] - node _T_4454 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:128] - node _T_4455 = and(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 578:126] - reg _T_4456 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 578:82] - _T_4456 <= _T_4455 @[el2_lsu_bus_buffer.scala 578:82] - node _T_4457 = cat(_T_4456, _T_4451) @[Cat.scala 29:58] - node _T_4458 = cat(_T_4457, _T_4446) @[Cat.scala 29:58] - node _T_4459 = cat(_T_4458, _T_4441) @[Cat.scala 29:58] - buf_error <= _T_4459 @[el2_lsu_bus_buffer.scala 578:15] - node _T_4460 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] - node _T_4461 = cat(_T_4460, buf_data_en[1]) @[Cat.scala 29:58] - node _T_4462 = cat(_T_4461, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4462 @[el2_lsu_bus_buffer.scala 579:14] - node _T_4463 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4464 = mux(io.ldst_dual_m, _T_4463, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 581:28] - node _T_4465 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4466 = mux(io.ldst_dual_r, _T_4465, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 581:94] - node _T_4467 = add(_T_4464, _T_4466) @[el2_lsu_bus_buffer.scala 581:88] - node _T_4468 = add(_T_4467, ibuf_valid) @[el2_lsu_bus_buffer.scala 581:154] - node _T_4469 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 581:190] - node _T_4470 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 581:190] - node _T_4471 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 581:190] - node _T_4472 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 581:190] - node _T_4473 = add(_T_4469, _T_4470) @[el2_lsu_bus_buffer.scala 581:217] - node _T_4474 = add(_T_4473, _T_4471) @[el2_lsu_bus_buffer.scala 581:217] - node _T_4475 = add(_T_4474, _T_4472) @[el2_lsu_bus_buffer.scala 581:217] - node _T_4476 = add(_T_4468, _T_4475) @[el2_lsu_bus_buffer.scala 581:169] - node buf_numvld_any = tail(_T_4476, 1) @[el2_lsu_bus_buffer.scala 581:169] - node _T_4477 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4478 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:79] - node _T_4479 = and(_T_4477, _T_4478) @[el2_lsu_bus_buffer.scala 582:64] - node _T_4480 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:91] - node _T_4481 = and(_T_4479, _T_4480) @[el2_lsu_bus_buffer.scala 582:89] - node _T_4482 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4483 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:79] - node _T_4484 = and(_T_4482, _T_4483) @[el2_lsu_bus_buffer.scala 582:64] - node _T_4485 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:91] - node _T_4486 = and(_T_4484, _T_4485) @[el2_lsu_bus_buffer.scala 582:89] - node _T_4487 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4488 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:79] - node _T_4489 = and(_T_4487, _T_4488) @[el2_lsu_bus_buffer.scala 582:64] - node _T_4490 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:91] - node _T_4491 = and(_T_4489, _T_4490) @[el2_lsu_bus_buffer.scala 582:89] - node _T_4492 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:79] - node _T_4494 = and(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 582:64] - node _T_4495 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:91] - node _T_4496 = and(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 582:89] - node _T_4497 = add(_T_4496, _T_4491) @[el2_lsu_bus_buffer.scala 582:142] - node _T_4498 = add(_T_4497, _T_4486) @[el2_lsu_bus_buffer.scala 582:142] - node _T_4499 = add(_T_4498, _T_4481) @[el2_lsu_bus_buffer.scala 582:142] - buf_numvld_wrcmd_any <= _T_4499 @[el2_lsu_bus_buffer.scala 582:24] - node _T_4500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:63] - node _T_4501 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:75] - node _T_4502 = and(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 583:73] - node _T_4503 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:63] - node _T_4504 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:75] - node _T_4505 = and(_T_4503, _T_4504) @[el2_lsu_bus_buffer.scala 583:73] - node _T_4506 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:63] - node _T_4507 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:75] - node _T_4508 = and(_T_4506, _T_4507) @[el2_lsu_bus_buffer.scala 583:73] - node _T_4509 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:63] - node _T_4510 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:75] - node _T_4511 = and(_T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 583:73] - node _T_4512 = add(_T_4511, _T_4508) @[el2_lsu_bus_buffer.scala 583:126] - node _T_4513 = add(_T_4512, _T_4505) @[el2_lsu_bus_buffer.scala 583:126] - node _T_4514 = add(_T_4513, _T_4502) @[el2_lsu_bus_buffer.scala 583:126] - buf_numvld_cmd_any <= _T_4514 @[el2_lsu_bus_buffer.scala 583:22] - node _T_4515 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 584:63] - node _T_4516 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:90] - node _T_4517 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:102] - node _T_4518 = and(_T_4516, _T_4517) @[el2_lsu_bus_buffer.scala 584:100] - node _T_4519 = or(_T_4515, _T_4518) @[el2_lsu_bus_buffer.scala 584:74] - node _T_4520 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 584:63] - node _T_4521 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:90] - node _T_4522 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:102] - node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 584:100] - node _T_4524 = or(_T_4520, _T_4523) @[el2_lsu_bus_buffer.scala 584:74] - node _T_4525 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 584:63] - node _T_4526 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:90] - node _T_4527 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:102] - node _T_4528 = and(_T_4526, _T_4527) @[el2_lsu_bus_buffer.scala 584:100] - node _T_4529 = or(_T_4525, _T_4528) @[el2_lsu_bus_buffer.scala 584:74] - node _T_4530 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 584:63] - node _T_4531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:90] - node _T_4532 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:102] - node _T_4533 = and(_T_4531, _T_4532) @[el2_lsu_bus_buffer.scala 584:100] - node _T_4534 = or(_T_4530, _T_4533) @[el2_lsu_bus_buffer.scala 584:74] - node _T_4535 = add(_T_4534, _T_4529) @[el2_lsu_bus_buffer.scala 584:154] - node _T_4536 = add(_T_4535, _T_4524) @[el2_lsu_bus_buffer.scala 584:154] - node _T_4537 = add(_T_4536, _T_4519) @[el2_lsu_bus_buffer.scala 584:154] - buf_numvld_pend_any <= _T_4537 @[el2_lsu_bus_buffer.scala 584:23] - node _T_4538 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 585:61] - node _T_4539 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 585:61] - node _T_4540 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 585:61] - node _T_4541 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 585:61] - node _T_4542 = or(_T_4541, _T_4540) @[el2_lsu_bus_buffer.scala 585:93] - node _T_4543 = or(_T_4542, _T_4539) @[el2_lsu_bus_buffer.scala 585:93] - node _T_4544 = or(_T_4543, _T_4538) @[el2_lsu_bus_buffer.scala 585:93] - any_done_wait_state <= _T_4544 @[el2_lsu_bus_buffer.scala 585:23] - node _T_4545 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 586:53] - io.lsu_bus_buffer_pend_any <= _T_4545 @[el2_lsu_bus_buffer.scala 586:30] - node _T_4546 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 587:52] - node _T_4547 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 587:92] - node _T_4548 = eq(buf_numvld_any, UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 587:121] - node _T_4549 = mux(_T_4546, _T_4547, _T_4548) @[el2_lsu_bus_buffer.scala 587:36] - io.lsu_bus_buffer_full_any <= _T_4549 @[el2_lsu_bus_buffer.scala 587:30] - node _T_4550 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 588:52] - node _T_4551 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 588:52] - node _T_4552 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 588:52] - node _T_4553 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 588:52] - node _T_4554 = or(_T_4550, _T_4551) @[el2_lsu_bus_buffer.scala 588:65] - node _T_4555 = or(_T_4554, _T_4552) @[el2_lsu_bus_buffer.scala 588:65] - node _T_4556 = or(_T_4555, _T_4553) @[el2_lsu_bus_buffer.scala 588:65] - node _T_4557 = eq(_T_4556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:34] - node _T_4558 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:72] - node _T_4559 = and(_T_4557, _T_4558) @[el2_lsu_bus_buffer.scala 588:70] - node _T_4560 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:86] - node _T_4561 = and(_T_4559, _T_4560) @[el2_lsu_bus_buffer.scala 588:84] - io.lsu_bus_buffer_empty_any <= _T_4561 @[el2_lsu_bus_buffer.scala 588:31] - node _T_4562 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 590:51] - node _T_4563 = and(_T_4562, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 590:72] - node _T_4564 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:94] - node _T_4565 = and(_T_4563, _T_4564) @[el2_lsu_bus_buffer.scala 590:92] - node _T_4566 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:111] - node _T_4567 = and(_T_4565, _T_4566) @[el2_lsu_bus_buffer.scala 590:109] - io.lsu_nonblock_load_valid_m <= _T_4567 @[el2_lsu_bus_buffer.scala 590:32] + reg _T_4412 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4412 <= buf_data_in[3] @[el2_lib.scala 491:16] + buf_data[0] <= _T_4409 @[el2_lsu_bus_buffer.scala 577:14] + buf_data[1] <= _T_4410 @[el2_lsu_bus_buffer.scala 577:14] + buf_data[2] <= _T_4411 @[el2_lsu_bus_buffer.scala 577:14] + buf_data[3] <= _T_4412 @[el2_lsu_bus_buffer.scala 577:14] + node _T_4413 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 578:121] + node _T_4414 = mux(buf_error_en[0], UInt<1>("h01"), _T_4413) @[el2_lsu_bus_buffer.scala 578:86] + node _T_4415 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:128] + node _T_4416 = and(_T_4414, _T_4415) @[el2_lsu_bus_buffer.scala 578:126] + reg _T_4417 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 578:82] + _T_4417 <= _T_4416 @[el2_lsu_bus_buffer.scala 578:82] + node _T_4418 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 578:121] + node _T_4419 = mux(buf_error_en[1], UInt<1>("h01"), _T_4418) @[el2_lsu_bus_buffer.scala 578:86] + node _T_4420 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:128] + node _T_4421 = and(_T_4419, _T_4420) @[el2_lsu_bus_buffer.scala 578:126] + reg _T_4422 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 578:82] + _T_4422 <= _T_4421 @[el2_lsu_bus_buffer.scala 578:82] + node _T_4423 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 578:121] + node _T_4424 = mux(buf_error_en[2], UInt<1>("h01"), _T_4423) @[el2_lsu_bus_buffer.scala 578:86] + node _T_4425 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:128] + node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 578:126] + reg _T_4427 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 578:82] + _T_4427 <= _T_4426 @[el2_lsu_bus_buffer.scala 578:82] + node _T_4428 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 578:121] + node _T_4429 = mux(buf_error_en[3], UInt<1>("h01"), _T_4428) @[el2_lsu_bus_buffer.scala 578:86] + node _T_4430 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:128] + node _T_4431 = and(_T_4429, _T_4430) @[el2_lsu_bus_buffer.scala 578:126] + reg _T_4432 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 578:82] + _T_4432 <= _T_4431 @[el2_lsu_bus_buffer.scala 578:82] + node _T_4433 = cat(_T_4432, _T_4427) @[Cat.scala 29:58] + node _T_4434 = cat(_T_4433, _T_4422) @[Cat.scala 29:58] + node _T_4435 = cat(_T_4434, _T_4417) @[Cat.scala 29:58] + buf_error <= _T_4435 @[el2_lsu_bus_buffer.scala 578:15] + node _T_4436 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] + node _T_4437 = cat(_T_4436, buf_data_en[1]) @[Cat.scala 29:58] + node _T_4438 = cat(_T_4437, buf_data_en[0]) @[Cat.scala 29:58] + io.data_en <= _T_4438 @[el2_lsu_bus_buffer.scala 579:14] + node _T_4439 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4440 = mux(io.ldst_dual_m, _T_4439, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 581:28] + node _T_4441 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4442 = mux(io.ldst_dual_r, _T_4441, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 581:94] + node _T_4443 = add(_T_4440, _T_4442) @[el2_lsu_bus_buffer.scala 581:88] + node _T_4444 = add(_T_4443, ibuf_valid) @[el2_lsu_bus_buffer.scala 581:154] + node _T_4445 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 581:190] + node _T_4446 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 581:190] + node _T_4447 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 581:190] + node _T_4448 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 581:190] + node _T_4449 = add(_T_4445, _T_4446) @[el2_lsu_bus_buffer.scala 581:217] + node _T_4450 = add(_T_4449, _T_4447) @[el2_lsu_bus_buffer.scala 581:217] + node _T_4451 = add(_T_4450, _T_4448) @[el2_lsu_bus_buffer.scala 581:217] + node _T_4452 = add(_T_4444, _T_4451) @[el2_lsu_bus_buffer.scala 581:169] + node buf_numvld_any = tail(_T_4452, 1) @[el2_lsu_bus_buffer.scala 581:169] + node _T_4453 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 582:60] + node _T_4454 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:79] + node _T_4455 = and(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 582:64] + node _T_4456 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:91] + node _T_4457 = and(_T_4455, _T_4456) @[el2_lsu_bus_buffer.scala 582:89] + node _T_4458 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 582:60] + node _T_4459 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:79] + node _T_4460 = and(_T_4458, _T_4459) @[el2_lsu_bus_buffer.scala 582:64] + node _T_4461 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:91] + node _T_4462 = and(_T_4460, _T_4461) @[el2_lsu_bus_buffer.scala 582:89] + node _T_4463 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 582:60] + node _T_4464 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:79] + node _T_4465 = and(_T_4463, _T_4464) @[el2_lsu_bus_buffer.scala 582:64] + node _T_4466 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:91] + node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 582:89] + node _T_4468 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 582:60] + node _T_4469 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:79] + node _T_4470 = and(_T_4468, _T_4469) @[el2_lsu_bus_buffer.scala 582:64] + node _T_4471 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:91] + node _T_4472 = and(_T_4470, _T_4471) @[el2_lsu_bus_buffer.scala 582:89] + node _T_4473 = add(_T_4472, _T_4467) @[el2_lsu_bus_buffer.scala 582:142] + node _T_4474 = add(_T_4473, _T_4462) @[el2_lsu_bus_buffer.scala 582:142] + node _T_4475 = add(_T_4474, _T_4457) @[el2_lsu_bus_buffer.scala 582:142] + buf_numvld_wrcmd_any <= _T_4475 @[el2_lsu_bus_buffer.scala 582:24] + node _T_4476 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4477 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:75] + node _T_4478 = and(_T_4476, _T_4477) @[el2_lsu_bus_buffer.scala 583:73] + node _T_4479 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4480 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:75] + node _T_4481 = and(_T_4479, _T_4480) @[el2_lsu_bus_buffer.scala 583:73] + node _T_4482 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4483 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:75] + node _T_4484 = and(_T_4482, _T_4483) @[el2_lsu_bus_buffer.scala 583:73] + node _T_4485 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4486 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:75] + node _T_4487 = and(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 583:73] + node _T_4488 = add(_T_4487, _T_4484) @[el2_lsu_bus_buffer.scala 583:126] + node _T_4489 = add(_T_4488, _T_4481) @[el2_lsu_bus_buffer.scala 583:126] + node _T_4490 = add(_T_4489, _T_4478) @[el2_lsu_bus_buffer.scala 583:126] + buf_numvld_cmd_any <= _T_4490 @[el2_lsu_bus_buffer.scala 583:22] + node _T_4491 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 584:63] + node _T_4492 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:90] + node _T_4493 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:102] + node _T_4494 = and(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 584:100] + node _T_4495 = or(_T_4491, _T_4494) @[el2_lsu_bus_buffer.scala 584:74] + node _T_4496 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 584:63] + node _T_4497 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:90] + node _T_4498 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:102] + node _T_4499 = and(_T_4497, _T_4498) @[el2_lsu_bus_buffer.scala 584:100] + node _T_4500 = or(_T_4496, _T_4499) @[el2_lsu_bus_buffer.scala 584:74] + node _T_4501 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 584:63] + node _T_4502 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:90] + node _T_4503 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:102] + node _T_4504 = and(_T_4502, _T_4503) @[el2_lsu_bus_buffer.scala 584:100] + node _T_4505 = or(_T_4501, _T_4504) @[el2_lsu_bus_buffer.scala 584:74] + node _T_4506 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 584:63] + node _T_4507 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:90] + node _T_4508 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:102] + node _T_4509 = and(_T_4507, _T_4508) @[el2_lsu_bus_buffer.scala 584:100] + node _T_4510 = or(_T_4506, _T_4509) @[el2_lsu_bus_buffer.scala 584:74] + node _T_4511 = add(_T_4510, _T_4505) @[el2_lsu_bus_buffer.scala 584:154] + node _T_4512 = add(_T_4511, _T_4500) @[el2_lsu_bus_buffer.scala 584:154] + node _T_4513 = add(_T_4512, _T_4495) @[el2_lsu_bus_buffer.scala 584:154] + buf_numvld_pend_any <= _T_4513 @[el2_lsu_bus_buffer.scala 584:23] + node _T_4514 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 585:61] + node _T_4515 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 585:61] + node _T_4516 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 585:61] + node _T_4517 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 585:61] + node _T_4518 = or(_T_4517, _T_4516) @[el2_lsu_bus_buffer.scala 585:93] + node _T_4519 = or(_T_4518, _T_4515) @[el2_lsu_bus_buffer.scala 585:93] + node _T_4520 = or(_T_4519, _T_4514) @[el2_lsu_bus_buffer.scala 585:93] + any_done_wait_state <= _T_4520 @[el2_lsu_bus_buffer.scala 585:23] + node _T_4521 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 586:53] + io.lsu_bus_buffer_pend_any <= _T_4521 @[el2_lsu_bus_buffer.scala 586:30] + node _T_4522 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 587:52] + node _T_4523 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 587:92] + node _T_4524 = eq(buf_numvld_any, UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 587:121] + node _T_4525 = mux(_T_4522, _T_4523, _T_4524) @[el2_lsu_bus_buffer.scala 587:36] + io.lsu_bus_buffer_full_any <= _T_4525 @[el2_lsu_bus_buffer.scala 587:30] + node _T_4526 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 588:52] + node _T_4527 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 588:52] + node _T_4528 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 588:52] + node _T_4529 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 588:52] + node _T_4530 = or(_T_4526, _T_4527) @[el2_lsu_bus_buffer.scala 588:65] + node _T_4531 = or(_T_4530, _T_4528) @[el2_lsu_bus_buffer.scala 588:65] + node _T_4532 = or(_T_4531, _T_4529) @[el2_lsu_bus_buffer.scala 588:65] + node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:34] + node _T_4534 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:72] + node _T_4535 = and(_T_4533, _T_4534) @[el2_lsu_bus_buffer.scala 588:70] + node _T_4536 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:86] + node _T_4537 = and(_T_4535, _T_4536) @[el2_lsu_bus_buffer.scala 588:84] + io.lsu_bus_buffer_empty_any <= _T_4537 @[el2_lsu_bus_buffer.scala 588:31] + node _T_4538 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 590:51] + node _T_4539 = and(_T_4538, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 590:72] + node _T_4540 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:94] + node _T_4541 = and(_T_4539, _T_4540) @[el2_lsu_bus_buffer.scala 590:92] + node _T_4542 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:111] + node _T_4543 = and(_T_4541, _T_4542) @[el2_lsu_bus_buffer.scala 590:109] + io.lsu_nonblock_load_valid_m <= _T_4543 @[el2_lsu_bus_buffer.scala 590:32] io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 591:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4568 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 593:61] - node _T_4569 = and(lsu_nonblock_load_valid_r, _T_4568) @[el2_lsu_bus_buffer.scala 593:59] - io.lsu_nonblock_load_inv_r <= _T_4569 @[el2_lsu_bus_buffer.scala 593:30] + node _T_4544 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 593:61] + node _T_4545 = and(lsu_nonblock_load_valid_r, _T_4544) @[el2_lsu_bus_buffer.scala 593:59] + io.lsu_nonblock_load_inv_r <= _T_4545 @[el2_lsu_bus_buffer.scala 593:30] io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 594:34] - node _T_4570 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] - node _T_4571 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 595:127] - node _T_4572 = and(UInt<1>("h01"), _T_4571) @[el2_lsu_bus_buffer.scala 595:116] - node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:95] - node _T_4574 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] - node _T_4575 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 595:127] - node _T_4576 = and(UInt<1>("h01"), _T_4575) @[el2_lsu_bus_buffer.scala 595:116] - node _T_4577 = eq(_T_4576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:95] - node _T_4578 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] - node _T_4579 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 595:127] - node _T_4580 = and(UInt<1>("h01"), _T_4579) @[el2_lsu_bus_buffer.scala 595:116] - node _T_4581 = eq(_T_4580, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:95] - node _T_4582 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] - node _T_4583 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 595:127] - node _T_4584 = and(UInt<1>("h01"), _T_4583) @[el2_lsu_bus_buffer.scala 595:116] - node _T_4585 = eq(_T_4584, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:95] - node _T_4586 = mux(_T_4570, _T_4573, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4587 = mux(_T_4574, _T_4577, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4588 = mux(_T_4578, _T_4581, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4589 = mux(_T_4582, _T_4585, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4590 = or(_T_4586, _T_4587) @[Mux.scala 27:72] - node _T_4591 = or(_T_4590, _T_4588) @[Mux.scala 27:72] - node _T_4592 = or(_T_4591, _T_4589) @[Mux.scala 27:72] + node _T_4546 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4547 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 595:127] + node _T_4548 = and(UInt<1>("h01"), _T_4547) @[el2_lsu_bus_buffer.scala 595:116] + node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:95] + node _T_4550 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4551 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 595:127] + node _T_4552 = and(UInt<1>("h01"), _T_4551) @[el2_lsu_bus_buffer.scala 595:116] + node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:95] + node _T_4554 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4555 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 595:127] + node _T_4556 = and(UInt<1>("h01"), _T_4555) @[el2_lsu_bus_buffer.scala 595:116] + node _T_4557 = eq(_T_4556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:95] + node _T_4558 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4559 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 595:127] + node _T_4560 = and(UInt<1>("h01"), _T_4559) @[el2_lsu_bus_buffer.scala 595:116] + node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:95] + node _T_4562 = mux(_T_4546, _T_4549, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4563 = mux(_T_4550, _T_4553, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4564 = mux(_T_4554, _T_4557, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4565 = mux(_T_4558, _T_4561, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4566 = or(_T_4562, _T_4563) @[Mux.scala 27:72] + node _T_4567 = or(_T_4566, _T_4564) @[Mux.scala 27:72] + node _T_4568 = or(_T_4567, _T_4565) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4592 @[Mux.scala 27:72] - node _T_4593 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] - node _T_4594 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 596:104] - node _T_4595 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 596:120] - node _T_4596 = eq(_T_4595, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:110] - node _T_4597 = and(_T_4594, _T_4596) @[el2_lsu_bus_buffer.scala 596:108] - node _T_4598 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] - node _T_4599 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 596:104] - node _T_4600 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 596:120] - node _T_4601 = eq(_T_4600, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:110] - node _T_4602 = and(_T_4599, _T_4601) @[el2_lsu_bus_buffer.scala 596:108] - node _T_4603 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] - node _T_4604 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 596:104] - node _T_4605 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 596:120] - node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:110] - node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 596:108] - node _T_4608 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] - node _T_4609 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 596:104] - node _T_4610 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 596:120] - node _T_4611 = eq(_T_4610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:110] - node _T_4612 = and(_T_4609, _T_4611) @[el2_lsu_bus_buffer.scala 596:108] - node _T_4613 = mux(_T_4593, _T_4597, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4614 = mux(_T_4598, _T_4602, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4615 = mux(_T_4603, _T_4607, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4616 = mux(_T_4608, _T_4612, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4617 = or(_T_4613, _T_4614) @[Mux.scala 27:72] - node _T_4618 = or(_T_4617, _T_4615) @[Mux.scala 27:72] - node _T_4619 = or(_T_4618, _T_4616) @[Mux.scala 27:72] - wire _T_4620 : UInt<1> @[Mux.scala 27:72] - _T_4620 <= _T_4619 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4620 @[el2_lsu_bus_buffer.scala 596:35] - node _T_4621 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:79] - node _T_4622 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 597:102] + lsu_nonblock_load_data_ready <= _T_4568 @[Mux.scala 27:72] + node _T_4569 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] + node _T_4570 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 596:104] + node _T_4571 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 596:120] + node _T_4572 = eq(_T_4571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:110] + node _T_4573 = and(_T_4570, _T_4572) @[el2_lsu_bus_buffer.scala 596:108] + node _T_4574 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] + node _T_4575 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 596:104] + node _T_4576 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 596:120] + node _T_4577 = eq(_T_4576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:110] + node _T_4578 = and(_T_4575, _T_4577) @[el2_lsu_bus_buffer.scala 596:108] + node _T_4579 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] + node _T_4580 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 596:104] + node _T_4581 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 596:120] + node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:110] + node _T_4583 = and(_T_4580, _T_4582) @[el2_lsu_bus_buffer.scala 596:108] + node _T_4584 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] + node _T_4585 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 596:104] + node _T_4586 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 596:120] + node _T_4587 = eq(_T_4586, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:110] + node _T_4588 = and(_T_4585, _T_4587) @[el2_lsu_bus_buffer.scala 596:108] + node _T_4589 = mux(_T_4569, _T_4573, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4590 = mux(_T_4574, _T_4578, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4591 = mux(_T_4579, _T_4583, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4592 = mux(_T_4584, _T_4588, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4593 = or(_T_4589, _T_4590) @[Mux.scala 27:72] + node _T_4594 = or(_T_4593, _T_4591) @[Mux.scala 27:72] + node _T_4595 = or(_T_4594, _T_4592) @[Mux.scala 27:72] + wire _T_4596 : UInt<1> @[Mux.scala 27:72] + _T_4596 <= _T_4595 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4596 @[el2_lsu_bus_buffer.scala 596:35] + node _T_4597 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:79] + node _T_4598 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 597:102] + node _T_4599 = eq(_T_4598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:92] + node _T_4600 = and(_T_4597, _T_4599) @[el2_lsu_bus_buffer.scala 597:90] + node _T_4601 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:109] + node _T_4602 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:124] + node _T_4603 = or(_T_4601, _T_4602) @[el2_lsu_bus_buffer.scala 597:122] + node _T_4604 = and(_T_4600, _T_4603) @[el2_lsu_bus_buffer.scala 597:106] + node _T_4605 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:79] + node _T_4606 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 597:102] + node _T_4607 = eq(_T_4606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:92] + node _T_4608 = and(_T_4605, _T_4607) @[el2_lsu_bus_buffer.scala 597:90] + node _T_4609 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:109] + node _T_4610 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:124] + node _T_4611 = or(_T_4609, _T_4610) @[el2_lsu_bus_buffer.scala 597:122] + node _T_4612 = and(_T_4608, _T_4611) @[el2_lsu_bus_buffer.scala 597:106] + node _T_4613 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:79] + node _T_4614 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 597:102] + node _T_4615 = eq(_T_4614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:92] + node _T_4616 = and(_T_4613, _T_4615) @[el2_lsu_bus_buffer.scala 597:90] + node _T_4617 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:109] + node _T_4618 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:124] + node _T_4619 = or(_T_4617, _T_4618) @[el2_lsu_bus_buffer.scala 597:122] + node _T_4620 = and(_T_4616, _T_4619) @[el2_lsu_bus_buffer.scala 597:106] + node _T_4621 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:79] + node _T_4622 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 597:102] node _T_4623 = eq(_T_4622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:92] node _T_4624 = and(_T_4621, _T_4623) @[el2_lsu_bus_buffer.scala 597:90] - node _T_4625 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:109] - node _T_4626 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:124] + node _T_4625 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:109] + node _T_4626 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:124] node _T_4627 = or(_T_4625, _T_4626) @[el2_lsu_bus_buffer.scala 597:122] node _T_4628 = and(_T_4624, _T_4627) @[el2_lsu_bus_buffer.scala 597:106] - node _T_4629 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:79] - node _T_4630 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 597:102] - node _T_4631 = eq(_T_4630, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:92] - node _T_4632 = and(_T_4629, _T_4631) @[el2_lsu_bus_buffer.scala 597:90] - node _T_4633 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:109] - node _T_4634 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:124] - node _T_4635 = or(_T_4633, _T_4634) @[el2_lsu_bus_buffer.scala 597:122] - node _T_4636 = and(_T_4632, _T_4635) @[el2_lsu_bus_buffer.scala 597:106] - node _T_4637 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:79] - node _T_4638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 597:102] - node _T_4639 = eq(_T_4638, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:92] - node _T_4640 = and(_T_4637, _T_4639) @[el2_lsu_bus_buffer.scala 597:90] - node _T_4641 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:109] - node _T_4642 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:124] - node _T_4643 = or(_T_4641, _T_4642) @[el2_lsu_bus_buffer.scala 597:122] - node _T_4644 = and(_T_4640, _T_4643) @[el2_lsu_bus_buffer.scala 597:106] - node _T_4645 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:79] - node _T_4646 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 597:102] - node _T_4647 = eq(_T_4646, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:92] - node _T_4648 = and(_T_4645, _T_4647) @[el2_lsu_bus_buffer.scala 597:90] - node _T_4649 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:109] - node _T_4650 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:124] - node _T_4651 = or(_T_4649, _T_4650) @[el2_lsu_bus_buffer.scala 597:122] - node _T_4652 = and(_T_4648, _T_4651) @[el2_lsu_bus_buffer.scala 597:106] - node _T_4653 = mux(_T_4628, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4654 = mux(_T_4636, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4655 = mux(_T_4644, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4656 = mux(_T_4652, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4657 = or(_T_4653, _T_4654) @[Mux.scala 27:72] - node _T_4658 = or(_T_4657, _T_4655) @[Mux.scala 27:72] - node _T_4659 = or(_T_4658, _T_4656) @[Mux.scala 27:72] - wire _T_4660 : UInt<2> @[Mux.scala 27:72] - _T_4660 <= _T_4659 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4660 @[el2_lsu_bus_buffer.scala 597:33] - node _T_4661 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] - node _T_4662 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4629 = mux(_T_4604, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4630 = mux(_T_4612, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4631 = mux(_T_4620, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4632 = mux(_T_4628, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4633 = or(_T_4629, _T_4630) @[Mux.scala 27:72] + node _T_4634 = or(_T_4633, _T_4631) @[Mux.scala 27:72] + node _T_4635 = or(_T_4634, _T_4632) @[Mux.scala 27:72] + wire _T_4636 : UInt<2> @[Mux.scala 27:72] + _T_4636 <= _T_4635 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4636 @[el2_lsu_bus_buffer.scala 597:33] + node _T_4637 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4638 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4639 = eq(_T_4638, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4640 = and(_T_4637, _T_4639) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4641 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:108] + node _T_4642 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:123] + node _T_4643 = or(_T_4641, _T_4642) @[el2_lsu_bus_buffer.scala 598:121] + node _T_4644 = and(_T_4640, _T_4643) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4645 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4646 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4647 = eq(_T_4646, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4648 = and(_T_4645, _T_4647) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4649 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:108] + node _T_4650 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:123] + node _T_4651 = or(_T_4649, _T_4650) @[el2_lsu_bus_buffer.scala 598:121] + node _T_4652 = and(_T_4648, _T_4651) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4653 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4654 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4655 = eq(_T_4654, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4656 = and(_T_4653, _T_4655) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4657 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:108] + node _T_4658 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:123] + node _T_4659 = or(_T_4657, _T_4658) @[el2_lsu_bus_buffer.scala 598:121] + node _T_4660 = and(_T_4656, _T_4659) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4662 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 598:101] node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] node _T_4664 = and(_T_4661, _T_4663) @[el2_lsu_bus_buffer.scala 598:89] - node _T_4665 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:108] - node _T_4666 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:123] + node _T_4665 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:108] + node _T_4666 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:123] node _T_4667 = or(_T_4665, _T_4666) @[el2_lsu_bus_buffer.scala 598:121] node _T_4668 = and(_T_4664, _T_4667) @[el2_lsu_bus_buffer.scala 598:105] - node _T_4669 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] - node _T_4670 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 598:101] - node _T_4671 = eq(_T_4670, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] - node _T_4672 = and(_T_4669, _T_4671) @[el2_lsu_bus_buffer.scala 598:89] - node _T_4673 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:108] - node _T_4674 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:123] - node _T_4675 = or(_T_4673, _T_4674) @[el2_lsu_bus_buffer.scala 598:121] - node _T_4676 = and(_T_4672, _T_4675) @[el2_lsu_bus_buffer.scala 598:105] - node _T_4677 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] - node _T_4678 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 598:101] - node _T_4679 = eq(_T_4678, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] - node _T_4680 = and(_T_4677, _T_4679) @[el2_lsu_bus_buffer.scala 598:89] - node _T_4681 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:108] - node _T_4682 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:123] - node _T_4683 = or(_T_4681, _T_4682) @[el2_lsu_bus_buffer.scala 598:121] - node _T_4684 = and(_T_4680, _T_4683) @[el2_lsu_bus_buffer.scala 598:105] - node _T_4685 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] - node _T_4686 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 598:101] - node _T_4687 = eq(_T_4686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] - node _T_4688 = and(_T_4685, _T_4687) @[el2_lsu_bus_buffer.scala 598:89] - node _T_4689 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:108] - node _T_4690 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:123] - node _T_4691 = or(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 598:121] - node _T_4692 = and(_T_4688, _T_4691) @[el2_lsu_bus_buffer.scala 598:105] - node _T_4693 = mux(_T_4668, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4694 = mux(_T_4676, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4695 = mux(_T_4684, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4696 = mux(_T_4692, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4697 = or(_T_4693, _T_4694) @[Mux.scala 27:72] - node _T_4698 = or(_T_4697, _T_4695) @[Mux.scala 27:72] - node _T_4699 = or(_T_4698, _T_4696) @[Mux.scala 27:72] + node _T_4669 = mux(_T_4644, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4670 = mux(_T_4652, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4671 = mux(_T_4660, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4672 = mux(_T_4668, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4673 = or(_T_4669, _T_4670) @[Mux.scala 27:72] + node _T_4674 = or(_T_4673, _T_4671) @[Mux.scala 27:72] + node _T_4675 = or(_T_4674, _T_4672) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4699 @[Mux.scala 27:72] - node _T_4700 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] - node _T_4701 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 599:101] - node _T_4702 = eq(_T_4701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] - node _T_4703 = and(_T_4700, _T_4702) @[el2_lsu_bus_buffer.scala 599:89] - node _T_4704 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 599:120] - node _T_4705 = and(_T_4703, _T_4704) @[el2_lsu_bus_buffer.scala 599:105] - node _T_4706 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] - node _T_4707 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 599:101] - node _T_4708 = eq(_T_4707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] - node _T_4709 = and(_T_4706, _T_4708) @[el2_lsu_bus_buffer.scala 599:89] - node _T_4710 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 599:120] - node _T_4711 = and(_T_4709, _T_4710) @[el2_lsu_bus_buffer.scala 599:105] - node _T_4712 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] - node _T_4713 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 599:101] - node _T_4714 = eq(_T_4713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] - node _T_4715 = and(_T_4712, _T_4714) @[el2_lsu_bus_buffer.scala 599:89] - node _T_4716 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 599:120] - node _T_4717 = and(_T_4715, _T_4716) @[el2_lsu_bus_buffer.scala 599:105] - node _T_4718 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] - node _T_4719 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 599:101] - node _T_4720 = eq(_T_4719, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] - node _T_4721 = and(_T_4718, _T_4720) @[el2_lsu_bus_buffer.scala 599:89] - node _T_4722 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 599:120] - node _T_4723 = and(_T_4721, _T_4722) @[el2_lsu_bus_buffer.scala 599:105] - node _T_4724 = mux(_T_4705, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4725 = mux(_T_4711, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4726 = mux(_T_4717, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4727 = mux(_T_4723, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4728 = or(_T_4724, _T_4725) @[Mux.scala 27:72] - node _T_4729 = or(_T_4728, _T_4726) @[Mux.scala 27:72] - node _T_4730 = or(_T_4729, _T_4727) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4675 @[Mux.scala 27:72] + node _T_4676 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] + node _T_4677 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 599:101] + node _T_4678 = eq(_T_4677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] + node _T_4679 = and(_T_4676, _T_4678) @[el2_lsu_bus_buffer.scala 599:89] + node _T_4680 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 599:120] + node _T_4681 = and(_T_4679, _T_4680) @[el2_lsu_bus_buffer.scala 599:105] + node _T_4682 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] + node _T_4683 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 599:101] + node _T_4684 = eq(_T_4683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] + node _T_4685 = and(_T_4682, _T_4684) @[el2_lsu_bus_buffer.scala 599:89] + node _T_4686 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 599:120] + node _T_4687 = and(_T_4685, _T_4686) @[el2_lsu_bus_buffer.scala 599:105] + node _T_4688 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] + node _T_4689 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 599:101] + node _T_4690 = eq(_T_4689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] + node _T_4691 = and(_T_4688, _T_4690) @[el2_lsu_bus_buffer.scala 599:89] + node _T_4692 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 599:120] + node _T_4693 = and(_T_4691, _T_4692) @[el2_lsu_bus_buffer.scala 599:105] + node _T_4694 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] + node _T_4695 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 599:101] + node _T_4696 = eq(_T_4695, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] + node _T_4697 = and(_T_4694, _T_4696) @[el2_lsu_bus_buffer.scala 599:89] + node _T_4698 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 599:120] + node _T_4699 = and(_T_4697, _T_4698) @[el2_lsu_bus_buffer.scala 599:105] + node _T_4700 = mux(_T_4681, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4701 = mux(_T_4687, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4702 = mux(_T_4693, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4703 = mux(_T_4699, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4704 = or(_T_4700, _T_4701) @[Mux.scala 27:72] + node _T_4705 = or(_T_4704, _T_4702) @[Mux.scala 27:72] + node _T_4706 = or(_T_4705, _T_4703) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4730 @[Mux.scala 27:72] - node _T_4731 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4732 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4733 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4734 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4735 = mux(_T_4731, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4736 = mux(_T_4732, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4737 = mux(_T_4733, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4738 = mux(_T_4734, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4739 = or(_T_4735, _T_4736) @[Mux.scala 27:72] - node _T_4740 = or(_T_4739, _T_4737) @[Mux.scala 27:72] - node _T_4741 = or(_T_4740, _T_4738) @[Mux.scala 27:72] - wire _T_4742 : UInt<32> @[Mux.scala 27:72] - _T_4742 <= _T_4741 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4742, 1, 0) @[el2_lsu_bus_buffer.scala 600:83] - node _T_4743 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4744 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4745 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4746 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4747 = mux(_T_4743, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4748 = mux(_T_4744, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4749 = mux(_T_4745, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4750 = mux(_T_4746, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4751 = or(_T_4747, _T_4748) @[Mux.scala 27:72] - node _T_4752 = or(_T_4751, _T_4749) @[Mux.scala 27:72] - node _T_4753 = or(_T_4752, _T_4750) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4706 @[Mux.scala 27:72] + node _T_4707 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4708 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4709 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4710 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4711 = mux(_T_4707, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4712 = mux(_T_4708, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4713 = mux(_T_4709, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4714 = mux(_T_4710, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4715 = or(_T_4711, _T_4712) @[Mux.scala 27:72] + node _T_4716 = or(_T_4715, _T_4713) @[Mux.scala 27:72] + node _T_4717 = or(_T_4716, _T_4714) @[Mux.scala 27:72] + wire _T_4718 : UInt<32> @[Mux.scala 27:72] + _T_4718 <= _T_4717 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4718, 1, 0) @[el2_lsu_bus_buffer.scala 600:83] + node _T_4719 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4720 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4721 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4722 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4723 = mux(_T_4719, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4724 = mux(_T_4720, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4725 = mux(_T_4721, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4726 = mux(_T_4722, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4727 = or(_T_4723, _T_4724) @[Mux.scala 27:72] + node _T_4728 = or(_T_4727, _T_4725) @[Mux.scala 27:72] + node _T_4729 = or(_T_4728, _T_4726) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4753 @[Mux.scala 27:72] - node _T_4754 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4755 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4756 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4757 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4758 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4759 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4760 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4761 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4762 = mux(_T_4754, _T_4755, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4763 = mux(_T_4756, _T_4757, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4764 = mux(_T_4758, _T_4759, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4765 = mux(_T_4760, _T_4761, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4766 = or(_T_4762, _T_4763) @[Mux.scala 27:72] - node _T_4767 = or(_T_4766, _T_4764) @[Mux.scala 27:72] - node _T_4768 = or(_T_4767, _T_4765) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4729 @[Mux.scala 27:72] + node _T_4730 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4731 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4732 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4733 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4734 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4735 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4736 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4737 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4738 = mux(_T_4730, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4739 = mux(_T_4732, _T_4733, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4740 = mux(_T_4734, _T_4735, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4741 = mux(_T_4736, _T_4737, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4742 = or(_T_4738, _T_4739) @[Mux.scala 27:72] + node _T_4743 = or(_T_4742, _T_4740) @[Mux.scala 27:72] + node _T_4744 = or(_T_4743, _T_4741) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4768 @[Mux.scala 27:72] - node _T_4769 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4770 = cat(_T_4769, buf_dual[1]) @[Cat.scala 29:58] - node _T_4771 = cat(_T_4770, buf_dual[0]) @[Cat.scala 29:58] - node _T_4772 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4773 = bits(_T_4771, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4774 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4775 = bits(_T_4771, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4776 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4777 = bits(_T_4771, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4778 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4779 = bits(_T_4771, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4780 = mux(_T_4772, _T_4773, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4781 = mux(_T_4774, _T_4775, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4782 = mux(_T_4776, _T_4777, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4783 = mux(_T_4778, _T_4779, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4784 = or(_T_4780, _T_4781) @[Mux.scala 27:72] - node _T_4785 = or(_T_4784, _T_4782) @[Mux.scala 27:72] - node _T_4786 = or(_T_4785, _T_4783) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4744 @[Mux.scala 27:72] + node _T_4745 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4746 = cat(_T_4745, buf_dual[1]) @[Cat.scala 29:58] + node _T_4747 = cat(_T_4746, buf_dual[0]) @[Cat.scala 29:58] + node _T_4748 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4749 = bits(_T_4747, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4750 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4751 = bits(_T_4747, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4752 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4753 = bits(_T_4747, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4754 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4755 = bits(_T_4747, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4756 = mux(_T_4748, _T_4749, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4757 = mux(_T_4750, _T_4751, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4758 = mux(_T_4752, _T_4753, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4759 = mux(_T_4754, _T_4755, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4760 = or(_T_4756, _T_4757) @[Mux.scala 27:72] + node _T_4761 = or(_T_4760, _T_4758) @[Mux.scala 27:72] + node _T_4762 = or(_T_4761, _T_4759) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4786 @[Mux.scala 27:72] - node _T_4787 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4788 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 604:121] - node lsu_nonblock_data_unalgn = dshr(_T_4787, _T_4788) @[el2_lsu_bus_buffer.scala 604:92] + lsu_nonblock_dual <= _T_4762 @[Mux.scala 27:72] + node _T_4763 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4764 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 604:121] + node lsu_nonblock_data_unalgn = dshr(_T_4763, _T_4764) @[el2_lsu_bus_buffer.scala 604:92] io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 605:14] io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 606:14] - node _T_4789 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:69] - node _T_4790 = and(lsu_nonblock_load_data_ready, _T_4789) @[el2_lsu_bus_buffer.scala 607:67] - io.lsu_nonblock_load_data_valid <= _T_4790 @[el2_lsu_bus_buffer.scala 607:35] - node _T_4791 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:81] - node _T_4792 = and(lsu_nonblock_unsign, _T_4791) @[el2_lsu_bus_buffer.scala 608:63] - node _T_4793 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 608:131] - node _T_4794 = cat(UInt<24>("h00"), _T_4793) @[Cat.scala 29:58] - node _T_4795 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 609:45] - node _T_4796 = and(lsu_nonblock_unsign, _T_4795) @[el2_lsu_bus_buffer.scala 609:26] - node _T_4797 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 609:95] - node _T_4798 = cat(UInt<16>("h00"), _T_4797) @[Cat.scala 29:58] - node _T_4799 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 610:6] - node _T_4800 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 610:45] - node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 610:27] - node _T_4802 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 610:93] - node _T_4803 = bits(_T_4802, 0, 0) @[Bitwise.scala 72:15] - node _T_4804 = mux(_T_4803, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4805 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 610:123] - node _T_4806 = cat(_T_4804, _T_4805) @[Cat.scala 29:58] - node _T_4807 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:6] - node _T_4808 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 611:45] - node _T_4809 = and(_T_4807, _T_4808) @[el2_lsu_bus_buffer.scala 611:27] - node _T_4810 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 611:93] - node _T_4811 = bits(_T_4810, 0, 0) @[Bitwise.scala 72:15] - node _T_4812 = mux(_T_4811, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4813 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 611:124] - node _T_4814 = cat(_T_4812, _T_4813) @[Cat.scala 29:58] - node _T_4815 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 612:21] - node _T_4816 = mux(_T_4792, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4817 = mux(_T_4796, _T_4798, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4818 = mux(_T_4801, _T_4806, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4819 = mux(_T_4809, _T_4814, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4820 = mux(_T_4815, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4821 = or(_T_4816, _T_4817) @[Mux.scala 27:72] - node _T_4822 = or(_T_4821, _T_4818) @[Mux.scala 27:72] - node _T_4823 = or(_T_4822, _T_4819) @[Mux.scala 27:72] - node _T_4824 = or(_T_4823, _T_4820) @[Mux.scala 27:72] - wire _T_4825 : UInt<64> @[Mux.scala 27:72] - _T_4825 <= _T_4824 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4825 @[el2_lsu_bus_buffer.scala 608:29] - node _T_4826 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:62] - node _T_4827 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 613:89] - node _T_4828 = and(_T_4826, _T_4827) @[el2_lsu_bus_buffer.scala 613:73] - node _T_4829 = and(_T_4828, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 613:93] - node _T_4830 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:62] - node _T_4831 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 613:89] - node _T_4832 = and(_T_4830, _T_4831) @[el2_lsu_bus_buffer.scala 613:73] - node _T_4833 = and(_T_4832, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 613:93] - node _T_4834 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:62] - node _T_4835 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 613:89] - node _T_4836 = and(_T_4834, _T_4835) @[el2_lsu_bus_buffer.scala 613:73] - node _T_4837 = and(_T_4836, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 613:93] - node _T_4838 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:62] - node _T_4839 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 613:89] - node _T_4840 = and(_T_4838, _T_4839) @[el2_lsu_bus_buffer.scala 613:73] - node _T_4841 = and(_T_4840, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 613:93] - node _T_4842 = or(_T_4829, _T_4833) @[el2_lsu_bus_buffer.scala 613:141] - node _T_4843 = or(_T_4842, _T_4837) @[el2_lsu_bus_buffer.scala 613:141] - node _T_4844 = or(_T_4843, _T_4841) @[el2_lsu_bus_buffer.scala 613:141] - bus_sideeffect_pend <= _T_4844 @[el2_lsu_bus_buffer.scala 613:23] - node _T_4845 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:71] + node _T_4765 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:69] + node _T_4766 = and(lsu_nonblock_load_data_ready, _T_4765) @[el2_lsu_bus_buffer.scala 607:67] + io.lsu_nonblock_load_data_valid <= _T_4766 @[el2_lsu_bus_buffer.scala 607:35] + node _T_4767 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:81] + node _T_4768 = and(lsu_nonblock_unsign, _T_4767) @[el2_lsu_bus_buffer.scala 608:63] + node _T_4769 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 608:131] + node _T_4770 = cat(UInt<24>("h00"), _T_4769) @[Cat.scala 29:58] + node _T_4771 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 609:45] + node _T_4772 = and(lsu_nonblock_unsign, _T_4771) @[el2_lsu_bus_buffer.scala 609:26] + node _T_4773 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 609:95] + node _T_4774 = cat(UInt<16>("h00"), _T_4773) @[Cat.scala 29:58] + node _T_4775 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 610:6] + node _T_4776 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 610:45] + node _T_4777 = and(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 610:27] + node _T_4778 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 610:93] + node _T_4779 = bits(_T_4778, 0, 0) @[Bitwise.scala 72:15] + node _T_4780 = mux(_T_4779, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4781 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 610:123] + node _T_4782 = cat(_T_4780, _T_4781) @[Cat.scala 29:58] + node _T_4783 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:6] + node _T_4784 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 611:45] + node _T_4785 = and(_T_4783, _T_4784) @[el2_lsu_bus_buffer.scala 611:27] + node _T_4786 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 611:93] + node _T_4787 = bits(_T_4786, 0, 0) @[Bitwise.scala 72:15] + node _T_4788 = mux(_T_4787, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4789 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 611:124] + node _T_4790 = cat(_T_4788, _T_4789) @[Cat.scala 29:58] + node _T_4791 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 612:21] + node _T_4792 = mux(_T_4768, _T_4770, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4793 = mux(_T_4772, _T_4774, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4794 = mux(_T_4777, _T_4782, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4795 = mux(_T_4785, _T_4790, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4796 = mux(_T_4791, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4797 = or(_T_4792, _T_4793) @[Mux.scala 27:72] + node _T_4798 = or(_T_4797, _T_4794) @[Mux.scala 27:72] + node _T_4799 = or(_T_4798, _T_4795) @[Mux.scala 27:72] + node _T_4800 = or(_T_4799, _T_4796) @[Mux.scala 27:72] + wire _T_4801 : UInt<64> @[Mux.scala 27:72] + _T_4801 <= _T_4800 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4801 @[el2_lsu_bus_buffer.scala 608:29] + node _T_4802 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:62] + node _T_4803 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 613:89] + node _T_4804 = and(_T_4802, _T_4803) @[el2_lsu_bus_buffer.scala 613:73] + node _T_4805 = and(_T_4804, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 613:93] + node _T_4806 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:62] + node _T_4807 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 613:89] + node _T_4808 = and(_T_4806, _T_4807) @[el2_lsu_bus_buffer.scala 613:73] + node _T_4809 = and(_T_4808, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 613:93] + node _T_4810 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:62] + node _T_4811 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 613:89] + node _T_4812 = and(_T_4810, _T_4811) @[el2_lsu_bus_buffer.scala 613:73] + node _T_4813 = and(_T_4812, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 613:93] + node _T_4814 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:62] + node _T_4815 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 613:89] + node _T_4816 = and(_T_4814, _T_4815) @[el2_lsu_bus_buffer.scala 613:73] + node _T_4817 = and(_T_4816, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 613:93] + node _T_4818 = or(_T_4805, _T_4809) @[el2_lsu_bus_buffer.scala 613:141] + node _T_4819 = or(_T_4818, _T_4813) @[el2_lsu_bus_buffer.scala 613:141] + node _T_4820 = or(_T_4819, _T_4817) @[el2_lsu_bus_buffer.scala 613:141] + bus_sideeffect_pend <= _T_4820 @[el2_lsu_bus_buffer.scala 613:23] + node _T_4821 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:71] + node _T_4822 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 615:25] + node _T_4823 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:50] + node _T_4824 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 615:70] + node _T_4825 = eq(_T_4823, _T_4824) @[el2_lsu_bus_buffer.scala 615:56] + node _T_4826 = and(_T_4822, _T_4825) @[el2_lsu_bus_buffer.scala 615:38] + node _T_4827 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:92] + node _T_4828 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:126] + node _T_4829 = and(obuf_merge, _T_4828) @[el2_lsu_bus_buffer.scala 615:114] + node _T_4830 = or(_T_4827, _T_4829) @[el2_lsu_bus_buffer.scala 615:100] + node _T_4831 = eq(_T_4830, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:80] + node _T_4832 = and(_T_4826, _T_4831) @[el2_lsu_bus_buffer.scala 615:78] + node _T_4833 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:71] + node _T_4834 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 615:25] + node _T_4835 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:50] + node _T_4836 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 615:70] + node _T_4837 = eq(_T_4835, _T_4836) @[el2_lsu_bus_buffer.scala 615:56] + node _T_4838 = and(_T_4834, _T_4837) @[el2_lsu_bus_buffer.scala 615:38] + node _T_4839 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 615:92] + node _T_4840 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 615:126] + node _T_4841 = and(obuf_merge, _T_4840) @[el2_lsu_bus_buffer.scala 615:114] + node _T_4842 = or(_T_4839, _T_4841) @[el2_lsu_bus_buffer.scala 615:100] + node _T_4843 = eq(_T_4842, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:80] + node _T_4844 = and(_T_4838, _T_4843) @[el2_lsu_bus_buffer.scala 615:78] + node _T_4845 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:71] node _T_4846 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 615:25] node _T_4847 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:50] - node _T_4848 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 615:70] + node _T_4848 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 615:70] node _T_4849 = eq(_T_4847, _T_4848) @[el2_lsu_bus_buffer.scala 615:56] node _T_4850 = and(_T_4846, _T_4849) @[el2_lsu_bus_buffer.scala 615:38] - node _T_4851 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:92] - node _T_4852 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:126] + node _T_4851 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 615:92] + node _T_4852 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 615:126] node _T_4853 = and(obuf_merge, _T_4852) @[el2_lsu_bus_buffer.scala 615:114] node _T_4854 = or(_T_4851, _T_4853) @[el2_lsu_bus_buffer.scala 615:100] node _T_4855 = eq(_T_4854, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:80] node _T_4856 = and(_T_4850, _T_4855) @[el2_lsu_bus_buffer.scala 615:78] - node _T_4857 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:71] + node _T_4857 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:71] node _T_4858 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 615:25] node _T_4859 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:50] - node _T_4860 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 615:70] + node _T_4860 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 615:70] node _T_4861 = eq(_T_4859, _T_4860) @[el2_lsu_bus_buffer.scala 615:56] node _T_4862 = and(_T_4858, _T_4861) @[el2_lsu_bus_buffer.scala 615:38] - node _T_4863 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 615:92] - node _T_4864 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 615:126] + node _T_4863 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 615:92] + node _T_4864 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 615:126] node _T_4865 = and(obuf_merge, _T_4864) @[el2_lsu_bus_buffer.scala 615:114] node _T_4866 = or(_T_4863, _T_4865) @[el2_lsu_bus_buffer.scala 615:100] node _T_4867 = eq(_T_4866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:80] node _T_4868 = and(_T_4862, _T_4867) @[el2_lsu_bus_buffer.scala 615:78] - node _T_4869 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:71] - node _T_4870 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 615:25] - node _T_4871 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:50] - node _T_4872 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 615:70] - node _T_4873 = eq(_T_4871, _T_4872) @[el2_lsu_bus_buffer.scala 615:56] - node _T_4874 = and(_T_4870, _T_4873) @[el2_lsu_bus_buffer.scala 615:38] - node _T_4875 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 615:92] - node _T_4876 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 615:126] - node _T_4877 = and(obuf_merge, _T_4876) @[el2_lsu_bus_buffer.scala 615:114] - node _T_4878 = or(_T_4875, _T_4877) @[el2_lsu_bus_buffer.scala 615:100] - node _T_4879 = eq(_T_4878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:80] - node _T_4880 = and(_T_4874, _T_4879) @[el2_lsu_bus_buffer.scala 615:78] - node _T_4881 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:71] - node _T_4882 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 615:25] - node _T_4883 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:50] - node _T_4884 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 615:70] - node _T_4885 = eq(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 615:56] - node _T_4886 = and(_T_4882, _T_4885) @[el2_lsu_bus_buffer.scala 615:38] - node _T_4887 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 615:92] - node _T_4888 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 615:126] - node _T_4889 = and(obuf_merge, _T_4888) @[el2_lsu_bus_buffer.scala 615:114] - node _T_4890 = or(_T_4887, _T_4889) @[el2_lsu_bus_buffer.scala 615:100] - node _T_4891 = eq(_T_4890, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:80] - node _T_4892 = and(_T_4886, _T_4891) @[el2_lsu_bus_buffer.scala 615:78] - node _T_4893 = mux(_T_4845, _T_4856, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4894 = mux(_T_4857, _T_4868, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4895 = mux(_T_4869, _T_4880, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4896 = mux(_T_4881, _T_4892, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4897 = or(_T_4893, _T_4894) @[Mux.scala 27:72] - node _T_4898 = or(_T_4897, _T_4895) @[Mux.scala 27:72] - node _T_4899 = or(_T_4898, _T_4896) @[Mux.scala 27:72] - wire _T_4900 : UInt<1> @[Mux.scala 27:72] - _T_4900 <= _T_4899 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4900 @[el2_lsu_bus_buffer.scala 614:26] - node _T_4901 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 617:54] - node _T_4902 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 617:75] - node _T_4903 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 617:150] - node _T_4904 = mux(_T_4901, _T_4902, _T_4903) @[el2_lsu_bus_buffer.scala 617:39] - node _T_4905 = mux(obuf_write, _T_4904, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 617:23] - bus_cmd_ready <= _T_4905 @[el2_lsu_bus_buffer.scala 617:17] - node _T_4906 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 618:39] - bus_wcmd_sent <= _T_4906 @[el2_lsu_bus_buffer.scala 618:17] - node _T_4907 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 619:39] - bus_wdata_sent <= _T_4907 @[el2_lsu_bus_buffer.scala 619:18] - node _T_4908 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 620:35] - node _T_4909 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 620:70] - node _T_4910 = and(_T_4908, _T_4909) @[el2_lsu_bus_buffer.scala 620:52] - node _T_4911 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 620:111] - node _T_4912 = or(_T_4910, _T_4911) @[el2_lsu_bus_buffer.scala 620:89] - bus_cmd_sent <= _T_4912 @[el2_lsu_bus_buffer.scala 620:16] - node _T_4913 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 621:37] - bus_rsp_read <= _T_4913 @[el2_lsu_bus_buffer.scala 621:16] - node _T_4914 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 622:38] - bus_rsp_write <= _T_4914 @[el2_lsu_bus_buffer.scala 622:17] + node _T_4869 = mux(_T_4821, _T_4832, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4870 = mux(_T_4833, _T_4844, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4871 = mux(_T_4845, _T_4856, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4872 = mux(_T_4857, _T_4868, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4873 = or(_T_4869, _T_4870) @[Mux.scala 27:72] + node _T_4874 = or(_T_4873, _T_4871) @[Mux.scala 27:72] + node _T_4875 = or(_T_4874, _T_4872) @[Mux.scala 27:72] + wire _T_4876 : UInt<1> @[Mux.scala 27:72] + _T_4876 <= _T_4875 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4876 @[el2_lsu_bus_buffer.scala 614:26] + node _T_4877 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 617:54] + node _T_4878 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 617:75] + node _T_4879 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 617:150] + node _T_4880 = mux(_T_4877, _T_4878, _T_4879) @[el2_lsu_bus_buffer.scala 617:39] + node _T_4881 = mux(obuf_write, _T_4880, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 617:23] + bus_cmd_ready <= _T_4881 @[el2_lsu_bus_buffer.scala 617:17] + node _T_4882 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 618:39] + bus_wcmd_sent <= _T_4882 @[el2_lsu_bus_buffer.scala 618:17] + node _T_4883 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 619:39] + bus_wdata_sent <= _T_4883 @[el2_lsu_bus_buffer.scala 619:18] + node _T_4884 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 620:35] + node _T_4885 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 620:70] + node _T_4886 = and(_T_4884, _T_4885) @[el2_lsu_bus_buffer.scala 620:52] + node _T_4887 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 620:111] + node _T_4888 = or(_T_4886, _T_4887) @[el2_lsu_bus_buffer.scala 620:89] + bus_cmd_sent <= _T_4888 @[el2_lsu_bus_buffer.scala 620:16] + node _T_4889 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 621:37] + bus_rsp_read <= _T_4889 @[el2_lsu_bus_buffer.scala 621:16] + node _T_4890 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 622:38] + bus_rsp_write <= _T_4890 @[el2_lsu_bus_buffer.scala 622:17] bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 623:20] bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 624:21] - node _T_4915 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 625:60] - node _T_4916 = and(bus_rsp_write, _T_4915) @[el2_lsu_bus_buffer.scala 625:40] - bus_rsp_write_error <= _T_4916 @[el2_lsu_bus_buffer.scala 625:23] - node _T_4917 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 626:58] - node _T_4918 = and(bus_rsp_read, _T_4917) @[el2_lsu_bus_buffer.scala 626:38] - bus_rsp_read_error <= _T_4918 @[el2_lsu_bus_buffer.scala 626:22] + node _T_4891 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 625:60] + node _T_4892 = and(bus_rsp_write, _T_4891) @[el2_lsu_bus_buffer.scala 625:40] + bus_rsp_write_error <= _T_4892 @[el2_lsu_bus_buffer.scala 625:23] + node _T_4893 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 626:58] + node _T_4894 = and(bus_rsp_read, _T_4893) @[el2_lsu_bus_buffer.scala 626:38] + bus_rsp_read_error <= _T_4894 @[el2_lsu_bus_buffer.scala 626:22] bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 627:17] - node _T_4919 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 630:36] - node _T_4920 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 630:51] - node _T_4921 = and(_T_4919, _T_4920) @[el2_lsu_bus_buffer.scala 630:49] - node _T_4922 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 630:68] - node _T_4923 = and(_T_4921, _T_4922) @[el2_lsu_bus_buffer.scala 630:66] - io.lsu_axi_awvalid <= _T_4923 @[el2_lsu_bus_buffer.scala 630:22] + node _T_4895 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 630:36] + node _T_4896 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 630:51] + node _T_4897 = and(_T_4895, _T_4896) @[el2_lsu_bus_buffer.scala 630:49] + node _T_4898 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 630:68] + node _T_4899 = and(_T_4897, _T_4898) @[el2_lsu_bus_buffer.scala 630:66] + io.lsu_axi_awvalid <= _T_4899 @[el2_lsu_bus_buffer.scala 630:22] io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 631:19] - node _T_4924 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 632:69] - node _T_4925 = cat(_T_4924, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4926 = mux(obuf_sideeffect, obuf_addr, _T_4925) @[el2_lsu_bus_buffer.scala 632:27] - io.lsu_axi_awaddr <= _T_4926 @[el2_lsu_bus_buffer.scala 632:21] - node _T_4927 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4928 = mux(obuf_sideeffect, _T_4927, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 633:27] - io.lsu_axi_awsize <= _T_4928 @[el2_lsu_bus_buffer.scala 633:21] + node _T_4900 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 632:69] + node _T_4901 = cat(_T_4900, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4902 = mux(obuf_sideeffect, obuf_addr, _T_4901) @[el2_lsu_bus_buffer.scala 632:27] + io.lsu_axi_awaddr <= _T_4902 @[el2_lsu_bus_buffer.scala 632:21] + node _T_4903 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4904 = mux(obuf_sideeffect, _T_4903, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 633:27] + io.lsu_axi_awsize <= _T_4904 @[el2_lsu_bus_buffer.scala 633:21] io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 634:21] - node _T_4929 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 635:28] - io.lsu_axi_awcache <= _T_4929 @[el2_lsu_bus_buffer.scala 635:22] - node _T_4930 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 636:35] - io.lsu_axi_awregion <= _T_4930 @[el2_lsu_bus_buffer.scala 636:23] + node _T_4905 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 635:28] + io.lsu_axi_awcache <= _T_4905 @[el2_lsu_bus_buffer.scala 635:22] + node _T_4906 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 636:35] + io.lsu_axi_awregion <= _T_4906 @[el2_lsu_bus_buffer.scala 636:23] io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 637:20] io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 638:22] io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 639:20] io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 640:21] - node _T_4931 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 642:35] - node _T_4932 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 642:50] - node _T_4933 = and(_T_4931, _T_4932) @[el2_lsu_bus_buffer.scala 642:48] - node _T_4934 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 642:68] - node _T_4935 = and(_T_4933, _T_4934) @[el2_lsu_bus_buffer.scala 642:66] - io.lsu_axi_wvalid <= _T_4935 @[el2_lsu_bus_buffer.scala 642:21] - node _T_4936 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4937 = mux(_T_4936, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4938 = and(obuf_byteen, _T_4937) @[el2_lsu_bus_buffer.scala 643:35] - io.lsu_axi_wstrb <= _T_4938 @[el2_lsu_bus_buffer.scala 643:20] + node _T_4907 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 642:35] + node _T_4908 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 642:50] + node _T_4909 = and(_T_4907, _T_4908) @[el2_lsu_bus_buffer.scala 642:48] + node _T_4910 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 642:68] + node _T_4911 = and(_T_4909, _T_4910) @[el2_lsu_bus_buffer.scala 642:66] + io.lsu_axi_wvalid <= _T_4911 @[el2_lsu_bus_buffer.scala 642:21] + node _T_4912 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4913 = mux(_T_4912, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4914 = and(obuf_byteen, _T_4913) @[el2_lsu_bus_buffer.scala 643:35] + io.lsu_axi_wstrb <= _T_4914 @[el2_lsu_bus_buffer.scala 643:20] io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 644:20] io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 645:20] - node _T_4939 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 647:38] - node _T_4940 = and(obuf_valid, _T_4939) @[el2_lsu_bus_buffer.scala 647:36] - node _T_4941 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 647:52] - node _T_4942 = and(_T_4940, _T_4941) @[el2_lsu_bus_buffer.scala 647:50] - node _T_4943 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 647:67] - node _T_4944 = and(_T_4942, _T_4943) @[el2_lsu_bus_buffer.scala 647:65] - io.lsu_axi_arvalid <= _T_4944 @[el2_lsu_bus_buffer.scala 647:22] + node _T_4915 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 647:38] + node _T_4916 = and(obuf_valid, _T_4915) @[el2_lsu_bus_buffer.scala 647:36] + node _T_4917 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 647:52] + node _T_4918 = and(_T_4916, _T_4917) @[el2_lsu_bus_buffer.scala 647:50] + node _T_4919 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 647:67] + node _T_4920 = and(_T_4918, _T_4919) @[el2_lsu_bus_buffer.scala 647:65] + io.lsu_axi_arvalid <= _T_4920 @[el2_lsu_bus_buffer.scala 647:22] io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 648:19] - node _T_4945 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 649:69] - node _T_4946 = cat(_T_4945, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4947 = mux(obuf_sideeffect, obuf_addr, _T_4946) @[el2_lsu_bus_buffer.scala 649:27] - io.lsu_axi_araddr <= _T_4947 @[el2_lsu_bus_buffer.scala 649:21] - node _T_4948 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4949 = mux(obuf_sideeffect, _T_4948, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 650:27] - io.lsu_axi_arsize <= _T_4949 @[el2_lsu_bus_buffer.scala 650:21] + node _T_4921 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 649:69] + node _T_4922 = cat(_T_4921, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4923 = mux(obuf_sideeffect, obuf_addr, _T_4922) @[el2_lsu_bus_buffer.scala 649:27] + io.lsu_axi_araddr <= _T_4923 @[el2_lsu_bus_buffer.scala 649:21] + node _T_4924 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4925 = mux(obuf_sideeffect, _T_4924, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 650:27] + io.lsu_axi_arsize <= _T_4925 @[el2_lsu_bus_buffer.scala 650:21] io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 651:21] - node _T_4950 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 652:28] - io.lsu_axi_arcache <= _T_4950 @[el2_lsu_bus_buffer.scala 652:22] - node _T_4951 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 653:35] - io.lsu_axi_arregion <= _T_4951 @[el2_lsu_bus_buffer.scala 653:23] + node _T_4926 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 652:28] + io.lsu_axi_arcache <= _T_4926 @[el2_lsu_bus_buffer.scala 652:22] + node _T_4927 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 653:35] + io.lsu_axi_arregion <= _T_4927 @[el2_lsu_bus_buffer.scala 653:23] io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 654:20] io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 655:22] io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 656:20] io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 657:21] io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 658:21] io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 659:21] - node _T_4952 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:81] - node _T_4953 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 660:125] - node _T_4954 = and(io.lsu_bus_clk_en_q, _T_4953) @[el2_lsu_bus_buffer.scala 660:114] - node _T_4955 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 660:140] - node _T_4956 = and(_T_4954, _T_4955) @[el2_lsu_bus_buffer.scala 660:129] - node _T_4957 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:81] - node _T_4958 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 660:125] - node _T_4959 = and(io.lsu_bus_clk_en_q, _T_4958) @[el2_lsu_bus_buffer.scala 660:114] - node _T_4960 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 660:140] - node _T_4961 = and(_T_4959, _T_4960) @[el2_lsu_bus_buffer.scala 660:129] - node _T_4962 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:81] - node _T_4963 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 660:125] - node _T_4964 = and(io.lsu_bus_clk_en_q, _T_4963) @[el2_lsu_bus_buffer.scala 660:114] - node _T_4965 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 660:140] - node _T_4966 = and(_T_4964, _T_4965) @[el2_lsu_bus_buffer.scala 660:129] - node _T_4967 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:81] - node _T_4968 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 660:125] - node _T_4969 = and(io.lsu_bus_clk_en_q, _T_4968) @[el2_lsu_bus_buffer.scala 660:114] - node _T_4970 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 660:140] - node _T_4971 = and(_T_4969, _T_4970) @[el2_lsu_bus_buffer.scala 660:129] - node _T_4972 = mux(_T_4952, _T_4956, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4973 = mux(_T_4957, _T_4961, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4974 = mux(_T_4962, _T_4966, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4975 = mux(_T_4967, _T_4971, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4976 = or(_T_4972, _T_4973) @[Mux.scala 27:72] - node _T_4977 = or(_T_4976, _T_4974) @[Mux.scala 27:72] - node _T_4978 = or(_T_4977, _T_4975) @[Mux.scala 27:72] - wire _T_4979 : UInt<1> @[Mux.scala 27:72] - _T_4979 <= _T_4978 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4979 @[el2_lsu_bus_buffer.scala 660:36] - node _T_4980 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:87] - node _T_4981 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 661:109] - node _T_4982 = and(_T_4980, _T_4981) @[el2_lsu_bus_buffer.scala 661:98] - node _T_4983 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 661:124] - node _T_4984 = and(_T_4982, _T_4983) @[el2_lsu_bus_buffer.scala 661:113] - node _T_4985 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:87] - node _T_4986 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 661:109] - node _T_4987 = and(_T_4985, _T_4986) @[el2_lsu_bus_buffer.scala 661:98] - node _T_4988 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 661:124] - node _T_4989 = and(_T_4987, _T_4988) @[el2_lsu_bus_buffer.scala 661:113] - node _T_4990 = mux(_T_4984, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4991 = mux(_T_4989, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4992 = or(_T_4990, _T_4991) @[Mux.scala 27:72] + node _T_4928 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:81] + node _T_4929 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 660:125] + node _T_4930 = and(io.lsu_bus_clk_en_q, _T_4929) @[el2_lsu_bus_buffer.scala 660:114] + node _T_4931 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 660:140] + node _T_4932 = and(_T_4930, _T_4931) @[el2_lsu_bus_buffer.scala 660:129] + node _T_4933 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:81] + node _T_4934 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 660:125] + node _T_4935 = and(io.lsu_bus_clk_en_q, _T_4934) @[el2_lsu_bus_buffer.scala 660:114] + node _T_4936 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 660:140] + node _T_4937 = and(_T_4935, _T_4936) @[el2_lsu_bus_buffer.scala 660:129] + node _T_4938 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:81] + node _T_4939 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 660:125] + node _T_4940 = and(io.lsu_bus_clk_en_q, _T_4939) @[el2_lsu_bus_buffer.scala 660:114] + node _T_4941 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 660:140] + node _T_4942 = and(_T_4940, _T_4941) @[el2_lsu_bus_buffer.scala 660:129] + node _T_4943 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:81] + node _T_4944 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 660:125] + node _T_4945 = and(io.lsu_bus_clk_en_q, _T_4944) @[el2_lsu_bus_buffer.scala 660:114] + node _T_4946 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 660:140] + node _T_4947 = and(_T_4945, _T_4946) @[el2_lsu_bus_buffer.scala 660:129] + node _T_4948 = mux(_T_4928, _T_4932, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4949 = mux(_T_4933, _T_4937, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4950 = mux(_T_4938, _T_4942, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4951 = mux(_T_4943, _T_4947, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4952 = or(_T_4948, _T_4949) @[Mux.scala 27:72] + node _T_4953 = or(_T_4952, _T_4950) @[Mux.scala 27:72] + node _T_4954 = or(_T_4953, _T_4951) @[Mux.scala 27:72] + wire _T_4955 : UInt<1> @[Mux.scala 27:72] + _T_4955 <= _T_4954 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4955 @[el2_lsu_bus_buffer.scala 660:36] + node _T_4956 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:87] + node _T_4957 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 661:109] + node _T_4958 = and(_T_4956, _T_4957) @[el2_lsu_bus_buffer.scala 661:98] + node _T_4959 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 661:124] + node _T_4960 = and(_T_4958, _T_4959) @[el2_lsu_bus_buffer.scala 661:113] + node _T_4961 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:87] + node _T_4962 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 661:109] + node _T_4963 = and(_T_4961, _T_4962) @[el2_lsu_bus_buffer.scala 661:98] + node _T_4964 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 661:124] + node _T_4965 = and(_T_4963, _T_4964) @[el2_lsu_bus_buffer.scala 661:113] + node _T_4966 = mux(_T_4960, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4967 = mux(_T_4965, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4968 = or(_T_4966, _T_4967) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4992 @[Mux.scala 27:72] - node _T_4993 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 663:72] - node _T_4994 = and(io.lsu_nonblock_load_data_error, _T_4993) @[el2_lsu_bus_buffer.scala 663:70] - io.lsu_imprecise_error_load_any <= _T_4994 @[el2_lsu_bus_buffer.scala 663:35] - node _T_4995 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4996 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4997 = mux(_T_4995, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4998 = mux(_T_4996, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4999 = or(_T_4997, _T_4998) @[Mux.scala 27:72] - wire _T_5000 : UInt<32> @[Mux.scala 27:72] - _T_5000 <= _T_4999 @[Mux.scala 27:72] - node _T_5001 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_5002 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_5003 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_5004 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_5005 = mux(_T_5001, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_5006 = mux(_T_5002, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_5007 = mux(_T_5003, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_5008 = mux(_T_5004, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_5009 = or(_T_5005, _T_5006) @[Mux.scala 27:72] - node _T_5010 = or(_T_5009, _T_5007) @[Mux.scala 27:72] - node _T_5011 = or(_T_5010, _T_5008) @[Mux.scala 27:72] - wire _T_5012 : UInt<32> @[Mux.scala 27:72] - _T_5012 <= _T_5011 @[Mux.scala 27:72] - node _T_5013 = mux(io.lsu_imprecise_error_store_any, _T_5000, _T_5012) @[el2_lsu_bus_buffer.scala 664:41] - io.lsu_imprecise_error_addr_any <= _T_5013 @[el2_lsu_bus_buffer.scala 664:35] + lsu_imprecise_error_store_tag <= _T_4968 @[Mux.scala 27:72] + node _T_4969 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 663:72] + node _T_4970 = and(io.lsu_nonblock_load_data_error, _T_4969) @[el2_lsu_bus_buffer.scala 663:70] + io.lsu_imprecise_error_load_any <= _T_4970 @[el2_lsu_bus_buffer.scala 663:35] + node _T_4971 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4972 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4973 = mux(_T_4971, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4974 = mux(_T_4972, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4975 = or(_T_4973, _T_4974) @[Mux.scala 27:72] + wire _T_4976 : UInt<32> @[Mux.scala 27:72] + _T_4976 <= _T_4975 @[Mux.scala 27:72] + node _T_4977 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4978 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4979 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4980 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4981 = mux(_T_4977, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4982 = mux(_T_4978, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4983 = mux(_T_4979, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4984 = mux(_T_4980, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4985 = or(_T_4981, _T_4982) @[Mux.scala 27:72] + node _T_4986 = or(_T_4985, _T_4983) @[Mux.scala 27:72] + node _T_4987 = or(_T_4986, _T_4984) @[Mux.scala 27:72] + wire _T_4988 : UInt<32> @[Mux.scala 27:72] + _T_4988 <= _T_4987 @[Mux.scala 27:72] + node _T_4989 = mux(io.lsu_imprecise_error_store_any, _T_4976, _T_4988) @[el2_lsu_bus_buffer.scala 664:41] + io.lsu_imprecise_error_addr_any <= _T_4989 @[el2_lsu_bus_buffer.scala 664:35] lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 665:25] io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 667:23] - node _T_5014 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 670:46] - node _T_5015 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 670:89] - node _T_5016 = or(_T_5014, _T_5015) @[el2_lsu_bus_buffer.scala 670:68] - node _T_5017 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 670:132] - node _T_5018 = or(_T_5016, _T_5017) @[el2_lsu_bus_buffer.scala 670:110] - io.lsu_pmu_bus_trxn <= _T_5018 @[el2_lsu_bus_buffer.scala 670:23] - node _T_5019 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 671:48] - node _T_5020 = and(_T_5019, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 671:65] - io.lsu_pmu_bus_misaligned <= _T_5020 @[el2_lsu_bus_buffer.scala 671:29] - node _T_5021 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 672:59] - io.lsu_pmu_bus_error <= _T_5021 @[el2_lsu_bus_buffer.scala 672:24] - node _T_5022 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 674:48] - node _T_5023 = and(io.lsu_axi_awvalid, _T_5022) @[el2_lsu_bus_buffer.scala 674:46] - node _T_5024 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 674:92] - node _T_5025 = and(io.lsu_axi_wvalid, _T_5024) @[el2_lsu_bus_buffer.scala 674:90] - node _T_5026 = or(_T_5023, _T_5025) @[el2_lsu_bus_buffer.scala 674:69] - node _T_5027 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 674:136] - node _T_5028 = and(io.lsu_axi_arvalid, _T_5027) @[el2_lsu_bus_buffer.scala 674:134] - node _T_5029 = or(_T_5026, _T_5028) @[el2_lsu_bus_buffer.scala 674:112] - io.lsu_pmu_bus_busy <= _T_5029 @[el2_lsu_bus_buffer.scala 674:23] - reg _T_5030 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 676:49] - _T_5030 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 676:49] - WrPtr0_r <= _T_5030 @[el2_lsu_bus_buffer.scala 676:12] - reg _T_5031 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 677:49] - _T_5031 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 677:49] - WrPtr1_r <= _T_5031 @[el2_lsu_bus_buffer.scala 677:12] - node _T_5032 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 678:75] - node _T_5033 = and(io.lsu_busreq_m, _T_5032) @[el2_lsu_bus_buffer.scala 678:73] - node _T_5034 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 678:89] - node _T_5035 = and(_T_5033, _T_5034) @[el2_lsu_bus_buffer.scala 678:87] - reg _T_5036 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 678:56] - _T_5036 <= _T_5035 @[el2_lsu_bus_buffer.scala 678:56] - io.lsu_busreq_r <= _T_5036 @[el2_lsu_bus_buffer.scala 678:19] - reg _T_5037 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 679:66] - _T_5037 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 679:66] - lsu_nonblock_load_valid_r <= _T_5037 @[el2_lsu_bus_buffer.scala 679:29] + node _T_4990 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 670:46] + node _T_4991 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 670:89] + node _T_4992 = or(_T_4990, _T_4991) @[el2_lsu_bus_buffer.scala 670:68] + node _T_4993 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 670:132] + node _T_4994 = or(_T_4992, _T_4993) @[el2_lsu_bus_buffer.scala 670:110] + io.lsu_pmu_bus_trxn <= _T_4994 @[el2_lsu_bus_buffer.scala 670:23] + node _T_4995 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 671:48] + node _T_4996 = and(_T_4995, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 671:65] + io.lsu_pmu_bus_misaligned <= _T_4996 @[el2_lsu_bus_buffer.scala 671:29] + node _T_4997 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 672:59] + io.lsu_pmu_bus_error <= _T_4997 @[el2_lsu_bus_buffer.scala 672:24] + node _T_4998 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 674:48] + node _T_4999 = and(io.lsu_axi_awvalid, _T_4998) @[el2_lsu_bus_buffer.scala 674:46] + node _T_5000 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 674:92] + node _T_5001 = and(io.lsu_axi_wvalid, _T_5000) @[el2_lsu_bus_buffer.scala 674:90] + node _T_5002 = or(_T_4999, _T_5001) @[el2_lsu_bus_buffer.scala 674:69] + node _T_5003 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 674:136] + node _T_5004 = and(io.lsu_axi_arvalid, _T_5003) @[el2_lsu_bus_buffer.scala 674:134] + node _T_5005 = or(_T_5002, _T_5004) @[el2_lsu_bus_buffer.scala 674:112] + io.lsu_pmu_bus_busy <= _T_5005 @[el2_lsu_bus_buffer.scala 674:23] + reg _T_5006 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 676:49] + _T_5006 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 676:49] + WrPtr0_r <= _T_5006 @[el2_lsu_bus_buffer.scala 676:12] + reg _T_5007 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 677:49] + _T_5007 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 677:49] + WrPtr1_r <= _T_5007 @[el2_lsu_bus_buffer.scala 677:12] + node _T_5008 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 678:75] + node _T_5009 = and(io.lsu_busreq_m, _T_5008) @[el2_lsu_bus_buffer.scala 678:73] + node _T_5010 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 678:89] + node _T_5011 = and(_T_5009, _T_5010) @[el2_lsu_bus_buffer.scala 678:87] + reg _T_5012 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 678:56] + _T_5012 <= _T_5011 @[el2_lsu_bus_buffer.scala 678:56] + io.lsu_busreq_r <= _T_5012 @[el2_lsu_bus_buffer.scala 678:19] + reg _T_5013 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 679:66] + _T_5013 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 679:66] + lsu_nonblock_load_valid_r <= _T_5013 @[el2_lsu_bus_buffer.scala 679:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 447e94a0..bfac07de 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -313,11 +313,11 @@ module el2_lsu_bus_buffer( wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 136:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] - reg _T_4405; // @[Reg.scala 27:20] - reg _T_4402; // @[Reg.scala 27:20] - reg _T_4399; // @[Reg.scala 27:20] - reg _T_4396; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4405,_T_4402,_T_4399,_T_4396}; // @[Cat.scala 29:58] + reg _T_4381; // @[Reg.scala 27:20] + reg _T_4378; // @[Reg.scala 27:20] + reg _T_4375; // @[Reg.scala 27:20] + reg _T_4372; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4381,_T_4378,_T_4375,_T_4372}; // @[Cat.scala 29:58] wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 138:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 138:129] @@ -374,85 +374,85 @@ module el2_lsu_bus_buffer( wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 560:60] - wire _T_2666 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:95] - wire _T_4152 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4175 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4179 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] - reg [1:0] _T_1891; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1891}; // @[el2_lsu_bus_buffer.scala 411:13] - wire _T_4186 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 515:48] + wire _T_2642 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:95] + wire _T_4128 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4151 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4155 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1867; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1867}; // @[el2_lsu_bus_buffer.scala 411:13] + wire _T_4162 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 515:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 515:104] - wire _T_4187 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 515:104] - wire _T_4188 = obuf_merge & _T_4187; // @[el2_lsu_bus_buffer.scala 515:91] - wire _T_4189 = _T_4186 | _T_4188; // @[el2_lsu_bus_buffer.scala 515:77] + wire _T_4163 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 515:104] + wire _T_4164 = obuf_merge & _T_4163; // @[el2_lsu_bus_buffer.scala 515:91] + wire _T_4165 = _T_4162 | _T_4164; // @[el2_lsu_bus_buffer.scala 515:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 405:54] - wire _T_4190 = _T_4189 & obuf_valid; // @[el2_lsu_bus_buffer.scala 515:135] + wire _T_4166 = _T_4165 & obuf_valid; // @[el2_lsu_bus_buffer.scala 515:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 404:55] - wire _T_4191 = _T_4190 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 515:148] - wire _GEN_280 = _T_4179 & _T_4191; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_4175 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_4152 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2667 = _T_2666 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 472:105] - wire _T_2668 = ~_T_2667; // @[el2_lsu_bus_buffer.scala 472:80] - wire _T_2669 = buf_ageQ_3[3] & _T_2668; // @[el2_lsu_bus_buffer.scala 472:78] - wire _T_2661 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:95] - wire _T_3959 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3982 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3986 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3993 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 515:48] - wire _T_3994 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 515:104] - wire _T_3995 = obuf_merge & _T_3994; // @[el2_lsu_bus_buffer.scala 515:91] - wire _T_3996 = _T_3993 | _T_3995; // @[el2_lsu_bus_buffer.scala 515:77] - wire _T_3997 = _T_3996 & obuf_valid; // @[el2_lsu_bus_buffer.scala 515:135] - wire _T_3998 = _T_3997 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 515:148] - wire _GEN_204 = _T_3986 & _T_3998; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3982 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3959 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2662 = _T_2661 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 472:105] - wire _T_2663 = ~_T_2662; // @[el2_lsu_bus_buffer.scala 472:80] - wire _T_2664 = buf_ageQ_3[2] & _T_2663; // @[el2_lsu_bus_buffer.scala 472:78] - wire _T_2656 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:95] - wire _T_3766 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3789 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3793 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3800 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 515:48] - wire _T_3801 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 515:104] - wire _T_3802 = obuf_merge & _T_3801; // @[el2_lsu_bus_buffer.scala 515:91] - wire _T_3803 = _T_3800 | _T_3802; // @[el2_lsu_bus_buffer.scala 515:77] - wire _T_3804 = _T_3803 & obuf_valid; // @[el2_lsu_bus_buffer.scala 515:135] - wire _T_3805 = _T_3804 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 515:148] - wire _GEN_128 = _T_3793 & _T_3805; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3789 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3766 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2657 = _T_2656 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 472:105] - wire _T_2658 = ~_T_2657; // @[el2_lsu_bus_buffer.scala 472:80] - wire _T_2659 = buf_ageQ_3[1] & _T_2658; // @[el2_lsu_bus_buffer.scala 472:78] - wire _T_2651 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:95] - wire _T_3573 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3596 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3600 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3607 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 515:48] - wire _T_3608 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 515:104] - wire _T_3609 = obuf_merge & _T_3608; // @[el2_lsu_bus_buffer.scala 515:91] - wire _T_3610 = _T_3607 | _T_3609; // @[el2_lsu_bus_buffer.scala 515:77] - wire _T_3611 = _T_3610 & obuf_valid; // @[el2_lsu_bus_buffer.scala 515:135] - wire _T_3612 = _T_3611 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 515:148] - wire _GEN_52 = _T_3600 & _T_3612; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3596 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3573 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2652 = _T_2651 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 472:105] - wire _T_2653 = ~_T_2652; // @[el2_lsu_bus_buffer.scala 472:80] - wire _T_2654 = buf_ageQ_3[0] & _T_2653; // @[el2_lsu_bus_buffer.scala 472:78] - wire [3:0] buf_age_3 = {_T_2669,_T_2664,_T_2659,_T_2654}; // @[Cat.scala 29:58] - wire _T_2768 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 473:91] - wire _T_2770 = _T_2768 & _T_19; // @[el2_lsu_bus_buffer.scala 473:106] - wire _T_2762 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 473:91] - wire _T_2764 = _T_2762 & _T_12; // @[el2_lsu_bus_buffer.scala 473:106] - wire _T_2756 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 473:91] - wire _T_2758 = _T_2756 & _T_5; // @[el2_lsu_bus_buffer.scala 473:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2770,_T_2764,_T_2758}; // @[Cat.scala 29:58] + wire _T_4167 = _T_4166 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 515:148] + wire _GEN_280 = _T_4155 & _T_4167; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4151 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4128 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2643 = _T_2642 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 472:105] + wire _T_2644 = ~_T_2643; // @[el2_lsu_bus_buffer.scala 472:80] + wire _T_2645 = buf_ageQ_3[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2637 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:95] + wire _T_3935 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3958 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3962 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3969 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 515:48] + wire _T_3970 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 515:104] + wire _T_3971 = obuf_merge & _T_3970; // @[el2_lsu_bus_buffer.scala 515:91] + wire _T_3972 = _T_3969 | _T_3971; // @[el2_lsu_bus_buffer.scala 515:77] + wire _T_3973 = _T_3972 & obuf_valid; // @[el2_lsu_bus_buffer.scala 515:135] + wire _T_3974 = _T_3973 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 515:148] + wire _GEN_204 = _T_3962 & _T_3974; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3958 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3935 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2638 = _T_2637 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 472:105] + wire _T_2639 = ~_T_2638; // @[el2_lsu_bus_buffer.scala 472:80] + wire _T_2640 = buf_ageQ_3[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2632 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:95] + wire _T_3742 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3765 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3769 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3776 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 515:48] + wire _T_3777 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 515:104] + wire _T_3778 = obuf_merge & _T_3777; // @[el2_lsu_bus_buffer.scala 515:91] + wire _T_3779 = _T_3776 | _T_3778; // @[el2_lsu_bus_buffer.scala 515:77] + wire _T_3780 = _T_3779 & obuf_valid; // @[el2_lsu_bus_buffer.scala 515:135] + wire _T_3781 = _T_3780 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 515:148] + wire _GEN_128 = _T_3769 & _T_3781; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3765 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3742 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2633 = _T_2632 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 472:105] + wire _T_2634 = ~_T_2633; // @[el2_lsu_bus_buffer.scala 472:80] + wire _T_2635 = buf_ageQ_3[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2627 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:95] + wire _T_3549 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3572 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3576 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3583 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 515:48] + wire _T_3584 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 515:104] + wire _T_3585 = obuf_merge & _T_3584; // @[el2_lsu_bus_buffer.scala 515:91] + wire _T_3586 = _T_3583 | _T_3585; // @[el2_lsu_bus_buffer.scala 515:77] + wire _T_3587 = _T_3586 & obuf_valid; // @[el2_lsu_bus_buffer.scala 515:135] + wire _T_3588 = _T_3587 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 515:148] + wire _GEN_52 = _T_3576 & _T_3588; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3572 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3549 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2628 = _T_2627 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 472:105] + wire _T_2629 = ~_T_2628; // @[el2_lsu_bus_buffer.scala 472:80] + wire _T_2630 = buf_ageQ_3[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 472:78] + wire [3:0] buf_age_3 = {_T_2645,_T_2640,_T_2635,_T_2630}; // @[Cat.scala 29:58] + wire _T_2744 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2746 = _T_2744 & _T_19; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2738 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2740 = _T_2738 & _T_12; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2732 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2734 = _T_2732 & _T_5; // @[el2_lsu_bus_buffer.scala 473:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2746,_T_2740,_T_2734}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 206:144] wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 206:99] @@ -464,61 +464,61 @@ module el2_lsu_bus_buffer( reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 298:24] wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 212:86] wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 212:99] + wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] - wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 217:48] - wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 217:65] - wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25] + wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[el2_lsu_bus_buffer.scala 217:55] + wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[el2_lsu_bus_buffer.scala 217:69] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 206:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 560:60] - wire _T_2646 = buf_ageQ_2[3] & _T_2668; // @[el2_lsu_bus_buffer.scala 472:78] - wire _T_2641 = buf_ageQ_2[2] & _T_2663; // @[el2_lsu_bus_buffer.scala 472:78] - wire _T_2636 = buf_ageQ_2[1] & _T_2658; // @[el2_lsu_bus_buffer.scala 472:78] - wire _T_2631 = buf_ageQ_2[0] & _T_2653; // @[el2_lsu_bus_buffer.scala 472:78] - wire [3:0] buf_age_2 = {_T_2646,_T_2641,_T_2636,_T_2631}; // @[Cat.scala 29:58] - wire _T_2747 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 473:91] - wire _T_2749 = _T_2747 & _T_26; // @[el2_lsu_bus_buffer.scala 473:106] - wire _T_2735 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 473:91] - wire _T_2737 = _T_2735 & _T_12; // @[el2_lsu_bus_buffer.scala 473:106] - wire _T_2729 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 473:91] - wire _T_2731 = _T_2729 & _T_5; // @[el2_lsu_bus_buffer.scala 473:106] - wire [3:0] buf_age_younger_2 = {_T_2749,1'h0,_T_2737,_T_2731}; // @[Cat.scala 29:58] + wire _T_2622 = buf_ageQ_2[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2617 = buf_ageQ_2[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2612 = buf_ageQ_2[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2607 = buf_ageQ_2[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 472:78] + wire [3:0] buf_age_2 = {_T_2622,_T_2617,_T_2612,_T_2607}; // @[Cat.scala 29:58] + wire _T_2723 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2725 = _T_2723 & _T_26; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2711 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2713 = _T_2711 & _T_12; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2705 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2707 = _T_2705 & _T_5; // @[el2_lsu_bus_buffer.scala 473:106] + wire [3:0] buf_age_younger_2 = {_T_2725,1'h0,_T_2713,_T_2707}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 206:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 206:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 206:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 560:60] - wire _T_2623 = buf_ageQ_1[3] & _T_2668; // @[el2_lsu_bus_buffer.scala 472:78] - wire _T_2618 = buf_ageQ_1[2] & _T_2663; // @[el2_lsu_bus_buffer.scala 472:78] - wire _T_2613 = buf_ageQ_1[1] & _T_2658; // @[el2_lsu_bus_buffer.scala 472:78] - wire _T_2608 = buf_ageQ_1[0] & _T_2653; // @[el2_lsu_bus_buffer.scala 472:78] - wire [3:0] buf_age_1 = {_T_2623,_T_2618,_T_2613,_T_2608}; // @[Cat.scala 29:58] - wire _T_2720 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 473:91] - wire _T_2722 = _T_2720 & _T_26; // @[el2_lsu_bus_buffer.scala 473:106] - wire _T_2714 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 473:91] - wire _T_2716 = _T_2714 & _T_19; // @[el2_lsu_bus_buffer.scala 473:106] - wire _T_2702 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 473:91] - wire _T_2704 = _T_2702 & _T_5; // @[el2_lsu_bus_buffer.scala 473:106] - wire [3:0] buf_age_younger_1 = {_T_2722,_T_2716,1'h0,_T_2704}; // @[Cat.scala 29:58] + wire _T_2599 = buf_ageQ_1[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2594 = buf_ageQ_1[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2589 = buf_ageQ_1[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2584 = buf_ageQ_1[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 472:78] + wire [3:0] buf_age_1 = {_T_2599,_T_2594,_T_2589,_T_2584}; // @[Cat.scala 29:58] + wire _T_2696 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2698 = _T_2696 & _T_26; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2690 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2692 = _T_2690 & _T_19; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2678 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2680 = _T_2678 & _T_5; // @[el2_lsu_bus_buffer.scala 473:106] + wire [3:0] buf_age_younger_1 = {_T_2698,_T_2692,1'h0,_T_2680}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 206:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 206:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 206:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 560:60] - wire _T_2600 = buf_ageQ_0[3] & _T_2668; // @[el2_lsu_bus_buffer.scala 472:78] - wire _T_2595 = buf_ageQ_0[2] & _T_2663; // @[el2_lsu_bus_buffer.scala 472:78] - wire _T_2590 = buf_ageQ_0[1] & _T_2658; // @[el2_lsu_bus_buffer.scala 472:78] - wire _T_2585 = buf_ageQ_0[0] & _T_2653; // @[el2_lsu_bus_buffer.scala 472:78] - wire [3:0] buf_age_0 = {_T_2600,_T_2595,_T_2590,_T_2585}; // @[Cat.scala 29:58] - wire _T_2693 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 473:91] - wire _T_2695 = _T_2693 & _T_26; // @[el2_lsu_bus_buffer.scala 473:106] - wire _T_2687 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 473:91] - wire _T_2689 = _T_2687 & _T_19; // @[el2_lsu_bus_buffer.scala 473:106] - wire _T_2681 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 473:91] - wire _T_2683 = _T_2681 & _T_12; // @[el2_lsu_bus_buffer.scala 473:106] - wire [3:0] buf_age_younger_0 = {_T_2695,_T_2689,_T_2683,1'h0}; // @[Cat.scala 29:58] + wire _T_2576 = buf_ageQ_0[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2571 = buf_ageQ_0[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2566 = buf_ageQ_0[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2561 = buf_ageQ_0[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 472:78] + wire [3:0] buf_age_0 = {_T_2576,_T_2571,_T_2566,_T_2561}; // @[Cat.scala 29:58] + wire _T_2669 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2671 = _T_2669 & _T_26; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2663 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2665 = _T_2663 & _T_19; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2657 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2659 = _T_2657 & _T_12; // @[el2_lsu_bus_buffer.scala 473:106] + wire [3:0] buf_age_younger_0 = {_T_2671,_T_2665,_T_2659,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 206:144] wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 206:99] @@ -644,9 +644,9 @@ module el2_lsu_bus_buffer( wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 213:73] wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 213:86] wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 213:99] - wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 218:48] - wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 218:65] - wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 218:25 el2_lsu_bus_buffer.scala 218:25 el2_lsu_bus_buffer.scala 218:25 el2_lsu_bus_buffer.scala 218:25] + wire [3:0] _T_525 = ld_addr_ibuf_hit_hi ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[el2_lsu_bus_buffer.scala 218:55] + wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[el2_lsu_bus_buffer.scala 218:69] wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 207:150] wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 207:148] wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 207:122] @@ -767,910 +767,910 @@ module el2_lsu_bus_buffer( wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 199:73] wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 199:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] - wire [7:0] _T_554 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_557 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_560 = ld_byte_ibuf_hit_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_563 = ld_byte_ibuf_hit_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [31:0] ld_fwddata_buf_lo_initial = {_T_563,_T_560,_T_557,_T_554}; // @[Cat.scala 29:58] - wire [7:0] _T_568 = ld_byte_ibuf_hit_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_571 = ld_byte_ibuf_hit_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_574 = ld_byte_ibuf_hit_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_577 = ld_byte_ibuf_hit_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [31:0] ld_fwddata_buf_hi_initial = {_T_577,_T_574,_T_571,_T_568}; // @[Cat.scala 29:58] - wire [7:0] _T_582 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_530 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_533 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_536 = ld_byte_ibuf_hit_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_539 = ld_byte_ibuf_hit_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_lo_initial = {_T_539,_T_536,_T_533,_T_530}; // @[Cat.scala 29:58] + wire [7:0] _T_544 = ld_byte_ibuf_hit_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_547 = ld_byte_ibuf_hit_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_550 = ld_byte_ibuf_hit_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_553 = ld_byte_ibuf_hit_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] + wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_0; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_354 = {{1'd0}, _T_582}; // @[el2_lsu_bus_buffer.scala 225:91] - wire [8:0] _T_584 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] - wire [7:0] _T_587 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_354 = {{1'd0}, _T_558}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_560 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_1; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_355 = {{1'd0}, _T_587}; // @[el2_lsu_bus_buffer.scala 225:91] - wire [8:0] _T_589 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] - wire [7:0] _T_592 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_355 = {{1'd0}, _T_563}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_565 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_2; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_356 = {{1'd0}, _T_592}; // @[el2_lsu_bus_buffer.scala 225:91] - wire [8:0] _T_594 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] - wire [7:0] _T_597 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_356 = {{1'd0}, _T_568}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_570 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_3; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_357 = {{1'd0}, _T_597}; // @[el2_lsu_bus_buffer.scala 225:91] - wire [8:0] _T_599 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] - wire [8:0] _T_600 = _T_584 | _T_589; // @[el2_lsu_bus_buffer.scala 225:123] - wire [8:0] _T_601 = _T_600 | _T_594; // @[el2_lsu_bus_buffer.scala 225:123] - wire [8:0] _T_602 = _T_601 | _T_599; // @[el2_lsu_bus_buffer.scala 225:123] - wire [7:0] _T_605 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_607 = _T_605 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] - wire [7:0] _T_610 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_612 = _T_610 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] - wire [7:0] _T_615 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_617 = _T_615 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] - wire [7:0] _T_620 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_622 = _T_620 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] - wire [7:0] _T_623 = _T_607 | _T_612; // @[el2_lsu_bus_buffer.scala 226:97] - wire [7:0] _T_624 = _T_623 | _T_617; // @[el2_lsu_bus_buffer.scala 226:97] - wire [7:0] _T_625 = _T_624 | _T_622; // @[el2_lsu_bus_buffer.scala 226:97] - wire [7:0] _T_628 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_630 = _T_628 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] - wire [7:0] _T_633 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_635 = _T_633 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] - wire [7:0] _T_638 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_640 = _T_638 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] - wire [7:0] _T_643 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_645 = _T_643 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] - wire [7:0] _T_646 = _T_630 | _T_635; // @[el2_lsu_bus_buffer.scala 227:96] - wire [7:0] _T_647 = _T_646 | _T_640; // @[el2_lsu_bus_buffer.scala 227:96] - wire [7:0] _T_648 = _T_647 | _T_645; // @[el2_lsu_bus_buffer.scala 227:96] - wire [7:0] _T_651 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_653 = _T_651 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] - wire [7:0] _T_656 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_658 = _T_656 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] - wire [7:0] _T_661 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_663 = _T_661 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] - wire [7:0] _T_666 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_668 = _T_666 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] - wire [7:0] _T_669 = _T_653 | _T_658; // @[el2_lsu_bus_buffer.scala 228:95] - wire [7:0] _T_670 = _T_669 | _T_663; // @[el2_lsu_bus_buffer.scala 228:95] - wire [7:0] _T_671 = _T_670 | _T_668; // @[el2_lsu_bus_buffer.scala 228:95] - wire [32:0] _T_674 = {_T_602,_T_625,_T_648,_T_671}; // @[Cat.scala 29:58] + wire [8:0] _GEN_357 = {{1'd0}, _T_573}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_575 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_576 = _T_560 | _T_565; // @[el2_lsu_bus_buffer.scala 225:123] + wire [8:0] _T_577 = _T_576 | _T_570; // @[el2_lsu_bus_buffer.scala 225:123] + wire [8:0] _T_578 = _T_577 | _T_575; // @[el2_lsu_bus_buffer.scala 225:123] + wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_599 = _T_583 | _T_588; // @[el2_lsu_bus_buffer.scala 226:97] + wire [7:0] _T_600 = _T_599 | _T_593; // @[el2_lsu_bus_buffer.scala 226:97] + wire [7:0] _T_601 = _T_600 | _T_598; // @[el2_lsu_bus_buffer.scala 226:97] + wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_622 = _T_606 | _T_611; // @[el2_lsu_bus_buffer.scala 227:96] + wire [7:0] _T_623 = _T_622 | _T_616; // @[el2_lsu_bus_buffer.scala 227:96] + wire [7:0] _T_624 = _T_623 | _T_621; // @[el2_lsu_bus_buffer.scala 227:96] + wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_645 = _T_629 | _T_634; // @[el2_lsu_bus_buffer.scala 228:95] + wire [7:0] _T_646 = _T_645 | _T_639; // @[el2_lsu_bus_buffer.scala 228:95] + wire [7:0] _T_647 = _T_646 | _T_644; // @[el2_lsu_bus_buffer.scala 228:95] + wire [32:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] wire [32:0] _GEN_358 = {{1'd0}, ld_fwddata_buf_lo_initial}; // @[el2_lsu_bus_buffer.scala 228:101] - wire [32:0] _T_675 = _T_674 | _GEN_358; // @[el2_lsu_bus_buffer.scala 228:101] - wire [7:0] _T_678 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_359 = {{1'd0}, _T_678}; // @[el2_lsu_bus_buffer.scala 230:91] - wire [8:0] _T_680 = _GEN_359 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 230:91] - wire [7:0] _T_683 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_360 = {{1'd0}, _T_683}; // @[el2_lsu_bus_buffer.scala 230:91] - wire [8:0] _T_685 = _GEN_360 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 230:91] - wire [7:0] _T_688 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_361 = {{1'd0}, _T_688}; // @[el2_lsu_bus_buffer.scala 230:91] - wire [8:0] _T_690 = _GEN_361 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 230:91] - wire [7:0] _T_693 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_362 = {{1'd0}, _T_693}; // @[el2_lsu_bus_buffer.scala 230:91] - wire [8:0] _T_695 = _GEN_362 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 230:91] - wire [8:0] _T_696 = _T_680 | _T_685; // @[el2_lsu_bus_buffer.scala 230:123] - wire [8:0] _T_697 = _T_696 | _T_690; // @[el2_lsu_bus_buffer.scala 230:123] - wire [8:0] _T_698 = _T_697 | _T_695; // @[el2_lsu_bus_buffer.scala 230:123] - wire [7:0] _T_701 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_703 = _T_701 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 231:65] - wire [7:0] _T_706 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_708 = _T_706 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 231:65] - wire [7:0] _T_711 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_713 = _T_711 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 231:65] - wire [7:0] _T_716 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_718 = _T_716 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 231:65] - wire [7:0] _T_719 = _T_703 | _T_708; // @[el2_lsu_bus_buffer.scala 231:97] - wire [7:0] _T_720 = _T_719 | _T_713; // @[el2_lsu_bus_buffer.scala 231:97] - wire [7:0] _T_721 = _T_720 | _T_718; // @[el2_lsu_bus_buffer.scala 231:97] - wire [7:0] _T_724 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_726 = _T_724 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 232:65] - wire [7:0] _T_729 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_731 = _T_729 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 232:65] - wire [7:0] _T_734 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_736 = _T_734 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 232:65] - wire [7:0] _T_739 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_741 = _T_739 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 232:65] - wire [7:0] _T_742 = _T_726 | _T_731; // @[el2_lsu_bus_buffer.scala 232:96] - wire [7:0] _T_743 = _T_742 | _T_736; // @[el2_lsu_bus_buffer.scala 232:96] - wire [7:0] _T_744 = _T_743 | _T_741; // @[el2_lsu_bus_buffer.scala 232:96] - wire [7:0] _T_747 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_749 = _T_747 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 233:65] - wire [7:0] _T_752 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_754 = _T_752 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 233:65] - wire [7:0] _T_757 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_759 = _T_757 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 233:65] - wire [7:0] _T_762 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_764 = _T_762 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 233:65] - wire [7:0] _T_765 = _T_749 | _T_754; // @[el2_lsu_bus_buffer.scala 233:95] - wire [7:0] _T_766 = _T_765 | _T_759; // @[el2_lsu_bus_buffer.scala 233:95] - wire [7:0] _T_767 = _T_766 | _T_764; // @[el2_lsu_bus_buffer.scala 233:95] - wire [32:0] _T_770 = {_T_698,_T_721,_T_744,_T_767}; // @[Cat.scala 29:58] + wire [32:0] _T_651 = _T_650 | _GEN_358; // @[el2_lsu_bus_buffer.scala 228:101] + wire [7:0] _T_654 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 230:91] + wire [8:0] _T_656 = _GEN_359 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 230:91] + wire [7:0] _T_659 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 230:91] + wire [8:0] _T_661 = _GEN_360 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 230:91] + wire [7:0] _T_664 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 230:91] + wire [8:0] _T_666 = _GEN_361 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 230:91] + wire [7:0] _T_669 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_362 = {{1'd0}, _T_669}; // @[el2_lsu_bus_buffer.scala 230:91] + wire [8:0] _T_671 = _GEN_362 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 230:91] + wire [8:0] _T_672 = _T_656 | _T_661; // @[el2_lsu_bus_buffer.scala 230:123] + wire [8:0] _T_673 = _T_672 | _T_666; // @[el2_lsu_bus_buffer.scala 230:123] + wire [8:0] _T_674 = _T_673 | _T_671; // @[el2_lsu_bus_buffer.scala 230:123] + wire [7:0] _T_677 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_679 = _T_677 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_682 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_684 = _T_682 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_687 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_689 = _T_687 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_692 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_694 = _T_692 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_695 = _T_679 | _T_684; // @[el2_lsu_bus_buffer.scala 231:97] + wire [7:0] _T_696 = _T_695 | _T_689; // @[el2_lsu_bus_buffer.scala 231:97] + wire [7:0] _T_697 = _T_696 | _T_694; // @[el2_lsu_bus_buffer.scala 231:97] + wire [7:0] _T_700 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_702 = _T_700 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 232:65] + wire [7:0] _T_705 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_707 = _T_705 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 232:65] + wire [7:0] _T_710 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_712 = _T_710 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 232:65] + wire [7:0] _T_715 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_717 = _T_715 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 232:65] + wire [7:0] _T_718 = _T_702 | _T_707; // @[el2_lsu_bus_buffer.scala 232:96] + wire [7:0] _T_719 = _T_718 | _T_712; // @[el2_lsu_bus_buffer.scala 232:96] + wire [7:0] _T_720 = _T_719 | _T_717; // @[el2_lsu_bus_buffer.scala 232:96] + wire [7:0] _T_723 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_725 = _T_723 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 233:65] + wire [7:0] _T_728 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_730 = _T_728 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 233:65] + wire [7:0] _T_733 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_735 = _T_733 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 233:65] + wire [7:0] _T_738 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_740 = _T_738 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 233:65] + wire [7:0] _T_741 = _T_725 | _T_730; // @[el2_lsu_bus_buffer.scala 233:95] + wire [7:0] _T_742 = _T_741 | _T_735; // @[el2_lsu_bus_buffer.scala 233:95] + wire [7:0] _T_743 = _T_742 | _T_740; // @[el2_lsu_bus_buffer.scala 233:95] + wire [32:0] _T_746 = {_T_674,_T_697,_T_720,_T_743}; // @[Cat.scala 29:58] wire [32:0] _GEN_363 = {{1'd0}, ld_fwddata_buf_hi_initial}; // @[el2_lsu_bus_buffer.scala 233:101] - wire [32:0] _T_771 = _T_770 | _GEN_363; // @[el2_lsu_bus_buffer.scala 233:101] - wire [3:0] _T_772 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_773 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_774 = io_lsu_pkt_r_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_775 = _T_772 | _T_773; // @[Mux.scala 27:72] - wire [3:0] ldst_byteen_r = _T_775 | _T_774; // @[Mux.scala 27:72] - wire _T_778 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 240:55] - wire _T_780 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 241:55] - wire [3:0] _T_782 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] - wire _T_784 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 242:55] - wire [3:0] _T_786 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] - wire _T_788 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 243:55] - wire [3:0] _T_790 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] - wire [3:0] _T_792 = _T_780 ? _T_782 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_793 = _T_784 ? _T_786 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_794 = _T_788 ? _T_790 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_796 = _T_792 | _T_793; // @[Mux.scala 27:72] - wire [3:0] ldst_byteen_hi_r = _T_796 | _T_794; // @[Mux.scala 27:72] - wire [3:0] _T_803 = {ldst_byteen_r[2:0],1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_807 = {ldst_byteen_r[1:0],2'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_811 = {ldst_byteen_r[0],3'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_812 = _T_778 ? ldst_byteen_r : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_813 = _T_780 ? _T_803 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_814 = _T_784 ? _T_807 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_815 = _T_788 ? _T_811 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_816 = _T_812 | _T_813; // @[Mux.scala 27:72] - wire [3:0] _T_817 = _T_816 | _T_814; // @[Mux.scala 27:72] - wire [3:0] ldst_byteen_lo_r = _T_817 | _T_815; // @[Mux.scala 27:72] - wire [31:0] _T_824 = {8'h0,io_store_data_r[31:8]}; // @[Cat.scala 29:58] - wire [31:0] _T_828 = {16'h0,io_store_data_r[31:16]}; // @[Cat.scala 29:58] - wire [31:0] _T_832 = {24'h0,io_store_data_r[31:24]}; // @[Cat.scala 29:58] - wire [31:0] _T_834 = _T_780 ? _T_824 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_835 = _T_784 ? _T_828 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_836 = _T_788 ? _T_832 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_838 = _T_834 | _T_835; // @[Mux.scala 27:72] - wire [31:0] store_data_hi_r = _T_838 | _T_836; // @[Mux.scala 27:72] - wire [31:0] _T_845 = {io_store_data_r[23:0],8'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_849 = {io_store_data_r[15:0],16'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_853 = {io_store_data_r[7:0],24'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_854 = _T_778 ? io_store_data_r : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_855 = _T_780 ? _T_845 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_856 = _T_784 ? _T_849 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_857 = _T_788 ? _T_853 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_858 = _T_854 | _T_855; // @[Mux.scala 27:72] - wire [31:0] _T_859 = _T_858 | _T_856; // @[Mux.scala 27:72] - wire [31:0] store_data_lo_r = _T_859 | _T_857; // @[Mux.scala 27:72] + wire [32:0] _T_747 = _T_746 | _GEN_363; // @[el2_lsu_bus_buffer.scala 233:101] + wire [3:0] _T_748 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_749 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_750 = io_lsu_pkt_r_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_751 = _T_748 | _T_749; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_r = _T_751 | _T_750; // @[Mux.scala 27:72] + wire _T_754 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 240:55] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 241:55] + wire [3:0] _T_758 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] + wire _T_760 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 242:55] + wire [3:0] _T_762 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] + wire _T_764 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 243:55] + wire [3:0] _T_766 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] + wire [3:0] _T_768 = _T_756 ? _T_758 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_769 = _T_760 ? _T_762 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_770 = _T_764 ? _T_766 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_772 = _T_768 | _T_769; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_hi_r = _T_772 | _T_770; // @[Mux.scala 27:72] + wire [3:0] _T_779 = {ldst_byteen_r[2:0],1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_783 = {ldst_byteen_r[1:0],2'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_787 = {ldst_byteen_r[0],3'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_788 = _T_754 ? ldst_byteen_r : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_789 = _T_756 ? _T_779 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_790 = _T_760 ? _T_783 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_791 = _T_764 ? _T_787 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_792 = _T_788 | _T_789; // @[Mux.scala 27:72] + wire [3:0] _T_793 = _T_792 | _T_790; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_lo_r = _T_793 | _T_791; // @[Mux.scala 27:72] + wire [31:0] _T_800 = {8'h0,io_store_data_r[31:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_804 = {16'h0,io_store_data_r[31:16]}; // @[Cat.scala 29:58] + wire [31:0] _T_808 = {24'h0,io_store_data_r[31:24]}; // @[Cat.scala 29:58] + wire [31:0] _T_810 = _T_756 ? _T_800 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_811 = _T_760 ? _T_804 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_812 = _T_764 ? _T_808 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_814 = _T_810 | _T_811; // @[Mux.scala 27:72] + wire [31:0] store_data_hi_r = _T_814 | _T_812; // @[Mux.scala 27:72] + wire [31:0] _T_821 = {io_store_data_r[23:0],8'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_825 = {io_store_data_r[15:0],16'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_829 = {io_store_data_r[7:0],24'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_830 = _T_754 ? io_store_data_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_831 = _T_756 ? _T_821 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_832 = _T_760 ? _T_825 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_833 = _T_764 ? _T_829 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_834 = _T_830 | _T_831; // @[Mux.scala 27:72] + wire [31:0] _T_835 = _T_834 | _T_832; // @[Mux.scala 27:72] + wire [31:0] store_data_lo_r = _T_835 | _T_833; // @[Mux.scala 27:72] wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 261:40] - wire _T_866 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 263:26] - wire _T_867 = io_lsu_pkt_r_word & _T_778; // @[Mux.scala 27:72] - wire _T_868 = io_lsu_pkt_r_half & _T_866; // @[Mux.scala 27:72] - wire _T_870 = _T_867 | _T_868; // @[Mux.scala 27:72] - wire is_aligned_r = _T_870 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] - wire _T_872 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 265:55] - wire _T_873 = io_lsu_busreq_r & _T_872; // @[el2_lsu_bus_buffer.scala 265:34] - wire _T_874 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 265:79] - wire ibuf_byp = _T_873 & _T_874; // @[el2_lsu_bus_buffer.scala 265:77] - wire _T_875 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 266:36] - wire _T_876 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 266:56] - wire ibuf_wr_en = _T_875 & _T_876; // @[el2_lsu_bus_buffer.scala 266:54] - wire _T_877 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 268:36] + wire _T_842 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 263:26] + wire _T_843 = io_lsu_pkt_r_word & _T_754; // @[Mux.scala 27:72] + wire _T_844 = io_lsu_pkt_r_half & _T_842; // @[Mux.scala 27:72] + wire _T_846 = _T_843 | _T_844; // @[Mux.scala 27:72] + wire is_aligned_r = _T_846 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] + wire _T_848 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 265:55] + wire _T_849 = io_lsu_busreq_r & _T_848; // @[el2_lsu_bus_buffer.scala 265:34] + wire _T_850 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 265:79] + wire ibuf_byp = _T_849 & _T_850; // @[el2_lsu_bus_buffer.scala 265:77] + wire _T_851 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 266:36] + wire _T_852 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 266:56] + wire ibuf_wr_en = _T_851 & _T_852; // @[el2_lsu_bus_buffer.scala 266:54] + wire _T_853 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 268:36] reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 311:59] - wire _T_886 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 274:62] - wire _T_887 = ibuf_wr_en | _T_886; // @[el2_lsu_bus_buffer.scala 274:48] - wire _T_951 = _T_875 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 293:54] - wire _T_952 = _T_951 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 293:75] - wire _T_953 = _T_952 & ibuf_write; // @[el2_lsu_bus_buffer.scala 293:88] - wire _T_956 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 293:124] - wire _T_957 = _T_953 & _T_956; // @[el2_lsu_bus_buffer.scala 293:101] - wire _T_958 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 293:147] - wire _T_959 = _T_957 & _T_958; // @[el2_lsu_bus_buffer.scala 293:145] - wire _T_960 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 293:170] - wire ibuf_merge_en = _T_959 & _T_960; // @[el2_lsu_bus_buffer.scala 293:168] + wire _T_862 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 274:62] + wire _T_863 = ibuf_wr_en | _T_862; // @[el2_lsu_bus_buffer.scala 274:48] + wire _T_927 = _T_851 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 293:54] + wire _T_928 = _T_927 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 293:75] + wire _T_929 = _T_928 & ibuf_write; // @[el2_lsu_bus_buffer.scala 293:88] + wire _T_932 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 293:124] + wire _T_933 = _T_929 & _T_932; // @[el2_lsu_bus_buffer.scala 293:101] + wire _T_934 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 293:147] + wire _T_935 = _T_933 & _T_934; // @[el2_lsu_bus_buffer.scala 293:145] + wire _T_936 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 293:170] + wire ibuf_merge_en = _T_935 & _T_936; // @[el2_lsu_bus_buffer.scala 293:168] wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 294:20] - wire _T_888 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 274:98] - wire _T_889 = ~_T_888; // @[el2_lsu_bus_buffer.scala 274:82] - wire _T_890 = _T_887 & _T_889; // @[el2_lsu_bus_buffer.scala 274:80] - wire _T_891 = _T_890 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 275:5] - wire _T_879 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 269:44] - wire _T_880 = io_lsu_busreq_m & _T_879; // @[el2_lsu_bus_buffer.scala 269:42] - wire _T_881 = _T_880 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 269:61] - wire _T_884 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 269:115] - wire _T_885 = io_lsu_pkt_m_load | _T_884; // @[el2_lsu_bus_buffer.scala 269:95] - wire ibuf_force_drain = _T_881 & _T_885; // @[el2_lsu_bus_buffer.scala 269:74] - wire _T_892 = _T_891 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 275:16] + wire _T_864 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 274:98] + wire _T_865 = ~_T_864; // @[el2_lsu_bus_buffer.scala 274:82] + wire _T_866 = _T_863 & _T_865; // @[el2_lsu_bus_buffer.scala 274:80] + wire _T_867 = _T_866 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 275:5] + wire _T_855 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 269:44] + wire _T_856 = io_lsu_busreq_m & _T_855; // @[el2_lsu_bus_buffer.scala 269:42] + wire _T_857 = _T_856 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 269:61] + wire _T_860 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 269:115] + wire _T_861 = io_lsu_pkt_m_load | _T_860; // @[el2_lsu_bus_buffer.scala 269:95] + wire ibuf_force_drain = _T_857 & _T_861; // @[el2_lsu_bus_buffer.scala 269:74] + wire _T_868 = _T_867 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 275:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_893 = _T_892 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 275:35] - wire _T_894 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 275:55] - wire _T_895 = _T_893 | _T_894; // @[el2_lsu_bus_buffer.scala 275:53] - wire _T_896 = _T_895 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 275:67] - wire ibuf_drain_vld = ibuf_valid & _T_896; // @[el2_lsu_bus_buffer.scala 274:32] - wire _T_878 = ibuf_drain_vld & _T_877; // @[el2_lsu_bus_buffer.scala 268:34] - wire ibuf_rst = _T_878 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 268:49] + wire _T_869 = _T_868 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 275:35] + wire _T_870 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 275:55] + wire _T_871 = _T_869 | _T_870; // @[el2_lsu_bus_buffer.scala 275:53] + wire _T_872 = _T_871 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 275:67] + wire ibuf_drain_vld = ibuf_valid & _T_872; // @[el2_lsu_bus_buffer.scala 274:32] + wire _T_854 = ibuf_drain_vld & _T_853; // @[el2_lsu_bus_buffer.scala 268:34] + wire ibuf_rst = _T_854 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 268:49] reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 677:49] reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 676:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] - wire [3:0] _T_903 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 284:77] + wire [3:0] _T_879 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 284:77] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] - wire [7:0] _T_911 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 289:8] - wire [7:0] _T_914 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 290:8] - wire [7:0] _T_915 = _T_888 ? _T_911 : _T_914; // @[el2_lsu_bus_buffer.scala 288:46] - wire [7:0] _T_920 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 289:8] - wire [7:0] _T_923 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 290:8] - wire [7:0] _T_924 = _T_888 ? _T_920 : _T_923; // @[el2_lsu_bus_buffer.scala 288:46] - wire [7:0] _T_929 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 289:8] - wire [7:0] _T_932 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 290:8] - wire [7:0] _T_933 = _T_888 ? _T_929 : _T_932; // @[el2_lsu_bus_buffer.scala 288:46] - wire [7:0] _T_938 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 289:8] - wire [7:0] _T_941 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 290:8] - wire [7:0] _T_942 = _T_888 ? _T_938 : _T_941; // @[el2_lsu_bus_buffer.scala 288:46] - wire [23:0] _T_944 = {_T_942,_T_933,_T_924}; // @[Cat.scala 29:58] - wire _T_945 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 291:59] - wire [2:0] _T_948 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 291:93] - wire _T_963 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 295:65] - wire _T_964 = ibuf_merge_en & _T_963; // @[el2_lsu_bus_buffer.scala 295:63] - wire _T_967 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 295:96] - wire _T_969 = _T_964 ? _T_967 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 295:48] - wire _T_974 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 295:96] - wire _T_976 = _T_964 ? _T_974 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 295:48] - wire _T_981 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 295:96] - wire _T_983 = _T_964 ? _T_981 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 295:48] - wire _T_988 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 295:96] - wire _T_990 = _T_964 ? _T_988 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 295:48] - wire [3:0] ibuf_byteen_out = {_T_990,_T_983,_T_976,_T_969}; // @[Cat.scala 29:58] - wire [7:0] _T_1000 = _T_964 ? _T_911 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 296:45] - wire [7:0] _T_1008 = _T_964 ? _T_920 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 296:45] - wire [7:0] _T_1016 = _T_964 ? _T_929 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 296:45] - wire [7:0] _T_1024 = _T_964 ? _T_938 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 296:45] - wire [31:0] ibuf_data_out = {_T_1024,_T_1016,_T_1008,_T_1000}; // @[Cat.scala 29:58] - wire _T_1027 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 298:28] - wire _T_1028 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 298:63] - wire _T_1033 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 299:89] + wire [7:0] _T_887 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 289:8] + wire [7:0] _T_890 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 290:8] + wire [7:0] _T_891 = _T_864 ? _T_887 : _T_890; // @[el2_lsu_bus_buffer.scala 288:46] + wire [7:0] _T_896 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 289:8] + wire [7:0] _T_899 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 290:8] + wire [7:0] _T_900 = _T_864 ? _T_896 : _T_899; // @[el2_lsu_bus_buffer.scala 288:46] + wire [7:0] _T_905 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 289:8] + wire [7:0] _T_908 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 290:8] + wire [7:0] _T_909 = _T_864 ? _T_905 : _T_908; // @[el2_lsu_bus_buffer.scala 288:46] + wire [7:0] _T_914 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 289:8] + wire [7:0] _T_917 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 290:8] + wire [7:0] _T_918 = _T_864 ? _T_914 : _T_917; // @[el2_lsu_bus_buffer.scala 288:46] + wire [23:0] _T_920 = {_T_918,_T_909,_T_900}; // @[Cat.scala 29:58] + wire _T_921 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 291:59] + wire [2:0] _T_924 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 291:93] + wire _T_939 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 295:65] + wire _T_940 = ibuf_merge_en & _T_939; // @[el2_lsu_bus_buffer.scala 295:63] + wire _T_943 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 295:96] + wire _T_945 = _T_940 ? _T_943 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 295:48] + wire _T_950 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 295:96] + wire _T_952 = _T_940 ? _T_950 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 295:48] + wire _T_957 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 295:96] + wire _T_959 = _T_940 ? _T_957 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 295:48] + wire _T_964 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 295:96] + wire _T_966 = _T_940 ? _T_964 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 295:48] + wire [3:0] ibuf_byteen_out = {_T_966,_T_959,_T_952,_T_945}; // @[Cat.scala 29:58] + wire [7:0] _T_976 = _T_940 ? _T_887 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 296:45] + wire [7:0] _T_984 = _T_940 ? _T_896 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 296:45] + wire [7:0] _T_992 = _T_940 ? _T_905 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 296:45] + wire [7:0] _T_1000 = _T_940 ? _T_914 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 296:45] + wire [31:0] ibuf_data_out = {_T_1000,_T_992,_T_984,_T_976}; // @[Cat.scala 29:58] + wire _T_1003 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 298:28] + wire _T_1004 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 298:63] + wire _T_1009 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 299:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4494 = buf_write[3] & _T_2666; // @[el2_lsu_bus_buffer.scala 582:64] - wire _T_4495 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 582:91] - wire _T_4496 = _T_4494 & _T_4495; // @[el2_lsu_bus_buffer.scala 582:89] - wire _T_4489 = buf_write[2] & _T_2661; // @[el2_lsu_bus_buffer.scala 582:64] - wire _T_4490 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 582:91] - wire _T_4491 = _T_4489 & _T_4490; // @[el2_lsu_bus_buffer.scala 582:89] - wire [1:0] _T_4497 = _T_4496 + _T_4491; // @[el2_lsu_bus_buffer.scala 582:142] - wire _T_4484 = buf_write[1] & _T_2656; // @[el2_lsu_bus_buffer.scala 582:64] - wire _T_4485 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 582:91] - wire _T_4486 = _T_4484 & _T_4485; // @[el2_lsu_bus_buffer.scala 582:89] - wire [1:0] _GEN_364 = {{1'd0}, _T_4486}; // @[el2_lsu_bus_buffer.scala 582:142] - wire [2:0] _T_4498 = _T_4497 + _GEN_364; // @[el2_lsu_bus_buffer.scala 582:142] - wire _T_4479 = buf_write[0] & _T_2651; // @[el2_lsu_bus_buffer.scala 582:64] - wire _T_4480 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 582:91] - wire _T_4481 = _T_4479 & _T_4480; // @[el2_lsu_bus_buffer.scala 582:89] - wire [2:0] _GEN_365 = {{2'd0}, _T_4481}; // @[el2_lsu_bus_buffer.scala 582:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4498 + _GEN_365; // @[el2_lsu_bus_buffer.scala 582:142] - wire _T_1059 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 321:43] - wire _T_4511 = _T_2666 & _T_4495; // @[el2_lsu_bus_buffer.scala 583:73] - wire _T_4508 = _T_2661 & _T_4490; // @[el2_lsu_bus_buffer.scala 583:73] - wire [1:0] _T_4512 = _T_4511 + _T_4508; // @[el2_lsu_bus_buffer.scala 583:126] - wire _T_4505 = _T_2656 & _T_4485; // @[el2_lsu_bus_buffer.scala 583:73] - wire [1:0] _GEN_366 = {{1'd0}, _T_4505}; // @[el2_lsu_bus_buffer.scala 583:126] - wire [2:0] _T_4513 = _T_4512 + _GEN_366; // @[el2_lsu_bus_buffer.scala 583:126] - wire _T_4502 = _T_2651 & _T_4480; // @[el2_lsu_bus_buffer.scala 583:73] - wire [2:0] _GEN_367 = {{2'd0}, _T_4502}; // @[el2_lsu_bus_buffer.scala 583:126] - wire [3:0] buf_numvld_cmd_any = _T_4513 + _GEN_367; // @[el2_lsu_bus_buffer.scala 583:126] - wire _T_1060 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 321:72] - wire _T_1061 = _T_1059 & _T_1060; // @[el2_lsu_bus_buffer.scala 321:51] + wire _T_4470 = buf_write[3] & _T_2642; // @[el2_lsu_bus_buffer.scala 582:64] + wire _T_4471 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 582:91] + wire _T_4472 = _T_4470 & _T_4471; // @[el2_lsu_bus_buffer.scala 582:89] + wire _T_4465 = buf_write[2] & _T_2637; // @[el2_lsu_bus_buffer.scala 582:64] + wire _T_4466 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 582:91] + wire _T_4467 = _T_4465 & _T_4466; // @[el2_lsu_bus_buffer.scala 582:89] + wire [1:0] _T_4473 = _T_4472 + _T_4467; // @[el2_lsu_bus_buffer.scala 582:142] + wire _T_4460 = buf_write[1] & _T_2632; // @[el2_lsu_bus_buffer.scala 582:64] + wire _T_4461 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 582:91] + wire _T_4462 = _T_4460 & _T_4461; // @[el2_lsu_bus_buffer.scala 582:89] + wire [1:0] _GEN_364 = {{1'd0}, _T_4462}; // @[el2_lsu_bus_buffer.scala 582:142] + wire [2:0] _T_4474 = _T_4473 + _GEN_364; // @[el2_lsu_bus_buffer.scala 582:142] + wire _T_4455 = buf_write[0] & _T_2627; // @[el2_lsu_bus_buffer.scala 582:64] + wire _T_4456 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 582:91] + wire _T_4457 = _T_4455 & _T_4456; // @[el2_lsu_bus_buffer.scala 582:89] + wire [2:0] _GEN_365 = {{2'd0}, _T_4457}; // @[el2_lsu_bus_buffer.scala 582:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4474 + _GEN_365; // @[el2_lsu_bus_buffer.scala 582:142] + wire _T_1035 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 321:43] + wire _T_4487 = _T_2642 & _T_4471; // @[el2_lsu_bus_buffer.scala 583:73] + wire _T_4484 = _T_2637 & _T_4466; // @[el2_lsu_bus_buffer.scala 583:73] + wire [1:0] _T_4488 = _T_4487 + _T_4484; // @[el2_lsu_bus_buffer.scala 583:126] + wire _T_4481 = _T_2632 & _T_4461; // @[el2_lsu_bus_buffer.scala 583:73] + wire [1:0] _GEN_366 = {{1'd0}, _T_4481}; // @[el2_lsu_bus_buffer.scala 583:126] + wire [2:0] _T_4489 = _T_4488 + _GEN_366; // @[el2_lsu_bus_buffer.scala 583:126] + wire _T_4478 = _T_2627 & _T_4456; // @[el2_lsu_bus_buffer.scala 583:73] + wire [2:0] _GEN_367 = {{2'd0}, _T_4478}; // @[el2_lsu_bus_buffer.scala 583:126] + wire [3:0] buf_numvld_cmd_any = _T_4489 + _GEN_367; // @[el2_lsu_bus_buffer.scala 583:126] + wire _T_1036 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 321:72] + wire _T_1037 = _T_1035 & _T_1036; // @[el2_lsu_bus_buffer.scala 321:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 420:54] - wire _T_1062 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 321:97] - wire _T_1063 = _T_1061 & _T_1062; // @[el2_lsu_bus_buffer.scala 321:80] - wire _T_1065 = _T_1063 & _T_960; // @[el2_lsu_bus_buffer.scala 321:114] - wire _T_2024 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 437:58] - wire _T_2025 = ~_T_2024; // @[el2_lsu_bus_buffer.scala 437:45] - wire _T_2027 = _T_2025 & _T_2666; // @[el2_lsu_bus_buffer.scala 437:63] - wire _T_2029 = _T_2027 & _T_4495; // @[el2_lsu_bus_buffer.scala 437:88] - wire _T_2018 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 437:58] - wire _T_2019 = ~_T_2018; // @[el2_lsu_bus_buffer.scala 437:45] - wire _T_2021 = _T_2019 & _T_2661; // @[el2_lsu_bus_buffer.scala 437:63] - wire _T_2023 = _T_2021 & _T_4490; // @[el2_lsu_bus_buffer.scala 437:88] - wire _T_2012 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 437:58] - wire _T_2013 = ~_T_2012; // @[el2_lsu_bus_buffer.scala 437:45] - wire _T_2015 = _T_2013 & _T_2656; // @[el2_lsu_bus_buffer.scala 437:63] - wire _T_2017 = _T_2015 & _T_4485; // @[el2_lsu_bus_buffer.scala 437:88] - wire _T_2006 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 437:58] - wire _T_2007 = ~_T_2006; // @[el2_lsu_bus_buffer.scala 437:45] - wire _T_2009 = _T_2007 & _T_2651; // @[el2_lsu_bus_buffer.scala 437:63] - wire _T_2011 = _T_2009 & _T_4480; // @[el2_lsu_bus_buffer.scala 437:88] - wire [3:0] CmdPtr0Dec = {_T_2029,_T_2023,_T_2017,_T_2011}; // @[Cat.scala 29:58] - wire [7:0] _T_2099 = {4'h0,_T_2029,_T_2023,_T_2017,_T_2011}; // @[Cat.scala 29:58] - wire _T_2102 = _T_2099[4] | _T_2099[5]; // @[el2_lsu_bus_buffer.scala 445:42] - wire _T_2104 = _T_2102 | _T_2099[6]; // @[el2_lsu_bus_buffer.scala 445:48] - wire _T_2106 = _T_2104 | _T_2099[7]; // @[el2_lsu_bus_buffer.scala 445:54] - wire _T_2109 = _T_2099[2] | _T_2099[3]; // @[el2_lsu_bus_buffer.scala 445:67] - wire _T_2111 = _T_2109 | _T_2099[6]; // @[el2_lsu_bus_buffer.scala 445:73] - wire _T_2113 = _T_2111 | _T_2099[7]; // @[el2_lsu_bus_buffer.scala 445:79] - wire _T_2116 = _T_2099[1] | _T_2099[3]; // @[el2_lsu_bus_buffer.scala 445:92] - wire _T_2118 = _T_2116 | _T_2099[5]; // @[el2_lsu_bus_buffer.scala 445:98] - wire _T_2120 = _T_2118 | _T_2099[7]; // @[el2_lsu_bus_buffer.scala 445:104] - wire [2:0] _T_2122 = {_T_2106,_T_2113,_T_2120}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2122[1:0]; // @[el2_lsu_bus_buffer.scala 451:11] - wire _T_1066 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 322:114] - wire _T_1067 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 322:114] - wire _T_1068 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 322:114] - wire _T_1069 = CmdPtr0 == 2'h3; // @[el2_lsu_bus_buffer.scala 322:114] + wire _T_1038 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 321:97] + wire _T_1039 = _T_1037 & _T_1038; // @[el2_lsu_bus_buffer.scala 321:80] + wire _T_1041 = _T_1039 & _T_936; // @[el2_lsu_bus_buffer.scala 321:114] + wire _T_2000 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 437:58] + wire _T_2001 = ~_T_2000; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2003 = _T_2001 & _T_2642; // @[el2_lsu_bus_buffer.scala 437:63] + wire _T_2005 = _T_2003 & _T_4471; // @[el2_lsu_bus_buffer.scala 437:88] + wire _T_1994 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 437:58] + wire _T_1995 = ~_T_1994; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_1997 = _T_1995 & _T_2637; // @[el2_lsu_bus_buffer.scala 437:63] + wire _T_1999 = _T_1997 & _T_4466; // @[el2_lsu_bus_buffer.scala 437:88] + wire _T_1988 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 437:58] + wire _T_1989 = ~_T_1988; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_1991 = _T_1989 & _T_2632; // @[el2_lsu_bus_buffer.scala 437:63] + wire _T_1993 = _T_1991 & _T_4461; // @[el2_lsu_bus_buffer.scala 437:88] + wire _T_1982 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 437:58] + wire _T_1983 = ~_T_1982; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_1985 = _T_1983 & _T_2627; // @[el2_lsu_bus_buffer.scala 437:63] + wire _T_1987 = _T_1985 & _T_4456; // @[el2_lsu_bus_buffer.scala 437:88] + wire [3:0] CmdPtr0Dec = {_T_2005,_T_1999,_T_1993,_T_1987}; // @[Cat.scala 29:58] + wire [7:0] _T_2075 = {4'h0,_T_2005,_T_1999,_T_1993,_T_1987}; // @[Cat.scala 29:58] + wire _T_2078 = _T_2075[4] | _T_2075[5]; // @[el2_lsu_bus_buffer.scala 445:42] + wire _T_2080 = _T_2078 | _T_2075[6]; // @[el2_lsu_bus_buffer.scala 445:48] + wire _T_2082 = _T_2080 | _T_2075[7]; // @[el2_lsu_bus_buffer.scala 445:54] + wire _T_2085 = _T_2075[2] | _T_2075[3]; // @[el2_lsu_bus_buffer.scala 445:67] + wire _T_2087 = _T_2085 | _T_2075[6]; // @[el2_lsu_bus_buffer.scala 445:73] + wire _T_2089 = _T_2087 | _T_2075[7]; // @[el2_lsu_bus_buffer.scala 445:79] + wire _T_2092 = _T_2075[1] | _T_2075[3]; // @[el2_lsu_bus_buffer.scala 445:92] + wire _T_2094 = _T_2092 | _T_2075[5]; // @[el2_lsu_bus_buffer.scala 445:98] + wire _T_2096 = _T_2094 | _T_2075[7]; // @[el2_lsu_bus_buffer.scala 445:104] + wire [2:0] _T_2098 = {_T_2082,_T_2089,_T_2096}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2098[1:0]; // @[el2_lsu_bus_buffer.scala 451:11] + wire _T_1042 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 322:114] + wire _T_1043 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 322:114] + wire _T_1044 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 322:114] + wire _T_1045 = CmdPtr0 == 2'h3; // @[el2_lsu_bus_buffer.scala 322:114] reg buf_nomerge_0; // @[Reg.scala 27:20] - wire _T_1070 = _T_1066 & buf_nomerge_0; // @[Mux.scala 27:72] + wire _T_1046 = _T_1042 & buf_nomerge_0; // @[Mux.scala 27:72] reg buf_nomerge_1; // @[Reg.scala 27:20] - wire _T_1071 = _T_1067 & buf_nomerge_1; // @[Mux.scala 27:72] + wire _T_1047 = _T_1043 & buf_nomerge_1; // @[Mux.scala 27:72] reg buf_nomerge_2; // @[Reg.scala 27:20] - wire _T_1072 = _T_1068 & buf_nomerge_2; // @[Mux.scala 27:72] + wire _T_1048 = _T_1044 & buf_nomerge_2; // @[Mux.scala 27:72] reg buf_nomerge_3; // @[Reg.scala 27:20] - wire _T_1073 = _T_1069 & buf_nomerge_3; // @[Mux.scala 27:72] - wire _T_1074 = _T_1070 | _T_1071; // @[Mux.scala 27:72] - wire _T_1075 = _T_1074 | _T_1072; // @[Mux.scala 27:72] - wire _T_1076 = _T_1075 | _T_1073; // @[Mux.scala 27:72] - wire _T_1078 = ~_T_1076; // @[el2_lsu_bus_buffer.scala 322:31] - wire _T_1079 = _T_1065 & _T_1078; // @[el2_lsu_bus_buffer.scala 322:29] - reg _T_4375; // @[Reg.scala 27:20] - reg _T_4372; // @[Reg.scala 27:20] - reg _T_4369; // @[Reg.scala 27:20] - reg _T_4366; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4375,_T_4372,_T_4369,_T_4366}; // @[Cat.scala 29:58] - wire _T_1088 = _T_1066 & buf_sideeffect[0]; // @[Mux.scala 27:72] - wire _T_1089 = _T_1067 & buf_sideeffect[1]; // @[Mux.scala 27:72] - wire _T_1090 = _T_1068 & buf_sideeffect[2]; // @[Mux.scala 27:72] - wire _T_1091 = _T_1069 & buf_sideeffect[3]; // @[Mux.scala 27:72] - wire _T_1092 = _T_1088 | _T_1089; // @[Mux.scala 27:72] - wire _T_1093 = _T_1092 | _T_1090; // @[Mux.scala 27:72] - wire _T_1094 = _T_1093 | _T_1091; // @[Mux.scala 27:72] - wire _T_1096 = ~_T_1094; // @[el2_lsu_bus_buffer.scala 323:5] - wire _T_1097 = _T_1079 & _T_1096; // @[el2_lsu_bus_buffer.scala 322:140] - wire _T_1108 = _T_880 & _T_874; // @[el2_lsu_bus_buffer.scala 325:58] - wire _T_1110 = _T_1108 & _T_1060; // @[el2_lsu_bus_buffer.scala 325:72] - wire [29:0] _T_1120 = _T_1066 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] - wire [29:0] _T_1121 = _T_1067 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] - wire [29:0] _T_1124 = _T_1120 | _T_1121; // @[Mux.scala 27:72] - wire [29:0] _T_1122 = _T_1068 ? buf_addr_2[31:2] : 30'h0; // @[Mux.scala 27:72] - wire [29:0] _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] - wire [29:0] _T_1123 = _T_1069 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] - wire [29:0] _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] - wire _T_1128 = io_lsu_addr_m[31:2] != _T_1126; // @[el2_lsu_bus_buffer.scala 325:123] - wire obuf_force_wr_en = _T_1110 & _T_1128; // @[el2_lsu_bus_buffer.scala 325:101] - wire _T_1098 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 323:119] - wire obuf_wr_wait = _T_1097 & _T_1098; // @[el2_lsu_bus_buffer.scala 323:117] - wire _T_1099 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 324:75] - wire _T_1100 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 324:95] - wire _T_1101 = _T_1099 & _T_1100; // @[el2_lsu_bus_buffer.scala 324:79] - wire [2:0] _T_1103 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 324:121] - wire _T_4530 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 584:63] - wire _T_4534 = _T_4530 | _T_4511; // @[el2_lsu_bus_buffer.scala 584:74] - wire _T_4525 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 584:63] - wire _T_4529 = _T_4525 | _T_4508; // @[el2_lsu_bus_buffer.scala 584:74] - wire [1:0] _T_4535 = _T_4534 + _T_4529; // @[el2_lsu_bus_buffer.scala 584:154] - wire _T_4520 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 584:63] - wire _T_4524 = _T_4520 | _T_4505; // @[el2_lsu_bus_buffer.scala 584:74] - wire [1:0] _GEN_368 = {{1'd0}, _T_4524}; // @[el2_lsu_bus_buffer.scala 584:154] - wire [2:0] _T_4536 = _T_4535 + _GEN_368; // @[el2_lsu_bus_buffer.scala 584:154] - wire _T_4515 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 584:63] - wire _T_4519 = _T_4515 | _T_4502; // @[el2_lsu_bus_buffer.scala 584:74] - wire [2:0] _GEN_369 = {{2'd0}, _T_4519}; // @[el2_lsu_bus_buffer.scala 584:154] - wire [3:0] buf_numvld_pend_any = _T_4536 + _GEN_369; // @[el2_lsu_bus_buffer.scala 584:154] - wire _T_1130 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 327:53] - wire _T_1131 = ibuf_byp & _T_1130; // @[el2_lsu_bus_buffer.scala 327:31] - wire _T_1132 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 327:64] - wire _T_1133 = _T_1132 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 327:84] - wire ibuf_buf_byp = _T_1131 & _T_1133; // @[el2_lsu_bus_buffer.scala 327:61] - wire _T_1134 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 342:32] - wire _T_4826 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 613:62] - wire _T_4828 = _T_4826 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 613:73] - wire _T_4829 = _T_4828 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 613:93] - wire _T_4830 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 613:62] - wire _T_4832 = _T_4830 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 613:73] - wire _T_4833 = _T_4832 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 613:93] - wire _T_4842 = _T_4829 | _T_4833; // @[el2_lsu_bus_buffer.scala 613:141] - wire _T_4834 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 613:62] - wire _T_4836 = _T_4834 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 613:73] - wire _T_4837 = _T_4836 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 613:93] - wire _T_4843 = _T_4842 | _T_4837; // @[el2_lsu_bus_buffer.scala 613:141] - wire _T_4838 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 613:62] - wire _T_4840 = _T_4838 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 613:73] - wire _T_4841 = _T_4840 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 613:93] - wire bus_sideeffect_pend = _T_4843 | _T_4841; // @[el2_lsu_bus_buffer.scala 613:141] - wire _T_1135 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 342:74] - wire _T_1136 = ~_T_1135; // @[el2_lsu_bus_buffer.scala 342:52] - wire _T_1137 = _T_1134 & _T_1136; // @[el2_lsu_bus_buffer.scala 342:50] - wire [2:0] _T_1142 = _T_1066 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1143 = _T_1067 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1146 = _T_1142 | _T_1143; // @[Mux.scala 27:72] - wire [2:0] _T_1144 = _T_1068 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1147 = _T_1146 | _T_1144; // @[Mux.scala 27:72] - wire [2:0] _T_1145 = _T_1069 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1148 = _T_1147 | _T_1145; // @[Mux.scala 27:72] - wire _T_1150 = _T_1148 == 3'h2; // @[el2_lsu_bus_buffer.scala 343:36] + wire _T_1049 = _T_1045 & buf_nomerge_3; // @[Mux.scala 27:72] + wire _T_1050 = _T_1046 | _T_1047; // @[Mux.scala 27:72] + wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] + wire _T_1052 = _T_1051 | _T_1049; // @[Mux.scala 27:72] + wire _T_1054 = ~_T_1052; // @[el2_lsu_bus_buffer.scala 322:31] + wire _T_1055 = _T_1041 & _T_1054; // @[el2_lsu_bus_buffer.scala 322:29] + reg _T_4351; // @[Reg.scala 27:20] + reg _T_4348; // @[Reg.scala 27:20] + reg _T_4345; // @[Reg.scala 27:20] + reg _T_4342; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4351,_T_4348,_T_4345,_T_4342}; // @[Cat.scala 29:58] + wire _T_1064 = _T_1042 & buf_sideeffect[0]; // @[Mux.scala 27:72] + wire _T_1065 = _T_1043 & buf_sideeffect[1]; // @[Mux.scala 27:72] + wire _T_1066 = _T_1044 & buf_sideeffect[2]; // @[Mux.scala 27:72] + wire _T_1067 = _T_1045 & buf_sideeffect[3]; // @[Mux.scala 27:72] + wire _T_1068 = _T_1064 | _T_1065; // @[Mux.scala 27:72] + wire _T_1069 = _T_1068 | _T_1066; // @[Mux.scala 27:72] + wire _T_1070 = _T_1069 | _T_1067; // @[Mux.scala 27:72] + wire _T_1072 = ~_T_1070; // @[el2_lsu_bus_buffer.scala 323:5] + wire _T_1073 = _T_1055 & _T_1072; // @[el2_lsu_bus_buffer.scala 322:140] + wire _T_1084 = _T_856 & _T_850; // @[el2_lsu_bus_buffer.scala 325:58] + wire _T_1086 = _T_1084 & _T_1036; // @[el2_lsu_bus_buffer.scala 325:72] + wire [29:0] _T_1096 = _T_1042 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1097 = _T_1043 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1100 = _T_1096 | _T_1097; // @[Mux.scala 27:72] + wire [29:0] _T_1098 = _T_1044 ? buf_addr_2[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1101 = _T_1100 | _T_1098; // @[Mux.scala 27:72] + wire [29:0] _T_1099 = _T_1045 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1102 = _T_1101 | _T_1099; // @[Mux.scala 27:72] + wire _T_1104 = io_lsu_addr_m[31:2] != _T_1102; // @[el2_lsu_bus_buffer.scala 325:123] + wire obuf_force_wr_en = _T_1086 & _T_1104; // @[el2_lsu_bus_buffer.scala 325:101] + wire _T_1074 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 323:119] + wire obuf_wr_wait = _T_1073 & _T_1074; // @[el2_lsu_bus_buffer.scala 323:117] + wire _T_1075 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 324:75] + wire _T_1076 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 324:95] + wire _T_1077 = _T_1075 & _T_1076; // @[el2_lsu_bus_buffer.scala 324:79] + wire [2:0] _T_1079 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 324:121] + wire _T_4506 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 584:63] + wire _T_4510 = _T_4506 | _T_4487; // @[el2_lsu_bus_buffer.scala 584:74] + wire _T_4501 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 584:63] + wire _T_4505 = _T_4501 | _T_4484; // @[el2_lsu_bus_buffer.scala 584:74] + wire [1:0] _T_4511 = _T_4510 + _T_4505; // @[el2_lsu_bus_buffer.scala 584:154] + wire _T_4496 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 584:63] + wire _T_4500 = _T_4496 | _T_4481; // @[el2_lsu_bus_buffer.scala 584:74] + wire [1:0] _GEN_368 = {{1'd0}, _T_4500}; // @[el2_lsu_bus_buffer.scala 584:154] + wire [2:0] _T_4512 = _T_4511 + _GEN_368; // @[el2_lsu_bus_buffer.scala 584:154] + wire _T_4491 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 584:63] + wire _T_4495 = _T_4491 | _T_4478; // @[el2_lsu_bus_buffer.scala 584:74] + wire [2:0] _GEN_369 = {{2'd0}, _T_4495}; // @[el2_lsu_bus_buffer.scala 584:154] + wire [3:0] buf_numvld_pend_any = _T_4512 + _GEN_369; // @[el2_lsu_bus_buffer.scala 584:154] + wire _T_1106 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 327:53] + wire _T_1107 = ibuf_byp & _T_1106; // @[el2_lsu_bus_buffer.scala 327:31] + wire _T_1108 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 327:64] + wire _T_1109 = _T_1108 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 327:84] + wire ibuf_buf_byp = _T_1107 & _T_1109; // @[el2_lsu_bus_buffer.scala 327:61] + wire _T_1110 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 342:32] + wire _T_4802 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 613:62] + wire _T_4804 = _T_4802 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 613:73] + wire _T_4805 = _T_4804 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 613:93] + wire _T_4806 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 613:62] + wire _T_4808 = _T_4806 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 613:73] + wire _T_4809 = _T_4808 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 613:93] + wire _T_4818 = _T_4805 | _T_4809; // @[el2_lsu_bus_buffer.scala 613:141] + wire _T_4810 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 613:62] + wire _T_4812 = _T_4810 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 613:73] + wire _T_4813 = _T_4812 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 613:93] + wire _T_4819 = _T_4818 | _T_4813; // @[el2_lsu_bus_buffer.scala 613:141] + wire _T_4814 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 613:62] + wire _T_4816 = _T_4814 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 613:73] + wire _T_4817 = _T_4816 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 613:93] + wire bus_sideeffect_pend = _T_4819 | _T_4817; // @[el2_lsu_bus_buffer.scala 613:141] + wire _T_1111 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 342:74] + wire _T_1112 = ~_T_1111; // @[el2_lsu_bus_buffer.scala 342:52] + wire _T_1113 = _T_1110 & _T_1112; // @[el2_lsu_bus_buffer.scala 342:50] + wire [2:0] _T_1118 = _T_1042 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1119 = _T_1043 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1122 = _T_1118 | _T_1119; // @[Mux.scala 27:72] + wire [2:0] _T_1120 = _T_1044 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1123 = _T_1122 | _T_1120; // @[Mux.scala 27:72] + wire [2:0] _T_1121 = _T_1045 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1124 = _T_1123 | _T_1121; // @[Mux.scala 27:72] + wire _T_1126 = _T_1124 == 3'h2; // @[el2_lsu_bus_buffer.scala 343:36] wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 442:31] - wire _T_1151 = _T_1150 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 343:47] - wire [3:0] _T_1154 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] - wire _T_1163 = _T_1066 & _T_1154[0]; // @[Mux.scala 27:72] - wire _T_1164 = _T_1067 & _T_1154[1]; // @[Mux.scala 27:72] - wire _T_1167 = _T_1163 | _T_1164; // @[Mux.scala 27:72] - wire _T_1165 = _T_1068 & _T_1154[2]; // @[Mux.scala 27:72] - wire _T_1168 = _T_1167 | _T_1165; // @[Mux.scala 27:72] - wire _T_1166 = _T_1069 & _T_1154[3]; // @[Mux.scala 27:72] - wire _T_1169 = _T_1168 | _T_1166; // @[Mux.scala 27:72] - wire _T_1171 = ~_T_1169; // @[el2_lsu_bus_buffer.scala 344:23] - wire _T_1172 = _T_1151 & _T_1171; // @[el2_lsu_bus_buffer.scala 344:21] - wire _T_1189 = _T_1094 & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 344:141] - wire _T_1190 = ~_T_1189; // @[el2_lsu_bus_buffer.scala 344:105] - wire _T_1191 = _T_1172 & _T_1190; // @[el2_lsu_bus_buffer.scala 344:103] + wire _T_1127 = _T_1126 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 343:47] + wire [3:0] _T_1130 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] + wire _T_1139 = _T_1042 & _T_1130[0]; // @[Mux.scala 27:72] + wire _T_1140 = _T_1043 & _T_1130[1]; // @[Mux.scala 27:72] + wire _T_1143 = _T_1139 | _T_1140; // @[Mux.scala 27:72] + wire _T_1141 = _T_1044 & _T_1130[2]; // @[Mux.scala 27:72] + wire _T_1144 = _T_1143 | _T_1141; // @[Mux.scala 27:72] + wire _T_1142 = _T_1045 & _T_1130[3]; // @[Mux.scala 27:72] + wire _T_1145 = _T_1144 | _T_1142; // @[Mux.scala 27:72] + wire _T_1147 = ~_T_1145; // @[el2_lsu_bus_buffer.scala 344:23] + wire _T_1148 = _T_1127 & _T_1147; // @[el2_lsu_bus_buffer.scala 344:21] + wire _T_1165 = _T_1070 & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 344:141] + wire _T_1166 = ~_T_1165; // @[el2_lsu_bus_buffer.scala 344:105] + wire _T_1167 = _T_1148 & _T_1166; // @[el2_lsu_bus_buffer.scala 344:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] reg buf_dual_0; // @[Reg.scala 27:20] - wire [3:0] _T_1194 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] - wire _T_1203 = _T_1066 & _T_1194[0]; // @[Mux.scala 27:72] - wire _T_1204 = _T_1067 & _T_1194[1]; // @[Mux.scala 27:72] - wire _T_1207 = _T_1203 | _T_1204; // @[Mux.scala 27:72] - wire _T_1205 = _T_1068 & _T_1194[2]; // @[Mux.scala 27:72] - wire _T_1208 = _T_1207 | _T_1205; // @[Mux.scala 27:72] - wire _T_1206 = _T_1069 & _T_1194[3]; // @[Mux.scala 27:72] - wire _T_1209 = _T_1208 | _T_1206; // @[Mux.scala 27:72] + wire [3:0] _T_1170 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire _T_1179 = _T_1042 & _T_1170[0]; // @[Mux.scala 27:72] + wire _T_1180 = _T_1043 & _T_1170[1]; // @[Mux.scala 27:72] + wire _T_1183 = _T_1179 | _T_1180; // @[Mux.scala 27:72] + wire _T_1181 = _T_1044 & _T_1170[2]; // @[Mux.scala 27:72] + wire _T_1184 = _T_1183 | _T_1181; // @[Mux.scala 27:72] + wire _T_1182 = _T_1045 & _T_1170[3]; // @[Mux.scala 27:72] + wire _T_1185 = _T_1184 | _T_1182; // @[Mux.scala 27:72] reg buf_samedw_3; // @[Reg.scala 27:20] reg buf_samedw_2; // @[Reg.scala 27:20] reg buf_samedw_1; // @[Reg.scala 27:20] reg buf_samedw_0; // @[Reg.scala 27:20] - wire [3:0] _T_1213 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] - wire _T_1222 = _T_1066 & _T_1213[0]; // @[Mux.scala 27:72] - wire _T_1223 = _T_1067 & _T_1213[1]; // @[Mux.scala 27:72] - wire _T_1226 = _T_1222 | _T_1223; // @[Mux.scala 27:72] - wire _T_1224 = _T_1068 & _T_1213[2]; // @[Mux.scala 27:72] - wire _T_1227 = _T_1226 | _T_1224; // @[Mux.scala 27:72] - wire _T_1225 = _T_1069 & _T_1213[3]; // @[Mux.scala 27:72] - wire _T_1228 = _T_1227 | _T_1225; // @[Mux.scala 27:72] - wire _T_1230 = _T_1209 & _T_1228; // @[el2_lsu_bus_buffer.scala 345:77] - wire _T_1239 = _T_1066 & buf_write[0]; // @[Mux.scala 27:72] - wire _T_1240 = _T_1067 & buf_write[1]; // @[Mux.scala 27:72] - wire _T_1243 = _T_1239 | _T_1240; // @[Mux.scala 27:72] - wire _T_1241 = _T_1068 & buf_write[2]; // @[Mux.scala 27:72] - wire _T_1244 = _T_1243 | _T_1241; // @[Mux.scala 27:72] - wire _T_1242 = _T_1069 & buf_write[3]; // @[Mux.scala 27:72] - wire _T_1245 = _T_1244 | _T_1242; // @[Mux.scala 27:72] - wire _T_1247 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 345:150] - wire _T_1248 = _T_1230 & _T_1247; // @[el2_lsu_bus_buffer.scala 345:148] - wire _T_1249 = ~_T_1248; // @[el2_lsu_bus_buffer.scala 345:8] - wire [3:0] _T_2065 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 438:62] - wire [3:0] _T_2066 = buf_age_3 & _T_2065; // @[el2_lsu_bus_buffer.scala 438:59] - wire _T_2067 = |_T_2066; // @[el2_lsu_bus_buffer.scala 438:76] - wire _T_2068 = ~_T_2067; // @[el2_lsu_bus_buffer.scala 438:45] - wire _T_2070 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 438:83] - wire _T_2071 = _T_2068 & _T_2070; // @[el2_lsu_bus_buffer.scala 438:81] - wire _T_2073 = _T_2071 & _T_2666; // @[el2_lsu_bus_buffer.scala 438:98] - wire _T_2075 = _T_2073 & _T_4495; // @[el2_lsu_bus_buffer.scala 438:123] - wire [3:0] _T_2055 = buf_age_2 & _T_2065; // @[el2_lsu_bus_buffer.scala 438:59] - wire _T_2056 = |_T_2055; // @[el2_lsu_bus_buffer.scala 438:76] - wire _T_2057 = ~_T_2056; // @[el2_lsu_bus_buffer.scala 438:45] - wire _T_2059 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 438:83] - wire _T_2060 = _T_2057 & _T_2059; // @[el2_lsu_bus_buffer.scala 438:81] - wire _T_2062 = _T_2060 & _T_2661; // @[el2_lsu_bus_buffer.scala 438:98] - wire _T_2064 = _T_2062 & _T_4490; // @[el2_lsu_bus_buffer.scala 438:123] - wire [3:0] _T_2044 = buf_age_1 & _T_2065; // @[el2_lsu_bus_buffer.scala 438:59] - wire _T_2045 = |_T_2044; // @[el2_lsu_bus_buffer.scala 438:76] - wire _T_2046 = ~_T_2045; // @[el2_lsu_bus_buffer.scala 438:45] - wire _T_2048 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 438:83] - wire _T_2049 = _T_2046 & _T_2048; // @[el2_lsu_bus_buffer.scala 438:81] - wire _T_2051 = _T_2049 & _T_2656; // @[el2_lsu_bus_buffer.scala 438:98] - wire _T_2053 = _T_2051 & _T_4485; // @[el2_lsu_bus_buffer.scala 438:123] - wire [3:0] _T_2033 = buf_age_0 & _T_2065; // @[el2_lsu_bus_buffer.scala 438:59] - wire _T_2034 = |_T_2033; // @[el2_lsu_bus_buffer.scala 438:76] - wire _T_2035 = ~_T_2034; // @[el2_lsu_bus_buffer.scala 438:45] - wire _T_2037 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 438:83] - wire _T_2038 = _T_2035 & _T_2037; // @[el2_lsu_bus_buffer.scala 438:81] - wire _T_2040 = _T_2038 & _T_2651; // @[el2_lsu_bus_buffer.scala 438:98] - wire _T_2042 = _T_2040 & _T_4480; // @[el2_lsu_bus_buffer.scala 438:123] - wire [3:0] CmdPtr1Dec = {_T_2075,_T_2064,_T_2053,_T_2042}; // @[Cat.scala 29:58] + wire [3:0] _T_1189 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] + wire _T_1198 = _T_1042 & _T_1189[0]; // @[Mux.scala 27:72] + wire _T_1199 = _T_1043 & _T_1189[1]; // @[Mux.scala 27:72] + wire _T_1202 = _T_1198 | _T_1199; // @[Mux.scala 27:72] + wire _T_1200 = _T_1044 & _T_1189[2]; // @[Mux.scala 27:72] + wire _T_1203 = _T_1202 | _T_1200; // @[Mux.scala 27:72] + wire _T_1201 = _T_1045 & _T_1189[3]; // @[Mux.scala 27:72] + wire _T_1204 = _T_1203 | _T_1201; // @[Mux.scala 27:72] + wire _T_1206 = _T_1185 & _T_1204; // @[el2_lsu_bus_buffer.scala 345:77] + wire _T_1215 = _T_1042 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1216 = _T_1043 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1219 = _T_1215 | _T_1216; // @[Mux.scala 27:72] + wire _T_1217 = _T_1044 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1220 = _T_1219 | _T_1217; // @[Mux.scala 27:72] + wire _T_1218 = _T_1045 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1221 = _T_1220 | _T_1218; // @[Mux.scala 27:72] + wire _T_1223 = ~_T_1221; // @[el2_lsu_bus_buffer.scala 345:150] + wire _T_1224 = _T_1206 & _T_1223; // @[el2_lsu_bus_buffer.scala 345:148] + wire _T_1225 = ~_T_1224; // @[el2_lsu_bus_buffer.scala 345:8] + wire [3:0] _T_2041 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 438:62] + wire [3:0] _T_2042 = buf_age_3 & _T_2041; // @[el2_lsu_bus_buffer.scala 438:59] + wire _T_2043 = |_T_2042; // @[el2_lsu_bus_buffer.scala 438:76] + wire _T_2044 = ~_T_2043; // @[el2_lsu_bus_buffer.scala 438:45] + wire _T_2046 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 438:83] + wire _T_2047 = _T_2044 & _T_2046; // @[el2_lsu_bus_buffer.scala 438:81] + wire _T_2049 = _T_2047 & _T_2642; // @[el2_lsu_bus_buffer.scala 438:98] + wire _T_2051 = _T_2049 & _T_4471; // @[el2_lsu_bus_buffer.scala 438:123] + wire [3:0] _T_2031 = buf_age_2 & _T_2041; // @[el2_lsu_bus_buffer.scala 438:59] + wire _T_2032 = |_T_2031; // @[el2_lsu_bus_buffer.scala 438:76] + wire _T_2033 = ~_T_2032; // @[el2_lsu_bus_buffer.scala 438:45] + wire _T_2035 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 438:83] + wire _T_2036 = _T_2033 & _T_2035; // @[el2_lsu_bus_buffer.scala 438:81] + wire _T_2038 = _T_2036 & _T_2637; // @[el2_lsu_bus_buffer.scala 438:98] + wire _T_2040 = _T_2038 & _T_4466; // @[el2_lsu_bus_buffer.scala 438:123] + wire [3:0] _T_2020 = buf_age_1 & _T_2041; // @[el2_lsu_bus_buffer.scala 438:59] + wire _T_2021 = |_T_2020; // @[el2_lsu_bus_buffer.scala 438:76] + wire _T_2022 = ~_T_2021; // @[el2_lsu_bus_buffer.scala 438:45] + wire _T_2024 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 438:83] + wire _T_2025 = _T_2022 & _T_2024; // @[el2_lsu_bus_buffer.scala 438:81] + wire _T_2027 = _T_2025 & _T_2632; // @[el2_lsu_bus_buffer.scala 438:98] + wire _T_2029 = _T_2027 & _T_4461; // @[el2_lsu_bus_buffer.scala 438:123] + wire [3:0] _T_2009 = buf_age_0 & _T_2041; // @[el2_lsu_bus_buffer.scala 438:59] + wire _T_2010 = |_T_2009; // @[el2_lsu_bus_buffer.scala 438:76] + wire _T_2011 = ~_T_2010; // @[el2_lsu_bus_buffer.scala 438:45] + wire _T_2013 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 438:83] + wire _T_2014 = _T_2011 & _T_2013; // @[el2_lsu_bus_buffer.scala 438:81] + wire _T_2016 = _T_2014 & _T_2627; // @[el2_lsu_bus_buffer.scala 438:98] + wire _T_2018 = _T_2016 & _T_4456; // @[el2_lsu_bus_buffer.scala 438:123] + wire [3:0] CmdPtr1Dec = {_T_2051,_T_2040,_T_2029,_T_2018}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 443:31] - wire _T_1250 = _T_1249 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 345:181] - wire [3:0] _T_1253 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] - wire _T_1262 = _T_1066 & _T_1253[0]; // @[Mux.scala 27:72] - wire _T_1263 = _T_1067 & _T_1253[1]; // @[Mux.scala 27:72] - wire _T_1266 = _T_1262 | _T_1263; // @[Mux.scala 27:72] - wire _T_1264 = _T_1068 & _T_1253[2]; // @[Mux.scala 27:72] - wire _T_1267 = _T_1266 | _T_1264; // @[Mux.scala 27:72] - wire _T_1265 = _T_1069 & _T_1253[3]; // @[Mux.scala 27:72] - wire _T_1268 = _T_1267 | _T_1265; // @[Mux.scala 27:72] - wire _T_1270 = _T_1250 | _T_1268; // @[el2_lsu_bus_buffer.scala 345:197] - wire _T_1271 = _T_1270 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 345:269] - wire _T_1272 = _T_1191 & _T_1271; // @[el2_lsu_bus_buffer.scala 344:164] - wire _T_1273 = _T_1137 | _T_1272; // @[el2_lsu_bus_buffer.scala 342:98] + wire _T_1226 = _T_1225 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 345:181] + wire [3:0] _T_1229 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] + wire _T_1238 = _T_1042 & _T_1229[0]; // @[Mux.scala 27:72] + wire _T_1239 = _T_1043 & _T_1229[1]; // @[Mux.scala 27:72] + wire _T_1242 = _T_1238 | _T_1239; // @[Mux.scala 27:72] + wire _T_1240 = _T_1044 & _T_1229[2]; // @[Mux.scala 27:72] + wire _T_1243 = _T_1242 | _T_1240; // @[Mux.scala 27:72] + wire _T_1241 = _T_1045 & _T_1229[3]; // @[Mux.scala 27:72] + wire _T_1244 = _T_1243 | _T_1241; // @[Mux.scala 27:72] + wire _T_1246 = _T_1226 | _T_1244; // @[el2_lsu_bus_buffer.scala 345:197] + wire _T_1247 = _T_1246 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 345:269] + wire _T_1248 = _T_1167 & _T_1247; // @[el2_lsu_bus_buffer.scala 344:164] + wire _T_1249 = _T_1113 | _T_1248; // @[el2_lsu_bus_buffer.scala 342:98] reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 407:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 408:55] - wire _T_4901 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 617:54] - wire _T_4902 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 617:75] - wire _T_4904 = _T_4901 ? _T_4902 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 617:39] - wire bus_cmd_ready = obuf_write ? _T_4904 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 617:23] - wire _T_1274 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 346:48] - wire _T_1275 = bus_cmd_ready | _T_1274; // @[el2_lsu_bus_buffer.scala 346:46] + wire _T_4877 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 617:54] + wire _T_4878 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 617:75] + wire _T_4880 = _T_4877 ? _T_4878 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 617:39] + wire bus_cmd_ready = obuf_write ? _T_4880 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 617:23] + wire _T_1250 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 346:48] + wire _T_1251 = bus_cmd_ready | _T_1250; // @[el2_lsu_bus_buffer.scala 346:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1276 = _T_1275 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 346:60] - wire _T_1277 = _T_1273 & _T_1276; // @[el2_lsu_bus_buffer.scala 346:29] - wire _T_1278 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 346:77] - wire _T_1279 = _T_1277 & _T_1278; // @[el2_lsu_bus_buffer.scala 346:75] + wire _T_1252 = _T_1251 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 346:60] + wire _T_1253 = _T_1249 & _T_1252; // @[el2_lsu_bus_buffer.scala 346:29] + wire _T_1254 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 346:77] + wire _T_1255 = _T_1253 & _T_1254; // @[el2_lsu_bus_buffer.scala 346:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4849 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 615:56] + wire _T_4825 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 615:56] + wire _T_4826 = obuf_valid & _T_4825; // @[el2_lsu_bus_buffer.scala 615:38] + wire _T_4828 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 615:126] + wire _T_4829 = obuf_merge & _T_4828; // @[el2_lsu_bus_buffer.scala 615:114] + wire _T_4830 = _T_3583 | _T_4829; // @[el2_lsu_bus_buffer.scala 615:100] + wire _T_4831 = ~_T_4830; // @[el2_lsu_bus_buffer.scala 615:80] + wire _T_4832 = _T_4826 & _T_4831; // @[el2_lsu_bus_buffer.scala 615:78] + wire _T_4869 = _T_4802 & _T_4832; // @[Mux.scala 27:72] + wire _T_4837 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 615:56] + wire _T_4838 = obuf_valid & _T_4837; // @[el2_lsu_bus_buffer.scala 615:38] + wire _T_4840 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 615:126] + wire _T_4841 = obuf_merge & _T_4840; // @[el2_lsu_bus_buffer.scala 615:114] + wire _T_4842 = _T_3776 | _T_4841; // @[el2_lsu_bus_buffer.scala 615:100] + wire _T_4843 = ~_T_4842; // @[el2_lsu_bus_buffer.scala 615:80] + wire _T_4844 = _T_4838 & _T_4843; // @[el2_lsu_bus_buffer.scala 615:78] + wire _T_4870 = _T_4806 & _T_4844; // @[Mux.scala 27:72] + wire _T_4873 = _T_4869 | _T_4870; // @[Mux.scala 27:72] + wire _T_4849 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 615:56] wire _T_4850 = obuf_valid & _T_4849; // @[el2_lsu_bus_buffer.scala 615:38] - wire _T_4852 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 615:126] + wire _T_4852 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 615:126] wire _T_4853 = obuf_merge & _T_4852; // @[el2_lsu_bus_buffer.scala 615:114] - wire _T_4854 = _T_3607 | _T_4853; // @[el2_lsu_bus_buffer.scala 615:100] + wire _T_4854 = _T_3969 | _T_4853; // @[el2_lsu_bus_buffer.scala 615:100] wire _T_4855 = ~_T_4854; // @[el2_lsu_bus_buffer.scala 615:80] wire _T_4856 = _T_4850 & _T_4855; // @[el2_lsu_bus_buffer.scala 615:78] - wire _T_4893 = _T_4826 & _T_4856; // @[Mux.scala 27:72] - wire _T_4861 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 615:56] + wire _T_4871 = _T_4810 & _T_4856; // @[Mux.scala 27:72] + wire _T_4874 = _T_4873 | _T_4871; // @[Mux.scala 27:72] + wire _T_4861 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 615:56] wire _T_4862 = obuf_valid & _T_4861; // @[el2_lsu_bus_buffer.scala 615:38] - wire _T_4864 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 615:126] + wire _T_4864 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 615:126] wire _T_4865 = obuf_merge & _T_4864; // @[el2_lsu_bus_buffer.scala 615:114] - wire _T_4866 = _T_3800 | _T_4865; // @[el2_lsu_bus_buffer.scala 615:100] + wire _T_4866 = _T_4162 | _T_4865; // @[el2_lsu_bus_buffer.scala 615:100] wire _T_4867 = ~_T_4866; // @[el2_lsu_bus_buffer.scala 615:80] wire _T_4868 = _T_4862 & _T_4867; // @[el2_lsu_bus_buffer.scala 615:78] - wire _T_4894 = _T_4830 & _T_4868; // @[Mux.scala 27:72] - wire _T_4897 = _T_4893 | _T_4894; // @[Mux.scala 27:72] - wire _T_4873 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 615:56] - wire _T_4874 = obuf_valid & _T_4873; // @[el2_lsu_bus_buffer.scala 615:38] - wire _T_4876 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 615:126] - wire _T_4877 = obuf_merge & _T_4876; // @[el2_lsu_bus_buffer.scala 615:114] - wire _T_4878 = _T_3993 | _T_4877; // @[el2_lsu_bus_buffer.scala 615:100] - wire _T_4879 = ~_T_4878; // @[el2_lsu_bus_buffer.scala 615:80] - wire _T_4880 = _T_4874 & _T_4879; // @[el2_lsu_bus_buffer.scala 615:78] - wire _T_4895 = _T_4834 & _T_4880; // @[Mux.scala 27:72] - wire _T_4898 = _T_4897 | _T_4895; // @[Mux.scala 27:72] - wire _T_4885 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 615:56] - wire _T_4886 = obuf_valid & _T_4885; // @[el2_lsu_bus_buffer.scala 615:38] - wire _T_4888 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 615:126] - wire _T_4889 = obuf_merge & _T_4888; // @[el2_lsu_bus_buffer.scala 615:114] - wire _T_4890 = _T_4186 | _T_4889; // @[el2_lsu_bus_buffer.scala 615:100] - wire _T_4891 = ~_T_4890; // @[el2_lsu_bus_buffer.scala 615:80] - wire _T_4892 = _T_4886 & _T_4891; // @[el2_lsu_bus_buffer.scala 615:78] - wire _T_4896 = _T_4838 & _T_4892; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4898 | _T_4896; // @[Mux.scala 27:72] - wire _T_1282 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 346:118] - wire _T_1283 = _T_1279 & _T_1282; // @[el2_lsu_bus_buffer.scala 346:116] - wire obuf_wr_en = _T_1283 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 346:142] - wire _T_1285 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 348:47] + wire _T_4872 = _T_4814 & _T_4868; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4874 | _T_4872; // @[Mux.scala 27:72] + wire _T_1258 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 346:118] + wire _T_1259 = _T_1255 & _T_1258; // @[el2_lsu_bus_buffer.scala 346:116] + wire obuf_wr_en = _T_1259 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 346:142] + wire _T_1261 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 348:47] wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 618:39] - wire _T_4908 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 620:35] + wire _T_4884 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 620:35] wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 619:39] - wire _T_4909 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 620:70] - wire _T_4910 = _T_4908 & _T_4909; // @[el2_lsu_bus_buffer.scala 620:52] - wire _T_4911 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 620:111] - wire bus_cmd_sent = _T_4910 | _T_4911; // @[el2_lsu_bus_buffer.scala 620:89] - wire _T_1286 = bus_cmd_sent | _T_1285; // @[el2_lsu_bus_buffer.scala 348:33] - wire _T_1287 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 348:65] - wire _T_1288 = _T_1286 & _T_1287; // @[el2_lsu_bus_buffer.scala 348:63] - wire _T_1289 = _T_1288 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 348:77] - wire obuf_rst = _T_1289 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 348:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : _T_1245; // @[el2_lsu_bus_buffer.scala 349:26] - wire [31:0] _T_1326 = _T_1066 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1327 = _T_1067 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1328 = _T_1068 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1329 = _T_1069 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1330 = _T_1326 | _T_1327; // @[Mux.scala 27:72] - wire [31:0] _T_1331 = _T_1330 | _T_1328; // @[Mux.scala 27:72] - wire [31:0] _T_1332 = _T_1331 | _T_1329; // @[Mux.scala 27:72] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1332; // @[el2_lsu_bus_buffer.scala 351:25] + wire _T_4885 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 620:70] + wire _T_4886 = _T_4884 & _T_4885; // @[el2_lsu_bus_buffer.scala 620:52] + wire _T_4887 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 620:111] + wire bus_cmd_sent = _T_4886 | _T_4887; // @[el2_lsu_bus_buffer.scala 620:89] + wire _T_1262 = bus_cmd_sent | _T_1261; // @[el2_lsu_bus_buffer.scala 348:33] + wire _T_1263 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 348:65] + wire _T_1264 = _T_1262 & _T_1263; // @[el2_lsu_bus_buffer.scala 348:63] + wire _T_1265 = _T_1264 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 348:77] + wire obuf_rst = _T_1265 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 348:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : _T_1221; // @[el2_lsu_bus_buffer.scala 349:26] + wire [31:0] _T_1302 = _T_1042 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1303 = _T_1043 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1304 = _T_1044 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1305 = _T_1045 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1306 = _T_1302 | _T_1303; // @[Mux.scala 27:72] + wire [31:0] _T_1307 = _T_1306 | _T_1304; // @[Mux.scala 27:72] + wire [31:0] _T_1308 = _T_1307 | _T_1305; // @[Mux.scala 27:72] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1308; // @[el2_lsu_bus_buffer.scala 351:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] - wire [1:0] _T_1339 = _T_1066 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1315 = _T_1042 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] - wire [1:0] _T_1340 = _T_1067 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1316 = _T_1043 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_2; // @[Reg.scala 27:20] - wire [1:0] _T_1341 = _T_1068 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1317 = _T_1044 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_3; // @[Reg.scala 27:20] - wire [1:0] _T_1342 = _T_1069 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_1343 = _T_1339 | _T_1340; // @[Mux.scala 27:72] - wire [1:0] _T_1344 = _T_1343 | _T_1341; // @[Mux.scala 27:72] - wire [1:0] _T_1345 = _T_1344 | _T_1342; // @[Mux.scala 27:72] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1345; // @[el2_lsu_bus_buffer.scala 354:23] - wire _T_1347 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 363:39] - wire _T_1348 = ~_T_1347; // @[el2_lsu_bus_buffer.scala 363:26] - wire _T_1354 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 367:72] - wire _T_1357 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 367:98] - wire _T_1358 = obuf_sz_in[0] & _T_1357; // @[el2_lsu_bus_buffer.scala 367:96] - wire _T_1359 = _T_1354 | _T_1358; // @[el2_lsu_bus_buffer.scala 367:79] - wire _T_1362 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 367:153] - wire _T_1363 = ~_T_1362; // @[el2_lsu_bus_buffer.scala 367:134] - wire _T_1364 = obuf_sz_in[1] & _T_1363; // @[el2_lsu_bus_buffer.scala 367:132] - wire _T_1365 = _T_1359 | _T_1364; // @[el2_lsu_bus_buffer.scala 367:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1365; // @[el2_lsu_bus_buffer.scala 367:28] - wire _T_1382 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 381:40] - wire _T_1383 = _T_1382 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 381:60] + wire [1:0] _T_1318 = _T_1045 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1319 = _T_1315 | _T_1316; // @[Mux.scala 27:72] + wire [1:0] _T_1320 = _T_1319 | _T_1317; // @[Mux.scala 27:72] + wire [1:0] _T_1321 = _T_1320 | _T_1318; // @[Mux.scala 27:72] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1321; // @[el2_lsu_bus_buffer.scala 354:23] + wire _T_1323 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 363:39] + wire _T_1324 = ~_T_1323; // @[el2_lsu_bus_buffer.scala 363:26] + wire _T_1330 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 367:72] + wire _T_1333 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 367:98] + wire _T_1334 = obuf_sz_in[0] & _T_1333; // @[el2_lsu_bus_buffer.scala 367:96] + wire _T_1335 = _T_1330 | _T_1334; // @[el2_lsu_bus_buffer.scala 367:79] + wire _T_1338 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 367:153] + wire _T_1339 = ~_T_1338; // @[el2_lsu_bus_buffer.scala 367:134] + wire _T_1340 = obuf_sz_in[1] & _T_1339; // @[el2_lsu_bus_buffer.scala 367:132] + wire _T_1341 = _T_1335 | _T_1340; // @[el2_lsu_bus_buffer.scala 367:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1341; // @[el2_lsu_bus_buffer.scala 367:28] + wire _T_1358 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 381:40] + wire _T_1359 = _T_1358 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 381:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1384 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 381:80] - wire _T_1385 = _T_1383 & _T_1384; // @[el2_lsu_bus_buffer.scala 381:78] - wire _T_1386 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 381:99] - wire _T_1387 = _T_1385 & _T_1386; // @[el2_lsu_bus_buffer.scala 381:97] - wire _T_1388 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 381:113] - wire _T_1389 = _T_1387 & _T_1388; // @[el2_lsu_bus_buffer.scala 381:111] - wire _T_1390 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 381:130] - wire _T_1391 = _T_1389 & _T_1390; // @[el2_lsu_bus_buffer.scala 381:128] - wire _T_1392 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 382:20] - wire _T_1393 = obuf_valid & _T_1392; // @[el2_lsu_bus_buffer.scala 382:18] + wire _T_1360 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 381:80] + wire _T_1361 = _T_1359 & _T_1360; // @[el2_lsu_bus_buffer.scala 381:78] + wire _T_1362 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 381:99] + wire _T_1363 = _T_1361 & _T_1362; // @[el2_lsu_bus_buffer.scala 381:97] + wire _T_1364 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 381:113] + wire _T_1365 = _T_1363 & _T_1364; // @[el2_lsu_bus_buffer.scala 381:111] + wire _T_1366 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 381:130] + wire _T_1367 = _T_1365 & _T_1366; // @[el2_lsu_bus_buffer.scala 381:128] + wire _T_1368 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 382:20] + wire _T_1369 = obuf_valid & _T_1368; // @[el2_lsu_bus_buffer.scala 382:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 409:56] wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 621:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 410:55] - wire _T_1394 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 382:90] - wire _T_1395 = bus_rsp_read & _T_1394; // @[el2_lsu_bus_buffer.scala 382:70] - wire _T_1396 = ~_T_1395; // @[el2_lsu_bus_buffer.scala 382:55] - wire _T_1397 = obuf_rdrsp_pend & _T_1396; // @[el2_lsu_bus_buffer.scala 382:53] - wire _T_1398 = _T_1393 | _T_1397; // @[el2_lsu_bus_buffer.scala 382:34] - wire obuf_nosend_in = _T_1391 & _T_1398; // @[el2_lsu_bus_buffer.scala 381:165] - wire _T_1366 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 375:44] - wire _T_1367 = obuf_wr_en & _T_1366; // @[el2_lsu_bus_buffer.scala 375:42] - wire _T_1368 = ~_T_1367; // @[el2_lsu_bus_buffer.scala 375:29] - wire _T_1369 = _T_1368 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 375:61] - wire _T_1373 = _T_1369 & _T_1396; // @[el2_lsu_bus_buffer.scala 375:79] - wire _T_1375 = bus_cmd_sent & _T_1386; // @[el2_lsu_bus_buffer.scala 376:20] - wire _T_1376 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 376:37] - wire _T_1377 = _T_1375 & _T_1376; // @[el2_lsu_bus_buffer.scala 376:35] - wire _T_1379 = bus_cmd_sent | _T_1386; // @[el2_lsu_bus_buffer.scala 378:44] - wire [7:0] _T_1401 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1402 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1403 = io_lsu_addr_r[2] ? _T_1401 : _T_1402; // @[el2_lsu_bus_buffer.scala 383:46] - wire [3:0] _T_1422 = _T_1066 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1423 = _T_1067 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1424 = _T_1068 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1425 = _T_1069 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1426 = _T_1422 | _T_1423; // @[Mux.scala 27:72] - wire [3:0] _T_1427 = _T_1426 | _T_1424; // @[Mux.scala 27:72] - wire [3:0] _T_1428 = _T_1427 | _T_1425; // @[Mux.scala 27:72] - wire [7:0] _T_1430 = {_T_1428,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1443 = {4'h0,_T_1428}; // @[Cat.scala 29:58] - wire [7:0] _T_1444 = _T_1332[2] ? _T_1430 : _T_1443; // @[el2_lsu_bus_buffer.scala 384:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1403 : _T_1444; // @[el2_lsu_bus_buffer.scala 383:28] - wire [7:0] _T_1446 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1447 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1448 = io_end_addr_r[2] ? _T_1446 : _T_1447; // @[el2_lsu_bus_buffer.scala 385:46] - wire [7:0] _T_1475 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1488 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1489 = buf_addr_0[2] ? _T_1475 : _T_1488; // @[el2_lsu_bus_buffer.scala 386:8] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1448 : _T_1489; // @[el2_lsu_bus_buffer.scala 385:28] - wire [63:0] _T_1491 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1492 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1493 = io_lsu_addr_r[2] ? _T_1491 : _T_1492; // @[el2_lsu_bus_buffer.scala 388:44] - wire [31:0] _T_1512 = _T_1066 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1513 = _T_1067 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1514 = _T_1068 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1515 = _T_1069 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1516 = _T_1512 | _T_1513; // @[Mux.scala 27:72] - wire [31:0] _T_1517 = _T_1516 | _T_1514; // @[Mux.scala 27:72] - wire [31:0] _T_1518 = _T_1517 | _T_1515; // @[Mux.scala 27:72] - wire [63:0] _T_1520 = {_T_1518,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1533 = {32'h0,_T_1518}; // @[Cat.scala 29:58] - wire [63:0] _T_1534 = _T_1332[2] ? _T_1520 : _T_1533; // @[el2_lsu_bus_buffer.scala 389:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1493 : _T_1534; // @[el2_lsu_bus_buffer.scala 388:26] - wire [63:0] _T_1536 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1537 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1538 = io_lsu_addr_r[2] ? _T_1536 : _T_1537; // @[el2_lsu_bus_buffer.scala 390:44] - wire [63:0] _T_1565 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1578 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1579 = buf_addr_0[2] ? _T_1565 : _T_1578; // @[el2_lsu_bus_buffer.scala 391:8] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1538 : _T_1579; // @[el2_lsu_bus_buffer.scala 390:26] - wire _T_1664 = CmdPtr0 != 2'h0; // @[el2_lsu_bus_buffer.scala 397:30] - wire _T_1665 = _T_1664 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 397:43] - wire _T_1666 = _T_1665 & found_cmdptr1; // @[el2_lsu_bus_buffer.scala 397:59] - wire _T_1680 = _T_1666 & _T_1150; // @[el2_lsu_bus_buffer.scala 397:75] - wire _T_1694 = _T_1680 & _T_2651; // @[el2_lsu_bus_buffer.scala 397:118] - wire _T_1715 = _T_1694 & _T_1171; // @[el2_lsu_bus_buffer.scala 397:161] - wire _T_1733 = _T_1715 & _T_1096; // @[el2_lsu_bus_buffer.scala 398:83] - wire _T_1835 = _T_1247 & _T_1209; // @[el2_lsu_bus_buffer.scala 401:36] + wire _T_1370 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 382:90] + wire _T_1371 = bus_rsp_read & _T_1370; // @[el2_lsu_bus_buffer.scala 382:70] + wire _T_1372 = ~_T_1371; // @[el2_lsu_bus_buffer.scala 382:55] + wire _T_1373 = obuf_rdrsp_pend & _T_1372; // @[el2_lsu_bus_buffer.scala 382:53] + wire _T_1374 = _T_1369 | _T_1373; // @[el2_lsu_bus_buffer.scala 382:34] + wire obuf_nosend_in = _T_1367 & _T_1374; // @[el2_lsu_bus_buffer.scala 381:165] + wire _T_1342 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 375:44] + wire _T_1343 = obuf_wr_en & _T_1342; // @[el2_lsu_bus_buffer.scala 375:42] + wire _T_1344 = ~_T_1343; // @[el2_lsu_bus_buffer.scala 375:29] + wire _T_1345 = _T_1344 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 375:61] + wire _T_1349 = _T_1345 & _T_1372; // @[el2_lsu_bus_buffer.scala 375:79] + wire _T_1351 = bus_cmd_sent & _T_1362; // @[el2_lsu_bus_buffer.scala 376:20] + wire _T_1352 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 376:37] + wire _T_1353 = _T_1351 & _T_1352; // @[el2_lsu_bus_buffer.scala 376:35] + wire _T_1355 = bus_cmd_sent | _T_1362; // @[el2_lsu_bus_buffer.scala 378:44] + wire [7:0] _T_1377 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1378 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1379 = io_lsu_addr_r[2] ? _T_1377 : _T_1378; // @[el2_lsu_bus_buffer.scala 383:46] + wire [3:0] _T_1398 = _T_1042 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1399 = _T_1043 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1400 = _T_1044 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1401 = _T_1045 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1402 = _T_1398 | _T_1399; // @[Mux.scala 27:72] + wire [3:0] _T_1403 = _T_1402 | _T_1400; // @[Mux.scala 27:72] + wire [3:0] _T_1404 = _T_1403 | _T_1401; // @[Mux.scala 27:72] + wire [7:0] _T_1406 = {_T_1404,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1419 = {4'h0,_T_1404}; // @[Cat.scala 29:58] + wire [7:0] _T_1420 = _T_1308[2] ? _T_1406 : _T_1419; // @[el2_lsu_bus_buffer.scala 384:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1379 : _T_1420; // @[el2_lsu_bus_buffer.scala 383:28] + wire [7:0] _T_1422 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1423 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1424 = io_end_addr_r[2] ? _T_1422 : _T_1423; // @[el2_lsu_bus_buffer.scala 385:46] + wire [7:0] _T_1451 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1464 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] + wire [7:0] _T_1465 = buf_addr_0[2] ? _T_1451 : _T_1464; // @[el2_lsu_bus_buffer.scala 386:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1424 : _T_1465; // @[el2_lsu_bus_buffer.scala 385:28] + wire [63:0] _T_1467 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1468 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1469 = io_lsu_addr_r[2] ? _T_1467 : _T_1468; // @[el2_lsu_bus_buffer.scala 388:44] + wire [31:0] _T_1488 = _T_1042 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1489 = _T_1043 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1490 = _T_1044 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1491 = _T_1045 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1492 = _T_1488 | _T_1489; // @[Mux.scala 27:72] + wire [31:0] _T_1493 = _T_1492 | _T_1490; // @[Mux.scala 27:72] + wire [31:0] _T_1494 = _T_1493 | _T_1491; // @[Mux.scala 27:72] + wire [63:0] _T_1496 = {_T_1494,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1509 = {32'h0,_T_1494}; // @[Cat.scala 29:58] + wire [63:0] _T_1510 = _T_1308[2] ? _T_1496 : _T_1509; // @[el2_lsu_bus_buffer.scala 389:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1469 : _T_1510; // @[el2_lsu_bus_buffer.scala 388:26] + wire [63:0] _T_1512 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1513 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1514 = io_lsu_addr_r[2] ? _T_1512 : _T_1513; // @[el2_lsu_bus_buffer.scala 390:44] + wire [63:0] _T_1541 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1554 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] + wire [63:0] _T_1555 = buf_addr_0[2] ? _T_1541 : _T_1554; // @[el2_lsu_bus_buffer.scala 391:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1514 : _T_1555; // @[el2_lsu_bus_buffer.scala 390:26] + wire _T_1640 = CmdPtr0 != 2'h0; // @[el2_lsu_bus_buffer.scala 397:30] + wire _T_1641 = _T_1640 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 397:43] + wire _T_1642 = _T_1641 & found_cmdptr1; // @[el2_lsu_bus_buffer.scala 397:59] + wire _T_1656 = _T_1642 & _T_1126; // @[el2_lsu_bus_buffer.scala 397:75] + wire _T_1670 = _T_1656 & _T_2627; // @[el2_lsu_bus_buffer.scala 397:118] + wire _T_1691 = _T_1670 & _T_1147; // @[el2_lsu_bus_buffer.scala 397:161] + wire _T_1709 = _T_1691 & _T_1072; // @[el2_lsu_bus_buffer.scala 398:83] + wire _T_1811 = _T_1223 & _T_1185; // @[el2_lsu_bus_buffer.scala 401:36] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] reg buf_dualhi_0; // @[Reg.scala 27:20] - wire [3:0] _T_1838 = {buf_dualhi_3,buf_dualhi_2,buf_dualhi_1,buf_dualhi_0}; // @[Cat.scala 29:58] - wire _T_1847 = _T_1066 & _T_1838[0]; // @[Mux.scala 27:72] - wire _T_1848 = _T_1067 & _T_1838[1]; // @[Mux.scala 27:72] - wire _T_1851 = _T_1847 | _T_1848; // @[Mux.scala 27:72] - wire _T_1849 = _T_1068 & _T_1838[2]; // @[Mux.scala 27:72] - wire _T_1852 = _T_1851 | _T_1849; // @[Mux.scala 27:72] - wire _T_1850 = _T_1069 & _T_1838[3]; // @[Mux.scala 27:72] - wire _T_1853 = _T_1852 | _T_1850; // @[Mux.scala 27:72] - wire _T_1855 = ~_T_1853; // @[el2_lsu_bus_buffer.scala 401:107] - wire _T_1856 = _T_1835 & _T_1855; // @[el2_lsu_bus_buffer.scala 401:105] - wire _T_1876 = _T_1856 & _T_1228; // @[el2_lsu_bus_buffer.scala 401:177] - wire _T_1878 = _T_1733 & _T_1876; // @[el2_lsu_bus_buffer.scala 398:120] - wire _T_1879 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 402:19] - wire _T_1880 = _T_1879 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 402:35] - wire obuf_merge_en = _T_1878 | _T_1880; // @[el2_lsu_bus_buffer.scala 401:251] - wire _T_1582 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 392:80] - wire _T_1583 = obuf_byteen0_in[0] | _T_1582; // @[el2_lsu_bus_buffer.scala 392:63] - wire _T_1586 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 392:80] - wire _T_1587 = obuf_byteen0_in[1] | _T_1586; // @[el2_lsu_bus_buffer.scala 392:63] - wire _T_1590 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 392:80] - wire _T_1591 = obuf_byteen0_in[2] | _T_1590; // @[el2_lsu_bus_buffer.scala 392:63] - wire _T_1594 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 392:80] - wire _T_1595 = obuf_byteen0_in[3] | _T_1594; // @[el2_lsu_bus_buffer.scala 392:63] - wire _T_1598 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 392:80] - wire _T_1599 = obuf_byteen0_in[4] | _T_1598; // @[el2_lsu_bus_buffer.scala 392:63] - wire _T_1602 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 392:80] - wire _T_1603 = obuf_byteen0_in[5] | _T_1602; // @[el2_lsu_bus_buffer.scala 392:63] - wire _T_1606 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 392:80] - wire _T_1607 = obuf_byteen0_in[6] | _T_1606; // @[el2_lsu_bus_buffer.scala 392:63] - wire _T_1610 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 392:80] - wire _T_1611 = obuf_byteen0_in[7] | _T_1610; // @[el2_lsu_bus_buffer.scala 392:63] - wire [7:0] obuf_byteen_in = {_T_1611,_T_1607,_T_1603,_T_1599,_T_1595,_T_1591,_T_1587,_T_1583}; // @[Cat.scala 29:58] - wire [7:0] _T_1622 = _T_1582 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 393:44] - wire [7:0] _T_1627 = _T_1586 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 393:44] - wire [7:0] _T_1632 = _T_1590 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 393:44] - wire [7:0] _T_1637 = _T_1594 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 393:44] - wire [7:0] _T_1642 = _T_1598 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 393:44] - wire [7:0] _T_1647 = _T_1602 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 393:44] - wire [7:0] _T_1652 = _T_1606 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 393:44] - wire [7:0] _T_1657 = _T_1610 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 393:44] - wire [55:0] _T_1663 = {_T_1657,_T_1652,_T_1647,_T_1642,_T_1637,_T_1632,_T_1627}; // @[Cat.scala 29:58] - wire _T_1882 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 405:58] - wire _T_1883 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 405:93] + wire [3:0] _T_1814 = {buf_dualhi_3,buf_dualhi_2,buf_dualhi_1,buf_dualhi_0}; // @[Cat.scala 29:58] + wire _T_1823 = _T_1042 & _T_1814[0]; // @[Mux.scala 27:72] + wire _T_1824 = _T_1043 & _T_1814[1]; // @[Mux.scala 27:72] + wire _T_1827 = _T_1823 | _T_1824; // @[Mux.scala 27:72] + wire _T_1825 = _T_1044 & _T_1814[2]; // @[Mux.scala 27:72] + wire _T_1828 = _T_1827 | _T_1825; // @[Mux.scala 27:72] + wire _T_1826 = _T_1045 & _T_1814[3]; // @[Mux.scala 27:72] + wire _T_1829 = _T_1828 | _T_1826; // @[Mux.scala 27:72] + wire _T_1831 = ~_T_1829; // @[el2_lsu_bus_buffer.scala 401:107] + wire _T_1832 = _T_1811 & _T_1831; // @[el2_lsu_bus_buffer.scala 401:105] + wire _T_1852 = _T_1832 & _T_1204; // @[el2_lsu_bus_buffer.scala 401:177] + wire _T_1854 = _T_1709 & _T_1852; // @[el2_lsu_bus_buffer.scala 398:120] + wire _T_1855 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 402:19] + wire _T_1856 = _T_1855 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 402:35] + wire obuf_merge_en = _T_1854 | _T_1856; // @[el2_lsu_bus_buffer.scala 401:251] + wire _T_1558 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1559 = obuf_byteen0_in[0] | _T_1558; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1562 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1563 = obuf_byteen0_in[1] | _T_1562; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1566 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1567 = obuf_byteen0_in[2] | _T_1566; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1570 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1571 = obuf_byteen0_in[3] | _T_1570; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1574 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1575 = obuf_byteen0_in[4] | _T_1574; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1578 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1579 = obuf_byteen0_in[5] | _T_1578; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1582 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1583 = obuf_byteen0_in[6] | _T_1582; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1586 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1587 = obuf_byteen0_in[7] | _T_1586; // @[el2_lsu_bus_buffer.scala 392:63] + wire [7:0] obuf_byteen_in = {_T_1587,_T_1583,_T_1579,_T_1575,_T_1571,_T_1567,_T_1563,_T_1559}; // @[Cat.scala 29:58] + wire [7:0] _T_1598 = _T_1558 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1603 = _T_1562 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1608 = _T_1566 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1613 = _T_1570 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1618 = _T_1574 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1623 = _T_1578 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1628 = _T_1582 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1633 = _T_1586 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [55:0] _T_1639 = {_T_1633,_T_1628,_T_1623,_T_1618,_T_1613,_T_1608,_T_1603}; // @[Cat.scala 29:58] + wire _T_1858 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 405:58] + wire _T_1859 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 405:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1896 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 423:65] - wire _T_1897 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 423:103] - wire _T_1898 = ibuf_valid & _T_1897; // @[el2_lsu_bus_buffer.scala 423:92] - wire _T_1899 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 424:35] - wire _T_1900 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 425:33] - wire _T_1901 = io_ldst_dual_r & _T_1900; // @[el2_lsu_bus_buffer.scala 425:21] - wire _T_1902 = _T_1899 | _T_1901; // @[el2_lsu_bus_buffer.scala 424:44] - wire _T_1903 = io_lsu_busreq_r & _T_1902; // @[el2_lsu_bus_buffer.scala 424:22] - wire _T_1904 = _T_1898 | _T_1903; // @[el2_lsu_bus_buffer.scala 423:112] - wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 423:78] - wire _T_1906 = _T_1896 & _T_1905; // @[el2_lsu_bus_buffer.scala 423:76] - wire _T_1907 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 423:65] - wire _T_1908 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 423:103] - wire _T_1909 = ibuf_valid & _T_1908; // @[el2_lsu_bus_buffer.scala 423:92] - wire _T_1910 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 424:35] - wire _T_1911 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 425:33] - wire _T_1912 = io_ldst_dual_r & _T_1911; // @[el2_lsu_bus_buffer.scala 425:21] - wire _T_1913 = _T_1910 | _T_1912; // @[el2_lsu_bus_buffer.scala 424:44] - wire _T_1914 = io_lsu_busreq_r & _T_1913; // @[el2_lsu_bus_buffer.scala 424:22] - wire _T_1915 = _T_1909 | _T_1914; // @[el2_lsu_bus_buffer.scala 423:112] - wire _T_1916 = ~_T_1915; // @[el2_lsu_bus_buffer.scala 423:78] - wire _T_1917 = _T_1907 & _T_1916; // @[el2_lsu_bus_buffer.scala 423:76] - wire _T_1918 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 423:65] - wire _T_1919 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 423:103] - wire _T_1920 = ibuf_valid & _T_1919; // @[el2_lsu_bus_buffer.scala 423:92] - wire _T_1921 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 424:35] - wire _T_1922 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 425:33] - wire _T_1923 = io_ldst_dual_r & _T_1922; // @[el2_lsu_bus_buffer.scala 425:21] - wire _T_1924 = _T_1921 | _T_1923; // @[el2_lsu_bus_buffer.scala 424:44] - wire _T_1925 = io_lsu_busreq_r & _T_1924; // @[el2_lsu_bus_buffer.scala 424:22] - wire _T_1926 = _T_1920 | _T_1925; // @[el2_lsu_bus_buffer.scala 423:112] - wire _T_1927 = ~_T_1926; // @[el2_lsu_bus_buffer.scala 423:78] - wire _T_1928 = _T_1918 & _T_1927; // @[el2_lsu_bus_buffer.scala 423:76] - wire _T_1929 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 423:65] - wire _T_1930 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 423:103] - wire _T_1931 = ibuf_valid & _T_1930; // @[el2_lsu_bus_buffer.scala 423:92] - wire _T_1932 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 424:35] - wire _T_1933 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 425:33] - wire _T_1934 = io_ldst_dual_r & _T_1933; // @[el2_lsu_bus_buffer.scala 425:21] - wire _T_1935 = _T_1932 | _T_1934; // @[el2_lsu_bus_buffer.scala 424:44] - wire _T_1936 = io_lsu_busreq_r & _T_1935; // @[el2_lsu_bus_buffer.scala 424:22] - wire _T_1937 = _T_1931 | _T_1936; // @[el2_lsu_bus_buffer.scala 423:112] - wire _T_1938 = ~_T_1937; // @[el2_lsu_bus_buffer.scala 423:78] - wire _T_1939 = _T_1929 & _T_1938; // @[el2_lsu_bus_buffer.scala 423:76] - wire [1:0] _T_1940 = _T_1939 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1941 = _T_1928 ? 2'h2 : _T_1940; // @[Mux.scala 98:16] - wire [1:0] _T_1942 = _T_1917 ? 2'h1 : _T_1941; // @[Mux.scala 98:16] - wire [1:0] WrPtr0_m = _T_1906 ? 2'h0 : _T_1942; // @[Mux.scala 98:16] - wire [8:0] _T_1945 = {buf_state_0,buf_state_1,buf_state_2}; // @[Cat.scala 29:58] - wire _T_1950 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 429:33] - wire _T_1951 = io_lsu_busreq_m & _T_1950; // @[el2_lsu_bus_buffer.scala 429:22] - wire _T_1952 = _T_1898 | _T_1951; // @[el2_lsu_bus_buffer.scala 428:115] - wire _T_1958 = _T_1952 | _T_1903; // @[el2_lsu_bus_buffer.scala 429:42] - wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 428:81] - wire _T_1960 = _T_1896 & _T_1959; // @[el2_lsu_bus_buffer.scala 428:79] - wire _T_1964 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 429:33] - wire _T_1965 = io_lsu_busreq_m & _T_1964; // @[el2_lsu_bus_buffer.scala 429:22] - wire _T_1966 = _T_1909 | _T_1965; // @[el2_lsu_bus_buffer.scala 428:115] - wire _T_1972 = _T_1966 | _T_1914; // @[el2_lsu_bus_buffer.scala 429:42] - wire _T_1973 = ~_T_1972; // @[el2_lsu_bus_buffer.scala 428:81] - wire _T_1974 = _T_1907 & _T_1973; // @[el2_lsu_bus_buffer.scala 428:79] - wire _T_1978 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 429:33] - wire _T_1979 = io_lsu_busreq_m & _T_1978; // @[el2_lsu_bus_buffer.scala 429:22] - wire _T_1980 = _T_1920 | _T_1979; // @[el2_lsu_bus_buffer.scala 428:115] - wire _T_1986 = _T_1980 | _T_1925; // @[el2_lsu_bus_buffer.scala 429:42] - wire _T_1987 = ~_T_1986; // @[el2_lsu_bus_buffer.scala 428:81] - wire _T_1988 = _T_1918 & _T_1987; // @[el2_lsu_bus_buffer.scala 428:79] - wire _T_1992 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 429:33] - wire _T_1993 = io_lsu_busreq_m & _T_1992; // @[el2_lsu_bus_buffer.scala 429:22] - wire _T_1994 = _T_1931 | _T_1993; // @[el2_lsu_bus_buffer.scala 428:115] - wire _T_2000 = _T_1994 | _T_1936; // @[el2_lsu_bus_buffer.scala 429:42] - wire _T_2001 = ~_T_2000; // @[el2_lsu_bus_buffer.scala 428:81] - wire _T_2002 = _T_1929 & _T_2001; // @[el2_lsu_bus_buffer.scala 428:79] - wire [1:0] _T_2003 = _T_2002 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_2004 = _T_1988 ? 2'h2 : _T_2003; // @[Mux.scala 98:16] - wire [1:0] _T_2005 = _T_1974 ? 2'h1 : _T_2004; // @[Mux.scala 98:16] + wire _T_1872 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 423:65] + wire _T_1873 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 423:103] + wire _T_1874 = ibuf_valid & _T_1873; // @[el2_lsu_bus_buffer.scala 423:92] + wire _T_1875 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 424:35] + wire _T_1876 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 425:33] + wire _T_1877 = io_ldst_dual_r & _T_1876; // @[el2_lsu_bus_buffer.scala 425:21] + wire _T_1878 = _T_1875 | _T_1877; // @[el2_lsu_bus_buffer.scala 424:44] + wire _T_1879 = io_lsu_busreq_r & _T_1878; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1880 = _T_1874 | _T_1879; // @[el2_lsu_bus_buffer.scala 423:112] + wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 423:78] + wire _T_1882 = _T_1872 & _T_1881; // @[el2_lsu_bus_buffer.scala 423:76] + wire _T_1883 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 423:65] + wire _T_1884 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 423:103] + wire _T_1885 = ibuf_valid & _T_1884; // @[el2_lsu_bus_buffer.scala 423:92] + wire _T_1886 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 424:35] + wire _T_1887 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 425:33] + wire _T_1888 = io_ldst_dual_r & _T_1887; // @[el2_lsu_bus_buffer.scala 425:21] + wire _T_1889 = _T_1886 | _T_1888; // @[el2_lsu_bus_buffer.scala 424:44] + wire _T_1890 = io_lsu_busreq_r & _T_1889; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1891 = _T_1885 | _T_1890; // @[el2_lsu_bus_buffer.scala 423:112] + wire _T_1892 = ~_T_1891; // @[el2_lsu_bus_buffer.scala 423:78] + wire _T_1893 = _T_1883 & _T_1892; // @[el2_lsu_bus_buffer.scala 423:76] + wire _T_1894 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 423:65] + wire _T_1895 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 423:103] + wire _T_1896 = ibuf_valid & _T_1895; // @[el2_lsu_bus_buffer.scala 423:92] + wire _T_1897 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 424:35] + wire _T_1898 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 425:33] + wire _T_1899 = io_ldst_dual_r & _T_1898; // @[el2_lsu_bus_buffer.scala 425:21] + wire _T_1900 = _T_1897 | _T_1899; // @[el2_lsu_bus_buffer.scala 424:44] + wire _T_1901 = io_lsu_busreq_r & _T_1900; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1902 = _T_1896 | _T_1901; // @[el2_lsu_bus_buffer.scala 423:112] + wire _T_1903 = ~_T_1902; // @[el2_lsu_bus_buffer.scala 423:78] + wire _T_1904 = _T_1894 & _T_1903; // @[el2_lsu_bus_buffer.scala 423:76] + wire _T_1905 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 423:65] + wire _T_1906 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 423:103] + wire _T_1907 = ibuf_valid & _T_1906; // @[el2_lsu_bus_buffer.scala 423:92] + wire _T_1908 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 424:35] + wire _T_1909 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 425:33] + wire _T_1910 = io_ldst_dual_r & _T_1909; // @[el2_lsu_bus_buffer.scala 425:21] + wire _T_1911 = _T_1908 | _T_1910; // @[el2_lsu_bus_buffer.scala 424:44] + wire _T_1912 = io_lsu_busreq_r & _T_1911; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1913 = _T_1907 | _T_1912; // @[el2_lsu_bus_buffer.scala 423:112] + wire _T_1914 = ~_T_1913; // @[el2_lsu_bus_buffer.scala 423:78] + wire _T_1915 = _T_1905 & _T_1914; // @[el2_lsu_bus_buffer.scala 423:76] + wire [1:0] _T_1916 = _T_1915 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1917 = _T_1904 ? 2'h2 : _T_1916; // @[Mux.scala 98:16] + wire [1:0] _T_1918 = _T_1893 ? 2'h1 : _T_1917; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1882 ? 2'h0 : _T_1918; // @[Mux.scala 98:16] + wire [8:0] _T_1921 = {buf_state_0,buf_state_1,buf_state_2}; // @[Cat.scala 29:58] + wire _T_1926 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 429:33] + wire _T_1927 = io_lsu_busreq_m & _T_1926; // @[el2_lsu_bus_buffer.scala 429:22] + wire _T_1928 = _T_1874 | _T_1927; // @[el2_lsu_bus_buffer.scala 428:115] + wire _T_1934 = _T_1928 | _T_1879; // @[el2_lsu_bus_buffer.scala 429:42] + wire _T_1935 = ~_T_1934; // @[el2_lsu_bus_buffer.scala 428:81] + wire _T_1936 = _T_1872 & _T_1935; // @[el2_lsu_bus_buffer.scala 428:79] + wire _T_1940 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 429:33] + wire _T_1941 = io_lsu_busreq_m & _T_1940; // @[el2_lsu_bus_buffer.scala 429:22] + wire _T_1942 = _T_1885 | _T_1941; // @[el2_lsu_bus_buffer.scala 428:115] + wire _T_1948 = _T_1942 | _T_1890; // @[el2_lsu_bus_buffer.scala 429:42] + wire _T_1949 = ~_T_1948; // @[el2_lsu_bus_buffer.scala 428:81] + wire _T_1950 = _T_1883 & _T_1949; // @[el2_lsu_bus_buffer.scala 428:79] + wire _T_1954 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 429:33] + wire _T_1955 = io_lsu_busreq_m & _T_1954; // @[el2_lsu_bus_buffer.scala 429:22] + wire _T_1956 = _T_1896 | _T_1955; // @[el2_lsu_bus_buffer.scala 428:115] + wire _T_1962 = _T_1956 | _T_1901; // @[el2_lsu_bus_buffer.scala 429:42] + wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 428:81] + wire _T_1964 = _T_1894 & _T_1963; // @[el2_lsu_bus_buffer.scala 428:79] + wire _T_1968 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 429:33] + wire _T_1969 = io_lsu_busreq_m & _T_1968; // @[el2_lsu_bus_buffer.scala 429:22] + wire _T_1970 = _T_1907 | _T_1969; // @[el2_lsu_bus_buffer.scala 428:115] + wire _T_1976 = _T_1970 | _T_1912; // @[el2_lsu_bus_buffer.scala 429:42] + wire _T_1977 = ~_T_1976; // @[el2_lsu_bus_buffer.scala 428:81] + wire _T_1978 = _T_1905 & _T_1977; // @[el2_lsu_bus_buffer.scala 428:79] + wire [1:0] _T_1979 = _T_1978 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1980 = _T_1964 ? 2'h2 : _T_1979; // @[Mux.scala 98:16] + wire [1:0] _T_1981 = _T_1950 ? 2'h1 : _T_1980; // @[Mux.scala 98:16] reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 561:63] - wire _T_2791 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 474:104] - wire _T_2792 = buf_rspageQ_0[3] & _T_2791; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_2788 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 474:104] - wire _T_2789 = buf_rspageQ_0[2] & _T_2788; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_2785 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 474:104] - wire _T_2786 = buf_rspageQ_0[1] & _T_2785; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_2782 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 474:104] - wire _T_2783 = buf_rspageQ_0[0] & _T_2782; // @[el2_lsu_bus_buffer.scala 474:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2792,_T_2789,_T_2786,_T_2783}; // @[Cat.scala 29:58] - wire _T_2078 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 441:65] - wire _T_2079 = ~_T_2078; // @[el2_lsu_bus_buffer.scala 441:44] - wire _T_2081 = _T_2079 & _T_2782; // @[el2_lsu_bus_buffer.scala 441:70] + wire _T_2767 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 474:104] + wire _T_2768 = buf_rspageQ_0[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2764 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 474:104] + wire _T_2765 = buf_rspageQ_0[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2761 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 474:104] + wire _T_2762 = buf_rspageQ_0[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2758 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 474:104] + wire _T_2759 = buf_rspageQ_0[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 474:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2768,_T_2765,_T_2762,_T_2759}; // @[Cat.scala 29:58] + wire _T_2054 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 441:65] + wire _T_2055 = ~_T_2054; // @[el2_lsu_bus_buffer.scala 441:44] + wire _T_2057 = _T_2055 & _T_2758; // @[el2_lsu_bus_buffer.scala 441:70] reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 561:63] - wire _T_2807 = buf_rspageQ_1[3] & _T_2791; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_2804 = buf_rspageQ_1[2] & _T_2788; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_2801 = buf_rspageQ_1[1] & _T_2785; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_2798 = buf_rspageQ_1[0] & _T_2782; // @[el2_lsu_bus_buffer.scala 474:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2807,_T_2804,_T_2801,_T_2798}; // @[Cat.scala 29:58] - wire _T_2082 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 441:65] - wire _T_2083 = ~_T_2082; // @[el2_lsu_bus_buffer.scala 441:44] - wire _T_2085 = _T_2083 & _T_2785; // @[el2_lsu_bus_buffer.scala 441:70] + wire _T_2783 = buf_rspageQ_1[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2780 = buf_rspageQ_1[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2777 = buf_rspageQ_1[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2774 = buf_rspageQ_1[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 474:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2783,_T_2780,_T_2777,_T_2774}; // @[Cat.scala 29:58] + wire _T_2058 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 441:65] + wire _T_2059 = ~_T_2058; // @[el2_lsu_bus_buffer.scala 441:44] + wire _T_2061 = _T_2059 & _T_2761; // @[el2_lsu_bus_buffer.scala 441:70] reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 561:63] - wire _T_2822 = buf_rspageQ_2[3] & _T_2791; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_2819 = buf_rspageQ_2[2] & _T_2788; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_2816 = buf_rspageQ_2[1] & _T_2785; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_2813 = buf_rspageQ_2[0] & _T_2782; // @[el2_lsu_bus_buffer.scala 474:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2822,_T_2819,_T_2816,_T_2813}; // @[Cat.scala 29:58] - wire _T_2086 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 441:65] - wire _T_2087 = ~_T_2086; // @[el2_lsu_bus_buffer.scala 441:44] - wire _T_2089 = _T_2087 & _T_2788; // @[el2_lsu_bus_buffer.scala 441:70] + wire _T_2798 = buf_rspageQ_2[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2795 = buf_rspageQ_2[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2792 = buf_rspageQ_2[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2789 = buf_rspageQ_2[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 474:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2798,_T_2795,_T_2792,_T_2789}; // @[Cat.scala 29:58] + wire _T_2062 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 441:65] + wire _T_2063 = ~_T_2062; // @[el2_lsu_bus_buffer.scala 441:44] + wire _T_2065 = _T_2063 & _T_2764; // @[el2_lsu_bus_buffer.scala 441:70] reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 561:63] - wire _T_2837 = buf_rspageQ_3[3] & _T_2791; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_2834 = buf_rspageQ_3[2] & _T_2788; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_2831 = buf_rspageQ_3[1] & _T_2785; // @[el2_lsu_bus_buffer.scala 474:89] - wire _T_2828 = buf_rspageQ_3[0] & _T_2782; // @[el2_lsu_bus_buffer.scala 474:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2837,_T_2834,_T_2831,_T_2828}; // @[Cat.scala 29:58] - wire _T_2090 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 441:65] - wire _T_2091 = ~_T_2090; // @[el2_lsu_bus_buffer.scala 441:44] - wire _T_2093 = _T_2091 & _T_2791; // @[el2_lsu_bus_buffer.scala 441:70] - wire [7:0] _T_2149 = {4'h0,_T_2093,_T_2089,_T_2085,_T_2081}; // @[Cat.scala 29:58] - wire _T_2152 = _T_2149[4] | _T_2149[5]; // @[el2_lsu_bus_buffer.scala 445:42] - wire _T_2154 = _T_2152 | _T_2149[6]; // @[el2_lsu_bus_buffer.scala 445:48] - wire _T_2156 = _T_2154 | _T_2149[7]; // @[el2_lsu_bus_buffer.scala 445:54] - wire _T_2159 = _T_2149[2] | _T_2149[3]; // @[el2_lsu_bus_buffer.scala 445:67] - wire _T_2161 = _T_2159 | _T_2149[6]; // @[el2_lsu_bus_buffer.scala 445:73] - wire _T_2163 = _T_2161 | _T_2149[7]; // @[el2_lsu_bus_buffer.scala 445:79] - wire _T_2166 = _T_2149[1] | _T_2149[3]; // @[el2_lsu_bus_buffer.scala 445:92] - wire _T_2168 = _T_2166 | _T_2149[5]; // @[el2_lsu_bus_buffer.scala 445:98] - wire _T_2170 = _T_2168 | _T_2149[7]; // @[el2_lsu_bus_buffer.scala 445:104] - wire [2:0] _T_2172 = {_T_2156,_T_2163,_T_2170}; // @[Cat.scala 29:58] - wire _T_3577 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 504:77] - wire _T_3578 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 504:97] - wire _T_3579 = _T_3577 & _T_3578; // @[el2_lsu_bus_buffer.scala 504:95] - wire _T_3580 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 504:117] - wire _T_3581 = _T_3579 & _T_3580; // @[el2_lsu_bus_buffer.scala 504:112] - wire _T_3582 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 504:144] - wire _T_3583 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 504:166] - wire _T_3584 = _T_3582 & _T_3583; // @[el2_lsu_bus_buffer.scala 504:161] - wire _T_3585 = _T_3581 | _T_3584; // @[el2_lsu_bus_buffer.scala 504:132] - wire _T_3586 = _T_875 & _T_3585; // @[el2_lsu_bus_buffer.scala 504:63] - wire _T_3587 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 504:206] - wire _T_3588 = ibuf_drain_vld & _T_3587; // @[el2_lsu_bus_buffer.scala 504:201] - wire _T_3589 = _T_3586 | _T_3588; // @[el2_lsu_bus_buffer.scala 504:183] - wire _T_3599 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 511:46] - wire _T_3634 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_2813 = buf_rspageQ_3[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2810 = buf_rspageQ_3[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2807 = buf_rspageQ_3[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2804 = buf_rspageQ_3[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 474:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2813,_T_2810,_T_2807,_T_2804}; // @[Cat.scala 29:58] + wire _T_2066 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 441:65] + wire _T_2067 = ~_T_2066; // @[el2_lsu_bus_buffer.scala 441:44] + wire _T_2069 = _T_2067 & _T_2767; // @[el2_lsu_bus_buffer.scala 441:70] + wire [7:0] _T_2125 = {4'h0,_T_2069,_T_2065,_T_2061,_T_2057}; // @[Cat.scala 29:58] + wire _T_2128 = _T_2125[4] | _T_2125[5]; // @[el2_lsu_bus_buffer.scala 445:42] + wire _T_2130 = _T_2128 | _T_2125[6]; // @[el2_lsu_bus_buffer.scala 445:48] + wire _T_2132 = _T_2130 | _T_2125[7]; // @[el2_lsu_bus_buffer.scala 445:54] + wire _T_2135 = _T_2125[2] | _T_2125[3]; // @[el2_lsu_bus_buffer.scala 445:67] + wire _T_2137 = _T_2135 | _T_2125[6]; // @[el2_lsu_bus_buffer.scala 445:73] + wire _T_2139 = _T_2137 | _T_2125[7]; // @[el2_lsu_bus_buffer.scala 445:79] + wire _T_2142 = _T_2125[1] | _T_2125[3]; // @[el2_lsu_bus_buffer.scala 445:92] + wire _T_2144 = _T_2142 | _T_2125[5]; // @[el2_lsu_bus_buffer.scala 445:98] + wire _T_2146 = _T_2144 | _T_2125[7]; // @[el2_lsu_bus_buffer.scala 445:104] + wire [2:0] _T_2148 = {_T_2132,_T_2139,_T_2146}; // @[Cat.scala 29:58] + wire _T_3553 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 504:77] + wire _T_3554 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 504:97] + wire _T_3555 = _T_3553 & _T_3554; // @[el2_lsu_bus_buffer.scala 504:95] + wire _T_3556 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 504:117] + wire _T_3557 = _T_3555 & _T_3556; // @[el2_lsu_bus_buffer.scala 504:112] + wire _T_3558 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 504:144] + wire _T_3559 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 504:166] + wire _T_3560 = _T_3558 & _T_3559; // @[el2_lsu_bus_buffer.scala 504:161] + wire _T_3561 = _T_3557 | _T_3560; // @[el2_lsu_bus_buffer.scala 504:132] + wire _T_3562 = _T_851 & _T_3561; // @[el2_lsu_bus_buffer.scala 504:63] + wire _T_3563 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 504:206] + wire _T_3564 = ibuf_drain_vld & _T_3563; // @[el2_lsu_bus_buffer.scala 504:201] + wire _T_3565 = _T_3562 | _T_3564; // @[el2_lsu_bus_buffer.scala 504:183] + wire _T_3575 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 511:46] + wire _T_3610 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 622:38] - wire _T_3679 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 529:73] - wire _T_3680 = bus_rsp_write & _T_3679; // @[el2_lsu_bus_buffer.scala 529:52] - wire _T_3681 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 530:46] - reg _T_4352; // @[Reg.scala 27:20] - reg _T_4350; // @[Reg.scala 27:20] - reg _T_4348; // @[Reg.scala 27:20] - reg _T_4346; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4352,_T_4350,_T_4348,_T_4346}; // @[Cat.scala 29:58] + wire _T_3655 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 529:73] + wire _T_3656 = bus_rsp_write & _T_3655; // @[el2_lsu_bus_buffer.scala 529:52] + wire _T_3657 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 530:46] + reg _T_4328; // @[Reg.scala 27:20] + reg _T_4326; // @[Reg.scala 27:20] + reg _T_4324; // @[Reg.scala 27:20] + reg _T_4322; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4328,_T_4326,_T_4324,_T_4322}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] wire [2:0] _GEN_370 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 531:47] - wire _T_3683 = io_lsu_axi_rid == _GEN_370; // @[el2_lsu_bus_buffer.scala 531:47] - wire _T_3684 = buf_ldfwd[0] & _T_3683; // @[el2_lsu_bus_buffer.scala 531:27] - wire _T_3685 = _T_3681 | _T_3684; // @[el2_lsu_bus_buffer.scala 530:77] - wire _T_3686 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 532:26] - wire _T_3688 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 532:44] - wire _T_3689 = _T_3686 & _T_3688; // @[el2_lsu_bus_buffer.scala 532:42] - wire _T_3690 = _T_3689 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 532:58] + wire _T_3659 = io_lsu_axi_rid == _GEN_370; // @[el2_lsu_bus_buffer.scala 531:47] + wire _T_3660 = buf_ldfwd[0] & _T_3659; // @[el2_lsu_bus_buffer.scala 531:27] + wire _T_3661 = _T_3657 | _T_3660; // @[el2_lsu_bus_buffer.scala 530:77] + wire _T_3662 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 532:26] + wire _T_3664 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 532:44] + wire _T_3665 = _T_3662 & _T_3664; // @[el2_lsu_bus_buffer.scala 532:42] + wire _T_3666 = _T_3665 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 532:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] wire [2:0] _GEN_371 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 532:94] - wire _T_3691 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 532:94] - wire _T_3692 = _T_3690 & _T_3691; // @[el2_lsu_bus_buffer.scala 532:74] - wire _T_3693 = _T_3685 | _T_3692; // @[el2_lsu_bus_buffer.scala 531:71] - wire _T_3694 = bus_rsp_read & _T_3693; // @[el2_lsu_bus_buffer.scala 530:25] - wire _T_3695 = _T_3680 | _T_3694; // @[el2_lsu_bus_buffer.scala 529:105] - wire _GEN_42 = _T_3634 & _T_3695; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3600 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3596 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3573 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3721 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3731 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 544:21] + wire _T_3667 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 532:94] + wire _T_3668 = _T_3666 & _T_3667; // @[el2_lsu_bus_buffer.scala 532:74] + wire _T_3669 = _T_3661 | _T_3668; // @[el2_lsu_bus_buffer.scala 531:71] + wire _T_3670 = bus_rsp_read & _T_3669; // @[el2_lsu_bus_buffer.scala 530:25] + wire _T_3671 = _T_3656 | _T_3670; // @[el2_lsu_bus_buffer.scala 529:105] + wire _GEN_42 = _T_3610 & _T_3671; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3576 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3572 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3549 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3697 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3707 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 544:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] @@ -1678,979 +1678,979 @@ module el2_lsu_bus_buffer( wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 544:58] wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 544:58] wire [2:0] _GEN_373 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 544:58] - wire _T_3733 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 544:58] - wire _T_3734 = _T_3731[0] & _T_3733; // @[el2_lsu_bus_buffer.scala 544:38] - wire _T_3735 = _T_3691 | _T_3734; // @[el2_lsu_bus_buffer.scala 543:95] - wire _T_3736 = bus_rsp_read & _T_3735; // @[el2_lsu_bus_buffer.scala 543:45] - wire _GEN_36 = _T_3721 & _T_3736; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3634 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3600 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3596 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3573 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3613 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 517:49] - wire _T_3614 = _T_3613 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 517:70] - wire _T_3739 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2172[1:0]; // @[el2_lsu_bus_buffer.scala 454:10] - wire _T_3742 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 549:37] - wire _T_3743 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 549:98] - wire _T_3744 = buf_dual_0 & _T_3743; // @[el2_lsu_bus_buffer.scala 549:80] - wire _T_3745 = _T_3742 | _T_3744; // @[el2_lsu_bus_buffer.scala 549:65] - wire _T_3746 = _T_3745 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 549:112] - wire _T_3747 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3739 ? _T_3746 : _T_3747; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3721 ? _T_3614 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3634 ? _T_3614 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3600 ? _T_3614 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3596 ? _T_3599 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3573 ? _T_3589 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2174 = _T_1896 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 466:94] - wire _T_2180 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 468:23] - wire _T_2182 = _T_2180 & _T_3577; // @[el2_lsu_bus_buffer.scala 468:41] - wire _T_2184 = _T_2182 & _T_1899; // @[el2_lsu_bus_buffer.scala 468:71] - wire _T_2186 = _T_2184 & _T_1897; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2187 = _T_4519 | _T_2186; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2188 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 469:17] - wire _T_2189 = _T_2188 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 469:35] - wire _T_2191 = _T_2189 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:52] - wire _T_2193 = _T_2191 & _T_1899; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2194 = _T_2187 | _T_2193; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2195 = _T_2174 & _T_2194; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2197 = _T_2195 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_2211 = _T_2184 & _T_1908; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2212 = _T_4524 | _T_2211; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2218 = _T_2191 & _T_1910; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2219 = _T_2212 | _T_2218; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2220 = _T_2174 & _T_2219; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2222 = _T_2220 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_2236 = _T_2184 & _T_1919; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2237 = _T_4529 | _T_2236; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2243 = _T_2191 & _T_1921; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2244 = _T_2237 | _T_2243; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2245 = _T_2174 & _T_2244; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2247 = _T_2245 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_2261 = _T_2184 & _T_1930; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2262 = _T_4534 | _T_2261; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2268 = _T_2191 & _T_1932; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2269 = _T_2262 | _T_2268; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2270 = _T_2174 & _T_2269; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2272 = _T_2270 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 469:97] - wire [2:0] _T_2274 = {_T_2272,_T_2247,_T_2222}; // @[Cat.scala 29:58] - wire _T_3773 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 504:117] - wire _T_3774 = _T_3579 & _T_3773; // @[el2_lsu_bus_buffer.scala 504:112] - wire _T_3776 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 504:166] - wire _T_3777 = _T_3582 & _T_3776; // @[el2_lsu_bus_buffer.scala 504:161] - wire _T_3778 = _T_3774 | _T_3777; // @[el2_lsu_bus_buffer.scala 504:132] - wire _T_3779 = _T_875 & _T_3778; // @[el2_lsu_bus_buffer.scala 504:63] - wire _T_3780 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 504:206] - wire _T_3781 = ibuf_drain_vld & _T_3780; // @[el2_lsu_bus_buffer.scala 504:201] - wire _T_3782 = _T_3779 | _T_3781; // @[el2_lsu_bus_buffer.scala 504:183] - wire _T_3827 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3872 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 529:73] - wire _T_3873 = bus_rsp_write & _T_3872; // @[el2_lsu_bus_buffer.scala 529:52] - wire _T_3874 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 530:46] + wire _T_3709 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 544:58] + wire _T_3710 = _T_3707[0] & _T_3709; // @[el2_lsu_bus_buffer.scala 544:38] + wire _T_3711 = _T_3667 | _T_3710; // @[el2_lsu_bus_buffer.scala 543:95] + wire _T_3712 = bus_rsp_read & _T_3711; // @[el2_lsu_bus_buffer.scala 543:45] + wire _GEN_36 = _T_3697 & _T_3712; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3610 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3576 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3572 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3549 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3589 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 517:49] + wire _T_3590 = _T_3589 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 517:70] + wire _T_3715 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2148[1:0]; // @[el2_lsu_bus_buffer.scala 454:10] + wire _T_3718 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 549:37] + wire _T_3719 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 549:98] + wire _T_3720 = buf_dual_0 & _T_3719; // @[el2_lsu_bus_buffer.scala 549:80] + wire _T_3721 = _T_3718 | _T_3720; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_3722 = _T_3721 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 549:112] + wire _T_3723 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3715 ? _T_3722 : _T_3723; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3697 ? _T_3590 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3610 ? _T_3590 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3576 ? _T_3590 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3572 ? _T_3575 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3549 ? _T_3565 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2150 = _T_1872 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 466:94] + wire _T_2156 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 468:23] + wire _T_2158 = _T_2156 & _T_3553; // @[el2_lsu_bus_buffer.scala 468:41] + wire _T_2160 = _T_2158 & _T_1875; // @[el2_lsu_bus_buffer.scala 468:71] + wire _T_2162 = _T_2160 & _T_1873; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2163 = _T_4495 | _T_2162; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2164 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 469:17] + wire _T_2165 = _T_2164 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 469:35] + wire _T_2167 = _T_2165 & _T_1876; // @[el2_lsu_bus_buffer.scala 469:52] + wire _T_2169 = _T_2167 & _T_1875; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2170 = _T_2163 | _T_2169; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2171 = _T_2150 & _T_2170; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2173 = _T_2171 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2187 = _T_2160 & _T_1884; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2188 = _T_4500 | _T_2187; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2194 = _T_2167 & _T_1886; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2195 = _T_2188 | _T_2194; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2196 = _T_2150 & _T_2195; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2198 = _T_2196 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2212 = _T_2160 & _T_1895; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2213 = _T_4505 | _T_2212; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2219 = _T_2167 & _T_1897; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2220 = _T_2213 | _T_2219; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2221 = _T_2150 & _T_2220; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2223 = _T_2221 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2237 = _T_2160 & _T_1906; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2238 = _T_4510 | _T_2237; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2244 = _T_2167 & _T_1908; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2245 = _T_2238 | _T_2244; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2246 = _T_2150 & _T_2245; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2248 = _T_2246 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 469:97] + wire [2:0] _T_2250 = {_T_2248,_T_2223,_T_2198}; // @[Cat.scala 29:58] + wire _T_3749 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 504:117] + wire _T_3750 = _T_3555 & _T_3749; // @[el2_lsu_bus_buffer.scala 504:112] + wire _T_3752 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 504:166] + wire _T_3753 = _T_3558 & _T_3752; // @[el2_lsu_bus_buffer.scala 504:161] + wire _T_3754 = _T_3750 | _T_3753; // @[el2_lsu_bus_buffer.scala 504:132] + wire _T_3755 = _T_851 & _T_3754; // @[el2_lsu_bus_buffer.scala 504:63] + wire _T_3756 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 504:206] + wire _T_3757 = ibuf_drain_vld & _T_3756; // @[el2_lsu_bus_buffer.scala 504:201] + wire _T_3758 = _T_3755 | _T_3757; // @[el2_lsu_bus_buffer.scala 504:183] + wire _T_3803 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3848 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 529:73] + wire _T_3849 = bus_rsp_write & _T_3848; // @[el2_lsu_bus_buffer.scala 529:52] + wire _T_3850 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 530:46] wire [2:0] _GEN_374 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 531:47] - wire _T_3876 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 531:47] - wire _T_3877 = buf_ldfwd[1] & _T_3876; // @[el2_lsu_bus_buffer.scala 531:27] - wire _T_3878 = _T_3874 | _T_3877; // @[el2_lsu_bus_buffer.scala 530:77] - wire _T_3879 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 532:26] - wire _T_3881 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 532:44] - wire _T_3882 = _T_3879 & _T_3881; // @[el2_lsu_bus_buffer.scala 532:42] - wire _T_3883 = _T_3882 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 532:58] + wire _T_3852 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 531:47] + wire _T_3853 = buf_ldfwd[1] & _T_3852; // @[el2_lsu_bus_buffer.scala 531:27] + wire _T_3854 = _T_3850 | _T_3853; // @[el2_lsu_bus_buffer.scala 530:77] + wire _T_3855 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 532:26] + wire _T_3857 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 532:44] + wire _T_3858 = _T_3855 & _T_3857; // @[el2_lsu_bus_buffer.scala 532:42] + wire _T_3859 = _T_3858 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 532:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] wire [2:0] _GEN_375 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 532:94] - wire _T_3884 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 532:94] - wire _T_3885 = _T_3883 & _T_3884; // @[el2_lsu_bus_buffer.scala 532:74] - wire _T_3886 = _T_3878 | _T_3885; // @[el2_lsu_bus_buffer.scala 531:71] - wire _T_3887 = bus_rsp_read & _T_3886; // @[el2_lsu_bus_buffer.scala 530:25] - wire _T_3888 = _T_3873 | _T_3887; // @[el2_lsu_bus_buffer.scala 529:105] - wire _GEN_118 = _T_3827 & _T_3888; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3793 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3789 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3766 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3914 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3924 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 544:21] + wire _T_3860 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 532:94] + wire _T_3861 = _T_3859 & _T_3860; // @[el2_lsu_bus_buffer.scala 532:74] + wire _T_3862 = _T_3854 | _T_3861; // @[el2_lsu_bus_buffer.scala 531:71] + wire _T_3863 = bus_rsp_read & _T_3862; // @[el2_lsu_bus_buffer.scala 530:25] + wire _T_3864 = _T_3849 | _T_3863; // @[el2_lsu_bus_buffer.scala 529:105] + wire _GEN_118 = _T_3803 & _T_3864; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3769 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3765 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3742 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3890 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3900 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 544:21] wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 544:58] wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 544:58] wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 544:58] wire [2:0] _GEN_377 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 544:58] - wire _T_3926 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 544:58] - wire _T_3927 = _T_3924[0] & _T_3926; // @[el2_lsu_bus_buffer.scala 544:38] - wire _T_3928 = _T_3884 | _T_3927; // @[el2_lsu_bus_buffer.scala 543:95] - wire _T_3929 = bus_rsp_read & _T_3928; // @[el2_lsu_bus_buffer.scala 543:45] - wire _GEN_112 = _T_3914 & _T_3929; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3827 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3793 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3789 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3766 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3806 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 517:49] - wire _T_3807 = _T_3806 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 517:70] - wire _T_3932 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3935 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 549:37] - wire _T_3936 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 549:98] - wire _T_3937 = buf_dual_1 & _T_3936; // @[el2_lsu_bus_buffer.scala 549:80] - wire _T_3938 = _T_3935 | _T_3937; // @[el2_lsu_bus_buffer.scala 549:65] - wire _T_3939 = _T_3938 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 549:112] - wire _T_3940 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3932 ? _T_3939 : _T_3940; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3914 ? _T_3807 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3827 ? _T_3807 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3793 ? _T_3807 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3789 ? _T_3599 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3766 ? _T_3782 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2276 = _T_1907 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 466:94] - wire _T_2286 = _T_2182 & _T_1910; // @[el2_lsu_bus_buffer.scala 468:71] - wire _T_2288 = _T_2286 & _T_1897; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2289 = _T_4519 | _T_2288; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2293 = _T_2189 & _T_1911; // @[el2_lsu_bus_buffer.scala 469:52] - wire _T_2295 = _T_2293 & _T_1899; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2296 = _T_2289 | _T_2295; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2297 = _T_2276 & _T_2296; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2299 = _T_2297 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_2313 = _T_2286 & _T_1908; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2314 = _T_4524 | _T_2313; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2320 = _T_2293 & _T_1910; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2321 = _T_2314 | _T_2320; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2322 = _T_2276 & _T_2321; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2324 = _T_2322 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_2338 = _T_2286 & _T_1919; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2339 = _T_4529 | _T_2338; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2345 = _T_2293 & _T_1921; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2346 = _T_2339 | _T_2345; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2347 = _T_2276 & _T_2346; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2349 = _T_2347 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_2363 = _T_2286 & _T_1930; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2364 = _T_4534 | _T_2363; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2370 = _T_2293 & _T_1932; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2371 = _T_2364 | _T_2370; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2372 = _T_2276 & _T_2371; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2374 = _T_2372 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 469:97] - wire [2:0] _T_2376 = {_T_2374,_T_2349,_T_2324}; // @[Cat.scala 29:58] - wire _T_3966 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 504:117] - wire _T_3967 = _T_3579 & _T_3966; // @[el2_lsu_bus_buffer.scala 504:112] - wire _T_3969 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 504:166] - wire _T_3970 = _T_3582 & _T_3969; // @[el2_lsu_bus_buffer.scala 504:161] - wire _T_3971 = _T_3967 | _T_3970; // @[el2_lsu_bus_buffer.scala 504:132] - wire _T_3972 = _T_875 & _T_3971; // @[el2_lsu_bus_buffer.scala 504:63] - wire _T_3973 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 504:206] - wire _T_3974 = ibuf_drain_vld & _T_3973; // @[el2_lsu_bus_buffer.scala 504:201] - wire _T_3975 = _T_3972 | _T_3974; // @[el2_lsu_bus_buffer.scala 504:183] - wire _T_4020 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4065 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 529:73] - wire _T_4066 = bus_rsp_write & _T_4065; // @[el2_lsu_bus_buffer.scala 529:52] - wire _T_4067 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 530:46] + wire _T_3902 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 544:58] + wire _T_3903 = _T_3900[0] & _T_3902; // @[el2_lsu_bus_buffer.scala 544:38] + wire _T_3904 = _T_3860 | _T_3903; // @[el2_lsu_bus_buffer.scala 543:95] + wire _T_3905 = bus_rsp_read & _T_3904; // @[el2_lsu_bus_buffer.scala 543:45] + wire _GEN_112 = _T_3890 & _T_3905; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3803 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3769 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3765 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3742 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3782 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 517:49] + wire _T_3783 = _T_3782 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 517:70] + wire _T_3908 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3911 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 549:37] + wire _T_3912 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 549:98] + wire _T_3913 = buf_dual_1 & _T_3912; // @[el2_lsu_bus_buffer.scala 549:80] + wire _T_3914 = _T_3911 | _T_3913; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_3915 = _T_3914 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 549:112] + wire _T_3916 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3908 ? _T_3915 : _T_3916; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3890 ? _T_3783 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3803 ? _T_3783 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3769 ? _T_3783 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3765 ? _T_3575 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3742 ? _T_3758 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2252 = _T_1883 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 466:94] + wire _T_2262 = _T_2158 & _T_1886; // @[el2_lsu_bus_buffer.scala 468:71] + wire _T_2264 = _T_2262 & _T_1873; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2265 = _T_4495 | _T_2264; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2269 = _T_2165 & _T_1887; // @[el2_lsu_bus_buffer.scala 469:52] + wire _T_2271 = _T_2269 & _T_1875; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2272 = _T_2265 | _T_2271; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2273 = _T_2252 & _T_2272; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2275 = _T_2273 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2289 = _T_2262 & _T_1884; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2290 = _T_4500 | _T_2289; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2296 = _T_2269 & _T_1886; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2297 = _T_2290 | _T_2296; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2298 = _T_2252 & _T_2297; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2300 = _T_2298 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2314 = _T_2262 & _T_1895; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2315 = _T_4505 | _T_2314; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2321 = _T_2269 & _T_1897; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2322 = _T_2315 | _T_2321; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2323 = _T_2252 & _T_2322; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2325 = _T_2323 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2339 = _T_2262 & _T_1906; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2340 = _T_4510 | _T_2339; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2346 = _T_2269 & _T_1908; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2347 = _T_2340 | _T_2346; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2348 = _T_2252 & _T_2347; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2350 = _T_2348 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 469:97] + wire [2:0] _T_2352 = {_T_2350,_T_2325,_T_2300}; // @[Cat.scala 29:58] + wire _T_3942 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 504:117] + wire _T_3943 = _T_3555 & _T_3942; // @[el2_lsu_bus_buffer.scala 504:112] + wire _T_3945 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 504:166] + wire _T_3946 = _T_3558 & _T_3945; // @[el2_lsu_bus_buffer.scala 504:161] + wire _T_3947 = _T_3943 | _T_3946; // @[el2_lsu_bus_buffer.scala 504:132] + wire _T_3948 = _T_851 & _T_3947; // @[el2_lsu_bus_buffer.scala 504:63] + wire _T_3949 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 504:206] + wire _T_3950 = ibuf_drain_vld & _T_3949; // @[el2_lsu_bus_buffer.scala 504:201] + wire _T_3951 = _T_3948 | _T_3950; // @[el2_lsu_bus_buffer.scala 504:183] + wire _T_3996 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4041 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 529:73] + wire _T_4042 = bus_rsp_write & _T_4041; // @[el2_lsu_bus_buffer.scala 529:52] + wire _T_4043 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 530:46] wire [2:0] _GEN_378 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 531:47] - wire _T_4069 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 531:47] - wire _T_4070 = buf_ldfwd[2] & _T_4069; // @[el2_lsu_bus_buffer.scala 531:27] - wire _T_4071 = _T_4067 | _T_4070; // @[el2_lsu_bus_buffer.scala 530:77] - wire _T_4072 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 532:26] - wire _T_4074 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 532:44] - wire _T_4075 = _T_4072 & _T_4074; // @[el2_lsu_bus_buffer.scala 532:42] - wire _T_4076 = _T_4075 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 532:58] + wire _T_4045 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 531:47] + wire _T_4046 = buf_ldfwd[2] & _T_4045; // @[el2_lsu_bus_buffer.scala 531:27] + wire _T_4047 = _T_4043 | _T_4046; // @[el2_lsu_bus_buffer.scala 530:77] + wire _T_4048 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 532:26] + wire _T_4050 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 532:44] + wire _T_4051 = _T_4048 & _T_4050; // @[el2_lsu_bus_buffer.scala 532:42] + wire _T_4052 = _T_4051 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 532:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] wire [2:0] _GEN_379 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 532:94] - wire _T_4077 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 532:94] - wire _T_4078 = _T_4076 & _T_4077; // @[el2_lsu_bus_buffer.scala 532:74] - wire _T_4079 = _T_4071 | _T_4078; // @[el2_lsu_bus_buffer.scala 531:71] - wire _T_4080 = bus_rsp_read & _T_4079; // @[el2_lsu_bus_buffer.scala 530:25] - wire _T_4081 = _T_4066 | _T_4080; // @[el2_lsu_bus_buffer.scala 529:105] - wire _GEN_194 = _T_4020 & _T_4081; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3986 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3982 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3959 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_4107 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4117 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 544:21] + wire _T_4053 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 532:94] + wire _T_4054 = _T_4052 & _T_4053; // @[el2_lsu_bus_buffer.scala 532:74] + wire _T_4055 = _T_4047 | _T_4054; // @[el2_lsu_bus_buffer.scala 531:71] + wire _T_4056 = bus_rsp_read & _T_4055; // @[el2_lsu_bus_buffer.scala 530:25] + wire _T_4057 = _T_4042 | _T_4056; // @[el2_lsu_bus_buffer.scala 529:105] + wire _GEN_194 = _T_3996 & _T_4057; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3962 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3958 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3935 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_4083 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_4093 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 544:21] wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 544:58] wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 544:58] wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 544:58] wire [2:0] _GEN_381 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 544:58] - wire _T_4119 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 544:58] - wire _T_4120 = _T_4117[0] & _T_4119; // @[el2_lsu_bus_buffer.scala 544:38] - wire _T_4121 = _T_4077 | _T_4120; // @[el2_lsu_bus_buffer.scala 543:95] - wire _T_4122 = bus_rsp_read & _T_4121; // @[el2_lsu_bus_buffer.scala 543:45] - wire _GEN_188 = _T_4107 & _T_4122; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_4020 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3986 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3982 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3959 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3999 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 517:49] - wire _T_4000 = _T_3999 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 517:70] - wire _T_4125 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4128 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 549:37] - wire _T_4129 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 549:98] - wire _T_4130 = buf_dual_2 & _T_4129; // @[el2_lsu_bus_buffer.scala 549:80] - wire _T_4131 = _T_4128 | _T_4130; // @[el2_lsu_bus_buffer.scala 549:65] - wire _T_4132 = _T_4131 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 549:112] - wire _T_4133 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_4125 ? _T_4132 : _T_4133; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_4107 ? _T_4000 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_4020 ? _T_4000 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3986 ? _T_4000 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3982 ? _T_3599 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3959 ? _T_3975 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2378 = _T_1918 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 466:94] - wire _T_2388 = _T_2182 & _T_1921; // @[el2_lsu_bus_buffer.scala 468:71] - wire _T_2390 = _T_2388 & _T_1897; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2391 = _T_4519 | _T_2390; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2395 = _T_2189 & _T_1922; // @[el2_lsu_bus_buffer.scala 469:52] - wire _T_2397 = _T_2395 & _T_1899; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2398 = _T_2391 | _T_2397; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2399 = _T_2378 & _T_2398; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2401 = _T_2399 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_2415 = _T_2388 & _T_1908; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2416 = _T_4524 | _T_2415; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2422 = _T_2395 & _T_1910; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2423 = _T_2416 | _T_2422; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2424 = _T_2378 & _T_2423; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2426 = _T_2424 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_2440 = _T_2388 & _T_1919; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2441 = _T_4529 | _T_2440; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2447 = _T_2395 & _T_1921; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2448 = _T_2441 | _T_2447; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2449 = _T_2378 & _T_2448; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2451 = _T_2449 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_2465 = _T_2388 & _T_1930; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2466 = _T_4534 | _T_2465; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2472 = _T_2395 & _T_1932; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2473 = _T_2466 | _T_2472; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2474 = _T_2378 & _T_2473; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2476 = _T_2474 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 469:97] - wire [2:0] _T_2478 = {_T_2476,_T_2451,_T_2426}; // @[Cat.scala 29:58] - wire _T_4159 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 504:117] - wire _T_4160 = _T_3579 & _T_4159; // @[el2_lsu_bus_buffer.scala 504:112] - wire _T_4162 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 504:166] - wire _T_4163 = _T_3582 & _T_4162; // @[el2_lsu_bus_buffer.scala 504:161] - wire _T_4164 = _T_4160 | _T_4163; // @[el2_lsu_bus_buffer.scala 504:132] - wire _T_4165 = _T_875 & _T_4164; // @[el2_lsu_bus_buffer.scala 504:63] - wire _T_4166 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 504:206] - wire _T_4167 = ibuf_drain_vld & _T_4166; // @[el2_lsu_bus_buffer.scala 504:201] - wire _T_4168 = _T_4165 | _T_4167; // @[el2_lsu_bus_buffer.scala 504:183] - wire _T_4213 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4258 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 529:73] - wire _T_4259 = bus_rsp_write & _T_4258; // @[el2_lsu_bus_buffer.scala 529:52] - wire _T_4260 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 530:46] + wire _T_4095 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 544:58] + wire _T_4096 = _T_4093[0] & _T_4095; // @[el2_lsu_bus_buffer.scala 544:38] + wire _T_4097 = _T_4053 | _T_4096; // @[el2_lsu_bus_buffer.scala 543:95] + wire _T_4098 = bus_rsp_read & _T_4097; // @[el2_lsu_bus_buffer.scala 543:45] + wire _GEN_188 = _T_4083 & _T_4098; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3996 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3962 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3958 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3935 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3975 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 517:49] + wire _T_3976 = _T_3975 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 517:70] + wire _T_4101 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4104 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 549:37] + wire _T_4105 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 549:98] + wire _T_4106 = buf_dual_2 & _T_4105; // @[el2_lsu_bus_buffer.scala 549:80] + wire _T_4107 = _T_4104 | _T_4106; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_4108 = _T_4107 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 549:112] + wire _T_4109 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_4101 ? _T_4108 : _T_4109; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4083 ? _T_3976 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3996 ? _T_3976 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3962 ? _T_3976 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3958 ? _T_3575 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3935 ? _T_3951 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2354 = _T_1894 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 466:94] + wire _T_2364 = _T_2158 & _T_1897; // @[el2_lsu_bus_buffer.scala 468:71] + wire _T_2366 = _T_2364 & _T_1873; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2367 = _T_4495 | _T_2366; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2371 = _T_2165 & _T_1898; // @[el2_lsu_bus_buffer.scala 469:52] + wire _T_2373 = _T_2371 & _T_1875; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2374 = _T_2367 | _T_2373; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2375 = _T_2354 & _T_2374; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2377 = _T_2375 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2391 = _T_2364 & _T_1884; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2392 = _T_4500 | _T_2391; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2398 = _T_2371 & _T_1886; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2399 = _T_2392 | _T_2398; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2400 = _T_2354 & _T_2399; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2402 = _T_2400 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2416 = _T_2364 & _T_1895; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2417 = _T_4505 | _T_2416; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2423 = _T_2371 & _T_1897; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2424 = _T_2417 | _T_2423; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2425 = _T_2354 & _T_2424; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2427 = _T_2425 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2441 = _T_2364 & _T_1906; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2442 = _T_4510 | _T_2441; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2448 = _T_2371 & _T_1908; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2449 = _T_2442 | _T_2448; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2450 = _T_2354 & _T_2449; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2452 = _T_2450 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 469:97] + wire [2:0] _T_2454 = {_T_2452,_T_2427,_T_2402}; // @[Cat.scala 29:58] + wire _T_4135 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 504:117] + wire _T_4136 = _T_3555 & _T_4135; // @[el2_lsu_bus_buffer.scala 504:112] + wire _T_4138 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 504:166] + wire _T_4139 = _T_3558 & _T_4138; // @[el2_lsu_bus_buffer.scala 504:161] + wire _T_4140 = _T_4136 | _T_4139; // @[el2_lsu_bus_buffer.scala 504:132] + wire _T_4141 = _T_851 & _T_4140; // @[el2_lsu_bus_buffer.scala 504:63] + wire _T_4142 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 504:206] + wire _T_4143 = ibuf_drain_vld & _T_4142; // @[el2_lsu_bus_buffer.scala 504:201] + wire _T_4144 = _T_4141 | _T_4143; // @[el2_lsu_bus_buffer.scala 504:183] + wire _T_4189 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4234 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 529:73] + wire _T_4235 = bus_rsp_write & _T_4234; // @[el2_lsu_bus_buffer.scala 529:52] + wire _T_4236 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 530:46] wire [2:0] _GEN_382 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 531:47] - wire _T_4262 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 531:47] - wire _T_4263 = buf_ldfwd[3] & _T_4262; // @[el2_lsu_bus_buffer.scala 531:27] - wire _T_4264 = _T_4260 | _T_4263; // @[el2_lsu_bus_buffer.scala 530:77] - wire _T_4265 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 532:26] - wire _T_4267 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 532:44] - wire _T_4268 = _T_4265 & _T_4267; // @[el2_lsu_bus_buffer.scala 532:42] - wire _T_4269 = _T_4268 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 532:58] + wire _T_4238 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 531:47] + wire _T_4239 = buf_ldfwd[3] & _T_4238; // @[el2_lsu_bus_buffer.scala 531:27] + wire _T_4240 = _T_4236 | _T_4239; // @[el2_lsu_bus_buffer.scala 530:77] + wire _T_4241 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 532:26] + wire _T_4243 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 532:44] + wire _T_4244 = _T_4241 & _T_4243; // @[el2_lsu_bus_buffer.scala 532:42] + wire _T_4245 = _T_4244 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 532:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] wire [2:0] _GEN_383 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 532:94] - wire _T_4270 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 532:94] - wire _T_4271 = _T_4269 & _T_4270; // @[el2_lsu_bus_buffer.scala 532:74] - wire _T_4272 = _T_4264 | _T_4271; // @[el2_lsu_bus_buffer.scala 531:71] - wire _T_4273 = bus_rsp_read & _T_4272; // @[el2_lsu_bus_buffer.scala 530:25] - wire _T_4274 = _T_4259 | _T_4273; // @[el2_lsu_bus_buffer.scala 529:105] - wire _GEN_270 = _T_4213 & _T_4274; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_4179 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_4175 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4152 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4300 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4310 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 544:21] + wire _T_4246 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 532:94] + wire _T_4247 = _T_4245 & _T_4246; // @[el2_lsu_bus_buffer.scala 532:74] + wire _T_4248 = _T_4240 | _T_4247; // @[el2_lsu_bus_buffer.scala 531:71] + wire _T_4249 = bus_rsp_read & _T_4248; // @[el2_lsu_bus_buffer.scala 530:25] + wire _T_4250 = _T_4235 | _T_4249; // @[el2_lsu_bus_buffer.scala 529:105] + wire _GEN_270 = _T_4189 & _T_4250; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4155 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4151 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4128 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4276 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4286 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 544:21] wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 544:58] wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 544:58] wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 544:58] wire [2:0] _GEN_385 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 544:58] - wire _T_4312 = io_lsu_axi_rid == _GEN_385; // @[el2_lsu_bus_buffer.scala 544:58] - wire _T_4313 = _T_4310[0] & _T_4312; // @[el2_lsu_bus_buffer.scala 544:38] - wire _T_4314 = _T_4270 | _T_4313; // @[el2_lsu_bus_buffer.scala 543:95] - wire _T_4315 = bus_rsp_read & _T_4314; // @[el2_lsu_bus_buffer.scala 543:45] - wire _GEN_264 = _T_4300 & _T_4315; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4213 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_4179 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_4175 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4152 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4192 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 517:49] - wire _T_4193 = _T_4192 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 517:70] - wire _T_4318 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4321 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 549:37] - wire _T_4322 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 549:98] - wire _T_4323 = buf_dual_3 & _T_4322; // @[el2_lsu_bus_buffer.scala 549:80] - wire _T_4324 = _T_4321 | _T_4323; // @[el2_lsu_bus_buffer.scala 549:65] - wire _T_4325 = _T_4324 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 549:112] - wire _T_4326 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4318 ? _T_4325 : _T_4326; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4300 ? _T_4193 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4213 ? _T_4193 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_4179 ? _T_4193 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_4175 ? _T_3599 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_4152 ? _T_4168 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2480 = _T_1929 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 466:94] - wire _T_2490 = _T_2182 & _T_1932; // @[el2_lsu_bus_buffer.scala 468:71] - wire _T_2492 = _T_2490 & _T_1897; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2493 = _T_4519 | _T_2492; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2497 = _T_2189 & _T_1933; // @[el2_lsu_bus_buffer.scala 469:52] - wire _T_2499 = _T_2497 & _T_1899; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2500 = _T_2493 | _T_2499; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2501 = _T_2480 & _T_2500; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2503 = _T_2501 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_2517 = _T_2490 & _T_1908; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2518 = _T_4524 | _T_2517; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2524 = _T_2497 & _T_1910; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2525 = _T_2518 | _T_2524; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2526 = _T_2480 & _T_2525; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2528 = _T_2526 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_2542 = _T_2490 & _T_1919; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2543 = _T_4529 | _T_2542; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2549 = _T_2497 & _T_1921; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2550 = _T_2543 | _T_2549; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2551 = _T_2480 & _T_2550; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2553 = _T_2551 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_2567 = _T_2490 & _T_1930; // @[el2_lsu_bus_buffer.scala 468:92] - wire _T_2568 = _T_4534 | _T_2567; // @[el2_lsu_bus_buffer.scala 467:86] - wire _T_2574 = _T_2497 & _T_1932; // @[el2_lsu_bus_buffer.scala 469:73] - wire _T_2575 = _T_2568 | _T_2574; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2576 = _T_2480 & _T_2575; // @[el2_lsu_bus_buffer.scala 466:113] - wire _T_2578 = _T_2576 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 469:97] - wire [2:0] _T_2580 = {_T_2578,_T_2553,_T_2528}; // @[Cat.scala 29:58] - wire _T_2844 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 477:49] - wire _T_2845 = _T_1896 | _T_2844; // @[el2_lsu_bus_buffer.scala 477:34] - wire _T_2846 = ~_T_2845; // @[el2_lsu_bus_buffer.scala 477:8] - wire _T_2854 = _T_2846 | _T_2186; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_2861 = _T_2854 | _T_2193; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_2862 = _T_2174 & _T_2861; // @[el2_lsu_bus_buffer.scala 476:114] - wire _T_2866 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 477:49] - wire _T_2867 = _T_1907 | _T_2866; // @[el2_lsu_bus_buffer.scala 477:34] - wire _T_2868 = ~_T_2867; // @[el2_lsu_bus_buffer.scala 477:8] - wire _T_2876 = _T_2868 | _T_2211; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_2883 = _T_2876 | _T_2218; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_2884 = _T_2174 & _T_2883; // @[el2_lsu_bus_buffer.scala 476:114] - wire _T_2888 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 477:49] - wire _T_2889 = _T_1918 | _T_2888; // @[el2_lsu_bus_buffer.scala 477:34] - wire _T_2890 = ~_T_2889; // @[el2_lsu_bus_buffer.scala 477:8] - wire _T_2898 = _T_2890 | _T_2236; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_2905 = _T_2898 | _T_2243; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_2906 = _T_2174 & _T_2905; // @[el2_lsu_bus_buffer.scala 476:114] - wire _T_2910 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 477:49] - wire _T_2911 = _T_1929 | _T_2910; // @[el2_lsu_bus_buffer.scala 477:34] - wire _T_2912 = ~_T_2911; // @[el2_lsu_bus_buffer.scala 477:8] - wire _T_2920 = _T_2912 | _T_2261; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_2927 = _T_2920 | _T_2268; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_2928 = _T_2174 & _T_2927; // @[el2_lsu_bus_buffer.scala 476:114] - wire [3:0] buf_rspage_set_0 = {_T_2928,_T_2906,_T_2884,_T_2862}; // @[Cat.scala 29:58] - wire _T_2945 = _T_2846 | _T_2288; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_2952 = _T_2945 | _T_2295; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_2953 = _T_2276 & _T_2952; // @[el2_lsu_bus_buffer.scala 476:114] - wire _T_2967 = _T_2868 | _T_2313; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_2974 = _T_2967 | _T_2320; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_2975 = _T_2276 & _T_2974; // @[el2_lsu_bus_buffer.scala 476:114] - wire _T_2989 = _T_2890 | _T_2338; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_2996 = _T_2989 | _T_2345; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_2997 = _T_2276 & _T_2996; // @[el2_lsu_bus_buffer.scala 476:114] - wire _T_3011 = _T_2912 | _T_2363; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_3018 = _T_3011 | _T_2370; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_3019 = _T_2276 & _T_3018; // @[el2_lsu_bus_buffer.scala 476:114] - wire [3:0] buf_rspage_set_1 = {_T_3019,_T_2997,_T_2975,_T_2953}; // @[Cat.scala 29:58] - wire _T_3036 = _T_2846 | _T_2390; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_3043 = _T_3036 | _T_2397; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_3044 = _T_2378 & _T_3043; // @[el2_lsu_bus_buffer.scala 476:114] - wire _T_3058 = _T_2868 | _T_2415; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_3065 = _T_3058 | _T_2422; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_3066 = _T_2378 & _T_3065; // @[el2_lsu_bus_buffer.scala 476:114] - wire _T_3080 = _T_2890 | _T_2440; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_3087 = _T_3080 | _T_2447; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_3088 = _T_2378 & _T_3087; // @[el2_lsu_bus_buffer.scala 476:114] - wire _T_3102 = _T_2912 | _T_2465; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_3109 = _T_3102 | _T_2472; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_3110 = _T_2378 & _T_3109; // @[el2_lsu_bus_buffer.scala 476:114] - wire [3:0] buf_rspage_set_2 = {_T_3110,_T_3088,_T_3066,_T_3044}; // @[Cat.scala 29:58] - wire _T_3127 = _T_2846 | _T_2492; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_3134 = _T_3127 | _T_2499; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_3135 = _T_2480 & _T_3134; // @[el2_lsu_bus_buffer.scala 476:114] - wire _T_3149 = _T_2868 | _T_2517; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_3156 = _T_3149 | _T_2524; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_3157 = _T_2480 & _T_3156; // @[el2_lsu_bus_buffer.scala 476:114] - wire _T_3171 = _T_2890 | _T_2542; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_3178 = _T_3171 | _T_2549; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_3179 = _T_2480 & _T_3178; // @[el2_lsu_bus_buffer.scala 476:114] - wire _T_3193 = _T_2912 | _T_2567; // @[el2_lsu_bus_buffer.scala 477:61] - wire _T_3200 = _T_3193 | _T_2574; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_3201 = _T_2480 & _T_3200; // @[el2_lsu_bus_buffer.scala 476:114] - wire [3:0] buf_rspage_set_3 = {_T_3201,_T_3179,_T_3157,_T_3135}; // @[Cat.scala 29:58] - wire _T_3286 = _T_2910 | _T_1929; // @[el2_lsu_bus_buffer.scala 481:112] - wire _T_3287 = ~_T_3286; // @[el2_lsu_bus_buffer.scala 481:86] - wire _T_3288 = buf_rspageQ_0[3] & _T_3287; // @[el2_lsu_bus_buffer.scala 481:84] - wire _T_3280 = _T_2888 | _T_1918; // @[el2_lsu_bus_buffer.scala 481:112] - wire _T_3281 = ~_T_3280; // @[el2_lsu_bus_buffer.scala 481:86] - wire _T_3282 = buf_rspageQ_0[2] & _T_3281; // @[el2_lsu_bus_buffer.scala 481:84] - wire _T_3274 = _T_2866 | _T_1907; // @[el2_lsu_bus_buffer.scala 481:112] - wire _T_3275 = ~_T_3274; // @[el2_lsu_bus_buffer.scala 481:86] - wire _T_3276 = buf_rspageQ_0[1] & _T_3275; // @[el2_lsu_bus_buffer.scala 481:84] - wire _T_3268 = _T_2844 | _T_1896; // @[el2_lsu_bus_buffer.scala 481:112] - wire _T_3269 = ~_T_3268; // @[el2_lsu_bus_buffer.scala 481:86] - wire _T_3270 = buf_rspageQ_0[0] & _T_3269; // @[el2_lsu_bus_buffer.scala 481:84] - wire [3:0] buf_rspage_0 = {_T_3288,_T_3282,_T_3276,_T_3270}; // @[Cat.scala 29:58] - wire _T_3207 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 480:90] - wire _T_3210 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 480:90] - wire _T_3213 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 480:90] - wire _T_3216 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 480:90] - wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] - wire _T_3315 = buf_rspageQ_1[3] & _T_3287; // @[el2_lsu_bus_buffer.scala 481:84] - wire _T_3309 = buf_rspageQ_1[2] & _T_3281; // @[el2_lsu_bus_buffer.scala 481:84] - wire _T_3303 = buf_rspageQ_1[1] & _T_3275; // @[el2_lsu_bus_buffer.scala 481:84] - wire _T_3297 = buf_rspageQ_1[0] & _T_3269; // @[el2_lsu_bus_buffer.scala 481:84] - wire [3:0] buf_rspage_1 = {_T_3315,_T_3309,_T_3303,_T_3297}; // @[Cat.scala 29:58] - wire _T_3222 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 480:90] - wire _T_3225 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 480:90] - wire _T_3228 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 480:90] - wire _T_3231 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 480:90] - wire [2:0] _T_3233 = {_T_3231,_T_3228,_T_3225}; // @[Cat.scala 29:58] - wire _T_3342 = buf_rspageQ_2[3] & _T_3287; // @[el2_lsu_bus_buffer.scala 481:84] - wire _T_3336 = buf_rspageQ_2[2] & _T_3281; // @[el2_lsu_bus_buffer.scala 481:84] - wire _T_3330 = buf_rspageQ_2[1] & _T_3275; // @[el2_lsu_bus_buffer.scala 481:84] - wire _T_3324 = buf_rspageQ_2[0] & _T_3269; // @[el2_lsu_bus_buffer.scala 481:84] - wire [3:0] buf_rspage_2 = {_T_3342,_T_3336,_T_3330,_T_3324}; // @[Cat.scala 29:58] - wire _T_3237 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 480:90] - wire _T_3240 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 480:90] - wire _T_3243 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 480:90] - wire _T_3246 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 480:90] - wire [2:0] _T_3248 = {_T_3246,_T_3243,_T_3240}; // @[Cat.scala 29:58] - wire _T_3369 = buf_rspageQ_3[3] & _T_3287; // @[el2_lsu_bus_buffer.scala 481:84] - wire _T_3363 = buf_rspageQ_3[2] & _T_3281; // @[el2_lsu_bus_buffer.scala 481:84] - wire _T_3357 = buf_rspageQ_3[1] & _T_3275; // @[el2_lsu_bus_buffer.scala 481:84] - wire _T_3351 = buf_rspageQ_3[0] & _T_3269; // @[el2_lsu_bus_buffer.scala 481:84] - wire [3:0] buf_rspage_3 = {_T_3369,_T_3363,_T_3357,_T_3351}; // @[Cat.scala 29:58] - wire _T_3252 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 480:90] - wire _T_3255 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 480:90] - wire _T_3258 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 480:90] - wire _T_3261 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 480:90] - wire [2:0] _T_3263 = {_T_3261,_T_3258,_T_3255}; // @[Cat.scala 29:58] - wire _T_3374 = ibuf_drain_vld & _T_1897; // @[el2_lsu_bus_buffer.scala 486:65] - wire _T_3376 = ibuf_drain_vld & _T_1908; // @[el2_lsu_bus_buffer.scala 486:65] - wire _T_3378 = ibuf_drain_vld & _T_1919; // @[el2_lsu_bus_buffer.scala 486:65] - wire _T_3380 = ibuf_drain_vld & _T_1930; // @[el2_lsu_bus_buffer.scala 486:65] - wire [3:0] ibuf_drainvec_vld = {_T_3380,_T_3378,_T_3376,_T_3374}; // @[Cat.scala 29:58] - wire _T_3388 = _T_3582 & _T_1900; // @[el2_lsu_bus_buffer.scala 488:37] - wire _T_3397 = _T_3582 & _T_1911; // @[el2_lsu_bus_buffer.scala 488:37] - wire _T_3406 = _T_3582 & _T_1922; // @[el2_lsu_bus_buffer.scala 488:37] - wire _T_3415 = _T_3582 & _T_1933; // @[el2_lsu_bus_buffer.scala 488:37] - wire _T_3445 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 490:47] - wire _T_3447 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 490:47] - wire _T_3449 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 490:47] - wire _T_3451 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 490:47] - wire [3:0] buf_dual_in = {_T_3451,_T_3449,_T_3447,_T_3445}; // @[Cat.scala 29:58] - wire _T_3456 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 491:49] - wire _T_3458 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 491:49] - wire _T_3460 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 491:49] - wire _T_3462 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 491:49] - wire [3:0] buf_samedw_in = {_T_3462,_T_3460,_T_3458,_T_3456}; // @[Cat.scala 29:58] - wire _T_3467 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 492:86] - wire _T_3468 = ibuf_drainvec_vld[0] ? _T_3467 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 492:50] - wire _T_3471 = ibuf_drainvec_vld[1] ? _T_3467 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 492:50] - wire _T_3474 = ibuf_drainvec_vld[2] ? _T_3467 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 492:50] - wire _T_3477 = ibuf_drainvec_vld[3] ? _T_3467 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 492:50] - wire [3:0] buf_nomerge_in = {_T_3477,_T_3474,_T_3471,_T_3468}; // @[Cat.scala 29:58] - wire _T_3485 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3388; // @[el2_lsu_bus_buffer.scala 493:49] - wire _T_3490 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3397; // @[el2_lsu_bus_buffer.scala 493:49] - wire _T_3495 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3406; // @[el2_lsu_bus_buffer.scala 493:49] - wire _T_3500 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3415; // @[el2_lsu_bus_buffer.scala 493:49] - wire [3:0] buf_dualhi_in = {_T_3500,_T_3495,_T_3490,_T_3485}; // @[Cat.scala 29:58] - wire _T_3529 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 495:53] - wire _T_3531 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 495:53] - wire _T_3533 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 495:53] - wire _T_3535 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 495:53] - wire [3:0] buf_sideeffect_in = {_T_3535,_T_3533,_T_3531,_T_3529}; // @[Cat.scala 29:58] - wire _T_3540 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 496:49] - wire _T_3542 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 496:49] - wire _T_3544 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 496:49] - wire _T_3546 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 496:49] - wire [3:0] buf_unsign_in = {_T_3546,_T_3544,_T_3542,_T_3540}; // @[Cat.scala 29:58] - wire _T_3563 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 498:48] - wire _T_3565 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 498:48] - wire _T_3567 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 498:48] - wire _T_3569 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 498:48] - wire [3:0] buf_write_in = {_T_3569,_T_3567,_T_3565,_T_3563}; // @[Cat.scala 29:58] - wire _T_3602 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 514:89] - wire _T_3604 = _T_3602 & _T_1394; // @[el2_lsu_bus_buffer.scala 514:104] - wire _T_3617 = buf_state_en_0 & _T_3688; // @[el2_lsu_bus_buffer.scala 519:44] - wire _T_3618 = _T_3617 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 519:60] - wire _T_3620 = _T_3618 & _T_1376; // @[el2_lsu_bus_buffer.scala 519:74] - wire _T_3623 = _T_3613 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:67] - wire _T_3624 = _T_3623 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 521:81] - wire _T_4917 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 626:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4917; // @[el2_lsu_bus_buffer.scala 626:38] - wire _T_3627 = _T_3623 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 522:82] - wire _T_3702 = bus_rsp_read_error & _T_3681; // @[el2_lsu_bus_buffer.scala 536:91] - wire _T_3704 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 537:31] - wire _T_3706 = _T_3704 & _T_3683; // @[el2_lsu_bus_buffer.scala 537:46] - wire _T_3707 = _T_3702 | _T_3706; // @[el2_lsu_bus_buffer.scala 536:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4917; // @[el2_lsu_bus_buffer.scala 625:40] - wire _T_3710 = bus_rsp_write_error & _T_3679; // @[el2_lsu_bus_buffer.scala 538:53] - wire _T_3711 = _T_3707 | _T_3710; // @[el2_lsu_bus_buffer.scala 537:88] - wire _T_3712 = _T_3613 & _T_3711; // @[el2_lsu_bus_buffer.scala 536:68] - wire _GEN_46 = _T_3634 & _T_3712; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3600 ? _T_3627 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3596 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3573 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3637 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 526:73] - wire _T_3638 = buf_write[0] & _T_3637; // @[el2_lsu_bus_buffer.scala 526:71] - wire _T_3639 = io_dec_tlu_force_halt | _T_3638; // @[el2_lsu_bus_buffer.scala 526:55] - wire _T_3641 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 527:30] - wire _T_3642 = buf_dual_0 & _T_3641; // @[el2_lsu_bus_buffer.scala 527:28] - wire _T_3645 = _T_3642 & _T_3688; // @[el2_lsu_bus_buffer.scala 527:45] + wire _T_4288 = io_lsu_axi_rid == _GEN_385; // @[el2_lsu_bus_buffer.scala 544:58] + wire _T_4289 = _T_4286[0] & _T_4288; // @[el2_lsu_bus_buffer.scala 544:38] + wire _T_4290 = _T_4246 | _T_4289; // @[el2_lsu_bus_buffer.scala 543:95] + wire _T_4291 = bus_rsp_read & _T_4290; // @[el2_lsu_bus_buffer.scala 543:45] + wire _GEN_264 = _T_4276 & _T_4291; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4189 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4155 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4151 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4128 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4168 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 517:49] + wire _T_4169 = _T_4168 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 517:70] + wire _T_4294 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4297 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 549:37] + wire _T_4298 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 549:98] + wire _T_4299 = buf_dual_3 & _T_4298; // @[el2_lsu_bus_buffer.scala 549:80] + wire _T_4300 = _T_4297 | _T_4299; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_4301 = _T_4300 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 549:112] + wire _T_4302 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4294 ? _T_4301 : _T_4302; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4276 ? _T_4169 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4189 ? _T_4169 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4155 ? _T_4169 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4151 ? _T_3575 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4128 ? _T_4144 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2456 = _T_1905 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 466:94] + wire _T_2466 = _T_2158 & _T_1908; // @[el2_lsu_bus_buffer.scala 468:71] + wire _T_2468 = _T_2466 & _T_1873; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2469 = _T_4495 | _T_2468; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2473 = _T_2165 & _T_1909; // @[el2_lsu_bus_buffer.scala 469:52] + wire _T_2475 = _T_2473 & _T_1875; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2476 = _T_2469 | _T_2475; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2477 = _T_2456 & _T_2476; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2479 = _T_2477 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2493 = _T_2466 & _T_1884; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2494 = _T_4500 | _T_2493; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2500 = _T_2473 & _T_1886; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2501 = _T_2494 | _T_2500; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2502 = _T_2456 & _T_2501; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2504 = _T_2502 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2518 = _T_2466 & _T_1895; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2519 = _T_4505 | _T_2518; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2525 = _T_2473 & _T_1897; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2526 = _T_2519 | _T_2525; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2527 = _T_2456 & _T_2526; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2529 = _T_2527 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2543 = _T_2466 & _T_1906; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2544 = _T_4510 | _T_2543; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2550 = _T_2473 & _T_1908; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2551 = _T_2544 | _T_2550; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2552 = _T_2456 & _T_2551; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2554 = _T_2552 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 469:97] + wire [2:0] _T_2556 = {_T_2554,_T_2529,_T_2504}; // @[Cat.scala 29:58] + wire _T_2820 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 477:49] + wire _T_2821 = _T_1872 | _T_2820; // @[el2_lsu_bus_buffer.scala 477:34] + wire _T_2822 = ~_T_2821; // @[el2_lsu_bus_buffer.scala 477:8] + wire _T_2830 = _T_2822 | _T_2162; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2837 = _T_2830 | _T_2169; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2838 = _T_2150 & _T_2837; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_2842 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 477:49] + wire _T_2843 = _T_1883 | _T_2842; // @[el2_lsu_bus_buffer.scala 477:34] + wire _T_2844 = ~_T_2843; // @[el2_lsu_bus_buffer.scala 477:8] + wire _T_2852 = _T_2844 | _T_2187; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2859 = _T_2852 | _T_2194; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2860 = _T_2150 & _T_2859; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_2864 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 477:49] + wire _T_2865 = _T_1894 | _T_2864; // @[el2_lsu_bus_buffer.scala 477:34] + wire _T_2866 = ~_T_2865; // @[el2_lsu_bus_buffer.scala 477:8] + wire _T_2874 = _T_2866 | _T_2212; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2881 = _T_2874 | _T_2219; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2882 = _T_2150 & _T_2881; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_2886 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 477:49] + wire _T_2887 = _T_1905 | _T_2886; // @[el2_lsu_bus_buffer.scala 477:34] + wire _T_2888 = ~_T_2887; // @[el2_lsu_bus_buffer.scala 477:8] + wire _T_2896 = _T_2888 | _T_2237; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2903 = _T_2896 | _T_2244; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2904 = _T_2150 & _T_2903; // @[el2_lsu_bus_buffer.scala 476:114] + wire [3:0] buf_rspage_set_0 = {_T_2904,_T_2882,_T_2860,_T_2838}; // @[Cat.scala 29:58] + wire _T_2921 = _T_2822 | _T_2264; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2928 = _T_2921 | _T_2271; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2929 = _T_2252 & _T_2928; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_2943 = _T_2844 | _T_2289; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2950 = _T_2943 | _T_2296; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2951 = _T_2252 & _T_2950; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_2965 = _T_2866 | _T_2314; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2972 = _T_2965 | _T_2321; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2973 = _T_2252 & _T_2972; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_2987 = _T_2888 | _T_2339; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2994 = _T_2987 | _T_2346; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2995 = _T_2252 & _T_2994; // @[el2_lsu_bus_buffer.scala 476:114] + wire [3:0] buf_rspage_set_1 = {_T_2995,_T_2973,_T_2951,_T_2929}; // @[Cat.scala 29:58] + wire _T_3012 = _T_2822 | _T_2366; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3019 = _T_3012 | _T_2373; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3020 = _T_2354 & _T_3019; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3034 = _T_2844 | _T_2391; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3041 = _T_3034 | _T_2398; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3042 = _T_2354 & _T_3041; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3056 = _T_2866 | _T_2416; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3063 = _T_3056 | _T_2423; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3064 = _T_2354 & _T_3063; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3078 = _T_2888 | _T_2441; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3085 = _T_3078 | _T_2448; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3086 = _T_2354 & _T_3085; // @[el2_lsu_bus_buffer.scala 476:114] + wire [3:0] buf_rspage_set_2 = {_T_3086,_T_3064,_T_3042,_T_3020}; // @[Cat.scala 29:58] + wire _T_3103 = _T_2822 | _T_2468; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3110 = _T_3103 | _T_2475; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3111 = _T_2456 & _T_3110; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3125 = _T_2844 | _T_2493; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3132 = _T_3125 | _T_2500; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3133 = _T_2456 & _T_3132; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3147 = _T_2866 | _T_2518; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3154 = _T_3147 | _T_2525; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3155 = _T_2456 & _T_3154; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3169 = _T_2888 | _T_2543; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3176 = _T_3169 | _T_2550; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3177 = _T_2456 & _T_3176; // @[el2_lsu_bus_buffer.scala 476:114] + wire [3:0] buf_rspage_set_3 = {_T_3177,_T_3155,_T_3133,_T_3111}; // @[Cat.scala 29:58] + wire _T_3262 = _T_2886 | _T_1905; // @[el2_lsu_bus_buffer.scala 481:112] + wire _T_3263 = ~_T_3262; // @[el2_lsu_bus_buffer.scala 481:86] + wire _T_3264 = buf_rspageQ_0[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3256 = _T_2864 | _T_1894; // @[el2_lsu_bus_buffer.scala 481:112] + wire _T_3257 = ~_T_3256; // @[el2_lsu_bus_buffer.scala 481:86] + wire _T_3258 = buf_rspageQ_0[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3250 = _T_2842 | _T_1883; // @[el2_lsu_bus_buffer.scala 481:112] + wire _T_3251 = ~_T_3250; // @[el2_lsu_bus_buffer.scala 481:86] + wire _T_3252 = buf_rspageQ_0[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3244 = _T_2820 | _T_1872; // @[el2_lsu_bus_buffer.scala 481:112] + wire _T_3245 = ~_T_3244; // @[el2_lsu_bus_buffer.scala 481:86] + wire _T_3246 = buf_rspageQ_0[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 481:84] + wire [3:0] buf_rspage_0 = {_T_3264,_T_3258,_T_3252,_T_3246}; // @[Cat.scala 29:58] + wire _T_3183 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3186 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3189 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3192 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 480:90] + wire [2:0] _T_3194 = {_T_3192,_T_3189,_T_3186}; // @[Cat.scala 29:58] + wire _T_3291 = buf_rspageQ_1[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3285 = buf_rspageQ_1[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3279 = buf_rspageQ_1[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3273 = buf_rspageQ_1[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 481:84] + wire [3:0] buf_rspage_1 = {_T_3291,_T_3285,_T_3279,_T_3273}; // @[Cat.scala 29:58] + wire _T_3198 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3201 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3204 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3207 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 480:90] + wire [2:0] _T_3209 = {_T_3207,_T_3204,_T_3201}; // @[Cat.scala 29:58] + wire _T_3318 = buf_rspageQ_2[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3312 = buf_rspageQ_2[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3306 = buf_rspageQ_2[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3300 = buf_rspageQ_2[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 481:84] + wire [3:0] buf_rspage_2 = {_T_3318,_T_3312,_T_3306,_T_3300}; // @[Cat.scala 29:58] + wire _T_3213 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3216 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3219 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3222 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 480:90] + wire [2:0] _T_3224 = {_T_3222,_T_3219,_T_3216}; // @[Cat.scala 29:58] + wire _T_3345 = buf_rspageQ_3[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3339 = buf_rspageQ_3[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3333 = buf_rspageQ_3[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3327 = buf_rspageQ_3[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 481:84] + wire [3:0] buf_rspage_3 = {_T_3345,_T_3339,_T_3333,_T_3327}; // @[Cat.scala 29:58] + wire _T_3228 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3231 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3234 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3237 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 480:90] + wire [2:0] _T_3239 = {_T_3237,_T_3234,_T_3231}; // @[Cat.scala 29:58] + wire _T_3350 = ibuf_drain_vld & _T_1873; // @[el2_lsu_bus_buffer.scala 486:65] + wire _T_3352 = ibuf_drain_vld & _T_1884; // @[el2_lsu_bus_buffer.scala 486:65] + wire _T_3354 = ibuf_drain_vld & _T_1895; // @[el2_lsu_bus_buffer.scala 486:65] + wire _T_3356 = ibuf_drain_vld & _T_1906; // @[el2_lsu_bus_buffer.scala 486:65] + wire [3:0] ibuf_drainvec_vld = {_T_3356,_T_3354,_T_3352,_T_3350}; // @[Cat.scala 29:58] + wire _T_3364 = _T_3558 & _T_1876; // @[el2_lsu_bus_buffer.scala 488:37] + wire _T_3373 = _T_3558 & _T_1887; // @[el2_lsu_bus_buffer.scala 488:37] + wire _T_3382 = _T_3558 & _T_1898; // @[el2_lsu_bus_buffer.scala 488:37] + wire _T_3391 = _T_3558 & _T_1909; // @[el2_lsu_bus_buffer.scala 488:37] + wire _T_3421 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 490:47] + wire _T_3423 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 490:47] + wire _T_3425 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 490:47] + wire _T_3427 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 490:47] + wire [3:0] buf_dual_in = {_T_3427,_T_3425,_T_3423,_T_3421}; // @[Cat.scala 29:58] + wire _T_3432 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 491:49] + wire _T_3434 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 491:49] + wire _T_3436 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 491:49] + wire _T_3438 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 491:49] + wire [3:0] buf_samedw_in = {_T_3438,_T_3436,_T_3434,_T_3432}; // @[Cat.scala 29:58] + wire _T_3443 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 492:86] + wire _T_3444 = ibuf_drainvec_vld[0] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 492:50] + wire _T_3447 = ibuf_drainvec_vld[1] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 492:50] + wire _T_3450 = ibuf_drainvec_vld[2] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 492:50] + wire _T_3453 = ibuf_drainvec_vld[3] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 492:50] + wire [3:0] buf_nomerge_in = {_T_3453,_T_3450,_T_3447,_T_3444}; // @[Cat.scala 29:58] + wire _T_3461 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3364; // @[el2_lsu_bus_buffer.scala 493:49] + wire _T_3466 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3373; // @[el2_lsu_bus_buffer.scala 493:49] + wire _T_3471 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3382; // @[el2_lsu_bus_buffer.scala 493:49] + wire _T_3476 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3391; // @[el2_lsu_bus_buffer.scala 493:49] + wire [3:0] buf_dualhi_in = {_T_3476,_T_3471,_T_3466,_T_3461}; // @[Cat.scala 29:58] + wire _T_3505 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_3507 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_3509 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_3511 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 495:53] + wire [3:0] buf_sideeffect_in = {_T_3511,_T_3509,_T_3507,_T_3505}; // @[Cat.scala 29:58] + wire _T_3516 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 496:49] + wire _T_3518 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 496:49] + wire _T_3520 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 496:49] + wire _T_3522 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 496:49] + wire [3:0] buf_unsign_in = {_T_3522,_T_3520,_T_3518,_T_3516}; // @[Cat.scala 29:58] + wire _T_3539 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 498:48] + wire _T_3541 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 498:48] + wire _T_3543 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 498:48] + wire _T_3545 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 498:48] + wire [3:0] buf_write_in = {_T_3545,_T_3543,_T_3541,_T_3539}; // @[Cat.scala 29:58] + wire _T_3578 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 514:89] + wire _T_3580 = _T_3578 & _T_1370; // @[el2_lsu_bus_buffer.scala 514:104] + wire _T_3593 = buf_state_en_0 & _T_3664; // @[el2_lsu_bus_buffer.scala 519:44] + wire _T_3594 = _T_3593 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 519:60] + wire _T_3596 = _T_3594 & _T_1352; // @[el2_lsu_bus_buffer.scala 519:74] + wire _T_3599 = _T_3589 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:67] + wire _T_3600 = _T_3599 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 521:81] + wire _T_4893 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 626:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4893; // @[el2_lsu_bus_buffer.scala 626:38] + wire _T_3603 = _T_3599 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 522:82] + wire _T_3678 = bus_rsp_read_error & _T_3657; // @[el2_lsu_bus_buffer.scala 536:91] + wire _T_3680 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 537:31] + wire _T_3682 = _T_3680 & _T_3659; // @[el2_lsu_bus_buffer.scala 537:46] + wire _T_3683 = _T_3678 | _T_3682; // @[el2_lsu_bus_buffer.scala 536:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4893; // @[el2_lsu_bus_buffer.scala 625:40] + wire _T_3686 = bus_rsp_write_error & _T_3655; // @[el2_lsu_bus_buffer.scala 538:53] + wire _T_3687 = _T_3683 | _T_3686; // @[el2_lsu_bus_buffer.scala 537:88] + wire _T_3688 = _T_3589 & _T_3687; // @[el2_lsu_bus_buffer.scala 536:68] + wire _GEN_46 = _T_3610 & _T_3688; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3576 ? _T_3603 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3572 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3549 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_3613 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 526:73] + wire _T_3614 = buf_write[0] & _T_3613; // @[el2_lsu_bus_buffer.scala 526:71] + wire _T_3615 = io_dec_tlu_force_halt | _T_3614; // @[el2_lsu_bus_buffer.scala 526:55] + wire _T_3617 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 527:30] + wire _T_3618 = buf_dual_0 & _T_3617; // @[el2_lsu_bus_buffer.scala 527:28] + wire _T_3621 = _T_3618 & _T_3664; // @[el2_lsu_bus_buffer.scala 527:45] wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 527:90] wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 527:90] wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 527:90] - wire _T_3646 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 527:90] - wire _T_3647 = _T_3645 & _T_3646; // @[el2_lsu_bus_buffer.scala 527:61] - wire _T_4542 = _T_2791 | _T_2788; // @[el2_lsu_bus_buffer.scala 585:93] - wire _T_4543 = _T_4542 | _T_2785; // @[el2_lsu_bus_buffer.scala 585:93] - wire any_done_wait_state = _T_4543 | _T_2782; // @[el2_lsu_bus_buffer.scala 585:93] - wire _T_3649 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:31] - wire _T_3655 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3657 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3659 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3661 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3663 = _T_3655 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3664 = _T_3657 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3665 = _T_3659 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3666 = _T_3661 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3667 = _T_3663 | _T_3664; // @[Mux.scala 27:72] - wire _T_3668 = _T_3667 | _T_3665; // @[Mux.scala 27:72] - wire _T_3669 = _T_3668 | _T_3666; // @[Mux.scala 27:72] - wire _T_3671 = _T_3645 & _T_3669; // @[el2_lsu_bus_buffer.scala 528:101] - wire _T_3672 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 528:167] - wire _T_3673 = _T_3671 & _T_3672; // @[el2_lsu_bus_buffer.scala 528:138] - wire _T_3674 = _T_3673 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:187] - wire _T_3675 = _T_3649 | _T_3674; // @[el2_lsu_bus_buffer.scala 528:53] - wire _T_3698 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 535:47] - wire _T_3699 = _T_3698 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 535:62] - wire _T_3713 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 539:50] - wire _T_3714 = buf_state_en_0 & _T_3713; // @[el2_lsu_bus_buffer.scala 539:48] - wire _T_3726 = buf_ldfwd[0] | _T_3731[0]; // @[el2_lsu_bus_buffer.scala 542:90] - wire _T_3727 = _T_3726 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 542:118] - wire _GEN_29 = _T_3747 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3739 ? 1'h0 : _T_3747; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3739 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3721 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3721 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3634 & _T_3699; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3634 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3634 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3600 ? _T_3620 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3600 ? _T_3624 : _GEN_45; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3600 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3596 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3596 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3596 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3573 & buf_state_en_0; // @[Conditional.scala 40:58] - wire buf_data_en_0 = _T_3573 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3573 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3573 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3810 = buf_state_en_1 & _T_3881; // @[el2_lsu_bus_buffer.scala 519:44] - wire _T_3811 = _T_3810 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 519:60] - wire _T_3813 = _T_3811 & _T_1376; // @[el2_lsu_bus_buffer.scala 519:74] - wire _T_3816 = _T_3806 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:67] - wire _T_3817 = _T_3816 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 521:81] - wire _T_3820 = _T_3816 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 522:82] - wire _T_3895 = bus_rsp_read_error & _T_3874; // @[el2_lsu_bus_buffer.scala 536:91] - wire _T_3897 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 537:31] - wire _T_3899 = _T_3897 & _T_3876; // @[el2_lsu_bus_buffer.scala 537:46] - wire _T_3900 = _T_3895 | _T_3899; // @[el2_lsu_bus_buffer.scala 536:143] - wire _T_3903 = bus_rsp_write_error & _T_3872; // @[el2_lsu_bus_buffer.scala 538:53] - wire _T_3904 = _T_3900 | _T_3903; // @[el2_lsu_bus_buffer.scala 537:88] - wire _T_3905 = _T_3806 & _T_3904; // @[el2_lsu_bus_buffer.scala 536:68] - wire _GEN_122 = _T_3827 & _T_3905; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3793 ? _T_3820 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3789 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3766 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3831 = buf_write[1] & _T_3637; // @[el2_lsu_bus_buffer.scala 526:71] - wire _T_3832 = io_dec_tlu_force_halt | _T_3831; // @[el2_lsu_bus_buffer.scala 526:55] - wire _T_3834 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 527:30] - wire _T_3835 = buf_dual_1 & _T_3834; // @[el2_lsu_bus_buffer.scala 527:28] - wire _T_3838 = _T_3835 & _T_3881; // @[el2_lsu_bus_buffer.scala 527:45] + wire _T_3622 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 527:90] + wire _T_3623 = _T_3621 & _T_3622; // @[el2_lsu_bus_buffer.scala 527:61] + wire _T_4518 = _T_2767 | _T_2764; // @[el2_lsu_bus_buffer.scala 585:93] + wire _T_4519 = _T_4518 | _T_2761; // @[el2_lsu_bus_buffer.scala 585:93] + wire any_done_wait_state = _T_4519 | _T_2758; // @[el2_lsu_bus_buffer.scala 585:93] + wire _T_3625 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_3631 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3633 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3635 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3637 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3639 = _T_3631 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3640 = _T_3633 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3641 = _T_3635 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3642 = _T_3637 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3643 = _T_3639 | _T_3640; // @[Mux.scala 27:72] + wire _T_3644 = _T_3643 | _T_3641; // @[Mux.scala 27:72] + wire _T_3645 = _T_3644 | _T_3642; // @[Mux.scala 27:72] + wire _T_3647 = _T_3621 & _T_3645; // @[el2_lsu_bus_buffer.scala 528:101] + wire _T_3648 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 528:167] + wire _T_3649 = _T_3647 & _T_3648; // @[el2_lsu_bus_buffer.scala 528:138] + wire _T_3650 = _T_3649 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:187] + wire _T_3651 = _T_3625 | _T_3650; // @[el2_lsu_bus_buffer.scala 528:53] + wire _T_3674 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 535:47] + wire _T_3675 = _T_3674 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 535:62] + wire _T_3689 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 539:50] + wire _T_3690 = buf_state_en_0 & _T_3689; // @[el2_lsu_bus_buffer.scala 539:48] + wire _T_3702 = buf_ldfwd[0] | _T_3707[0]; // @[el2_lsu_bus_buffer.scala 542:90] + wire _T_3703 = _T_3702 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 542:118] + wire _GEN_29 = _T_3723 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3715 ? 1'h0 : _T_3723; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3715 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3697 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3697 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3610 & _T_3675; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3610 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3610 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3576 ? _T_3596 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3576 ? _T_3600 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3576 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3572 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3572 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3572 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3549 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_data_en_0 = _T_3549 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3549 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3549 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire _T_3786 = buf_state_en_1 & _T_3857; // @[el2_lsu_bus_buffer.scala 519:44] + wire _T_3787 = _T_3786 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 519:60] + wire _T_3789 = _T_3787 & _T_1352; // @[el2_lsu_bus_buffer.scala 519:74] + wire _T_3792 = _T_3782 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:67] + wire _T_3793 = _T_3792 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 521:81] + wire _T_3796 = _T_3792 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 522:82] + wire _T_3871 = bus_rsp_read_error & _T_3850; // @[el2_lsu_bus_buffer.scala 536:91] + wire _T_3873 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 537:31] + wire _T_3875 = _T_3873 & _T_3852; // @[el2_lsu_bus_buffer.scala 537:46] + wire _T_3876 = _T_3871 | _T_3875; // @[el2_lsu_bus_buffer.scala 536:143] + wire _T_3879 = bus_rsp_write_error & _T_3848; // @[el2_lsu_bus_buffer.scala 538:53] + wire _T_3880 = _T_3876 | _T_3879; // @[el2_lsu_bus_buffer.scala 537:88] + wire _T_3881 = _T_3782 & _T_3880; // @[el2_lsu_bus_buffer.scala 536:68] + wire _GEN_122 = _T_3803 & _T_3881; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3769 ? _T_3796 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3765 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3742 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire _T_3807 = buf_write[1] & _T_3613; // @[el2_lsu_bus_buffer.scala 526:71] + wire _T_3808 = io_dec_tlu_force_halt | _T_3807; // @[el2_lsu_bus_buffer.scala 526:55] + wire _T_3810 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 527:30] + wire _T_3811 = buf_dual_1 & _T_3810; // @[el2_lsu_bus_buffer.scala 527:28] + wire _T_3814 = _T_3811 & _T_3857; // @[el2_lsu_bus_buffer.scala 527:45] wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 527:90] wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 527:90] wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 527:90] - wire _T_3839 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 527:90] - wire _T_3840 = _T_3838 & _T_3839; // @[el2_lsu_bus_buffer.scala 527:61] - wire _T_3842 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:31] - wire _T_3848 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3850 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3852 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3854 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3856 = _T_3848 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3857 = _T_3850 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3858 = _T_3852 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3859 = _T_3854 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3860 = _T_3856 | _T_3857; // @[Mux.scala 27:72] - wire _T_3861 = _T_3860 | _T_3858; // @[Mux.scala 27:72] - wire _T_3862 = _T_3861 | _T_3859; // @[Mux.scala 27:72] - wire _T_3864 = _T_3838 & _T_3862; // @[el2_lsu_bus_buffer.scala 528:101] - wire _T_3865 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 528:167] - wire _T_3866 = _T_3864 & _T_3865; // @[el2_lsu_bus_buffer.scala 528:138] - wire _T_3867 = _T_3866 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:187] - wire _T_3868 = _T_3842 | _T_3867; // @[el2_lsu_bus_buffer.scala 528:53] - wire _T_3891 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 535:47] - wire _T_3892 = _T_3891 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 535:62] - wire _T_3906 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 539:50] - wire _T_3907 = buf_state_en_1 & _T_3906; // @[el2_lsu_bus_buffer.scala 539:48] - wire _T_3919 = buf_ldfwd[1] | _T_3924[0]; // @[el2_lsu_bus_buffer.scala 542:90] - wire _T_3920 = _T_3919 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 542:118] - wire _GEN_105 = _T_3940 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3932 ? 1'h0 : _T_3940; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3932 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3914 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3914 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3827 & _T_3892; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3827 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3827 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3793 ? _T_3813 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3793 ? _T_3817 : _GEN_121; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3793 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3789 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3789 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3789 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3766 & buf_state_en_1; // @[Conditional.scala 40:58] - wire buf_data_en_1 = _T_3766 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3766 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3766 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_4003 = buf_state_en_2 & _T_4074; // @[el2_lsu_bus_buffer.scala 519:44] - wire _T_4004 = _T_4003 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 519:60] - wire _T_4006 = _T_4004 & _T_1376; // @[el2_lsu_bus_buffer.scala 519:74] - wire _T_4009 = _T_3999 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:67] - wire _T_4010 = _T_4009 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 521:81] - wire _T_4013 = _T_4009 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 522:82] - wire _T_4088 = bus_rsp_read_error & _T_4067; // @[el2_lsu_bus_buffer.scala 536:91] - wire _T_4090 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 537:31] - wire _T_4092 = _T_4090 & _T_4069; // @[el2_lsu_bus_buffer.scala 537:46] - wire _T_4093 = _T_4088 | _T_4092; // @[el2_lsu_bus_buffer.scala 536:143] - wire _T_4096 = bus_rsp_write_error & _T_4065; // @[el2_lsu_bus_buffer.scala 538:53] - wire _T_4097 = _T_4093 | _T_4096; // @[el2_lsu_bus_buffer.scala 537:88] - wire _T_4098 = _T_3999 & _T_4097; // @[el2_lsu_bus_buffer.scala 536:68] - wire _GEN_198 = _T_4020 & _T_4098; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3986 ? _T_4013 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3982 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3959 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_4024 = buf_write[2] & _T_3637; // @[el2_lsu_bus_buffer.scala 526:71] - wire _T_4025 = io_dec_tlu_force_halt | _T_4024; // @[el2_lsu_bus_buffer.scala 526:55] - wire _T_4027 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 527:30] - wire _T_4028 = buf_dual_2 & _T_4027; // @[el2_lsu_bus_buffer.scala 527:28] - wire _T_4031 = _T_4028 & _T_4074; // @[el2_lsu_bus_buffer.scala 527:45] + wire _T_3815 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 527:90] + wire _T_3816 = _T_3814 & _T_3815; // @[el2_lsu_bus_buffer.scala 527:61] + wire _T_3818 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_3824 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3826 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3828 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3830 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3832 = _T_3824 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3833 = _T_3826 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3834 = _T_3828 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3835 = _T_3830 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3836 = _T_3832 | _T_3833; // @[Mux.scala 27:72] + wire _T_3837 = _T_3836 | _T_3834; // @[Mux.scala 27:72] + wire _T_3838 = _T_3837 | _T_3835; // @[Mux.scala 27:72] + wire _T_3840 = _T_3814 & _T_3838; // @[el2_lsu_bus_buffer.scala 528:101] + wire _T_3841 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 528:167] + wire _T_3842 = _T_3840 & _T_3841; // @[el2_lsu_bus_buffer.scala 528:138] + wire _T_3843 = _T_3842 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:187] + wire _T_3844 = _T_3818 | _T_3843; // @[el2_lsu_bus_buffer.scala 528:53] + wire _T_3867 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 535:47] + wire _T_3868 = _T_3867 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 535:62] + wire _T_3882 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 539:50] + wire _T_3883 = buf_state_en_1 & _T_3882; // @[el2_lsu_bus_buffer.scala 539:48] + wire _T_3895 = buf_ldfwd[1] | _T_3900[0]; // @[el2_lsu_bus_buffer.scala 542:90] + wire _T_3896 = _T_3895 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 542:118] + wire _GEN_105 = _T_3916 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3908 ? 1'h0 : _T_3916; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3908 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3890 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3890 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3803 & _T_3868; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3803 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3803 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3769 ? _T_3789 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3769 ? _T_3793 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3769 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3765 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3765 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3765 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3742 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_data_en_1 = _T_3742 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3742 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3742 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_3979 = buf_state_en_2 & _T_4050; // @[el2_lsu_bus_buffer.scala 519:44] + wire _T_3980 = _T_3979 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 519:60] + wire _T_3982 = _T_3980 & _T_1352; // @[el2_lsu_bus_buffer.scala 519:74] + wire _T_3985 = _T_3975 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:67] + wire _T_3986 = _T_3985 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 521:81] + wire _T_3989 = _T_3985 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 522:82] + wire _T_4064 = bus_rsp_read_error & _T_4043; // @[el2_lsu_bus_buffer.scala 536:91] + wire _T_4066 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 537:31] + wire _T_4068 = _T_4066 & _T_4045; // @[el2_lsu_bus_buffer.scala 537:46] + wire _T_4069 = _T_4064 | _T_4068; // @[el2_lsu_bus_buffer.scala 536:143] + wire _T_4072 = bus_rsp_write_error & _T_4041; // @[el2_lsu_bus_buffer.scala 538:53] + wire _T_4073 = _T_4069 | _T_4072; // @[el2_lsu_bus_buffer.scala 537:88] + wire _T_4074 = _T_3975 & _T_4073; // @[el2_lsu_bus_buffer.scala 536:68] + wire _GEN_198 = _T_3996 & _T_4074; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3962 ? _T_3989 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3958 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3935 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire _T_4000 = buf_write[2] & _T_3613; // @[el2_lsu_bus_buffer.scala 526:71] + wire _T_4001 = io_dec_tlu_force_halt | _T_4000; // @[el2_lsu_bus_buffer.scala 526:55] + wire _T_4003 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 527:30] + wire _T_4004 = buf_dual_2 & _T_4003; // @[el2_lsu_bus_buffer.scala 527:28] + wire _T_4007 = _T_4004 & _T_4050; // @[el2_lsu_bus_buffer.scala 527:45] wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 527:90] wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 527:90] wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 527:90] - wire _T_4032 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 527:90] - wire _T_4033 = _T_4031 & _T_4032; // @[el2_lsu_bus_buffer.scala 527:61] - wire _T_4035 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:31] - wire _T_4041 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4043 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4045 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4047 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4049 = _T_4041 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4050 = _T_4043 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4051 = _T_4045 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4052 = _T_4047 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4053 = _T_4049 | _T_4050; // @[Mux.scala 27:72] - wire _T_4054 = _T_4053 | _T_4051; // @[Mux.scala 27:72] - wire _T_4055 = _T_4054 | _T_4052; // @[Mux.scala 27:72] - wire _T_4057 = _T_4031 & _T_4055; // @[el2_lsu_bus_buffer.scala 528:101] - wire _T_4058 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 528:167] - wire _T_4059 = _T_4057 & _T_4058; // @[el2_lsu_bus_buffer.scala 528:138] - wire _T_4060 = _T_4059 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:187] - wire _T_4061 = _T_4035 | _T_4060; // @[el2_lsu_bus_buffer.scala 528:53] - wire _T_4084 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 535:47] - wire _T_4085 = _T_4084 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 535:62] - wire _T_4099 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 539:50] - wire _T_4100 = buf_state_en_2 & _T_4099; // @[el2_lsu_bus_buffer.scala 539:48] - wire _T_4112 = buf_ldfwd[2] | _T_4117[0]; // @[el2_lsu_bus_buffer.scala 542:90] - wire _T_4113 = _T_4112 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 542:118] - wire _GEN_181 = _T_4133 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_4125 ? 1'h0 : _T_4133; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_4125 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_4107 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_4107 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_4020 & _T_4085; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_4020 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_4020 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3986 ? _T_4006 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3986 ? _T_4010 : _GEN_197; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3986 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3982 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3982 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3982 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3959 & buf_state_en_2; // @[Conditional.scala 40:58] - wire buf_data_en_2 = _T_3959 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3959 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3959 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4196 = buf_state_en_3 & _T_4267; // @[el2_lsu_bus_buffer.scala 519:44] - wire _T_4197 = _T_4196 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 519:60] - wire _T_4199 = _T_4197 & _T_1376; // @[el2_lsu_bus_buffer.scala 519:74] - wire _T_4202 = _T_4192 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:67] - wire _T_4203 = _T_4202 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 521:81] - wire _T_4206 = _T_4202 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 522:82] - wire _T_4281 = bus_rsp_read_error & _T_4260; // @[el2_lsu_bus_buffer.scala 536:91] - wire _T_4283 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 537:31] - wire _T_4285 = _T_4283 & _T_4262; // @[el2_lsu_bus_buffer.scala 537:46] - wire _T_4286 = _T_4281 | _T_4285; // @[el2_lsu_bus_buffer.scala 536:143] - wire _T_4289 = bus_rsp_write_error & _T_4258; // @[el2_lsu_bus_buffer.scala 538:53] - wire _T_4290 = _T_4286 | _T_4289; // @[el2_lsu_bus_buffer.scala 537:88] - wire _T_4291 = _T_4192 & _T_4290; // @[el2_lsu_bus_buffer.scala 536:68] - wire _GEN_274 = _T_4213 & _T_4291; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4179 ? _T_4206 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4175 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4152 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4217 = buf_write[3] & _T_3637; // @[el2_lsu_bus_buffer.scala 526:71] - wire _T_4218 = io_dec_tlu_force_halt | _T_4217; // @[el2_lsu_bus_buffer.scala 526:55] - wire _T_4220 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 527:30] - wire _T_4221 = buf_dual_3 & _T_4220; // @[el2_lsu_bus_buffer.scala 527:28] - wire _T_4224 = _T_4221 & _T_4267; // @[el2_lsu_bus_buffer.scala 527:45] + wire _T_4008 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 527:90] + wire _T_4009 = _T_4007 & _T_4008; // @[el2_lsu_bus_buffer.scala 527:61] + wire _T_4011 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_4017 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4019 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4021 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4023 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4025 = _T_4017 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4026 = _T_4019 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4027 = _T_4021 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4028 = _T_4023 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4029 = _T_4025 | _T_4026; // @[Mux.scala 27:72] + wire _T_4030 = _T_4029 | _T_4027; // @[Mux.scala 27:72] + wire _T_4031 = _T_4030 | _T_4028; // @[Mux.scala 27:72] + wire _T_4033 = _T_4007 & _T_4031; // @[el2_lsu_bus_buffer.scala 528:101] + wire _T_4034 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 528:167] + wire _T_4035 = _T_4033 & _T_4034; // @[el2_lsu_bus_buffer.scala 528:138] + wire _T_4036 = _T_4035 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:187] + wire _T_4037 = _T_4011 | _T_4036; // @[el2_lsu_bus_buffer.scala 528:53] + wire _T_4060 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 535:47] + wire _T_4061 = _T_4060 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 535:62] + wire _T_4075 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 539:50] + wire _T_4076 = buf_state_en_2 & _T_4075; // @[el2_lsu_bus_buffer.scala 539:48] + wire _T_4088 = buf_ldfwd[2] | _T_4093[0]; // @[el2_lsu_bus_buffer.scala 542:90] + wire _T_4089 = _T_4088 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 542:118] + wire _GEN_181 = _T_4109 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4101 ? 1'h0 : _T_4109; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_4101 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4083 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4083 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3996 & _T_4061; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3996 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3996 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3962 ? _T_3982 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3962 ? _T_3986 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3962 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3958 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3958 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3958 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3935 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_data_en_2 = _T_3935 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3935 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3935 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_4172 = buf_state_en_3 & _T_4243; // @[el2_lsu_bus_buffer.scala 519:44] + wire _T_4173 = _T_4172 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 519:60] + wire _T_4175 = _T_4173 & _T_1352; // @[el2_lsu_bus_buffer.scala 519:74] + wire _T_4178 = _T_4168 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:67] + wire _T_4179 = _T_4178 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 521:81] + wire _T_4182 = _T_4178 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 522:82] + wire _T_4257 = bus_rsp_read_error & _T_4236; // @[el2_lsu_bus_buffer.scala 536:91] + wire _T_4259 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 537:31] + wire _T_4261 = _T_4259 & _T_4238; // @[el2_lsu_bus_buffer.scala 537:46] + wire _T_4262 = _T_4257 | _T_4261; // @[el2_lsu_bus_buffer.scala 536:143] + wire _T_4265 = bus_rsp_write_error & _T_4234; // @[el2_lsu_bus_buffer.scala 538:53] + wire _T_4266 = _T_4262 | _T_4265; // @[el2_lsu_bus_buffer.scala 537:88] + wire _T_4267 = _T_4168 & _T_4266; // @[el2_lsu_bus_buffer.scala 536:68] + wire _GEN_274 = _T_4189 & _T_4267; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4155 ? _T_4182 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4151 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4128 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire _T_4193 = buf_write[3] & _T_3613; // @[el2_lsu_bus_buffer.scala 526:71] + wire _T_4194 = io_dec_tlu_force_halt | _T_4193; // @[el2_lsu_bus_buffer.scala 526:55] + wire _T_4196 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 527:30] + wire _T_4197 = buf_dual_3 & _T_4196; // @[el2_lsu_bus_buffer.scala 527:28] + wire _T_4200 = _T_4197 & _T_4243; // @[el2_lsu_bus_buffer.scala 527:45] wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 527:90] wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 527:90] wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 527:90] - wire _T_4225 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 527:90] - wire _T_4226 = _T_4224 & _T_4225; // @[el2_lsu_bus_buffer.scala 527:61] - wire _T_4228 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:31] - wire _T_4234 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4236 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4238 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4240 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4242 = _T_4234 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4243 = _T_4236 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4244 = _T_4238 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4245 = _T_4240 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4246 = _T_4242 | _T_4243; // @[Mux.scala 27:72] - wire _T_4247 = _T_4246 | _T_4244; // @[Mux.scala 27:72] - wire _T_4248 = _T_4247 | _T_4245; // @[Mux.scala 27:72] - wire _T_4250 = _T_4224 & _T_4248; // @[el2_lsu_bus_buffer.scala 528:101] - wire _T_4251 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 528:167] - wire _T_4252 = _T_4250 & _T_4251; // @[el2_lsu_bus_buffer.scala 528:138] - wire _T_4253 = _T_4252 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:187] - wire _T_4254 = _T_4228 | _T_4253; // @[el2_lsu_bus_buffer.scala 528:53] - wire _T_4277 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 535:47] - wire _T_4278 = _T_4277 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 535:62] - wire _T_4292 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 539:50] - wire _T_4293 = buf_state_en_3 & _T_4292; // @[el2_lsu_bus_buffer.scala 539:48] - wire _T_4305 = buf_ldfwd[3] | _T_4310[0]; // @[el2_lsu_bus_buffer.scala 542:90] - wire _T_4306 = _T_4305 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 542:118] - wire _GEN_257 = _T_4326 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4318 ? 1'h0 : _T_4326; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4318 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4300 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4300 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4213 & _T_4278; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4213 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4213 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_4179 ? _T_4199 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_4179 ? _T_4203 : _GEN_273; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_4179 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_4175 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_4175 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_4175 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_4152 & buf_state_en_3; // @[Conditional.scala 40:58] - wire buf_data_en_3 = _T_4152 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4152 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4152 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] - reg _T_4381; // @[Reg.scala 27:20] - reg _T_4384; // @[Reg.scala 27:20] - reg _T_4387; // @[Reg.scala 27:20] - reg _T_4390; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4390,_T_4387,_T_4384,_T_4381}; // @[Cat.scala 29:58] - reg _T_4456; // @[el2_lsu_bus_buffer.scala 578:82] - reg _T_4451; // @[el2_lsu_bus_buffer.scala 578:82] - reg _T_4446; // @[el2_lsu_bus_buffer.scala 578:82] - reg _T_4441; // @[el2_lsu_bus_buffer.scala 578:82] - wire [3:0] buf_error = {_T_4456,_T_4451,_T_4446,_T_4441}; // @[Cat.scala 29:58] - wire _T_4438 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 578:86] - wire _T_4439 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 578:128] - wire _T_4443 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 578:86] - wire _T_4444 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 578:128] - wire _T_4448 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 578:86] - wire _T_4449 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 578:128] - wire _T_4453 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 578:86] - wire _T_4454 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 578:128] - wire [2:0] _T_4461 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4463 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4464 = io_ldst_dual_m ? _T_4463 : {{1'd0}, io_lsu_busreq_m}; // @[el2_lsu_bus_buffer.scala 581:28] - wire [1:0] _T_4465 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4466 = io_ldst_dual_r ? _T_4465 : {{1'd0}, io_lsu_busreq_r}; // @[el2_lsu_bus_buffer.scala 581:94] - wire [2:0] _T_4467 = _T_4464 + _T_4466; // @[el2_lsu_bus_buffer.scala 581:88] + wire _T_4201 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 527:90] + wire _T_4202 = _T_4200 & _T_4201; // @[el2_lsu_bus_buffer.scala 527:61] + wire _T_4204 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_4210 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4212 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4214 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4216 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4218 = _T_4210 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4219 = _T_4212 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4220 = _T_4214 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4221 = _T_4216 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4222 = _T_4218 | _T_4219; // @[Mux.scala 27:72] + wire _T_4223 = _T_4222 | _T_4220; // @[Mux.scala 27:72] + wire _T_4224 = _T_4223 | _T_4221; // @[Mux.scala 27:72] + wire _T_4226 = _T_4200 & _T_4224; // @[el2_lsu_bus_buffer.scala 528:101] + wire _T_4227 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 528:167] + wire _T_4228 = _T_4226 & _T_4227; // @[el2_lsu_bus_buffer.scala 528:138] + wire _T_4229 = _T_4228 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:187] + wire _T_4230 = _T_4204 | _T_4229; // @[el2_lsu_bus_buffer.scala 528:53] + wire _T_4253 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 535:47] + wire _T_4254 = _T_4253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 535:62] + wire _T_4268 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 539:50] + wire _T_4269 = buf_state_en_3 & _T_4268; // @[el2_lsu_bus_buffer.scala 539:48] + wire _T_4281 = buf_ldfwd[3] | _T_4286[0]; // @[el2_lsu_bus_buffer.scala 542:90] + wire _T_4282 = _T_4281 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 542:118] + wire _GEN_257 = _T_4302 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4294 ? 1'h0 : _T_4302; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4294 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4276 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4276 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4189 & _T_4254; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4189 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4189 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4155 ? _T_4175 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4155 ? _T_4179 : _GEN_273; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4155 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4151 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4151 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4151 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4128 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_data_en_3 = _T_4128 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4128 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4128 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4357; // @[Reg.scala 27:20] + reg _T_4360; // @[Reg.scala 27:20] + reg _T_4363; // @[Reg.scala 27:20] + reg _T_4366; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4366,_T_4363,_T_4360,_T_4357}; // @[Cat.scala 29:58] + reg _T_4432; // @[el2_lsu_bus_buffer.scala 578:82] + reg _T_4427; // @[el2_lsu_bus_buffer.scala 578:82] + reg _T_4422; // @[el2_lsu_bus_buffer.scala 578:82] + reg _T_4417; // @[el2_lsu_bus_buffer.scala 578:82] + wire [3:0] buf_error = {_T_4432,_T_4427,_T_4422,_T_4417}; // @[Cat.scala 29:58] + wire _T_4414 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 578:86] + wire _T_4415 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 578:128] + wire _T_4419 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 578:86] + wire _T_4420 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 578:128] + wire _T_4424 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 578:86] + wire _T_4425 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 578:128] + wire _T_4429 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 578:86] + wire _T_4430 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 578:128] + wire [2:0] _T_4437 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] + wire [1:0] _T_4439 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4440 = io_ldst_dual_m ? _T_4439 : {{1'd0}, io_lsu_busreq_m}; // @[el2_lsu_bus_buffer.scala 581:28] + wire [1:0] _T_4441 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4442 = io_ldst_dual_r ? _T_4441 : {{1'd0}, io_lsu_busreq_r}; // @[el2_lsu_bus_buffer.scala 581:94] + wire [2:0] _T_4443 = _T_4440 + _T_4442; // @[el2_lsu_bus_buffer.scala 581:88] wire [2:0] _GEN_390 = {{2'd0}, ibuf_valid}; // @[el2_lsu_bus_buffer.scala 581:154] - wire [3:0] _T_4468 = _T_4467 + _GEN_390; // @[el2_lsu_bus_buffer.scala 581:154] - wire [1:0] _T_4473 = _T_5 + _T_12; // @[el2_lsu_bus_buffer.scala 581:217] + wire [3:0] _T_4444 = _T_4443 + _GEN_390; // @[el2_lsu_bus_buffer.scala 581:154] + wire [1:0] _T_4449 = _T_5 + _T_12; // @[el2_lsu_bus_buffer.scala 581:217] wire [1:0] _GEN_391 = {{1'd0}, _T_19}; // @[el2_lsu_bus_buffer.scala 581:217] - wire [2:0] _T_4474 = _T_4473 + _GEN_391; // @[el2_lsu_bus_buffer.scala 581:217] + wire [2:0] _T_4450 = _T_4449 + _GEN_391; // @[el2_lsu_bus_buffer.scala 581:217] wire [2:0] _GEN_392 = {{2'd0}, _T_26}; // @[el2_lsu_bus_buffer.scala 581:217] - wire [3:0] _T_4475 = _T_4474 + _GEN_392; // @[el2_lsu_bus_buffer.scala 581:217] - wire [3:0] buf_numvld_any = _T_4468 + _T_4475; // @[el2_lsu_bus_buffer.scala 581:169] - wire _T_4546 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 587:52] - wire _T_4547 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 587:92] - wire _T_4548 = buf_numvld_any == 4'h4; // @[el2_lsu_bus_buffer.scala 587:121] - wire _T_4550 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 588:52] - wire _T_4551 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 588:52] - wire _T_4552 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 588:52] - wire _T_4553 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 588:52] - wire _T_4554 = _T_4550 | _T_4551; // @[el2_lsu_bus_buffer.scala 588:65] - wire _T_4555 = _T_4554 | _T_4552; // @[el2_lsu_bus_buffer.scala 588:65] - wire _T_4556 = _T_4555 | _T_4553; // @[el2_lsu_bus_buffer.scala 588:65] - wire _T_4557 = ~_T_4556; // @[el2_lsu_bus_buffer.scala 588:34] - wire _T_4559 = _T_4557 & _T_874; // @[el2_lsu_bus_buffer.scala 588:70] - wire _T_4562 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 590:51] - wire _T_4563 = _T_4562 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 590:72] - wire _T_4564 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 590:94] - wire _T_4565 = _T_4563 & _T_4564; // @[el2_lsu_bus_buffer.scala 590:92] - wire _T_4566 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 590:111] - wire _T_4568 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 593:61] + wire [3:0] _T_4451 = _T_4450 + _GEN_392; // @[el2_lsu_bus_buffer.scala 581:217] + wire [3:0] buf_numvld_any = _T_4444 + _T_4451; // @[el2_lsu_bus_buffer.scala 581:169] + wire _T_4522 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 587:52] + wire _T_4523 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 587:92] + wire _T_4524 = buf_numvld_any == 4'h4; // @[el2_lsu_bus_buffer.scala 587:121] + wire _T_4526 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 588:52] + wire _T_4527 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 588:52] + wire _T_4528 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 588:52] + wire _T_4529 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 588:52] + wire _T_4530 = _T_4526 | _T_4527; // @[el2_lsu_bus_buffer.scala 588:65] + wire _T_4531 = _T_4530 | _T_4528; // @[el2_lsu_bus_buffer.scala 588:65] + wire _T_4532 = _T_4531 | _T_4529; // @[el2_lsu_bus_buffer.scala 588:65] + wire _T_4533 = ~_T_4532; // @[el2_lsu_bus_buffer.scala 588:34] + wire _T_4535 = _T_4533 & _T_850; // @[el2_lsu_bus_buffer.scala 588:70] + wire _T_4538 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 590:51] + wire _T_4539 = _T_4538 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 590:72] + wire _T_4540 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 590:94] + wire _T_4541 = _T_4539 & _T_4540; // @[el2_lsu_bus_buffer.scala 590:92] + wire _T_4542 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 590:111] + wire _T_4544 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 593:61] reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 679:66] - wire _T_4586 = _T_2844 & _T_3688; // @[Mux.scala 27:72] - wire _T_4587 = _T_2866 & _T_3881; // @[Mux.scala 27:72] - wire _T_4588 = _T_2888 & _T_4074; // @[Mux.scala 27:72] - wire _T_4589 = _T_2910 & _T_4267; // @[Mux.scala 27:72] - wire _T_4590 = _T_4586 | _T_4587; // @[Mux.scala 27:72] - wire _T_4591 = _T_4590 | _T_4588; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4591 | _T_4589; // @[Mux.scala 27:72] - wire _T_4597 = buf_error[0] & _T_3688; // @[el2_lsu_bus_buffer.scala 596:108] - wire _T_4602 = buf_error[1] & _T_3881; // @[el2_lsu_bus_buffer.scala 596:108] - wire _T_4607 = buf_error[2] & _T_4074; // @[el2_lsu_bus_buffer.scala 596:108] - wire _T_4612 = buf_error[3] & _T_4267; // @[el2_lsu_bus_buffer.scala 596:108] - wire _T_4613 = _T_2844 & _T_4597; // @[Mux.scala 27:72] - wire _T_4614 = _T_2866 & _T_4602; // @[Mux.scala 27:72] - wire _T_4615 = _T_2888 & _T_4607; // @[Mux.scala 27:72] - wire _T_4616 = _T_2910 & _T_4612; // @[Mux.scala 27:72] - wire _T_4617 = _T_4613 | _T_4614; // @[Mux.scala 27:72] - wire _T_4618 = _T_4617 | _T_4615; // @[Mux.scala 27:72] - wire _T_4625 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 597:109] - wire _T_4626 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 597:124] + wire _T_4562 = _T_2820 & _T_3664; // @[Mux.scala 27:72] + wire _T_4563 = _T_2842 & _T_3857; // @[Mux.scala 27:72] + wire _T_4564 = _T_2864 & _T_4050; // @[Mux.scala 27:72] + wire _T_4565 = _T_2886 & _T_4243; // @[Mux.scala 27:72] + wire _T_4566 = _T_4562 | _T_4563; // @[Mux.scala 27:72] + wire _T_4567 = _T_4566 | _T_4564; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4567 | _T_4565; // @[Mux.scala 27:72] + wire _T_4573 = buf_error[0] & _T_3664; // @[el2_lsu_bus_buffer.scala 596:108] + wire _T_4578 = buf_error[1] & _T_3857; // @[el2_lsu_bus_buffer.scala 596:108] + wire _T_4583 = buf_error[2] & _T_4050; // @[el2_lsu_bus_buffer.scala 596:108] + wire _T_4588 = buf_error[3] & _T_4243; // @[el2_lsu_bus_buffer.scala 596:108] + wire _T_4589 = _T_2820 & _T_4573; // @[Mux.scala 27:72] + wire _T_4590 = _T_2842 & _T_4578; // @[Mux.scala 27:72] + wire _T_4591 = _T_2864 & _T_4583; // @[Mux.scala 27:72] + wire _T_4592 = _T_2886 & _T_4588; // @[Mux.scala 27:72] + wire _T_4593 = _T_4589 | _T_4590; // @[Mux.scala 27:72] + wire _T_4594 = _T_4593 | _T_4591; // @[Mux.scala 27:72] + wire _T_4601 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 597:109] + wire _T_4602 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 597:124] + wire _T_4603 = _T_4601 | _T_4602; // @[el2_lsu_bus_buffer.scala 597:122] + wire _T_4604 = _T_4562 & _T_4603; // @[el2_lsu_bus_buffer.scala 597:106] + wire _T_4609 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 597:109] + wire _T_4610 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 597:124] + wire _T_4611 = _T_4609 | _T_4610; // @[el2_lsu_bus_buffer.scala 597:122] + wire _T_4612 = _T_4563 & _T_4611; // @[el2_lsu_bus_buffer.scala 597:106] + wire _T_4617 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 597:109] + wire _T_4618 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 597:124] + wire _T_4619 = _T_4617 | _T_4618; // @[el2_lsu_bus_buffer.scala 597:122] + wire _T_4620 = _T_4564 & _T_4619; // @[el2_lsu_bus_buffer.scala 597:106] + wire _T_4625 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 597:109] + wire _T_4626 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 597:124] wire _T_4627 = _T_4625 | _T_4626; // @[el2_lsu_bus_buffer.scala 597:122] - wire _T_4628 = _T_4586 & _T_4627; // @[el2_lsu_bus_buffer.scala 597:106] - wire _T_4633 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 597:109] - wire _T_4634 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 597:124] - wire _T_4635 = _T_4633 | _T_4634; // @[el2_lsu_bus_buffer.scala 597:122] - wire _T_4636 = _T_4587 & _T_4635; // @[el2_lsu_bus_buffer.scala 597:106] - wire _T_4641 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 597:109] - wire _T_4642 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 597:124] - wire _T_4643 = _T_4641 | _T_4642; // @[el2_lsu_bus_buffer.scala 597:122] - wire _T_4644 = _T_4588 & _T_4643; // @[el2_lsu_bus_buffer.scala 597:106] - wire _T_4649 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 597:109] - wire _T_4650 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 597:124] - wire _T_4651 = _T_4649 | _T_4650; // @[el2_lsu_bus_buffer.scala 597:122] - wire _T_4652 = _T_4589 & _T_4651; // @[el2_lsu_bus_buffer.scala 597:106] - wire [1:0] _T_4655 = _T_4644 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4656 = _T_4652 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_393 = {{1'd0}, _T_4636}; // @[Mux.scala 27:72] - wire [1:0] _T_4658 = _GEN_393 | _T_4655; // @[Mux.scala 27:72] - wire [31:0] _T_4693 = _T_4628 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4694 = _T_4636 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4695 = _T_4644 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4696 = _T_4652 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4697 = _T_4693 | _T_4694; // @[Mux.scala 27:72] - wire [31:0] _T_4698 = _T_4697 | _T_4695; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4698 | _T_4696; // @[Mux.scala 27:72] - wire _T_4704 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 599:120] - wire _T_4705 = _T_4586 & _T_4704; // @[el2_lsu_bus_buffer.scala 599:105] - wire _T_4710 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 599:120] - wire _T_4711 = _T_4587 & _T_4710; // @[el2_lsu_bus_buffer.scala 599:105] - wire _T_4716 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 599:120] - wire _T_4717 = _T_4588 & _T_4716; // @[el2_lsu_bus_buffer.scala 599:105] - wire _T_4722 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 599:120] - wire _T_4723 = _T_4589 & _T_4722; // @[el2_lsu_bus_buffer.scala 599:105] - wire [31:0] _T_4724 = _T_4705 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4725 = _T_4711 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4726 = _T_4717 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4727 = _T_4723 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4728 = _T_4724 | _T_4725; // @[Mux.scala 27:72] - wire [31:0] _T_4729 = _T_4728 | _T_4726; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4729 | _T_4727; // @[Mux.scala 27:72] - wire _T_4731 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 120:123] - wire _T_4732 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 120:123] - wire _T_4733 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 120:123] - wire _T_4734 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 120:123] - wire [31:0] _T_4735 = _T_4731 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4736 = _T_4732 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4737 = _T_4733 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4738 = _T_4734 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4739 = _T_4735 | _T_4736; // @[Mux.scala 27:72] - wire [31:0] _T_4740 = _T_4739 | _T_4737; // @[Mux.scala 27:72] - wire [31:0] _T_4741 = _T_4740 | _T_4738; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4741[1:0]; // @[el2_lsu_bus_buffer.scala 600:83] - wire [1:0] _T_4747 = _T_4731 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4748 = _T_4732 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4749 = _T_4733 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4750 = _T_4734 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4751 = _T_4747 | _T_4748; // @[Mux.scala 27:72] - wire [1:0] _T_4752 = _T_4751 | _T_4749; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4752 | _T_4750; // @[Mux.scala 27:72] - wire _T_4762 = _T_4731 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4763 = _T_4732 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4764 = _T_4733 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4765 = _T_4734 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4766 = _T_4762 | _T_4763; // @[Mux.scala 27:72] - wire _T_4767 = _T_4766 | _T_4764; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4767 | _T_4765; // @[Mux.scala 27:72] - wire [63:0] _T_4787 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire _T_4628 = _T_4565 & _T_4627; // @[el2_lsu_bus_buffer.scala 597:106] + wire [1:0] _T_4631 = _T_4620 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4632 = _T_4628 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_393 = {{1'd0}, _T_4612}; // @[Mux.scala 27:72] + wire [1:0] _T_4634 = _GEN_393 | _T_4631; // @[Mux.scala 27:72] + wire [31:0] _T_4669 = _T_4604 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4670 = _T_4612 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4671 = _T_4620 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4672 = _T_4628 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4673 = _T_4669 | _T_4670; // @[Mux.scala 27:72] + wire [31:0] _T_4674 = _T_4673 | _T_4671; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4674 | _T_4672; // @[Mux.scala 27:72] + wire _T_4680 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 599:120] + wire _T_4681 = _T_4562 & _T_4680; // @[el2_lsu_bus_buffer.scala 599:105] + wire _T_4686 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 599:120] + wire _T_4687 = _T_4563 & _T_4686; // @[el2_lsu_bus_buffer.scala 599:105] + wire _T_4692 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 599:120] + wire _T_4693 = _T_4564 & _T_4692; // @[el2_lsu_bus_buffer.scala 599:105] + wire _T_4698 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 599:120] + wire _T_4699 = _T_4565 & _T_4698; // @[el2_lsu_bus_buffer.scala 599:105] + wire [31:0] _T_4700 = _T_4681 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4701 = _T_4687 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4702 = _T_4693 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4703 = _T_4699 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4704 = _T_4700 | _T_4701; // @[Mux.scala 27:72] + wire [31:0] _T_4705 = _T_4704 | _T_4702; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4705 | _T_4703; // @[Mux.scala 27:72] + wire _T_4707 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 120:123] + wire _T_4708 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 120:123] + wire _T_4709 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 120:123] + wire _T_4710 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 120:123] + wire [31:0] _T_4711 = _T_4707 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4712 = _T_4708 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4713 = _T_4709 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4714 = _T_4710 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4715 = _T_4711 | _T_4712; // @[Mux.scala 27:72] + wire [31:0] _T_4716 = _T_4715 | _T_4713; // @[Mux.scala 27:72] + wire [31:0] _T_4717 = _T_4716 | _T_4714; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4717[1:0]; // @[el2_lsu_bus_buffer.scala 600:83] + wire [1:0] _T_4723 = _T_4707 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4724 = _T_4708 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4725 = _T_4709 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4726 = _T_4710 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4727 = _T_4723 | _T_4724; // @[Mux.scala 27:72] + wire [1:0] _T_4728 = _T_4727 | _T_4725; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4728 | _T_4726; // @[Mux.scala 27:72] + wire _T_4738 = _T_4707 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4739 = _T_4708 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4740 = _T_4709 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4741 = _T_4710 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4742 = _T_4738 | _T_4739; // @[Mux.scala 27:72] + wire _T_4743 = _T_4742 | _T_4740; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4743 | _T_4741; // @[Mux.scala 27:72] + wire [63:0] _T_4763 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 604:121] - wire [5:0] _T_4788 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 604:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4787 >> _T_4788; // @[el2_lsu_bus_buffer.scala 604:92] - wire _T_4789 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 607:69] - wire _T_4791 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 608:81] - wire _T_4792 = lsu_nonblock_unsign & _T_4791; // @[el2_lsu_bus_buffer.scala 608:63] - wire [31:0] _T_4794 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4795 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 609:45] - wire _T_4796 = lsu_nonblock_unsign & _T_4795; // @[el2_lsu_bus_buffer.scala 609:26] - wire [31:0] _T_4798 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4799 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 610:6] - wire _T_4801 = _T_4799 & _T_4791; // @[el2_lsu_bus_buffer.scala 610:27] - wire [23:0] _T_4804 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4806 = {_T_4804,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4809 = _T_4799 & _T_4795; // @[el2_lsu_bus_buffer.scala 611:27] - wire [15:0] _T_4812 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4814 = {_T_4812,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4815 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 612:21] - wire [31:0] _T_4816 = _T_4792 ? _T_4794 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4817 = _T_4796 ? _T_4798 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4818 = _T_4801 ? _T_4806 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4819 = _T_4809 ? _T_4814 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4820 = _T_4815 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4821 = _T_4816 | _T_4817; // @[Mux.scala 27:72] - wire [31:0] _T_4822 = _T_4821 | _T_4818; // @[Mux.scala 27:72] - wire [31:0] _T_4823 = _T_4822 | _T_4819; // @[Mux.scala 27:72] - wire [63:0] _GEN_395 = {{32'd0}, _T_4823}; // @[Mux.scala 27:72] - wire [63:0] _T_4824 = _GEN_395 | _T_4820; // @[Mux.scala 27:72] - wire _T_4919 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 630:36] - wire _T_4920 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 630:51] - wire _T_4921 = _T_4919 & _T_4920; // @[el2_lsu_bus_buffer.scala 630:49] - wire [31:0] _T_4925 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4927 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4932 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 642:50] - wire _T_4933 = _T_4919 & _T_4932; // @[el2_lsu_bus_buffer.scala 642:48] - wire [7:0] _T_4937 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4940 = obuf_valid & _T_1386; // @[el2_lsu_bus_buffer.scala 647:36] - wire _T_4942 = _T_4940 & _T_1392; // @[el2_lsu_bus_buffer.scala 647:50] - wire _T_4954 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 660:114] - wire _T_4956 = _T_4954 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 660:129] - wire _T_4959 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 660:114] - wire _T_4961 = _T_4959 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 660:129] - wire _T_4964 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 660:114] - wire _T_4966 = _T_4964 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 660:129] - wire _T_4969 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 660:114] - wire _T_4971 = _T_4969 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 660:129] - wire _T_4972 = _T_2844 & _T_4956; // @[Mux.scala 27:72] - wire _T_4973 = _T_2866 & _T_4961; // @[Mux.scala 27:72] - wire _T_4974 = _T_2888 & _T_4966; // @[Mux.scala 27:72] - wire _T_4975 = _T_2910 & _T_4971; // @[Mux.scala 27:72] - wire _T_4976 = _T_4972 | _T_4973; // @[Mux.scala 27:72] - wire _T_4977 = _T_4976 | _T_4974; // @[Mux.scala 27:72] - wire _T_4987 = _T_2866 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 661:98] - wire lsu_imprecise_error_store_tag = _T_4987 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 661:113] - wire _T_4993 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 663:72] - wire _T_4995 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 120:123] - wire [31:0] _T_4997 = _T_4995 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4998 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4999 = _T_4997 | _T_4998; // @[Mux.scala 27:72] - wire _T_5016 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 670:68] - wire _T_5019 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 671:48] - wire _T_5022 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 674:48] - wire _T_5023 = io_lsu_axi_awvalid & _T_5022; // @[el2_lsu_bus_buffer.scala 674:46] - wire _T_5024 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 674:92] - wire _T_5025 = io_lsu_axi_wvalid & _T_5024; // @[el2_lsu_bus_buffer.scala 674:90] - wire _T_5026 = _T_5023 | _T_5025; // @[el2_lsu_bus_buffer.scala 674:69] - wire _T_5027 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 674:136] - wire _T_5028 = io_lsu_axi_arvalid & _T_5027; // @[el2_lsu_bus_buffer.scala 674:134] - wire _T_5032 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 678:75] - wire _T_5033 = io_lsu_busreq_m & _T_5032; // @[el2_lsu_bus_buffer.scala 678:73] - reg _T_5036; // @[el2_lsu_bus_buffer.scala 678:56] + wire [5:0] _T_4764 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 604:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4763 >> _T_4764; // @[el2_lsu_bus_buffer.scala 604:92] + wire _T_4765 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 607:69] + wire _T_4767 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 608:81] + wire _T_4768 = lsu_nonblock_unsign & _T_4767; // @[el2_lsu_bus_buffer.scala 608:63] + wire [31:0] _T_4770 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4771 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 609:45] + wire _T_4772 = lsu_nonblock_unsign & _T_4771; // @[el2_lsu_bus_buffer.scala 609:26] + wire [31:0] _T_4774 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4775 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 610:6] + wire _T_4777 = _T_4775 & _T_4767; // @[el2_lsu_bus_buffer.scala 610:27] + wire [23:0] _T_4780 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4782 = {_T_4780,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4785 = _T_4775 & _T_4771; // @[el2_lsu_bus_buffer.scala 611:27] + wire [15:0] _T_4788 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4790 = {_T_4788,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4791 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 612:21] + wire [31:0] _T_4792 = _T_4768 ? _T_4770 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4793 = _T_4772 ? _T_4774 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4794 = _T_4777 ? _T_4782 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4795 = _T_4785 ? _T_4790 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4796 = _T_4791 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4797 = _T_4792 | _T_4793; // @[Mux.scala 27:72] + wire [31:0] _T_4798 = _T_4797 | _T_4794; // @[Mux.scala 27:72] + wire [31:0] _T_4799 = _T_4798 | _T_4795; // @[Mux.scala 27:72] + wire [63:0] _GEN_395 = {{32'd0}, _T_4799}; // @[Mux.scala 27:72] + wire [63:0] _T_4800 = _GEN_395 | _T_4796; // @[Mux.scala 27:72] + wire _T_4895 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 630:36] + wire _T_4896 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 630:51] + wire _T_4897 = _T_4895 & _T_4896; // @[el2_lsu_bus_buffer.scala 630:49] + wire [31:0] _T_4901 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4903 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4908 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 642:50] + wire _T_4909 = _T_4895 & _T_4908; // @[el2_lsu_bus_buffer.scala 642:48] + wire [7:0] _T_4913 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4916 = obuf_valid & _T_1362; // @[el2_lsu_bus_buffer.scala 647:36] + wire _T_4918 = _T_4916 & _T_1368; // @[el2_lsu_bus_buffer.scala 647:50] + wire _T_4930 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 660:114] + wire _T_4932 = _T_4930 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 660:129] + wire _T_4935 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 660:114] + wire _T_4937 = _T_4935 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 660:129] + wire _T_4940 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 660:114] + wire _T_4942 = _T_4940 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 660:129] + wire _T_4945 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 660:114] + wire _T_4947 = _T_4945 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 660:129] + wire _T_4948 = _T_2820 & _T_4932; // @[Mux.scala 27:72] + wire _T_4949 = _T_2842 & _T_4937; // @[Mux.scala 27:72] + wire _T_4950 = _T_2864 & _T_4942; // @[Mux.scala 27:72] + wire _T_4951 = _T_2886 & _T_4947; // @[Mux.scala 27:72] + wire _T_4952 = _T_4948 | _T_4949; // @[Mux.scala 27:72] + wire _T_4953 = _T_4952 | _T_4950; // @[Mux.scala 27:72] + wire _T_4963 = _T_2842 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 661:98] + wire lsu_imprecise_error_store_tag = _T_4963 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 661:113] + wire _T_4969 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 663:72] + wire _T_4971 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 120:123] + wire [31:0] _T_4973 = _T_4971 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4974 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4975 = _T_4973 | _T_4974; // @[Mux.scala 27:72] + wire _T_4992 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 670:68] + wire _T_4995 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 671:48] + wire _T_4998 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 674:48] + wire _T_4999 = io_lsu_axi_awvalid & _T_4998; // @[el2_lsu_bus_buffer.scala 674:46] + wire _T_5000 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 674:92] + wire _T_5001 = io_lsu_axi_wvalid & _T_5000; // @[el2_lsu_bus_buffer.scala 674:90] + wire _T_5002 = _T_4999 | _T_5001; // @[el2_lsu_bus_buffer.scala 674:69] + wire _T_5003 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 674:136] + wire _T_5004 = io_lsu_axi_arvalid & _T_5003; // @[el2_lsu_bus_buffer.scala 674:134] + wire _T_5008 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 678:75] + wire _T_5009 = io_lsu_busreq_m & _T_5008; // @[el2_lsu_bus_buffer.scala 678:73] + reg _T_5012; // @[el2_lsu_bus_buffer.scala 678:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2723,103 +2723,103 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_5036; // @[el2_lsu_bus_buffer.scala 678:19] + assign io_lsu_busreq_r = _T_5012; // @[el2_lsu_bus_buffer.scala 678:19] assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 586:30] - assign io_lsu_bus_buffer_full_any = _T_4546 ? _T_4547 : _T_4548; // @[el2_lsu_bus_buffer.scala 587:30] - assign io_lsu_bus_buffer_empty_any = _T_4559 & _T_1274; // @[el2_lsu_bus_buffer.scala 588:31] + assign io_lsu_bus_buffer_full_any = _T_4522 ? _T_4523 : _T_4524; // @[el2_lsu_bus_buffer.scala 587:30] + assign io_lsu_bus_buffer_empty_any = _T_4535 & _T_1250; // @[el2_lsu_bus_buffer.scala 588:31] assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 667:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 198:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 199:25] - assign io_ld_fwddata_buf_lo = _T_675[31:0]; // @[el2_lsu_bus_buffer.scala 225:24] - assign io_ld_fwddata_buf_hi = _T_771[31:0]; // @[el2_lsu_bus_buffer.scala 230:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4993; // @[el2_lsu_bus_buffer.scala 663:35] - assign io_lsu_imprecise_error_store_any = _T_4977 | _T_4975; // @[el2_lsu_bus_buffer.scala 660:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4999 : _T_4741; // @[el2_lsu_bus_buffer.scala 664:35] - assign io_lsu_nonblock_load_valid_m = _T_4565 & _T_4566; // @[el2_lsu_bus_buffer.scala 590:32] - assign io_lsu_nonblock_load_tag_m = _T_1906 ? 2'h0 : _T_1942; // @[el2_lsu_bus_buffer.scala 591:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4568; // @[el2_lsu_bus_buffer.scala 593:30] + assign io_ld_fwddata_buf_lo = _T_651[31:0]; // @[el2_lsu_bus_buffer.scala 225:24] + assign io_ld_fwddata_buf_hi = _T_747[31:0]; // @[el2_lsu_bus_buffer.scala 230:24] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4969; // @[el2_lsu_bus_buffer.scala 663:35] + assign io_lsu_imprecise_error_store_any = _T_4953 | _T_4951; // @[el2_lsu_bus_buffer.scala 660:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4975 : _T_4717; // @[el2_lsu_bus_buffer.scala 664:35] + assign io_lsu_nonblock_load_valid_m = _T_4541 & _T_4542; // @[el2_lsu_bus_buffer.scala 590:32] + assign io_lsu_nonblock_load_tag_m = _T_1882 ? 2'h0 : _T_1918; // @[el2_lsu_bus_buffer.scala 591:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4544; // @[el2_lsu_bus_buffer.scala 593:30] assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 594:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4789; // @[el2_lsu_bus_buffer.scala 607:35] - assign io_lsu_nonblock_load_data_error = _T_4618 | _T_4616; // @[el2_lsu_bus_buffer.scala 596:35] - assign io_lsu_nonblock_load_data_tag = _T_4658 | _T_4656; // @[el2_lsu_bus_buffer.scala 597:33] - assign io_lsu_nonblock_load_data = _T_4824[31:0]; // @[el2_lsu_bus_buffer.scala 608:29] - assign io_lsu_pmu_bus_trxn = _T_5016 | _T_4911; // @[el2_lsu_bus_buffer.scala 670:23] - assign io_lsu_pmu_bus_misaligned = _T_5019 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 671:29] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4765; // @[el2_lsu_bus_buffer.scala 607:35] + assign io_lsu_nonblock_load_data_error = _T_4594 | _T_4592; // @[el2_lsu_bus_buffer.scala 596:35] + assign io_lsu_nonblock_load_data_tag = _T_4634 | _T_4632; // @[el2_lsu_bus_buffer.scala 597:33] + assign io_lsu_nonblock_load_data = _T_4800[31:0]; // @[el2_lsu_bus_buffer.scala 608:29] + assign io_lsu_pmu_bus_trxn = _T_4992 | _T_4887; // @[el2_lsu_bus_buffer.scala 670:23] + assign io_lsu_pmu_bus_misaligned = _T_4995 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 671:29] assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 672:24] - assign io_lsu_pmu_bus_busy = _T_5026 | _T_5028; // @[el2_lsu_bus_buffer.scala 674:23] - assign io_lsu_axi_awvalid = _T_4921 & _T_1282; // @[el2_lsu_bus_buffer.scala 630:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1891}; // @[el2_lsu_bus_buffer.scala 631:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4925; // @[el2_lsu_bus_buffer.scala 632:21] + assign io_lsu_pmu_bus_busy = _T_5002 | _T_5004; // @[el2_lsu_bus_buffer.scala 674:23] + assign io_lsu_axi_awvalid = _T_4897 & _T_1258; // @[el2_lsu_bus_buffer.scala 630:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1867}; // @[el2_lsu_bus_buffer.scala 631:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4901; // @[el2_lsu_bus_buffer.scala 632:21] assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 636:23] assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 637:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4927 : 3'h3; // @[el2_lsu_bus_buffer.scala 633:21] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4903 : 3'h3; // @[el2_lsu_bus_buffer.scala 633:21] assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 638:22] assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 640:21] assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 635:22] assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 634:21] assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 639:20] - assign io_lsu_axi_wvalid = _T_4933 & _T_1282; // @[el2_lsu_bus_buffer.scala 642:21] + assign io_lsu_axi_wvalid = _T_4909 & _T_1258; // @[el2_lsu_bus_buffer.scala 642:21] assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 644:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4937; // @[el2_lsu_bus_buffer.scala 643:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4913; // @[el2_lsu_bus_buffer.scala 643:20] assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 645:20] assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 658:21] - assign io_lsu_axi_arvalid = _T_4942 & _T_1282; // @[el2_lsu_bus_buffer.scala 647:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1891}; // @[el2_lsu_bus_buffer.scala 648:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4925; // @[el2_lsu_bus_buffer.scala 649:21] + assign io_lsu_axi_arvalid = _T_4918 & _T_1258; // @[el2_lsu_bus_buffer.scala 647:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1867}; // @[el2_lsu_bus_buffer.scala 648:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4901; // @[el2_lsu_bus_buffer.scala 649:21] assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 653:23] assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 654:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4927 : 3'h3; // @[el2_lsu_bus_buffer.scala 650:21] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4903 : 3'h3; // @[el2_lsu_bus_buffer.scala 650:21] assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 655:22] assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 657:21] assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 652:22] assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 651:21] assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 656:20] assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 659:21] - assign io_test = _T_775 | _T_774; // @[el2_lsu_bus_buffer.scala 259:11] - assign io_data_hi = _T_4729 | _T_4727; // @[el2_lsu_bus_buffer.scala 605:14] - assign io_data_lo = _T_4698 | _T_4696; // @[el2_lsu_bus_buffer.scala 606:14] - assign io_data_en = {_T_4461,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 579:14] - assign io_Cmdptr0 = _T_2122[1:0]; // @[el2_lsu_bus_buffer.scala 448:14] + assign io_test = _T_751 | _T_750; // @[el2_lsu_bus_buffer.scala 259:11] + assign io_data_hi = _T_4705 | _T_4703; // @[el2_lsu_bus_buffer.scala 605:14] + assign io_data_lo = _T_4674 | _T_4672; // @[el2_lsu_bus_buffer.scala 606:14] + assign io_data_en = {_T_4437,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 579:14] + assign io_Cmdptr0 = _T_2098[1:0]; // @[el2_lsu_bus_buffer.scala 448:14] assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 359:14] assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 279:15] - assign io_WrPtr1_m = _T_1960 ? 2'h0 : _T_2005; // @[el2_lsu_bus_buffer.scala 433:15] - assign io_wdata_in = {_T_1663,_T_1622}; // @[el2_lsu_bus_buffer.scala 394:15] - assign io_buf_state = {_T_1945,buf_state_3}; // @[el2_lsu_bus_buffer.scala 426:16] + assign io_WrPtr1_m = _T_1936 ? 2'h0 : _T_1981; // @[el2_lsu_bus_buffer.scala 433:15] + assign io_wdata_in = {_T_1639,_T_1598}; // @[el2_lsu_bus_buffer.scala 394:15] + assign io_buf_state = {_T_1921,buf_state_3}; // @[el2_lsu_bus_buffer.scala 426:16] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_io_en = _T_875 & _T_876; // @[el2_lib.scala 488:17] + assign rvclkhdr_io_en = _T_851 & _T_852; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_1_io_en = _T_875 & _T_876; // @[el2_lib.scala 488:17] + assign rvclkhdr_1_io_en = _T_851 & _T_852; // @[el2_lib.scala 488:17] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_2_io_clk = io_lsu_busm_clk; // @[el2_lib.scala 487:18] - assign rvclkhdr_2_io_en = _T_1283 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_en = _T_1259 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_3_io_clk = io_lsu_busm_clk; // @[el2_lib.scala 487:18] - assign rvclkhdr_3_io_en = _T_1283 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_en = _T_1259 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_4_io_en = _T_3573 & buf_state_en_0; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_en = _T_3549 & buf_state_en_0; // @[el2_lib.scala 488:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_5_io_en = _T_3766 & buf_state_en_1; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_en = _T_3742 & buf_state_en_1; // @[el2_lib.scala 488:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_6_io_en = _T_3959 & buf_state_en_2; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_en = _T_3935 & buf_state_en_2; // @[el2_lib.scala 488:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_7_io_en = _T_4152 & buf_state_en_3; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_en = _T_4128 & buf_state_en_3; // @[el2_lib.scala 488:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_8_io_en = _T_3573 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_en = _T_3549 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_9_io_en = _T_3766 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_en = _T_3742 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_10_io_en = _T_3959 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_en = _T_3935 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_11_io_en = _T_4152 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_en = _T_4128 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2859,13 +2859,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4405 = _RAND_1[0:0]; + _T_4381 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4402 = _RAND_2[0:0]; + _T_4378 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4399 = _RAND_3[0:0]; + _T_4375 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4396 = _RAND_4[0:0]; + _T_4372 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2891,7 +2891,7 @@ initial begin _RAND_16 = {1{`RANDOM}}; buf_ageQ_3 = _RAND_16[3:0]; _RAND_17 = {1{`RANDOM}}; - _T_1891 = _RAND_17[1:0]; + _T_1867 = _RAND_17[1:0]; _RAND_18 = {1{`RANDOM}}; obuf_merge = _RAND_18[0:0]; _RAND_19 = {1{`RANDOM}}; @@ -2957,13 +2957,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4375 = _RAND_50[0:0]; + _T_4351 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4372 = _RAND_51[0:0]; + _T_4348 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4369 = _RAND_52[0:0]; + _T_4345 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4366 = _RAND_53[0:0]; + _T_4342 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; buf_dual_3 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -3027,13 +3027,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4352 = _RAND_85[0:0]; + _T_4328 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4350 = _RAND_86[0:0]; + _T_4326 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4348 = _RAND_87[0:0]; + _T_4324 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4346 = _RAND_88[0:0]; + _T_4322 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -3051,40 +3051,40 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4381 = _RAND_97[0:0]; + _T_4357 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4384 = _RAND_98[0:0]; + _T_4360 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4387 = _RAND_99[0:0]; + _T_4363 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4390 = _RAND_100[0:0]; + _T_4366 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4456 = _RAND_101[0:0]; + _T_4432 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4451 = _RAND_102[0:0]; + _T_4427 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4446 = _RAND_103[0:0]; + _T_4422 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4441 = _RAND_104[0:0]; + _T_4417 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_5036 = _RAND_106[0:0]; + _T_5012 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end if (reset) begin - _T_4405 = 1'h0; + _T_4381 = 1'h0; end if (reset) begin - _T_4402 = 1'h0; + _T_4378 = 1'h0; end if (reset) begin - _T_4399 = 1'h0; + _T_4375 = 1'h0; end if (reset) begin - _T_4396 = 1'h0; + _T_4372 = 1'h0; end if (reset) begin buf_state_0 = 3'h0; @@ -3123,7 +3123,7 @@ initial begin buf_ageQ_3 = 4'h0; end if (reset) begin - _T_1891 = 2'h0; + _T_1867 = 2'h0; end if (reset) begin obuf_merge = 1'h0; @@ -3222,16 +3222,16 @@ initial begin buf_nomerge_3 = 1'h0; end if (reset) begin - _T_4375 = 1'h0; + _T_4351 = 1'h0; end if (reset) begin - _T_4372 = 1'h0; + _T_4348 = 1'h0; end if (reset) begin - _T_4369 = 1'h0; + _T_4345 = 1'h0; end if (reset) begin - _T_4366 = 1'h0; + _T_4342 = 1'h0; end if (reset) begin buf_dual_3 = 1'h0; @@ -3327,16 +3327,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4352 = 1'h0; + _T_4328 = 1'h0; end if (reset) begin - _T_4350 = 1'h0; + _T_4326 = 1'h0; end if (reset) begin - _T_4348 = 1'h0; + _T_4324 = 1'h0; end if (reset) begin - _T_4346 = 1'h0; + _T_4322 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3363,34 +3363,34 @@ initial begin buf_dualtag_3 = 2'h0; end if (reset) begin - _T_4381 = 1'h0; + _T_4357 = 1'h0; end if (reset) begin - _T_4384 = 1'h0; + _T_4360 = 1'h0; end if (reset) begin - _T_4387 = 1'h0; + _T_4363 = 1'h0; end if (reset) begin - _T_4390 = 1'h0; + _T_4366 = 1'h0; end if (reset) begin - _T_4456 = 1'h0; + _T_4432 = 1'h0; end if (reset) begin - _T_4451 = 1'h0; + _T_4427 = 1'h0; end if (reset) begin - _T_4446 = 1'h0; + _T_4422 = 1'h0; end if (reset) begin - _T_4441 = 1'h0; + _T_4417 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_5036 = 1'h0; + _T_5012 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3403,7 +3403,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3388) begin + end else if (_T_3364) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3411,75 +3411,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4405 <= 1'h0; + _T_4381 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4405 <= buf_write_in[3]; + _T_4381 <= buf_write_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4402 <= 1'h0; + _T_4378 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4402 <= buf_write_in[2]; + _T_4378 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4399 <= 1'h0; + _T_4375 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4399 <= buf_write_in[1]; + _T_4375 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4396 <= 1'h0; + _T_4372 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4396 <= buf_write_in[0]; + _T_4372 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3573) begin + if (_T_3549) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3596) begin + end else if (_T_3572) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3600) begin + end else if (_T_3576) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3604) begin + end else if (_T_3580) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3634) begin - if (_T_3639) begin + end else if (_T_3610) begin + if (_T_3615) begin buf_state_0 <= 3'h0; - end else if (_T_3647) begin + end else if (_T_3623) begin buf_state_0 <= 3'h4; - end else if (_T_3675) begin + end else if (_T_3651) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3721) begin + end else if (_T_3697) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3727) begin + end else if (_T_3703) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3739) begin + end else if (_T_3715) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3495,7 +3495,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3397) begin + end else if (_T_3373) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3505,45 +3505,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3766) begin + if (_T_3742) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3789) begin + end else if (_T_3765) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3793) begin + end else if (_T_3769) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3604) begin + end else if (_T_3580) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3827) begin - if (_T_3832) begin + end else if (_T_3803) begin + if (_T_3808) begin buf_state_1 <= 3'h0; - end else if (_T_3840) begin + end else if (_T_3816) begin buf_state_1 <= 3'h4; - end else if (_T_3868) begin + end else if (_T_3844) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3914) begin + end else if (_T_3890) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3920) begin + end else if (_T_3896) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3932) begin + end else if (_T_3908) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3559,7 +3559,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3406) begin + end else if (_T_3382) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3569,45 +3569,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3959) begin + if (_T_3935) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3982) begin + end else if (_T_3958) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3986) begin + end else if (_T_3962) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3604) begin + end else if (_T_3580) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_4020) begin - if (_T_4025) begin + end else if (_T_3996) begin + if (_T_4001) begin buf_state_2 <= 3'h0; - end else if (_T_4033) begin + end else if (_T_4009) begin buf_state_2 <= 3'h4; - end else if (_T_4061) begin + end else if (_T_4037) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4107) begin + end else if (_T_4083) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_4113) begin + end else if (_T_4089) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4125) begin + end else if (_T_4101) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3623,7 +3623,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3415) begin + end else if (_T_3391) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3633,45 +3633,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_4152) begin + if (_T_4128) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_4175) begin + end else if (_T_4151) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_4179) begin + end else if (_T_4155) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3604) begin + end else if (_T_3580) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4213) begin - if (_T_4218) begin + end else if (_T_4189) begin + if (_T_4194) begin buf_state_3 <= 3'h0; - end else if (_T_4226) begin + end else if (_T_4202) begin buf_state_3 <= 3'h4; - end else if (_T_4254) begin + end else if (_T_4230) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4300) begin + end else if (_T_4276) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4306) begin + end else if (_T_4282) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4318) begin + end else if (_T_4294) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3688,7 +3688,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_byteen_3 <= ibuf_byteen_out; - end else if (_T_3415) begin + end else if (_T_3391) begin buf_byteen_3 <= ldst_byteen_hi_r; end else begin buf_byteen_3 <= ldst_byteen_lo_r; @@ -3701,7 +3701,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_byteen_2 <= ibuf_byteen_out; - end else if (_T_3406) begin + end else if (_T_3382) begin buf_byteen_2 <= ldst_byteen_hi_r; end else begin buf_byteen_2 <= ldst_byteen_lo_r; @@ -3714,7 +3714,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_byteen_1 <= ibuf_byteen_out; - end else if (_T_3397) begin + end else if (_T_3373) begin buf_byteen_1 <= ldst_byteen_hi_r; end else begin buf_byteen_1 <= ldst_byteen_lo_r; @@ -3727,7 +3727,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_byteen_0 <= ibuf_byteen_out; - end else if (_T_3388) begin + end else if (_T_3364) begin buf_byteen_0 <= ldst_byteen_hi_r; end else begin buf_byteen_0 <= ldst_byteen_lo_r; @@ -3738,17 +3738,17 @@ end // initial if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2580,_T_2503}; + buf_ageQ_3 <= {_T_2556,_T_2479}; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin - _T_1891 <= 2'h0; + _T_1867 <= 2'h0; end else if (obuf_wr_en) begin if (ibuf_buf_byp) begin - _T_1891 <= WrPtr0_r; + _T_1867 <= WrPtr0_r; end else begin - _T_1891 <= CmdPtr0; + _T_1867 <= CmdPtr0; end end end @@ -3774,14 +3774,14 @@ end // initial if (reset) begin obuf_valid <= 1'h0; end else begin - obuf_valid <= _T_1882 & _T_1883; + obuf_valid <= _T_1858 & _T_1859; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_wr_enQ <= 1'h0; end else begin - obuf_wr_enQ <= _T_1283 & io_lsu_bus_clk_en; + obuf_wr_enQ <= _T_1259 & io_lsu_bus_clk_en; end end always @(posedge rvclkhdr_io_l1clk or posedge reset) begin @@ -3804,15 +3804,15 @@ end // initial if (reset) begin ibuf_valid <= 1'h0; end else begin - ibuf_valid <= _T_1027 & _T_1028; + ibuf_valid <= _T_1003 & _T_1004; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_byteen <= 4'h0; end else if (ibuf_wr_en) begin - if (_T_888) begin - ibuf_byteen <= _T_903; + if (_T_864) begin + ibuf_byteen <= _T_879; end else if (io_ldst_dual_r) begin ibuf_byteen <= ldst_byteen_hi_r; end else begin @@ -3824,35 +3824,35 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2478,_T_2401}; + buf_ageQ_2 <= {_T_2454,_T_2377}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2376,_T_2299}; + buf_ageQ_1 <= {_T_2352,_T_2275}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2274,_T_2197}; + buf_ageQ_0 <= {_T_2250,_T_2173}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin buf_data_0 <= 32'h0; - end else if (_T_3573) begin - if (_T_3588) begin + end else if (_T_3549) begin + if (_T_3564) begin buf_data_0 <= ibuf_data_out; end else begin buf_data_0 <= store_data_lo_r; end - end else if (_T_3596) begin + end else if (_T_3572) begin buf_data_0 <= 32'h0; - end else if (_T_3600) begin + end else if (_T_3576) begin if (buf_error_en_0) begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_0[2]) begin @@ -3860,8 +3860,8 @@ end // initial end else begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3634) begin - if (_T_3714) begin + end else if (_T_3610) begin + if (_T_3690) begin if (buf_addr_0[2]) begin buf_data_0 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3877,15 +3877,15 @@ end // initial always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin buf_data_1 <= 32'h0; - end else if (_T_3766) begin - if (_T_3781) begin + end else if (_T_3742) begin + if (_T_3757) begin buf_data_1 <= ibuf_data_out; end else begin buf_data_1 <= store_data_lo_r; end - end else if (_T_3789) begin + end else if (_T_3765) begin buf_data_1 <= 32'h0; - end else if (_T_3793) begin + end else if (_T_3769) begin if (buf_error_en_1) begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_1[2]) begin @@ -3893,8 +3893,8 @@ end // initial end else begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3827) begin - if (_T_3907) begin + end else if (_T_3803) begin + if (_T_3883) begin if (buf_addr_1[2]) begin buf_data_1 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3910,15 +3910,15 @@ end // initial always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin buf_data_2 <= 32'h0; - end else if (_T_3959) begin - if (_T_3974) begin + end else if (_T_3935) begin + if (_T_3950) begin buf_data_2 <= ibuf_data_out; end else begin buf_data_2 <= store_data_lo_r; end - end else if (_T_3982) begin + end else if (_T_3958) begin buf_data_2 <= 32'h0; - end else if (_T_3986) begin + end else if (_T_3962) begin if (buf_error_en_2) begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_2[2]) begin @@ -3926,8 +3926,8 @@ end // initial end else begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_4020) begin - if (_T_4100) begin + end else if (_T_3996) begin + if (_T_4076) begin if (buf_addr_2[2]) begin buf_data_2 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3943,15 +3943,15 @@ end // initial always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin buf_data_3 <= 32'h0; - end else if (_T_4152) begin - if (_T_4167) begin + end else if (_T_4128) begin + if (_T_4143) begin buf_data_3 <= ibuf_data_out; end else begin buf_data_3 <= store_data_lo_r; end - end else if (_T_4175) begin + end else if (_T_4151) begin buf_data_3 <= 32'h0; - end else if (_T_4179) begin + end else if (_T_4155) begin if (buf_error_en_3) begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_3[2]) begin @@ -3959,8 +3959,8 @@ end // initial end else begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_4213) begin - if (_T_4293) begin + end else if (_T_4189) begin + if (_T_4269) begin if (buf_addr_3[2]) begin buf_data_3 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3978,27 +3978,27 @@ end // initial ibuf_timer <= 3'h0; end else if (ibuf_wr_en) begin ibuf_timer <= 3'h0; - end else if (_T_945) begin - ibuf_timer <= _T_948; + end else if (_T_921) begin + ibuf_timer <= _T_924; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_sideeffect <= 1'h0; - end else if (_T_1033) begin + end else if (_T_1009) begin ibuf_sideeffect <= io_is_sideeffects_r; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr1_r <= 2'h0; - end else if (_T_1960) begin + end else if (_T_1936) begin WrPtr1_r <= 2'h0; - end else if (_T_1974) begin + end else if (_T_1950) begin WrPtr1_r <= 2'h1; - end else if (_T_1988) begin + end else if (_T_1964) begin WrPtr1_r <= 2'h2; - end else if (_T_2002) begin + end else if (_T_1978) begin WrPtr1_r <= 2'h3; end else begin WrPtr1_r <= 2'h0; @@ -4007,13 +4007,13 @@ end // initial always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr0_r <= 2'h0; - end else if (_T_1906) begin + end else if (_T_1882) begin WrPtr0_r <= 2'h0; - end else if (_T_1917) begin + end else if (_T_1893) begin WrPtr0_r <= 2'h1; - end else if (_T_1928) begin + end else if (_T_1904) begin WrPtr0_r <= 2'h2; - end else if (_T_1939) begin + end else if (_T_1915) begin WrPtr0_r <= 2'h3; end else begin WrPtr0_r <= 2'h0; @@ -4022,8 +4022,8 @@ end // initial always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_tag <= 2'h0; - end else if (_T_1033) begin - if (!(_T_888)) begin + end else if (_T_1009) begin + if (!(_T_864)) begin if (io_ldst_dual_r) begin ibuf_tag <= WrPtr1_r; end else begin @@ -4036,41 +4036,41 @@ end // initial if (reset) begin ibuf_data <= 32'h0; end else begin - ibuf_data <= {_T_944,_T_915}; + ibuf_data <= {_T_920,_T_891}; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_dualtag <= 2'h0; - end else if (_T_1033) begin + end else if (_T_1009) begin ibuf_dualtag <= WrPtr0_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_dual <= 1'h0; - end else if (_T_1033) begin + end else if (_T_1009) begin ibuf_dual <= io_ldst_dual_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_samedw <= 1'h0; - end else if (_T_1033) begin + end else if (_T_1009) begin ibuf_samedw <= ldst_samedw_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_nomerge <= 1'h0; - end else if (_T_1033) begin + end else if (_T_1009) begin ibuf_nomerge <= io_no_dword_merge_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_unsign <= 1'h0; - end else if (_T_1033) begin + end else if (_T_1009) begin ibuf_unsign <= io_lsu_pkt_r_unsign; end end @@ -4086,8 +4086,8 @@ end // initial obuf_wr_timer <= 3'h0; end else if (obuf_wr_en) begin obuf_wr_timer <= 3'h0; - end else if (_T_1101) begin - obuf_wr_timer <= _T_1103; + end else if (_T_1077) begin + obuf_wr_timer <= _T_1079; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4120,30 +4120,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4375 <= 1'h0; + _T_4351 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4375 <= buf_sideeffect_in[3]; + _T_4351 <= buf_sideeffect_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4372 <= 1'h0; + _T_4348 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4372 <= buf_sideeffect_in[2]; + _T_4348 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4369 <= 1'h0; + _T_4345 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4369 <= buf_sideeffect_in[1]; + _T_4345 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4366 <= 1'h0; + _T_4342 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4366 <= buf_sideeffect_in[0]; + _T_4342 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4209,7 +4209,7 @@ end // initial if (ibuf_buf_byp) begin obuf_write <= io_lsu_pkt_r_store; end else begin - obuf_write <= _T_1245; + obuf_write <= _T_1221; end end end @@ -4217,14 +4217,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1348 & _T_4908; + obuf_cmd_done <= _T_1324 & _T_4884; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1348 & _T_4909; + obuf_data_done <= _T_1324 & _T_4885; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4240,7 +4240,7 @@ end // initial end else if (ibuf_buf_byp) begin obuf_addr <= io_lsu_addr_r; end else begin - obuf_addr <= _T_1332; + obuf_addr <= _T_1308; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4294,7 +4294,7 @@ end // initial if (ibuf_buf_byp) begin obuf_sideeffect <= io_is_sideeffects_r; end else begin - obuf_sideeffect <= _T_1094; + obuf_sideeffect <= _T_1070; end end end @@ -4302,13 +4302,13 @@ end // initial if (reset) begin obuf_rdrsp_pend <= 1'h0; end else begin - obuf_rdrsp_pend <= _T_1373 | _T_1377; + obuf_rdrsp_pend <= _T_1349 | _T_1353; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_rdrsp_tag <= 3'h0; - end else if (_T_1379) begin + end else if (_T_1355) begin obuf_rdrsp_tag <= obuf_tag0; end end @@ -4347,7 +4347,7 @@ end // initial if (ibuf_buf_byp) begin obuf_sz <= ibuf_sz_in; end else begin - obuf_sz <= _T_1345; + obuf_sz <= _T_1321; end end end @@ -4362,86 +4362,86 @@ end // initial if (reset) begin obuf_data <= 64'h0; end else begin - obuf_data <= {_T_1663,_T_1622}; + obuf_data <= {_T_1639,_T_1598}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3218,_T_3207}; + buf_rspageQ_0 <= {_T_3194,_T_3183}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3233,_T_3222}; + buf_rspageQ_1 <= {_T_3209,_T_3198}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3248,_T_3237}; + buf_rspageQ_2 <= {_T_3224,_T_3213}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3263,_T_3252}; + buf_rspageQ_3 <= {_T_3239,_T_3228}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4352 <= 1'h0; + _T_4328 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4152) begin - _T_4352 <= 1'h0; - end else if (_T_4175) begin - _T_4352 <= 1'h0; + if (_T_4128) begin + _T_4328 <= 1'h0; + end else if (_T_4151) begin + _T_4328 <= 1'h0; end else begin - _T_4352 <= _T_4179; + _T_4328 <= _T_4155; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4350 <= 1'h0; + _T_4326 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3959) begin - _T_4350 <= 1'h0; - end else if (_T_3982) begin - _T_4350 <= 1'h0; + if (_T_3935) begin + _T_4326 <= 1'h0; + end else if (_T_3958) begin + _T_4326 <= 1'h0; end else begin - _T_4350 <= _T_3986; + _T_4326 <= _T_3962; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4348 <= 1'h0; + _T_4324 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3766) begin - _T_4348 <= 1'h0; - end else if (_T_3789) begin - _T_4348 <= 1'h0; + if (_T_3742) begin + _T_4324 <= 1'h0; + end else if (_T_3765) begin + _T_4324 <= 1'h0; end else begin - _T_4348 <= _T_3793; + _T_4324 <= _T_3769; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4346 <= 1'h0; + _T_4322 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3573) begin - _T_4346 <= 1'h0; - end else if (_T_3596) begin - _T_4346 <= 1'h0; + if (_T_3549) begin + _T_4322 <= 1'h0; + end else if (_T_3572) begin + _T_4322 <= 1'h0; end else begin - _T_4346 <= _T_3600; + _T_4322 <= _T_3576; end end end @@ -4449,11 +4449,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3573) begin + if (_T_3549) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3596) begin + end else if (_T_3572) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3600) begin + end else if (_T_3576) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4466,7 +4466,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3388) begin + end else if (_T_3364) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4477,11 +4477,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4152) begin + if (_T_4128) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4175) begin + end else if (_T_4151) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4179) begin + end else if (_T_4155) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4492,11 +4492,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3959) begin + if (_T_3935) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3982) begin + end else if (_T_3958) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3986) begin + end else if (_T_3962) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4507,11 +4507,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3766) begin + if (_T_3742) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3789) begin + end else if (_T_3765) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3793) begin + end else if (_T_3769) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4524,7 +4524,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3397) begin + end else if (_T_3373) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4537,7 +4537,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3406) begin + end else if (_T_3382) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4550,7 +4550,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3415) begin + end else if (_T_3391) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; @@ -4559,58 +4559,58 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4381 <= 1'h0; + _T_4357 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4381 <= buf_unsign_in[0]; + _T_4357 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4384 <= 1'h0; + _T_4360 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4384 <= buf_unsign_in[1]; + _T_4360 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4387 <= 1'h0; + _T_4363 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4387 <= buf_unsign_in[2]; + _T_4363 <= buf_unsign_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4390 <= 1'h0; + _T_4366 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4390 <= buf_unsign_in[3]; + _T_4366 <= buf_unsign_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4456 <= 1'h0; + _T_4432 <= 1'h0; end else begin - _T_4456 <= _T_4453 & _T_4454; + _T_4432 <= _T_4429 & _T_4430; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4451 <= 1'h0; + _T_4427 <= 1'h0; end else begin - _T_4451 <= _T_4448 & _T_4449; + _T_4427 <= _T_4424 & _T_4425; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4446 <= 1'h0; + _T_4422 <= 1'h0; end else begin - _T_4446 <= _T_4443 & _T_4444; + _T_4422 <= _T_4419 & _T_4420; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4441 <= 1'h0; + _T_4417 <= 1'h0; end else begin - _T_4441 <= _T_4438 & _T_4439; + _T_4417 <= _T_4414 & _T_4415; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4622,9 +4622,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_5036 <= 1'h0; + _T_5012 <= 1'h0; end else begin - _T_5036 <= _T_5033 & _T_4566; + _T_5012 <= _T_5009 & _T_4542; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 1c134cad..91dfdf02 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -213,10 +213,10 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val ld_addr_ibuf_hit_hi = (io.end_addr_m(31, 2) === ibuf_addr(31, 2)) & ibuf_write & ibuf_valid & io.lsu_busreq_m val ibuf_byteen = WireInit(UInt(4.W), 0.U) - for (i <- 0 until 4) { - ld_byte_ibuf_hit_lo := ld_addr_ibuf_hit_lo & ibuf_byteen(i) & ldst_byteen_lo_m(i) - ld_byte_ibuf_hit_hi := ld_addr_ibuf_hit_hi & ibuf_byteen(i) & ldst_byteen_hi_m(i) - } + + ld_byte_ibuf_hit_lo := Fill(4, ld_addr_ibuf_hit_lo) & ibuf_byteen & ldst_byteen_lo_m + ld_byte_ibuf_hit_hi := Fill(4, ld_addr_ibuf_hit_hi) & ibuf_byteen & ldst_byteen_hi_m + val buf_data = Wire(Vec(DEPTH, UInt(32.W))) buf_data := buf_data.map(i=> 0.U) val fwd_data = WireInit(UInt(32.W), 0.U) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 20620448353dec7d143a0ebf16052e98f5ff0f9f..8f47be3097514a003f5bab5a1ff4ac1eb725e337 100644 GIT binary patch delta 14 VcmcaFcVBM9Jr+ij&G%UvxBxMt1>yhz delta 14 VcmcaFcVBM9Jr+jO&G%UvxBxMz1>*n! diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index 6f7473e79b888a6c34fae4ff13b4511f62eb8e8a..e6954cc273d4cb3707b38515df668c391fbaf5c5 100644 GIT binary patch literal 569338 zcmcG13w+#5RsZj|N%lEOnzT)mHtoIXO<(u6O?Ef0roAnjG)>bc>CL0BwCQ$}Y|?F$ zY_hv)+DmUi1jHAjq9Q6PBH{y3R1j1^d?6?*Dxji>Zv_zr|A>Fk|M$%KJ?8h@Nm`Zv z{XlbmXXczaGxMD@GiQGLn-Bfxd){jpM#rvI(`Z;MuC-+s6KVWS&#V>k|M~fBA!L}Q zv3vGbu9#g+w&j*f*}`&Wv28fJkeR(dlAl{!%!Z5#(`d4@jAvKZa)oTFcz=0zJX_3` zLPnKo#1?ZitUWgqGD4=&SYFi8;%sIyV;XygCDB&M&M#(XOKlUxp2#gMXG&{@>~Yhm znz>)f7R?tO37Rbf3z@#YU7@@g9}8E7L+L=OF4cFeZeP=}usLH!E1HJF6;+iYV8-hD z`c8tMi!lFw1pG$k57q5HG!!!X&DiC>)t0@x%t*zdL*f3aU?5OeUANZ^R_;xW)onFf z0$UFqih#dO;g2c&MulIu&CTDe@W&MXlM26XyOqBp6l@Kc(Yj1ZmKQ^LhdE#6^RQ#A zf$}w7-2#5Q!mr!m@;em%n8NQ-_;rnL{u2s+OyR#s;ny{}`A;kSF@=AY`N4CrGrp7Z z9~z=|yk&Ab5`RqLKd0!a+vVz?RQO{G|BAw|Yj*QrSNLNJ|0eT;Gi1*b(w?ST*mGCm zuPXfKExxq>N!R{|ivCr}m+c=4xb@1!O(%aS$b9Or%&O#b{-~Ni;O4JU_^S%Pp826| zEznaz`QwKI{Z*lz3O`=y^7knGRfWG-;m51o{QDLDs=|Mo`P7e@I7XS(kD;Rqe^ucp z6g}~ftG|o+m95kclHYU)cn5i5 zS(SV_UP8AOe!RxjzpUt4m3*#mLE*=1UHx|z{;I-%Ug5{LxcMJ4U)F0?^4T8C4@x}8 z>)iZ7cU)vv6@JtmN11rNYk!TJU-H@hdW9dC{*?I*3V&7M?__?cnQ$fjX*?H2zwA}` zap_N)zn}SBFZQ$KmqJe~`s1!&4IQ=k61U8kct|MxIQvz}?^5`y3cpw3$JwvS{3k8G z^bfAT4fQdfaV7mKxBnS6zw2*9=M+BsTW+^eg}*BOE%V1MKGiGEewA^q=4U?RT;a!E zzZ$xs@K+W7jKYuac5yPV@K+W7ZHq7M*(38ydzRJw%$N2Q)cn$K^Z2#=Ir|xfZ~1e{ zkF(#F`fYzM``6`%Eq_k=SJ|IS{bAdm6W{XZq$h0oa~c<`ap|{ZJBKZQF7>cKXFZlb zXZ_NjGrz$dkE`tGrJmq*gkHW8W{e1X@!XH!kFH-n*u0Ic-R`_EI|E$8VV}CB~8CLjX z3jaBUU+4Ps@T9^YQ}|aDex3B^+&*f*j4Aw^YJS(xhvyXjn8LT#MG`-Cu0Ibia(ve?&LiO!MNggU&%-5)Pxu^TzQpG}ghUh*F({4s_9{+Fr+xDGvB=?=)%F@+y? z2jprUJ9JrqQ21jCe~ZHB1vL)Vpxs46GPaZ7-W8huS^W9ywK-V3z9GWL*$&5U$&3C(2tBNdJ68u5E)nV^8_I4dr8gjP7D!i10dTu;Z6V%YXiXT zukdFSJqdRL2r3hXIqvc!%7)pjcQ*hLYhsZ4$K44dqD%~Cy*n{PtcijAfH^MtazPof zCkATgdY%A)x5~%^vn66a^<-pgOI1}Wo~jB|XTyPtfj-j=4rMZ@z~8R&PrLkm%x@Yo zk^cw2L+nLYxnj}8gYoEoh4nof*$!~d@>{t0$T>gNnXUf%cQRQEE`B{~J!{x83 z{F^SnD#YzC?U|8$Y0q|*Kj-rIS$x@F^RAvwm4D0PSM1w9cVMBhyL9K_*30?n_Sri- zJ1&px4pxMUk+^xi_io5+DwwIhCqvykn?h9;m3`G0X9InGdqYnYQkjqmA%|E_bX zOs1i7DiR;N-JHC8wP6zawpUK3TH<5FeeJsvu*Tx=h_Qn zb@6y@q%w3TFxHpqYp%Gsy5q?8&isX%7cbP@NZ!xY-`=s*)Vne?@bunH=83vU^;*a3 zSg0cOl5yD8Qdt>{XO3R&zCFE%?A%*9S(U0AZ9YDq?G=MZVP~}Fh1OK?;LNrCrS+w; zo_wz1^x3wpJ!|LBb?jKWnhYE{CN3Y{)pIL!vNYJX^VzkbPSHIw-Lr4jjJC8~>uIQ} z9@tmW*LN%-sw2g>@Q?e_*A?piJs`GyBwBO~o|SC5`DY zUB_=`QJ>YcRiBnqf$g&0khTt&sgnJ zchjt17=Hl&(4Ord7wf~jQQiT&yy}KbWzWi~8xIcDPPH$eKX>BtQe$sqd);*0uHQ3e zB%glx)Ox3NZOLs<3uOGhNem6t)9dPy5HUZbWJGs0wF5klQ%C)Xx^at7dY(la3 z_QJzmjWtur`H`K6yQ_gqY44ybza!q-U3;TFdnY)zPWXuAU3)SW-C4BPnIkviOQ*U{ zw*+QtZTyW_9LWqW?LK~U=#m&*mgT-+mpjy+iYyI{w%!}Q3jfehS|>lj@^!BKvl72E ztNR}`EbZx?@2?*Y_f_<_Dt;!lJbnCT|IG0EqMN>7mp}p))3?m+Ud^+bB_FQ zQ}6sjAKAa%F8>ACPveKm-+yEm{7>C<(rLF;m~h-so$kEZe{S2ABTdQYfupPQ?)a*` zItu^S^z7|Z=Q*o1hs#mj{p7N(ZXrS>?vdbTgrDXZ=KNqHxg;VE9evd0Z?a1$kpIbP8 z?m@6kj;GR&EztA8)wAmHV>>Y(8awV@O+08Ax&AESzQbuxv)b{C1D84$j$k~W@2Wi8 zmTsS!o~QC#-11%f8)&{d736Zmw*SQVu4qo)9GGvtveejlJBRhig{k(XG>yY?3)k>B z`{J$Z5`Pc-cfh}4z49PuAZkz&(w+RP_VUe zN&0K(LpwCu_Mkm0sXzBGhR~jK6QhTL_ugXq+=DaA*W>U*Y=5(xe{6&NU9~rQisv83 z7LGmvJ5RZGnql(O=P%a)4}<%guPj|j7PBX}0e{JC*3Lg@=f`{-qWs16(dNWby5>6O zfu0%o9f?yOhfmw>{XzxzcRt;_ZE*Q|V)0bs!H(*w_O<>!4=zzX54(1AfA>CE7;Wla z89Zv$Z+~gQ@;mnYjqzVY{XM_j+%tRiWOMg&WA{pb{eyUbv3OOIPVI4DYsrlaF>sYrW zhSx`~C&^!2U2^?NJ^2eU-OcL)?qBkUw`{vwgQm1A({&hr^>~Ta4{d~#1I~Po`HT8J z7HYrNK9lEppZuC_&rrJ=a{by3_Dk38`V>XR@k>|Y_xkHw50Kxu>85ji$!{S&+i+qB z`WO1E?fD=5uIit=JVNu^1=~LKgKFRC@PT&f*UN6Y$NuQygZbT9U(`Ko>qmPke)-1S z`tnt*zv`Uz2g+B|o3T#s#Co^o*or&VEpRX5#ZB13NSgk#u!r!>{zqc^H?I7?Jbk;3{F?U|La$>1}v^Ct<-ye4S zf7LUe$@#LsKkBC6chV0x^{xYFH17l(ZGT-9?Dx60vjW{W7P+4_(;*U;+Li*y`$6?2i|(Hk7b$=-+1B_k7T`ANG|dZXc%f1~=Vf|LmP} z&1ZqXV7sksQNf>@A)Tw$gkUJZ-0=ea#!m680Not3&SmQCkNbTy4)yfFD0s#;18}Y_*&} zKTY}1EBUMM3|8+xUd*&0zlJ{xetg)?UpKuq9NW{Ip!t38OrzV6EywoPZa-d}ec=wZ zf5L75)M~Aq2hYoXz2(-cuJ7vcg;S&Oe>akWx_za=BRikP{<*cgO;_7f6}PAB4~Mn{D=Qx~Oi!+EsS3x^y=GIY|JjzNrriFS zCn_K8hvColJzZ5DzqHhZ^aV=qdswl(AAFTG!}%;d zp-k)6P*oIm?e2=-?0XnmZ-yT^Rr#Q1sWH&ke=)XoYsS2EeD!L>iEXLA&g@m};|^gz zHIz8KzF1cmsGb(IE;(JX`&i=cd@C`%=Mdx)E6Av!;r}_&mO@|J(&77#*-&HrZx;t1En>dVpY}M^+U58*->ov{Y^Mm8V>!a7Js$#Q;k6kc>=LoOuJCl>=&+s~v=D|FzGp7!B zFJT>%s*0dK?K4C5+wO^Looh?9&%V|v*PFZ^!}@T~3B)__cgWlZJ7s-rJ4a-_%Z{_p%S4S-`$O)R2l} z-`{^SbUwy)QxS8h*#c}>)~m0yOzJZ zF0e!PSMqLug6u{#k?JvZeC8_RBh^D@)UB7`{^E9PskkWnmHpE0<1@Jij(ed!Gq8W* z)Vagms8=Z$qGu}K(XLbGb}fHrT>yAOoUFH$d3gL_ z+pgpD702o*{#=A#ynA7~bM1T}TIaOal@rAY;6vPq->Mk$<$L&4;}Y~SUc0j+H(Jr3 z9i$)lb)H))c5}U8mnr+1)*Lh#>GuZ+7u-b6o- zJbdbM8~pu5Z{^k&`Mj&~2=)~ny->bj{MR3DtZqI&bAjtq zPjZXXo!RpZ*xyej)}Vg};T!QyCH^GG1&_B&rS7(C9eJ5g*5{d9%hR2=toD((Tikt; z%46J(PTrID#4UfaAGo^GnXl;dKBub2WIgZnHa9NAk4Su9Ii6qGe}dXw>gD~prI*KJ zi=1aXew5~)SVi^mikX(Zy}=dC>nVyCNI!`6+<3>8rK^ZL0Dqo%gv3GT?TR74|0Vxi z7kEPYvG}d?56|B_x~J#X`E$aQ<0XiA%MK&?ljLJ4k~db@qzl) zjneqy@g3N~`R--W9vH7N#;J^l?Z~j)JaBUxxQvsXaz48v_0fER`BbFzeE31CFUL`D zOI%>S!#uXb3~Kp=Tba*_`|U7K%W|yw9P_*DS9yKWNdBGp9ruPFN`H&@I^{Wnc_FKOtHwQ6Cz|N1Be$!2i=YULicy&^ieHQl5@=hMgW9qxp{fwTv@Z^#k6p zuEDuMsw%#h;`oCn5kH^motx-A;hZ}hNeRT=A9O8Uk1w4cZQe0@z2oN4{^rIJoNJ`2 zaw*Sx=N$Ic&Da+><9};-pXrT{V*P;lJgqCd>!e}epoQbJJm2D+CH-)#j9dBENySvv z{W!;uc;3Qz-rr_MO1YEU;D6;j8a#Tfy(}NrQ~rFk?m|AR9-}yq+L^p}%BhF#cc>mA z#Ft}(M=_4iW1Z8M@;<|FMi`HY=QF_}#%**s3_jx&{`wT=aSNyL=k4>DH#2+Xy5y+? zSg+xyC+)q`dmHCr3nMsB%3=Lu+0%IRda_t?g!Sk4!;hkW zcJcV*^8&0tDvoiw<#(w*V=RBi_PaY$JT5LB-Pucifc%oxPVlF8J6ZE@+qud!7p9wT zV*WR08hXca=PFCX(`HoicT0ZUwok4*f*EdS$di8rF8EpT*GElm4||^He3oBfKWqDy z(i+=W&Fv!3Z)l#V@jTOf!|h<>6zeXlTzlOw=bI|-N7`owt@31?1oJY>^ZIXBkKL~J zb4x|1((co=u3NS0IShRE%6T#4@i!0d9^G?1(Bidg<<2}mbz1&TSI1fSyL;K1 zMWn~`Mc`V_JH3amjP8M-wfVuOy|llZzO=Z2ady+z1Ank;%{%wRjZWZQWM-Oaem{N? z=YY3?_pr5YnP0x%J)hlk*jl#~*J<2b!2XcdtKRj1xy8S3z<#kH%h~zhK>lhH`%J8V zv0qF}|IX*S*l%Jz4SoGRwC-WQ5xnZyC-)Qa`_ex^UhaDd)7;RhZkzF z4yJW_8K2g{O%E<#;Qk2JVqaZxEWVEQgX}jM7c!$M*`Kl>efuP7=dR1>fB$+sBIi9? z@8dNa>UY93{1NZ#r{l}#>rXWDe#r9sw7#9{xjS9|pzBC;ay55OY)e&kVBONXzKHlm zW_(*?XvnOQ^`LkL&OxiEo~89@56<0}haPT~=V@5SaDQ=}I-#se} z4Tv|Hp%vMF*LzCmdt0&2h&ks;gT1W>^H+M-@%{wPFHQuGIOp`c7HWW#^wi-47j|~9 zL(7fF=BMlFJp{9n?B(_N9jpfuxl^(~@ZJaS<4Qa}dhvdR+%GE6 zSidk1Y5oap<$4qsq@S_ziG2#KTg-^ zRn7f{`K#}FIX`%wVOO0Mzr=eiDb|Pm*i;j(@5j8)~>mP8aeYbdjEtMnykzMY(*Hk& zcu+F%1m~0UkKC^UKXU(lgV&3M%eEBuU84`~a@=$m`~ub=1KfUmUMS;i)E-;8J>)vf zEjPt@IE?lAh2F#P%jlmJ%iHHfSg$(v4Kw~}UuN5vPmhw{;J5_0T7;vF%@Q-#cnF2N;iSyOQ(g@!s1~6Z+Yz zrxlls)4syWXYY4Pa=+@$mnhFCIG;5?$vDo4T;H&Nlz3IUTz?{Qdj{i< z)?wUk>N>$5|^O8*6Gu^bmw&L1}NJBIBEgbJ|tA1*J(2ff-UT@3y7xB`soVVn79PVDa zhJ8QwrF|EBS6jxnohAL-hjxYOJO=tO&MVJM*PO0gsg6~J)=%HZ50Qf_k?OEK582&x zv1aF?wd@(}1ID_ukf(Vzv~RSM&Upg0mL5C)$#FI8r>yv=^Njwp;#y&hn|bt~ocG}$ z;E$8&zg6}5=0ZbjV@9sqC{9Uni(OZ$u|DRw8RBv>ZUMi=aU#U!(C_SzIBtXXpmMDE zE~mqPZ+GJ$z@LnFHK2TnbB+^GzZ|m9A#R;NgL4aMhrQm4EgVhr`f>RB@nyv0|e|j ziy@;LA0*gZE@af2##W86X>7M8S2DAAG7H((zI=YMHDuJAMy)R?WNgEi4P?4T?&8pL z335A-MUtkm%_rY_DLacCJ58gKDAQ>5XP7VKmq>p$vpks}&f!ZAnZ=N?8>)0Ertzds z0qjtOpEQjvB&>3k_Ofg3WVxK1E8PkiPnkxImuDLLZfEXh+7>g*3;4>!-Ta+w+eo%_ zD?fKOvplz$Ew&El^LN%(LdJgR-#lx`IA|I{&X1;4zC6)dxI4Re=hj>S4fTv^M4U(T zpEuhazI}mAM@=JCxGNvZG|>kxibZ6KOHI}ztkZu;qqQ}&oL_E@hl~z<{h~(9h|dmR24vHWef9}S^AVlAeToMI&yt$)Y$Zijnf0qO`bIkbI3HJl1>j_7)&5x za%f~=95t4I(<7-X$iOsbsJKU_)L7r}m|R;~%yvUzFbBoyeoC>8Bp_4DdL~z*l&aaK zIrs)?0n_kHjO1aD;F=CQo=MTy!u*3LNNjft(S1^rgM>_@ql< z=#xl~kZ7@#DP=8sy?}v%UhiL;d#+SyN8>Ec6-#OQ;z)KmeJht<^05~4#Hw4IlNKOH z>27v5y@+N#V70+)ev!Uo0vFSkTUuHxWo8z$Z9~g**?ZZ!iR>z@=zhGMuKhHOkCXlW za&P4b%5&0Q%a-|NX^q3pQI%n)m&0$X^$=i16?DB?f?${h7 z(YZ`1BXe(|C#gk#b%qp3-HUVc>vQRx_mNUvaZ0QuahbQ2S#YywF{BDoe?5K6rT{<{ zvYENG9#96IIw0ecWO!!g<(SLhrI78d7jUX)1f_XT7KfkuTkcGpD$kt@%5tX#nC;V_X zvzSBs(%|9dq^hff$IGQMVp5AePNZ*QOoa*1Og2Fup5!1Pjc_8l%-%u0}pclrls)V}TI7MW)T?3$rMja12W%%f*zft&{^UQ9YT= z5G5a=K9e{rmjfkyxd6uPRg=Av!c`W8+uC*oj zh4gxXrc}5f(|{YqC}+0Atj-g6y^yw=S-K6&6B(%S}^8wA(y}>|7eQugG~>){|=Db+|mi(`YbClq_XR zv$v$37?g7U!YC!vvdd_Pu+%1zZ#OvB7!n@!GD)c`VMs`(1HT|O4D~FVXknB!DI-D( zxlWvw0PyXyAX?9$-B58SAubbG4;f3dl+`ZluJPQ7cDfX)kwr-avkWGPVtQRNxW;wf z98#*5Yh`&3sweB=R#vhU=4iI&PRGpQPToM2`k0o(ot3bRWY~z5%Q$XdSEDQ@A}yh& z!DK3FM_fs#_J?1R>23$v93Ii!ww#AKYNJwva1L%{dggRCTJb2{DY6BrWh8QW2F5^_ zCdlzN2H#^FkFMmZ%09=6l`REMSb(+L0;C#9v>YN9thSp3DVG+Q6rm6(p;hnc?5y(; zmk+fBLfJ1lxsiq5@!|^d%2aE$43mP)m1DqO);Wtd$fFokLar$I31ftuqNSduW~Tu- zgVh>#3a|BDEtX4@KCX!dDmGa&i}~3*m{YOdlLMM2fadZv+1%Ff*NDnwTE}mcMw5M` zN39&Q`T2QSr&>=E4dAUFj_tbZJY4E^zglU@8a}(Y0Br20?75|tLUtD4WaO18d?3x^ z693hwQ|Gq1{M$5^M0w`2yT^ zZQ-u9BVLjpi8PHJ!;9`WAh8p-k|Bn?*0y}3yjC*uR$JR~JLh(vR=HP4zF6Pc$#m-U z>GAa0A@yna0?LzVJ`pkvl)2M>;%-XimGbJU`L!+k$u1F)SY?2X|b&z7#GZo}MCw%D% z?A6uuq%S=w)5BCOTTs(?acKB-I(4=WpqWHnqZZ@JPzSuO^N=1*O%7c`I#?6w=f)?g z0nel^4o||9O5COT`v)c_WZS^In&&ipv~F46goc;Y@L)}uY^R2YAWB)jZVW)x#(~jP z-|zse)No;=h6^h-T-d4M!cq+vwraSrR>Ot8o;r-ACeG80rPhIX{`MrEzdecPZ%^X+ z+mm?y_9ULaJ&6bVYEJj}kEHujqvz9oLz5Gj6qq6DTBff~4x}#+ou*(CbEN$ihZPL; z_uE-wQi!_-Fq2PbR0-C>z6E{xhHO{7kbJG30mtVr5n8DD*R zB$e*Fc*b@LQGT9C4`I*>`+-nTWyrh}X$)()wlk@TNete}f${N+&rPPs2Tl)-5AQTR1oC~AFsI4tB(LZ`RJ&g8uGq6*$Et!~1O~PAo)om&A##YVkW+Rqcal7M) zOATRn3QTz})qh?xyx2#TYzEa3#oLdr)(A7@_&{}#!EQHx;u^}zBaP)Ol*`--%2^e+ z1q(Zfmsu^uGc6Gx9XfLn__pwDKUjFSA0?jahDL#BuP-m-k>By>BfsP4B{e+qJN|s+ zclaxVFCl}o;p{J5XzZ%@kS zZ%^X++mm?y_9ULaJ&EUUPvVh%!xvJg(~}p{7bgbN&kbLkfGwfniHiw%IV=W1xwx?( zEZo?S5;ryUL>L|IBaH|~co~kDC-wvuMLbc+r%A>0){S0BGv4VhIZ#;f+)#fy(SNmn z7!TF-(3gU>w8X>OOg>9(G6}9Yefh%pX?P7OXAcdTkKKdJH+f+)HB62hJx3~>XS)?@ z#s{$Io5YB>mP!#a+ZsbLi{_??T2I^(){)4-AoLGl8mgzSne&NOEB`?oA33P3wdHx^ z@k0v7{s21X$D(%IK{eT0u5U5ljk7vFGAgVsQ?R9NqEuL$#n;j^i~X6!#R=?%L*_4H z{+r3?5wS01R!rkHYT28dxT)I#s6~K7<#+@q@2_MxPgKpfL~R3)3-g!F_eRZMGT&Dl zG~bWopv=n3;(eTBqSBA59fUt%{%XBpn7@Ye&-vW)oG^dG`~}kXo2IeLCr)Q?<7>;4 zXsOna`CGvH$c57vhX-2e>|&F=DCNWEZ%51znZE;E(LRi$KVkECF(2TZ3PE)otx+=+ zWoKPeI+MFsn7?m+l+5}AIC*#H#b?dtAEApDvL)_s(|D2EMONFFt5n<6hZtdg-26n; z{FwR2m@l)-MSMO#H9MOv7IQLa+@40aYdDy|93Qh z%KQszolj$hfVRAqpX<-e-pXRA?Dcm{8Nx9>LM(&qJ2x($+?}uGA=>D&y!M97zt@dk zcxFKeKt!sLT}>~A&ChskMh43qQ01c^UOXxTpmazB>Cbzmk!(qWDwl@8SK9}VR&G$` zxiJ&OusuAf9ajkQxDCz$1WXTL7d+fbgM=KNxw) zrvf=_6(BV#fDKQXc(w(!uu1q}L(i4~k>w>MfC9AydbS0$07>`&LeI8<79a`qx2FUb z3Y4TV$p?jm3k4!I0_b2*32xsfw0)oO^*ue?b*<8s(?pgFBz-+i&z4C;C{v12uZi+iPR`S z_quBqD46ysaFLMIA|dHR0zKP}qD4Z|hXi`I1++*=`j9}+wtyB1NgopE*%r_uA?ZT` zJ=+3WBy{+YK+l!{k>$#f_C96T)XUfV9d7RvsS!XIOAkGpUs}T3MnK#vd}X?L=+NS!!-ofYwri@z zLx&F!^lS@g@z8-zub;zPG^NG0G#_l=!h0^^z-xS_x;P<`^4fO#a6->k$wX=dd^qWJ zaYCdBK@G?5wsUr%?rJx!!Wz}M4VZch`b5%BeNm)p}sY6Q@o^|n`| z<6~=A68NyUL%UoA5UEk*LqL~{03yo;x_t%hk$Mu0Yqv9dmNh(?n_n(47&4oR-r#(8j0RzvQh_kK6G?YUF(# z-{W>Xks1MC$M?7$Poze`*YQ1W#}la$K<~ELbE>;@O|RsOCA>3ey|RcOL;0%e;-g24 zj~;+vi#_0Z8IxWOS44ekT-1<&#ylTtdR){HsSyA)Li3Aj#amdzRMh*5b0O5Dh0rmA z5WUg4wzw!?99;@m?C|QKEEcMWEVtkoprd&Sh2L#~zGk037jz`4(FZ8u?O6Aj%o3B%!fC6w(F+F+cDtCQ-TXIO4_Cg zX8|?zwzKz*;&8<&touD-F64{YaW2q0RRD}%6}2nQa=faL&rt|U z;R>9SdeuV4Q43n97Rd6-fZ@o1*2w@wUKubP8PGZz;KeHgh9d)7Cj-cMWx#M`K41nX60mG32t&;(EyfR=oGJ0Ue0TPc4F>D#4HRZ&C2Cs|)6-v~}psT$y z3J&6W9K`)NAckX`9tUwh4v68%=y4GD{cOKC*wy3#X}t#Ju)Z)>Mch>1|{ms z@gsvmp-wq^WKi(aTaJPZO4OC(M+OB%opSWZplGPK90doIs4K^h1B#G3<>+xhflzNb z3JxeyR}Q+m5*KTi!WD1uODl+=kf}zR0;8T1iD6qwv`)s42nv=uGI~T%c+^{tf(S~~ zmE%VQg-D%p^oXD!sJ9#i5tOJa$Bzh#kviq*5kXN=Z#fDgC{b6A9}yHOb;{8rf?}iI zauh^RqOKftbuG@lJjY%Y@AWHEz(E03jUtMbdI~3oZ7|U~89z8ElJ+QTN=J9t zc$2><1uhg+)fMH33&m2MqV#Z~(5Scd6=YDNrW}fndSr-UmqWBp#*Yk&m^w0gWKfLM zTaJPZO4OC(M+U`BopSWZph&5=90eJas4EA(?UhlWK#^3Pj2{XVKy_@>LxG~F-f|Qi zP@=9JKMp9O>Xf6$0R>LIfTF41augg;qOKf24k*Ivl%vN1#ZJBD zC^(=*T{(UnP(0NsM~?%FpnA$7hAl(1rW^{QdSw(GP@+!8j{^# zddpF8K#7`iD8A~EA%yE11|DMmh**dHa(kQMGyUo6oBdDr-^nSz$C+} zf~3m}PqYIcYGX@=BclaRqTL4`$#7(};7PRmz#|!sj21kJb{}{o!;#T~C(-T$k7PJ9 zTJR*=fe3FKxNwn)x_0xyCDHD}MbbJM^uMty^mP{N%FqIEKU z98f^hsSjwK47R1?{$^01ppS1R;yq#G-~D0=8uTH~1Vu5ePaw31D}4bBnd+E}oEmc} zq#2UG!^X=~mA~q(^uf;r#X6Oju$RJ>AM`6xfJ3=;R``KK5m4K5eKa&d!A}p^ zlxV0-)Rp504n;tna`eEV;HS47FdP{@aQxBG1Th>LJ#Z-axx+pN#`)oVwje(iL7zDZ zSANoOgaRp&)KwPn@hg<4wNfE|iQui30ycddH9-MVrK{({m4EA3qWB_xI5i>PGo=L# zRn_{6L1sM-W%!+e$08-pDy1}4q_C>|QUQHG!)mka(n{$*H`?d@8Wkjxs7|9Fi4=LY zdrlvPO;FI)YdIK>jD9NfM`07haAfpIq@b&}90iG#sH+da7Ue_!rS#fLxXSeFP>`vQ z$|fiX>n&FSA0_I_wZZ58Yz4mLfCKreh+m-sK7GhGK>=8aX0Jm0*a~kiRN)s3UJDf< z>O;2)f9O^+>>kjEZW9!#-9^AHH-c&gLmGUBD6w5Bt!XL>-){635BUMHaMdoqRt1#$ z=x>68y*tZIm98GPhO6+2OHY$2(P1g9vlO`W$|#_vNU=`F4_X;6<`8p29}Z4X^w(RC z0#ZuUmE#8~#ebdd)Ps~lzdZTjRe&n=t+!AGl$29fC}744@Ull$nbisi^-i30L9w@Lqii{`4{C1cj94%h{QG zIedwWK1LO;!nYE;%y|8KBFpc)=n8V6moOns&DiwQ4pyQpeHC^?kJfdC9n1?Q6NgWHI`7&yxO*8 zhQ3i6uKG@&8YRe{&K_@w;08u;-^v393u zJw7SSE<;T052@{b#9y+4PRgz;Sw&}Noqp~eu6m1KkAhBpbUs1Bcn4LP^m72}0;k%3Bs^9P{ zLM|IXB%xEJVmGtCnlFZ{@Y4d1U!wB?M~xo4bTpv!JbjriT=jc?Jqn&lKxYmB>MdJA zum1cXLB|D7ldj{t4>RGaPx#d+;HCV!qJc$M4UghK^Q%#?t3PE((4m5>1|Qc)zx<_N zjRIZDud@a~^frWoQvJb0f({m3XCS@tKl-&O2-TlMB;+}S?MU&l2k8v{*{4T2n~(yU z8qm>#3yA_dgD?8^C}%+ z6s|MCl1@5S|1*~IlburQ0l!uk#!_5oEC8xDN|KIF z97{m!WB@;}jEl`A9i!-EfIF@A@?$X4dKl}~>OwV12Q50S0HIgLMP-r>T68i%nODYz zV3N*NbTUAf^D7#8Cxf*3S-&P1gh~AgOOj4lq^6Z6`5BaSsc;X&w9l`}g{BnI*yKNV zNh;?qlGe$P6II^8ODg9slGe%i`$ai-N$OuSPx{YYB*R7(CF;uYqe?kch0IC$0HJ_?@nFPtao%*ETL3ZC=_GD$jc@yaO3(Vxa7 z=`_YGqhLh;!g-R8Rpgg)?YeTvFNn`=dsHb$F;Yg;J#@I@l>x(UYW>Me(*GX1WH>Uw zm98A1!!tk-eTTy=_)AyZ6rIiJH2DFgoW~^fZ>J~y=P{DuSgZ$>|2!tCoX1F7XPX~1 z%G>El{gF%3|8}}$IJN;mx^n!Wp@S1g2DC4~IuTKsrX z-cnEM&qtE}x6~!WwpM>WlJuXCNQNV$M~44=B&nQ_NV>9+UBL&XpEx+=z5M2o_YvRf zox%Sz`0N@9w3^1@gX))+k8dt6fudXgYO7?_Z@0I8_BZA%J4Eo!!5^avVY9!5Dlt=< zq3>&_Z)J+N@M_UPZ}XM4W?*F&{>m?iVxRFAyQvxxd~5J+WW?KX!Hi!QK373Ezcgt1 zts?ji7X4Yp47otz3e?+T4i6&lWRZ6%RbIGNu&N9^crI@8K9w~u0qrr1=y2>x>Lz0@%8!_Pnqce8hKH4{F+mn+>z9Aoy5eb_+7N0=|aD!;WnD{B2~ ztm@ZMYft(DeYd{O&w{`QxtV?o$_saAXdg&dlmzYXzDFG<^8OAh{|Nk0;cfw!n`JEv zpFDW3Y)J8^2PFa%7zrmIk;Qi%l+$9)MN47&z$||UmPz>;*h=bhY-dz^&>Q#`rSg6D z4`c5--uTW zfx-CbplYzAR$c(K!INwnUy&mCso-BwRX&a1`_izO%`eaA7S;-Mvrc+p-8AmF_35WQ z+i^#GiaugLp2he6U7jq%$??c1pEVdT_-#A>mG_0z$9c8B7Rf9C}A&`6gIPj?@!9#7!zX=UA;|HD>UG}4I1$OdmTmaGr`YNi~ke))oCd% z7S=i(rFG@_$@qRjzQ9BCi%xdDK_RoBb-Qpgd}z{q6N72I z>}#aT9ln}Uoi@;<(M<~h8WTaPJ2gY7NFP?n&N&Uj)kYs*+@Oy&YBwv=*9ao`mEc#Y z0}&*`z;wGHO{YBMMxCAlr&WYZ*)O4hlbsEe*&oweNQ=t`i&d7R?tkbPbjn327z&}1 zAq1X6OLycb$9#+Bi9HW`@ENwUz=F7okn!y5S`J@bb^U}^T!ciZI%WhzHNvbnw?>RW zXbUiY@X(Mjw;L~_=dBph_N5v490bfzyq|KNhAV$$VvDUR7NHhaiI`B3@9DtHC}o!N z6&=FdY3?F*xX=?5vt)Xe9b{#POk+Q)+DcB0{?d&xc%M7nD!)l@Uv!dg&8}j)KIpmJ z7`x>q%NqdXdv`On86t=4J;1Jr|Z03$^7v^rJ62jcW6h;mDWJ;J%m`_rh zbPKbEQ;rF9FH`7%rgfO?v#^TK?F zsS#lwX6k}4k1#bR%%egj6HHwbW|H&a>>af^C(I7BlWKEQ zm|aZG2(z0he4x39sd-@@W9pVLdzr$m;m4U;6y^!0mWBB&m$V|xlS~zad5Wo$Fki&f zU16q}x+ly&rk)pOKT{8cd77z*!W>}DFBRq)rd}q@L8e|V%(G0rQs5F)S&vrO*9dczsqYZx1*Tpr%;%W;Zeflw^*UjWvn{U|<^=P;Uzn3j zy+N24nff7NUSjHv!o1AXn}m6VsW%JrDpPL}<`h#uAOI1oW$KrNImgs{g_&jQ{lc7Q>I1@DVCvU|d5fvv z5N3|44+`@(TlFDf-eKyug}KP79}(sfQ@e<3(Wfy zVHTPCq%ccN{kbsLnEDH0-eu}9g}KhuUkmddYx!GY-e=z53-fuV{!y4OX6m!Te8AM_ zg!uwXd|sFjnfg~@zJyc1D9o2K^?!uL)6+nJga=1((qNti#w)D>aAgQ+QD{w!11h52(#-4N!_Gj&s#?_>%wmc2A# z$Zy5FGnu@Pitno88DrB-!xuP{oE3GN#+{q3IODzzigYi$vgfHOn$n)yBs<-mz?q-e zAeWm%TM4=x>yb6JGi_Q^%H*$UtIjB7bZ*~b+c(YTR^XWpwE6Gq+FYr;#f7f$daP`A zl{Yd`YNHFk9zz@51NIo%=z6fn%4TcRc0F3zZ8$7fpYcahcM^E)nlCJfUXzp zJl2h5o8|OgRK__EYIMrwqpsdE)W2CLcem)nbohYvMZ>WzT;nv(>hbr`c-V$IEQB@8e~*TKMrYTW$P!nXOiSyv$ZRKdPhYdY|^k z>pjZ)nE0ivkBMK(`k45otdEIb%KDi2rL2#MU&?B=tImD#ZFcrmcigB?>Tpg$SGw4U zv*;#i-^F;3$mm|Z#u+y>&bda9^XaBv<#s*Jq;szROZOgOpL^yW~6z9@*l6$ov zXF95Z*#;YM(SLrtyH-Z@zHg+Z%X8xo7gIcro2Xwv+5R%mIX2^50%Gg1pk=V0nSoPqh2=D zCY{dnEoVEV0h6UlxwDb;>c)+gm#bp;yAJGDMtQ>{tJ|b2rEj2%A0JsT<)r%{Iiqg; zSOwG7h>tASxjpic>fFWcCM~GkHTlS*>1Ig718;3dGnt;gX+5&>Qq#3n8^Zz93gr*LlfKN7P(=2_@=N8 zt#`4vp<$1j<(x}Axr;U|%EhJDNjO)2vKnmW{H&WSKGmBt zDR{8S;?tjXlf|b$>n4j&f7VSFpZ=_yEI$2NH`zR?Ss%H1D$l&h=BYgMCYz`7%vSqq zotJ_Iwt17yQ@Q3%Hc#c5H`zRuXWnGA?0#AH3wtzc5nKjK*UoYVolB$JV)+JE&ZBc_cAm{$nw^WLOgTa5 z{61wOx6fDFaz5QU&zDbG!Rn>}%57bM%PMtqlg#Aai^~~xZnx~ZT+XU%J@*z}&ZApN zcrMrFY`T!2edq4xikz!>H@8Wh4mT>yo$5BS+P%M5_NUG*X|DNY%XFRZz5SQ->1JZ* zQee)a>v83-;Eh|ty+U|no4hv*bH0S~Rs!A^l3zP(Th1)uw%)c;`KLb*_Px>&CC+DN zv&V&T+&F>L*@%HRiWKp_wdJ`*N`BTjNy+&2P8j#;X753ld@Iy``$6*xiTiGYeC-LZ zsnFXgRZ<)m`P!0>g{SY6jk9dwL@6_Sr!^Fb;Jn{?#bUFpbRBOb7Kub70zZ>o!37;w zB}Jqr{9jSD`PPQESOrJehrWB+?w&gYS=^vX^l;^9sFA zNbd{`iO7?YmMBv3Ld8~AhF9!vwXL9~G7Gr8ID)rLwp%JaDN^zNNMv8+K=4Hd|A&{N z?8czJ3q_tm*W$Y2%elGIEfF~qs*gqvM~>p~ekG5$-l`6sK7Hu4h_pxIQRqqF#U8p{ zda;#0r?*_h?>zB#_5OoHL-xx-v-!ov>}&}MZMmhTwGzEQ*EUY?JRTR3j!0(|tW|20OyiZR37)&P&*JsU#cZ*4IG?|>wxZs${WzC$ ztG7mLn!M~P?#N)|Y&3EvGK7{nXBusrHniRUXV#Ww@5ceY4@8FR4Kp%=?&dbqf@6sM zN_K7{y9y{@z#)qTfx|EqM-p?`8C2AXX-nI zF~J&ND~w5|zFQaX*G;E(;OHZQ~AA>ixo4WMe-dj3ws%nlP4G z^f!c&=hP1hV}*Gi62>a$`)y$qnEHq?ik$EFgi+#r9~H(LQ-3IoyPWzlVXQOn6T-O1 z)Sn3BKBs0hne?`Fy6@25n=oYr?v^>O-#jw@uN(^Z@rnRPGS5QQ{BRN z3sc90@#9RP#eagSXNB>TOq~+OPcfAe##@={7slI|8W6_YnHm(vPct(3(?_u7wFn*D1o)*S0 zF_jUqA-4g z^Q{TvHcztN5K}J*Rx#)Yl2)cbNKmVSI$CR|w;GnfeA{ z{2p8Sjl%eSF87;-@lob|t1$k6^L?8z{*b9}7selP>URp`V@!RQFh0)I_Xy(?obP*u z@yASkpD_M}sUHx=pEC7>!uTXpKP-$tW9mnQ@#n1NM}_ez=KYv3{(`9=7sjWV`blB@ zCFgsqF#d|Ew+rL1IrV3R@i$EUtT6tTQ-59Olo5J`!x7u$B<6l_chlTO4occS$_yY5OR~TPp z-tPKV(R0<_;;rMSQuYs&3`J4|6tyq3FH4V^(kR|g;PH*jIT29 zukiN$!18R*J^W;}&R$u`O>Tb%+t(<*M!MBmaXEZiQ%Cri#cn-Vt%bK zo6RlH=i4SEIh~EuAc&s9aPoA-9;lutK}fBI@`hyqWLR zaf4j4#$S#^|2_I2r~+9#TQZF2J=P!p>$jSi)5xl-|X`9=(zOQo5332c5Kwj~<SuBZMuRfyf$6Q6keOIlIw;TUYiaw53fy!SRY=S4oja9!)wzK zrtsQylvDBAbc`vyHZ7RKYtz+C;kD@+mcVP%wM^l)=`Ea!*QV>3>ZM=AxQlZBp`r*y z(IrM8hLDFE_#4Mh;4ApjqfX$Xd6Oq_>Y|=FLA@)|5V;<3kq204un05rBD61-MyUBLGYVZ0l`lLN@@M>`Tw#<7Q{z0-}&#^$1EVeIp_miICC z^c((KoVJP^b}a zPk=cD9P2+upmenKjR%w(p3!0Euf*{qy#La`!( zdA7+MK@Y{&IJ_$72ecCgqN~!HG-B(qd(qh4*nMHX%lrizbuR{H-E7<;p%^yuK@QT% zpUwL-9M^i&vRoqelGsb5=0C)~&ay1CRzwfdFZA5J&RB+@{rcF;qp?!#6>2mGUN#i_ z2GbZv~bGf@1gCh1lJU(70%zxxYMmPb#Uo(y<)qZ3jBdk!hx|Ufa{{w(Z z8h$WU3A38YY@@!{Eu8h*NnPt_TJrR2wxwE&!sy-3>naKO1#-7+a{H2x|iciUS?Lv&- z%jotq5ZU+Uz~@_Py|u^Lf{%j+@o~^1J`S41$AP&%4(#=DV6cw^i+voJ?Bl@Z$MP!- zZQ~jP_17_y0ndD49BT-7@$$bOK(fC@>^EZ{q^SU#kn21gK8&wapu9|x%=p?CX^q+6 ziG74tf4}Q*Ao>zh#XWN7__wTM5^;pkxJj_<2qnCC=<82I3<8tKt$-8_Cvwm4{T^BJ7#XgNiBTYuG%e1CV1aM=26^s343?ZvD zSM6`fn^hu=$@Rm|YO@-kkx)&b5&r^#n`I?0bQ|$toY}#8?5bLw;e#2_-!g858`1NP zX2S9cxt_!7>VJ)Wfr|TLZ7}w48=aTgv!E2&68jQ=Nod2_mmA#^1&@8X-l&LSPu5u0 zBUsE2=k8=fv9Dk@DB!y$NoXJ9la?#@S!t!i3L~HjRb(gxy zny0LXw}=W6`%LgXXarGJ8x+AU!T0dY?yW`w%gGOjNWD=hu=fe#{C8~@6YW0#!BGM` zld?ht{;Q6OfWY=y02ah1Z4B*Uo$#jB!*diP$SwYCj^bawv&| z|34Sf7QSC2o`&}nI2W&h&sqHZE)f*vdwRUc`V_@~x8(~eWQP16O#UAyQ+(xI$Y(lS+F+d3Y zDQ{&s7oSZ%u1y1M(-{~rSZ_qgrWD(B4y^O_Mzq`}`ihzdDD&%c^Z_5bYzAv^e552- z!Vx(wYU*tVAtO&`a*K!YA9K3+*y-O>8g1c23s z=H1Gv<~>d}OSjeYXiP7NlbBXs&7e(}rCGA*L$d3bhy@vQc%8wgrL5cN({2!=d6oW@ zL5;4q@#$a_>EneYQLeGvb~cPqOA_T8%T{W11q@}iiYn7cH@kSPqMKMq!YZmdBRwQ-IvcZt(QKUKH{^ zITjG#8HM$)73P=ZCPd(f^}E@$*I^xj#*^C-{N13DtX8yo0r_@19!)kTtvB!qw)|R& zmQ>%$s()y+Y?el=mjIeZuSVHDQs2f&mJWsy-CpE_8MGeUaJl1K+o0+;3Z(lP&n^rs z)1m=@_({B(;q;I%Rbu0<5%E*vZ8+uPivKiD*BBe`5aw67#eWXFQo8{8p{tO1r@b;K zKnU>*m|(=a#JfG8PumO~5=U+|xUaG7_{Au!!3X&9%@yuf{Pp)M_ObYwA$8V|vCY3C z0w!DftB^#?Nkri9HebI$5@LZ$&ip|oGC&4|#D_#6#A&|`Md%x;2!HXB7pC#I!K<{G zS<22~$BQ#dZnr-Wfha5aBfLXMb8Ap;y+QbDvYcPaA{0X=RkOsOh(JA4pCnoM3YG;C zpc#>$K={zoBLdr5?yp2(CsThzGVmQN10q0Q@8u^DzG|%DrMLwIC`Dj5i+>h7h1~M& z;@Vu+`4HyHol?3K5}$(_&M(C0QEBnd;$K8yFUx&_3c@JkfebM!ao8kOx#05_jL$F+ z0ly6;*<8BPi%NxYJ^;L~gQpf8%mJ5oQ5}1eR4q7-*DQBKdlFj_U{-S#j-S@^p800t~wpdw!tJGCm4U6Gu+37;|04t zI~sq;ML#H04^Q+FPJ2mN8usD8%V{rDrw&S6dJD>;?U^i# zhyd-<_z6USHe&o#Hh$=~8@KQJm*!A(`|0c~wcwX|HhBkL)8w*$4(|&w@0}E{Ku|&} z%0to`(sV_aKUdr;;6GR`-W{xU;~sd`e=aMk-xou8_i*+5H;}fN3>dr>bh)tB2TNyrlE}4AMUqfny8-yrlDb=KYxnoM7IkMBo%tpB8~Wrv8fH zfFcDn;*;{lUW7qr7}r#BS78_28v7a9Jk z{@2=I^%v@m7{Wi*|0Kgd)&B>qFV!2OJp4nq`1o2AhM?8(qC${c4J%P!4xXFnUNN$` zycbb#cb=rc*|9DsNLBOym^%+RDQfodXLn}H-RACg=PDd3DvDC198D3ViYOpb6%;t& z=uJ2f8;D{7#D-l_5fv2+Ae?}rC<=-N6|teHVDG&v|0j8}xy^2N$j$!l{rq3wBTq7U zp83u*naoV)N!H?&xprPKH{g&QM!BC;=7ymNMqYC@l&}wADq}C_@U+b$-Bg+1u+~x?xfs);4 zWj{2=s34bY13;_ao$*Mj&t`4|q@eQ+P%&~uwn ze|O$&bD<|Z38gaXKLw@M)ZYgsIHi8}lMmQbc@DgWIS~BpCmS#af}aiN@EjA84+|SI z`(ZP7+yInZ0k$L`ckAl&frFU2Fo>B8gP8g3xN#6O7X~qNVGy%Gcig}T#|@D*emozr z5TK1xzf=G?1coL+;b4;qp(vTKT{Yq9cxKK3oFNV=WP}6o%$x;9!yHniA!M(!K$yBS zG1kkvTLuMeNi^&wI*F!*oJvJ=$0E%**!6ga6f>GpNHYnFCOf1=N0Ztd+50g7ur8P- zfSb$#t!fsws+kbSi_$sNe;T%+b0NMN%ODWK&qn&Ng=h@HGujnc^&;vYfa(`h|6r8n zQ~#MLUB(Q-^@D~$@U!7S%z@x%!>X7AA(<8)_EzIguBQHSGD?1#(ImZqwJ6bGEz>RQ6tUWKfWQU3(Ax{g@|6|@RM zG9jGVJMpkKQvYOBf&nc*8{IqIK=cDI6E_6E%D zG9eiTcE#<%+2m>J=`4e%_jPFZRqCICc3%Vco&)i8H1`cS6#9KGjA_lnO}k*zxbjJ3 zXzts%=^e%pZ|Y3&vv+PJ0wHgx9DU?(H=*1;DVXe=!vgdfJY$@TJ7Uim>?Iv7X~5&h z1gDW7TWVut7e~FcgQyVM%ljj6>R*5ojEo%`AAaQ{xIIM-GE7EepNBDOIQJh| z{8ainZ9O)emHS^-?!USF;qU-`z*IJxk`$wcpA_?adH(F&fAcKrzmlWm1t=-!zRC;1 zgddc$U`h{45%!UB159h+?I{mF0sGl#3Z6j_{A^GKb0GNHNDAga@U!6)%z@x%qbQh5 zy~N7ko_#g)lv4loC>=!oH=%S0Q;%P4Oz^V-7H*6Qem2g6xzwwytPSW~MfdRFhCDd3!xMx55~G;F?E|Lr`O6TF zc|=}2h+oPBT;E_g-wA=QvU!aeKYe=Tq-H`RuYKO}*`z^U2RNE|fK0+vSG`vCh`Tt$ zC**a4xX(No;Q1L3u`9&=<3V(Xxd2$!1EzH08SY8_OVK^2Q2$*h^@eF)xb;++=7rK} z)W00J_J?U+ST>OQ@58deFyRZ!&Y=DWuxu#I^TNHI1@pX+00uz(Y?unq76^VeT7@|f z{A_3ubF6W(pLfR`Yg}wt33IG*v9Tn~fsoWmmbIn+hY(^4tOp-z1E0w9roj|5#F-A0 zS`fApCbgh63#Of+G@HfT%d3t%Rp!!$yW`i6h(GVV?8v0N^I@_g9`A)PhYh8LOSFq;iE7Qk#a+zK;aen4q4djV!&{`27e|0o{fwbZ`` zr6ttA4y7BYe?3Y!F<-?mJtp|sC>wf?T}fK?!$v%b;6i?tT z?x7GU$wGefVCdl~EW4ljpF!zCW+;A=nc!!macGvEWHtncIS`U}0v{8eLo<&-pFpiM4PjPC3#I1e|zmbww11JB2eJ5x8p?e7BI_*TkB z_N-Y~x%KovXME+T_)-<2ym#RSAK%`6QpMy7SeYSjH$*_=$Avyqr@%MJybs{}p@?|r zCF~xQ_hDAvp1hCX4&1+@l68{tDD8v^6xAVYPzSzb=k3kg2U92VK7mOzDbZDG z6be*Ko>qA-i}gB?aj^YG-j~^VpXYt$BVDR5XmL#OnHe}mC!R}3ic<~pzRAveFYnuE zs4mU@D)(!41^QVFM1~m%LvcQYY^>Xwd9O>^h}A4yl_kxr9G4D5n=J{*m`5JBq((UR^wdf8Y@Q zbx7U3-)LS#UKAyH!Klw6rL1T&7Qx6y6h=1cv7+Tz1S1 zB=x_68(Yx4t+=raHnwueVQk|r+}M`py@(qjPAdv=T8FcZ@8HG`H1Fle+3Z+PfQ_A^ zSx-Bp0o%SCw|Ap?J8(M;PefsO;s~~J4{kh}=DmR%d%?!u4mpx-{1`X(rFpw>V?Wr~ z-ysc|JN#_$8oOS0yJ2J4m;=GjMt^yZ34S&Rj5&6@X&b-YFu~szdMexog1;@?Dli9v zpAEZWF7=+lo(N$~0(O?%i^I+269gYmqhk6!Rrm*6fPfD{^AKWLYMtiP z{{uqYL!);h#C-syE1RNbgXb!VA4K)ZI!7f>t`a2tU@-%>rVn6q%PNKsehen@? zKFjKFq5j`+zt8(f&+6NS`W(yO+pC{y)gKW|3gp4p3h1r2!7REcMA-P*=r5v!kn9|2 zzRWrBXmbv7znWSHUdjGO$k%D~WpvI?aL$_!IR>2*zxFjF%x&Po^FP#nkHN${1|}rI z@J~$u1CLUdU~_?|lcYLrj-{3l&F!PnJ=k161(?qqI9%49vC&>U+|*0u54;<95QG1cGp*>{jBGbhhtQ^%&9%RV&2`$(EQlRSs~EG4}Qx)_bOZgIV{ z50ZSA;Iv6FEssrUivG^yK%;-8IE?kgNj_xVF*_bMR>;%TCe6CmWGy&qUR%Jh&riu! zfG@d7^b+jz6xUAmxaP8E-%k?e0IKajsloHCFfL9I`-qUl!7hS?aF!(hC)!9o2G*)M zyEqp1!J(o2`~#2VB_D(*5Ebh3x3td8l-N7t3C^N)sXE9%;By;I7&GausXo>ic#ZdR1D|8@IIUe zw6ULFW^PH^CHu^fTm(1mKac`GizjbvNv&L@XhE$QN@dh4LJ5w^&%U4_83=y%Aq8_F z_}T7wPPbn$Q;KFfvZ?@!RY54NzAYpVXs{X8F_=+p$GU<7ta1lYXG%NQ{D9;j8tWcA z5q>Q))&s^LVF^`O4{&<<N&5R2*=Aj#yVh_lzGqGj>)aHZ%smy>%}ivWSg<*YDI9oEiOQP8k4if}z+* z=!i#7ojqVG3?){KWh*Zhsgt`zd3zcg9jnNWjf#y4lM`U3^~@=8HTWX0nNS3)H^#=t zCNPbO@cU#)GdWB;he;P$v{l)f>2#Ia#p_WAHxKfE_scO8Z{k zYy6B#PrFB=pfBB-HDWijf{$NodH`EYK>L4QhPm-#ki$1aUs4g(yOlNywd8dWi4 z2D`EkFnWRejxC5SWZDof_VX2))i!IEd)$%(K|SnMvi;)l1OvAfyHx+%6iOrWRn4{u3h_hHG3FoDj- zKfH{_9>kKBVRAAnX+>j?V9BFlatbSHO=D}YdX|I_B&@Vs#hCJ$lbB0lZ^U-Omz3C>&}qbb@x&UT z*jw4Lw{xOl(mzZFP^&)P_jZTLKx$zudTdXa3{oD7QrBgO$3pNK4{2^gsTWN3U57vO z(b&haz3i^DFE1GT5$G!}c!7c~I9^K*x zMPuI}*SEm+okLnM5pg-zPGbwBJko>_y4y; zTE^h}WWAIgt!Kg_scn6UhrY)FEZ;U{fzzb^`fD>*QsTd?(88 zeJ~*_-*LjEoJJmsJPhu13c*HEH1e=RTE+eelTkFX7B|53R0pP~wq~WHX=ELi)`!wV z9nyxC!gS&)EQOdU2V$n$veGd$@;H_@g3`tgX~#;((#U#*ZU&{z9daxy9Y-S@u(TDF zwsy#ItaLn$Y(xt%V(7q#VS83OAxtKP2|N`{p^+z0sWWWu;*jIn=BZ%kkP}$xtS~u;MxMs%Ltw*D zhjd~aX4A-KEFBJ|BOKD1mBQ1+d0}#Xm|PGh7t+WxSOX%29EcF=!fMP3leuAX5sf^H z+or;{X%6Yiw#^HZi^Jp+YGKH(Gb=fIu7!-A^LqW`K#4QkIhURD^I+%aJEU9eOB#8d zhb}pDplGf`y3=fGVU(}~Pc3Q?U(4z%)|ro%E(NvA9C9MF^e$Rj07VNO(t`y-CA`6< z%(PE1wiu0F1)5ho7$jSU7 zk*`mDc5y4&137n~l{=yGT@E=V_GQY!x2>+c94p@gmG5;(FSg4o!el|1ETmRT-oZO7 zsnr^#hr?tMwc2536}8%!_a1sBu>agf!h|C~YVs=BATt#UgJcK7CiJVX#0R1q{Qan>}1j`wY@P3r4m$qz{}bIv7vYRw&x$kW*=Pn7~6_TDytUeyi=i zgmzyBBd<85FS9!o?Y;&@uRG*4X7^fZb;KjymF&{8geLGd8hZyc-*reoW~>~Iy$?kn zIHW&oPilKCE31b22=zaP%6lC$fa#A#eHbruV7zRgM!z};()kkgzkzi2w(a~)t*+=32=z@mCV8n- zXFs=@4`00U;fvQ0W^)F&nGavQ^5Kiu&{U>Y)jrJ+qka}t&UVO|O#d9z&w-*`hn%I+ zul8wv4D}tTobQlfO#gh;FM^_C2X4wK=cHA&PxI@dKHNz1;YKoo>CZ)dSmz)g);TC= zKDn0rB>!+~VYF=i5!Bb5hehN!43q2NQCxSwZ4kqk3 zv<&4pqgHS3`+Rtl@{c^1=9j@0N59W+1gkJB+EJ@NO2_fVq;w?kQ8T5u zN5zx@)r)DcXW0DXvjL|=m@J{zAT-&DT4$gHFS$$53*D%Np_ut6QfoMFJt<7Cr`AZ! zoI2zvgz+3(hYGL$Q{+ZO8i(7|L z>td8fgvpK6x)d{`sC5NO71UaU(pYL;h0=IxU5nC0YGHU({$y%lcvSvWYGH&^KHP_I zq87&U6K8tdE6Ld?mFu;ijv?B z>kpJZpcZ~{$^VdA`1K_JV`}4vk9-KsJWORyoIziW{V8I928^FOWHiIR8?m8J&xby} zf?+@6BlT5lquKa1pFLk~>wB~X0TlT^I%Ev9bsyUL1&Sc*VJx!+>*p@TllUjKacnsM zZ%QAa55hB6{=ZNY-Z0GHotm?5qJTJLT!D|;I5E7yqI5I2R}jbx76ctKzQ7kItEi20 zz6-J`-Gu7k==DE$++9|PN) zIb&_W zkUWG6U8#KpR_jjfqfqKWb3&-l6BJH%$aJ=osxX1Ul=WeTJIgOEF%9g_p?uq8W`|NqK ze`wC({2DK~A4(r^$ocSal5-?hdnN2E@JgtVtsf>FMx;id9406tiKf&OGgQQYG6A` z+hNgilwPKGCp_p^!{lXH!5oWUr#3!e7VM<0mb&{RgX^bE%Mo2`u7$ z3pZ0}!Q$&E1;PYSKMa$P!sO#H*&8PN!UUF+`v|piVRdtqqSVG`szN7BJ`I!4!sPQX z`65i<>ez#}>abAq#<03MYSg1PK6@1&OzqM9NDAwR$ye0I$sUD=hsoE}#yJ{=M~2Ba z)Sishjt-M=VK80ZV|d??FpWOmJJ7-={3cV_G%r|qj6*JgYc;0>Zv=%cps1xo=Chm3 zcPW=WzhSZY^3|r=psBW?*UllAGE?2rRC_2o-XWJUQ{Ph?Cvp^ag0ac_ ze!UfThsq~9EA@H*JI#347bjra&%cq7f3i5qW*jkh@DCbkiu zsta$YIkR!&9kB6Ehuq8#^(SiMvv%QfnsYwx_FmY2pF?h8+kd7uK7kiLNOR`kcIeLv zp+CEo8DEMAw2J1;!;NcT<6{oFjcvrB`@$-kGavU19a)?h7|j8-wi& zpQbj3*cU!Sb1p}nEuiz9LzXg~U#a~N?(hX_V~}_u^yj}(dkq%9LUR_P+73{A%^`O% zwcp`2Fh0D_Kgq#jQ(env!1sjT$23NGb0Zo1zCV$eLRlG+ogeTNOctX9Kjcrv= zR_>ZGBfi??FW5=^3M#)jWI5|3HXz%dQ1q8W?qQw8U#W(0=6kiF|IpC>ykHSLt=`KF zJ6eH z!d)L`r8doZ154XM>9G!ZL_I4BQ^*qz_jo+q4xrM}A&M-Xsau1=;n}B zsiT+2RyX0I9%$txsNB;bt5ZiWkFB0fTht3HLqA>w{rDPIIcc_Q^8~=tuy#MF-QOXP z>1tOGqZSRq+Jm9?=?+<|t6e>4Rx}iAp9!_ka>zPO?H0{tyDJ)jwc!R)1UHB(UG3`U z)uIZlJqBuzb;#q;j&je&b~FKsCOTw28@x(7@D>R^oq`5npuPwO>Nn_iS3SwTXa?4X zdrT4BV>aq)CmcAA!xur6Z4pG-KEdE_K)4H`XpRGK9~!vop~0egxVwv?_9YJ4#0=bq z2HUJwIS7G zEfZS(gJ@tS)PBezTbY6FXy8#OTIG;!8Utks&F?WZ01x>^@R0w4hBsk6yJ$Vu-T<{X zI^;#RyU%fVo1o|^his?W(NHs*_YR+mPy`SDMO)xwzMQAg^8gby;-il72@6T{G+MBE z8q7v}TJ(I;R)@S)v<*U@#=wea<)g+mm^^3{w_gzdVp;tK6`~`GUM$)Uzq45MQpyF= zDxnR&f`|AjkneEF%W#NMafQ7BMLQjM+ekent*hTwi{3&5Z$s^O9P+BhqpcIVmfctz zJ_i)R=YSn-cUPiEKZ2r<9r7AHACil)pNFs8Ntbr(gv;+UH1#>?!MEzynJFCND*76V zzH!JK8uB&?Z2<>}is1HJ1h?Ov%)mp)@(UFG>X0{e1`@8GKac?KyhU*5-K9D7HVK!? zzgYV}sJ-7IZ|Q1RUn<3ZUb`4>#>H?mep^?&`no6%VeK%~&T_~*y4uy}yqIF`9H^b^ zkayXE;~LM!F(`5z@*aCg$8pu-!jzNHw)*TB7o({X(5vH+-OSYY+=s>Wpy(h6-UY!F z&Y>%=PwkiaUB37*YU7lY;s(@y1Iv!2_AZo;qV_u|HKNgV_dIAjkzO87#ll+~@SxHVF?0fn{>`H*UdO*k7J(Etos6~lnl z$IJk(zETXg_F}lT@6{PdI6-)CFNS-2G2Gktfq|HX1ihfBw*&9Ish3&1>c(2!mj_oA z!%JE*yrg}~41{7~<{5ZND;^Y$g&p!4^Yw1@+z@KxXmjzI)czF9hEW@5a1@V#U*+M~ zSTTeHvZbG^e<|f`T0EK$1Q%CO8wZ|?$I@6NM%m8B!_Fo|V-T_9k(`1M}-aOxiEET2k>ujEha#f#xM zu5!qi>;W!|2I`_k_wUz z*0(f(!+OQv)4*w1_9G1pKv@dG=Rfm#lO=)IhOrN1327O{5K7Z$FhHEU=m9E zY2a)wmH23&5+#cU@PlGWfClDZS%?NMK?$w|94{$>ma!Pipe0;~QXUQ9$VEwv25^j` zB%cQE#I1!ia5qZDG_V4tIy8Wz2PLKO}1K4?$bfrN**6U7#A(VR1AVsMs4aQJ9 zg$D7fOG$4UEXA@@Y4Bi_PNTs?QGx*Uwrtl`|dk3%l@RGlUi!7s0& zBPY<{u~;^V29HN+3JrEfX&MdU_;ty28pOftl1duHCSEd&22aI$vuUs&O6SqwK$I?^ zK^%uJnL~qTVcA7AI0B`MX>b%u^J#DlN|({#M3kiotwUW3-|qroL8-A`l9`Dk6qgHUayLw;w~Zp4j`&{zlD2p=g*;3LH!1wIJb zo>VbqY~?swvKGEUXJwbHgWu>2mpl&P`D`Wu{5IT}@w1cSp5wCz2GNoYB^zO~K*eHAP+nu4-({oNaR6+ zJTQqoNRS6Ak>`ADQYhw`WVK)`kBL0zV+)RnJm+J}WQ#oKV+(2*p7XI8b0W|A*wi=7Gs$Yf7TOef&c~Ly5_!(YCcB9|=VQ~^M4t1p z^%g~*^RX2dMV|Aq8EGQV`Pi=)h&<NaQ&mTbW4YIUie_NaQ&mTb)ScIUiePNaQ&mn^lf^ zKEJ#Ln+`7WoR2MPBl4V&%>@^E&c_zE5qZwXmbMXj&c_zF5qZwXmbVdk&c~)-i#+FJ zi@1n9=VPn4V4g`<3pP_)`AD(!0oWJ~sbc`ADiXY~gWVK)u`b3`du^WcSb3S&< z5P8nWZW@wH^@-0?h@ARp)B66E82 zT!MU@k4un`^Kl9CaXv0VKF-G_$jAA(1o=20mmnYK^8Udk$V)yZL0`# zOOTg*OoF`RV-n;gACn+2`IrQG$;TwfOFkw+Uh**s@{*59ke7T+vRcaf2bUl(`IrQG z$;TwfOFkw+Uh**s@{*59ke7T+g1qEo667TxlOQkom}Iq-_YW>XUh**s@{*59ke7T+ zg1qEo667TxlOQkom;`yr$0W#0J|;n4^6~eNGI{^tl2s<}A6$ZboR3S8kMnT}@^L;c zK|apMCCJD5xCHq)AD18>=i?IO<9uAQ%H;inOOTKIk4uo3d`yD8BwoCu<`$w6)e{c!5OaJlvN142TaLFo@_YW>XUiy#UKg#6&gG;bo`j6i~%H;in zOR?%-sTA|!Lv@!!6j#b5f$AmX*o^H^-Fx}+wMrOhsO}17OfYMq@JD>#dJv&iaPjmi8X(P?0C_PDY z>!I`%&25U(W}4dvrDthwIZDsb$TYr2P2H_DvJ9mcXav^{tGk^>-sH>a)P0#ozC-C% zO6#EX8l`0@y+LU=l-{KDRFvMLbO=iCP&y2y_b45M()*N7Kxq%9Q&9Sd(&;GerF0fb zpHO-pN}o|W2c<74y%?phD7_P_My1!=B>Qkdo*j#4(w zMZc6%nmZWFa%nF5pfpNzr(u~xb8!)`(gK=07t4xh?o}w2(A=9*f`tO_K&c+heGsLC zY3>@7>eJi}C>=&~@eGzWpt-ML*^xB&Bb1J!(Qzoj2RHO{X%iZ~1j~-0(IqG~r_tpo zwWQI41K7_8vULl_PpRlLbMnZF>7vBxHFZ?^q(SB2ZKxp% zqsZTvt>jWs2}@E#Ojpk-Q!1u+om4(!Mg?TE`%j%YeN+YfVk%gz0}Gh24?}~-&lnFz zI!~E0wX(dDEn^LfKfomE${Cf@%cr4DD9Y;(do1rcWfHKkbqZik3oikDawzc=8~%bM z>>CfkZ|%wPu)ZctG$tK+dIIUh)6OF8BGRrR?Z(n5OtU2?@_IeUNj&W-(vwAcib#8j zw6{q6i1bv_m-tF6K-W)BgZ=kQ%J&!b28eW^NC$~@Fgac7p8@(qlJY~7?42p}&PpmD zCd!8=m5)fumnY;$!v01jCFRE_AgmPAIc2fRaQSUsFo-fi1M0#OT z{W+q1u1GIR+CEQ|Uo6r~L^?m=JYNbNmnG#dPqK4`&|4smd!a}diS$a5E*AB!O47et zlwTv#YejmU&|4z%*NgN9k=`iMn?!oEsDF#d-zxIAiS%}nE*0q=BE3_jcL}{^B7e6? zmy7ayM0&4C?-S_?k=`%T2SoayNLPyVA(1{T(nm!4s7P0dbhSv=i1aa$t`+GzkyeTH zagnYU>4pUVvi7x6ls_Sk=Sh)n66sT7`_m%bEXtn|>9Zo;l4SR}r2O+q`K>~4n@C>} z>5C%WF4C7o`m#u05$UTU-67J~1mEik`(f9~8=`!tsQ0EwcZu@1MEbT!-x1s273q5- z-7V7hMf!nA_XxcYMgAj^ek{_xV*5Ukej>_073pW9{Bx0hA<{3!_OC?#Ymt5<(r-oj zok+hI=?@9V&(80U!p=`(`_Cf(i^%^f(%(e-yGZ{K>7NPqm_Pp#<$sIxpQP>oit_(N zx*t>cQdoVz0WSEOSe*yEK7Uf)O3K?w`GDH*_<~8>Lt?*SJYHXxNV7$GM5I)tIU>y! z_3}g-73DFJI==k)ea{C|Eq#UjzTCxEksc}PHx&7!ME+=zZzR&jB5fklrXoE?q|HRyTmtWJdvI+(hEd-p{O@Uq;p02MIxOi$}blA zOT>AbFY=d)^fHlNF48MRy#*p&DAGkDy;7u$MS7J;uNLVw!p^lKy-uV{M0&kQZxHE? zBE3nZHw!zri1b#G-X_x9MY>d^cZl>(k=`ZjEEDP7B3&-hdqjG#NbeKr3X$F~(g%e9 z9u)bN!u~@d|FB3O5$U5MT_w`hB3&cW$3(hTr0Yal#ZvnTlI45cx1RV*#}Gm;fHxTS zs{lnbEt16)OT)B5V&dz^`jT4MT)0>l2AXpK|yG&DU>Hq zsZhU4sNdY6em95u(}Smchbf-=OG5qa2KA3Q)PG)}8Y@uy<4}I$0_FGBGE|d9D8FAq zS#D6UWQA#)@^t!|Do_Cl6?B7w5J*!fPp40X3QMRgH>m7dhB`*^R765iHz){cH}sT0 z&r3sZra(m{RLl(uV(JZ{e4b993Y9OR3f!O|yx$PYU+l$G%@t3TNT@n)P%uNl5XzgU zRH#x3RnHCTAY&;1AznPyLh)3633aF&)M3U@-aMs39WJ37xIrCZ4CT#JEfr54DWMv= zK^kt zl^a8OJAGd%r*EW$8s!EBvqlZul((1BZxpBs2{pzIYOFDoH&1=5K#h}7M}2$QlT!FP*=D?Eii`i)}yOX3nkPdH>fNBr%;O})KzX!R~tk5 zuk~{J{!`l2brNcc8`SmYP@b;7{R-3#66!`bsGH28Jb6lmx>-Wq;syn)VVL$)UZDJb z#Z$LQsN3D3mYPF(Ieq?s0(FOky3-BnE^{bPo>HNfNvONspkP_lT0MOs#Z&i4sC(U@ z?lXt-bo#Oss1*|GemAHGY8fh9fqGCvt#pHW$Q;U3o61$79+ps#xIsN?4&~|e6)8}w zB-Cm*s5RzLo;;;OJtm>nxbovfepq`dco86$EF^BTh&{e2sCDax-sOM@Ks)6FE=OxruH>hp3 z40VJ8^@4TNeDSZK6X+fd+@N4d%v$ZIIx0}VNT^@kpnfxl z`oqgp!U+o0pAzaXH>khOp*%J8P72gN66#+!sQ=8NJb9|K0<}L5Wf2!B%V!Sd$x~et zp)9|IvfQ9-V<;==rA<{RP$3Bwc7w_?hl+TCx?X{z5-P_HD%Tjw_l*~*`xK}=2^DpN zg5`G&`zb3wbEpCdRps+Aj5YjY?sohMPD+DNFjZcy#ap?>so`jj|N z>sSeOoEubob0|-qQlXBQP#xT$IvPV+oxFJJW2K>YmQY>Xpt_nvb@u}GnF1vvVlB6b zSj#*j);ie>)Yl5sDbiED+&tCW*i)WngAgVB&FUkePIZIoTgy;N_?vZ_gzD!8)xVaZ zl<+refP@<81~teWYM7Tcr9_%pgC*4IZct~`GL#b3Wyy$G%Pk_-s^y4Sq6BqWXGu>D zbMw^jTK3e>N|!J~LY2EgjjUy;UlgcO5^A&?R7EXA{i;BXkx*mZpvKiQ)NcyZcnLMZ z4GMk*#PG?}@2N{r0tKx}5^Ay=6#O7btwQ~&cxtMIn&t)tzl~C>P=6^<(gTu zC~KCN9$kf!5wVtAM66YVh*(c;>TktUG9uP;i-@(%BVxUIN`<;mp1wJ5rw@Kdr&in4 zKZ>U=l2G&9px`Hc457SvN`<;aLd|!By3`oTTR)}5d0LlAsLS1;t}ur3<|!3wfrMJ< z2DQi-%A2Q@I8WMCO>Z=O=2u9i^OxItZO4CTpF@Z0AJYXw;{cGz-@9k$G4 zhdp^J2};HeTW+z#Rt;i@z4YilpW-PQJ8Zed4qN82!`?ilLdn=+%Pn@;GLId$?(}d& z_F0Oj?vf3CnOj4@yOtZe5<6@ymr(b(LEUQ(QkW}l28x3K|Nv)<;hb@=(qK#gj(eWwb~rYlc!XuH4^GEH>kDdP@X&$ zR@&4$3036=^|(2dm(L(RB}m>{FQGQLL2WdL^5iKM>In(;q#M*GV<_usFFm>nwOK+v z;|BGtF_gEZ36$vs))oo%oEy~h=1`ud(y35eCDb-Is29wkyaY7+sB-naD518yLA_)Q z5%%3GUKp>{~9*W939H-@rydb#>ks5d3lE;p#RjG;Vz8BIEU zZ%e3m+@RhyhO*xC;wfb|h_zcnz3&F~fiaY~iLAJtg&ws5WWnK6{N(^sl^>T?P8g`20oG=}oFgo+CFm4y1*4eA?nC{ItG zDwJH}*K%9p*Q&u1zn)g=I!HNvKS)pg=;o=P%su7l$y1p(WBn|lesP2P)f~#xql*gl zn}qt^4eAebC{K^WDwGVsdTQt@lnm3g+`@FN8ieV3TKQCkl3}{GTbQnG z9;WN9^E@)~>a%5-uI(14YnzAZdRt^vSvK01iy_-?iy_;!y%@5xY_u&GL$=)(L$+&s zG32Jo>5Ig>1e>~a33iTgm*DO69iu?yN~k=ON||(M%YbIv zEuh&p4`}vw`jknBcCqwSiJPbD)Uv0PNr!e_303L_Rj-zzlu3v7K@#dg95q5NKE zgZNr0S6_Vzb*LNEVa8D2+LSWs&^}y3HE@GE!Whb%r<6&D_K^~*p&Qgu#!%io)mAxu zM@y(iZcvTQp}d6Y`noGnO(axPH>hKbp}Y+RsZh-%RC70|7RFHCMqN~>mJ+JW4XTwf zl((^j9?I!!Euq@DLA5o9^7NAlDpWfOb*vlIapq8-UakWeSN zLG?6;^7Pt%mg1?CCDbWyP`%8dJT>%T3RG_i)yEC$RC6d#V+q3*sJ;^FG&iVz=1`ta z-$(_jzl0j#1~u@13N=VV4R(V%-5ARD6u~i8@sy0*x7{N5?HWYxd+HKqD^N0W-*$`K zx6LE>?Q$O$wBZN4MSL(QWg1bZ<~MD^M~X-FAydx6R|xy+PfgK*@M? z+btg5HjhX526d|fCF9X;w|I2hJRaQ})NKlsj7PWK;?ZsMcyw=2w<}OG9^H0}N4L%6 z(Y-+}RiI=%y6qN^ZkxxWdxN?|fx1oh=(oG|=u6FebWgXLI~6FombLA+mbGoZmbEue z-K9XuwXAKowXALPwXD5CEmNT6TGqDPTGn)N+?_kK(DvB-C0r zsCDL0RbHMvA5@?omr(27pf;F8ZS(@QT7h~(LOtmQwaFanX)jQl6(|{xZo9>!+vf4; z_H!Pf{9P3&xk9t;wnDRQzCyG8q8BLjgVA<*`d)H7eJ>lIK2M?Feicft&}_S{&}^Hp z(ENV^^_uk5>u#QU!`xF|q8F1qwNpa9=?1mS7|PR^(Ilw1B-Gn(Q1ARtq285H@3}$k zHioi4@N)H?taJ%7^xJj|{kF|RzwNzVpiWVs_DN5D;^wJO%{}#b=1_9oVcTupVcUG& zVcSbwf?xHN3~0990-9~}fM(mvLTmnB%IW(_p1z;mPTw!ar_b`VAY>l}>Q@Q%n;X>c z#!%jRbQS6k3H7HN)L+I>o+k77Rj9uu)IV-e|Nc**{*zGqU7!NQ7%JfR(j_RK3RrQd zfb9kqFov=`Eyt=t1tnC-4JvF570CADsZ$fLzJLtV4Y-Br2F$~B16~4f{HG~UQR%6e zo2MLOPx-wB;3PriOQ-@js6u0?fS0%ge?P@jB@(KR8&q9$sCr)7)Bpua2A>Apf=>hH z!KZ;ky+93ApbnFsI^4}u4a_~|3F>qO>IeySq#IPjT8279fjUY;9qk6ysFtCWpsqk; z3Dv|6s%b4l4OKjKjD%|D2G!ggs->5PuEb9T$|O`PH>lR;P;I?HDe+SQ8B!T=3#km4 zhg1fR_X4HFPX#(iPjz(j)CuOE^3-{%P@N=HXE&%W=1|?dcxr^w(7Q{h6WySCm_zmS z0ySQNl7WH&w?M&wd7xmRPv%gkN>BB5^VDhPp87w4>L;Q4yFm^3pF$0kP=nl{2Ae~9 ziOKV;ZA!-E1>9ot0_HJ!0WWc${s~G$A1*yL!p&3V=AQD@rc@{ylNWG{$qSgrZcvlVp{988)Fj1IQzg_iH>k7Cp*&rED%5ld zHNy?6(j3am8nOP#il^inu>rR=Vgu%D#0Jjya{5%L3#6wmbo104b5C951!{`osd*CW zVmGKu%%LvL97;x-1>7Rd0_KrsfrVb6rYfFVBt3Pdo2M3=d+KU0P}3BsYb4aQZcx{m zLtXC$>TCr{#!m&@;->=U@lyd$P}3DC89x=r_u{D;3e-}0`tEQ$eRrCl zK2MJ>vlOViB-AoDsJqRf?(yQOa}=n1CDeUxP%F%#9`FLCOuPulsGxvbR8YV?Dk$)X z7pQX;PdzFKupp+R#0U7HO zaEo;bn8&&VUiSiZk>aU0q^EYedFoAbPkDOpyI6tRC86GOgL>N>>Rm6Mx zgL>Z_YL6EvWiD1g{>FB|?Kidq=D)EW*yjc6QpHnp@xFlD;(Y=0#rp!D-jFX>pyc9x z0k_5b0_KbN1-|y;DdjgI1K-Ft^{rc*`p&#f{on;^f#NCo%h3V1Uycr#|8jKTS1(Wt z6)3qRUBGQgx`6qTbb-ITKrK?BWCTaRErKIp9>EdV?*;10M5rK%x2d4drA-C>#%(HS zdx2W4Km{aJ&M8{)TS7(Lpr|=it{14Q6{tK36?KD(nL~N{J>+W?D7k!C z&~5p!p!xD)K~DiV*D6qTq^Ih-d8*XdQvpxoQ_AexU_A+SkQ>y&=1`u77ndlWIz&R% zcY`|A7%F(UmxiuP`3*LZP)E2y9cd1Alou#vB5P1CiWPKQ6f0=HC|1DJH)It`E{YX& zTNEp3z9^Qr)2Bi;lc%q_+v#gze)`J1oIYjBZ?KhwYV8Kq#vIC1Lsy~NN~m^jP{$fW zd5fP?p^lSK?cJb`H--v!^m6)?DZjxJBvdCisLtk4UA;gl6Ip|Dsi&aZQcpqirJlTf zLsp^WQcpp*rJjQ3OFelDmQbN&1V_*YIsH)It`F7*_2Tk0ujzSL82h?g!wnMNKQDm`_k zo2Sk)_LR4|SSr*o2{qgeYJ@SAr^ROcDpa|I8tDc#${5O9=(h?rT0&L0L5(qn3Xb#A zrj%6xg5xFB1UIOO=1`s(^G=#sS73495<-B z=1`uV5>%**B-A`NsEf^^JUu0-P;&jLpxgRWLG$&e0-l}{R45r06m*LU3Ytd+1wBOt zDJx3^WmHhmEh;Ez9u?#b>Rx3i=qlN!u6Aov*BG}cZ;vkbDNxr+sO#LImKZ~M^OOp8 zy@a~K4eG{PhFYO`>Lv+wb9yLW@Rs1M;wRF(6AS($B-E(1$@<`3t3dRZj)Q;UI|2M# z{o{^MCAchjH0CxONUR@V>3Q7DKKUvPQw9w~NGR*JBOqznch0yZCK3-}PCkgRL5Q)d{@7ZM#N^7r0cVSJAJLW>$8Gy!bQTat*qcK z*qbdH_8a_|a;)G^*z23{w~O!jz2I(f%KL&zW;EQWag(O&gL~a}9F{u{2j3TGBpm#J z9UQX|+(Rb9@vy5CcKAN*>;w4Q!*{qZ_=(tIB?-ZQgygav*0JjZ>IByZztivbB&;cS zn;ZNz_&q<~+~8-yANX!_gP*hAGK;}4Kw<}z8~hR^=Fr^WS0DvBRGnb(Qvmu5{yvAl zFW~P>`1^_j{utC={lDtLQX9}u%pdUv^b|tY%9*3Esr-l z_@{7lc989Wxj8%d7f9@Qvx9$w6hG5{@SVqOP>Dk2C{&9=1!&13qCK{drH3#%wvZhP zXd6%{OK%igZejz9A6Zx)Ss0Hj-hdL01CAj(6aoLTYbBwJ59R6irgZVd=W{gohqa-Y zfpYcz!B-e^QV7SFw9(p7$u_tZJ|3#aL+t_#Ih zYaN!->PUBAJ^=CibEv7fvPgH{ppOYP6Roe>bm_WKfw5`mE8`a{n)KDvp4}EkXV-U- zR=Lbf`CzSbYcu6TLT$uZtG+DOhT54aAFAE+ac0VgX_b#RQ$Ad)+|f+AfmXSbneq`@ zpqg5VYrrb=cJjhJBx%NDtZl>Hqt31R^xusV5Of%&&?J*BCQ*IR+F8eS)zc&Wp zc2oYa_CAqxWA(MsDvvT#ZmU(UFjH=)RUT`me5_V^yqWTGTIGpm%I&qvlg*Tm*D6mn zQ|_Q`VP~5)l8##C8D`2SXq9K0DRN= zx3<&2)~u2A(JC)7Q$AIze1n;CU#;>@X3D2&m2WXq?x($~ZZlKvuif)fGvxtVsKW3&pT&uj!OnHP>`EfJla;@?PGv$$5s3%^JdE9w94Del*en8Uo=ympuHqtGE<(YRer@xd6E`;hnezZt@7(; z%2Tw;JI$1*YL$1HDNoZXzip;`w$|J4nki4$?s>PF@(iu=2WHBZTICPTlxJ#{KQ>dI zrB&W%rhJZ8`BO9H+1gX}xta30+C6`1rhJ}O`D-)f^R>#~nkiqPRsP;g`9iJ3el$~_ zqgDRdOnI&r`&TpNi?qtWn<>xJV*hETe6e=Vf14>^qJ1^`*X-43zIM<1&Gvk$PC4u| zQ@%{AY?&!vu2l}0DPN&;SU6EHmYWTIGnD@*=Hrj+ye6TID=5<;7a%n3?ib zTIGB*<*T*Ig=WgvXd6kfStGett6axS`8us~shRQ;t@1%;%GYa^4>41|L92YInevTV z<-^UCZ_+9sVWxbuwvjY6Yb3X5m5(-4zE!K-*i890t#VT{<=eH&&CHaSYL#1)zCO!+QtBWY{aNS0}pk2O=iTdUmOOnJFhxr3SVJzC`x%#`odDt9(hzE7*% z)l7MXR=K;G^8H%n9%jl9=$^yEJ*JVOc#mTWSLjWaWnglQ-el_xOpXnY(*`kUCR5M&G(F`>#wJrK z&(>3(Y-}=>a=PARuMAAi(3|X&fyqj}$-WtwoT)e2F9Vaa^d<*nVDcQj$w3*IoULz{ zryHA0ZI|cjO%BPxq^(HGaFnN*QM&eNM5pMlAX^(H4~VDb{Z$;la*oUb=IH3O5E>aU)& z%}u5}6J4e^IU@s;m+MW=%)sOodXwj5U~+-p0;yfg!o*XT`No`K10^(Gf&VDdV>$we8MT%tF*I0KW{ z>rGyrfyo>6j|SHon@oK)xKVF%Nd_iw(wn>?1CuxFP2QA&$y@X$Z^^*qt@@tmHe-{i zkF2-pDVG|XOg-bb>rLL7fyt$MlglzNd57NQ@(fJgsW*9V1}5*)n_Q8B$z^(z4`g8S zZoSEs8JJwIZ|V;ln@nx$_vk4fH8z>r)bG`sT%Cc*`}8Is%fRFcy~%YMn7m(a^6?Bz zKA<P&)ZZ8>|Ozqqr)0=!H1Cwj@2foADWNLr8PH*z{3`|z(P43LVJR)+W0R@A+^(nm+t_64fxo05 z)cM!gWa^icm-UqUjZLOfzM?f5_GMu5RlP|o1Cu-SCIcCmd`)|L!Xaamsi)_4y~(T$ zOunHv8OgxpPQA&T3{1YMH<_1#$z6Jru?$SUr8k+MfyuY^CJQq#`HsG+7aN;Qy~f|w zo2-+8$@laoOEWOJTW|893{1YSH+e_~CO^=dJTwE7d-Ns`&%oq|dXq2^pCDR&TO%1}4ALo9vo_$?x?h zyJukX2ffK28JPT0``#1oX>2m}z2_%AjieL1Ury83~rTkTI z^0W+0{-!tCKLeA$>rD>Kz~mo#lY=ub`KR9G85x-TOK);$1}6X3n>;H6lmF;V4$r{k zzj~A98JPS}Z*r7(lcarkzg(0szNGxxET6%W!LWj1hb&mfFln*kwOO{2a#kQKDB@FZ zB3XPn>mF;ea;mbTeIQwrRk$Xr?wYJatg5WT*JK@4kacvEs;p+0S7nu5Rh8AYN#l)K zeF#~T)xRoha8=e>o3n;jWsPiFl{IE_*0}23=i*A?B%7Q=P7urPvlW_yq*K;-ay;MK zL#{&IBP%3Uq3)3tW~)&1;MXia6i1-|R+t9n31Y2ZZ_G2&FgMXL&rV^k)H3(wYe~kL z`9i{36I0m93A!0PS+k60@Q}`0<=JM+U9`&QnJIVGDqmox+)b-I$4t3L)?7{No>zUU z5`WDhX}wO;Q>%Q5nexe6HztGvKWxwlq%k(qKIt@2_suJr6_89{spgc)?t!yzUmDBumkkXt%d4~4b zUpL!xrS{l&8Ym}tf2P*RE^{NS`OeZF#@hx)K=~XkL7Lx1QXH6Y7_+rTb{h~R7&%va z7#|oIfx|dYtNfvv^7&fjkIj@X&?@gUQ@&8^xK9m~6C5{3OYpgY5pdjGt@4*<$`@(z zzcx@#z@Mij_}0J(;9sm&{@zUa60P!&X3F!m&x^kpD2rqN3Ggq|8u_heMlRQ$g+I)V zu)FaUT3`HSU<8yGXnWy*%#;^umH#tSUZhjbCT7Z4YL)$F%8PXl%QhQ(B3J27S$5E1 z&j}aK)mnnEfe|=m*Jzcq&6KazDpND%>$G0aHB(-q-E-7T`FgFgW2StAR=L1T`9`gB zk(u&MT4nx2W(WL1G;*`n+jY(Me2aF^^~{uS)$aLVGv(W~%KW$14t&hFYn2Z(+w)Sb zasxBvJG9D2nknC@RX)m0`7Z6LYGkIoOuOfVL1DL%#=Eu3$C&MTxfZ**nesha<(6j3 z_iB|}nJM3=Rc>RZyh5wo&P@4!t@3eZ$`5Fjk2h0(P^;Y0OnIeNxs#dlLt5o7X37t1 zmAjcKKcZDW(MCz~m+)++ZhQ(mK0?qjC>m{z&3netk#az8WWbz0>C zX3AAsz%=Y|@R(X<{^0QjyDQ3!Bv@LC#fpS7i zdroU)dd-YHuQgIxGb3BIzL;fT1ls&It@3O$f&Dqn1-{EAk2zM1l?TII{kly_*AuP{@7P1{Ks{FIsU z*IMPxX3F1am7g_J{#L8}oSE`>TIH=~%HM01UocbtL3^v+ZlIj-VEd!?e7|g91a7rI zY4Kk*Q~p`I=hw`Xf6?yw4Kw9mwaRarDgUNbe#=bxcdha}X3BqPmESW{{!`mn-ZxNA zcrN=(OR&el2sDfY|j1-%GYH7U6s9`Wh1_-NT6|8t=rV4 zu3EEE`kGmdqd~QDS^CNWwPy45HNkAsksX`9a+29L>1$>IKwwkWxHGKANlKm4D+L-S z9pQ;Cb=4#6n!aYL<9em9oCMo5ea%$I^-Etl$?U1=Yi9L7!)|P=2X{vL>M1T8?owB^ zdS?2XS;;N9B7NPYLmQdCW~#>~rmvi2c3k?JS^YOhB2|&Rs)z#*Q-PdtM}nHrnXsZ7nQ~N%eXN;sOsm}9KsnkulpQ%fCH%N5($PqnbcmdwQSNM@Oq@`i zP+g&10khJen^)zDYlq?pu$ zx>o5}_&0}G|B&Jk@plVFPD~e@QD5}_PUz6IyR479Y{GWgV_m5J|Jg2&O1sO3xXWg2 zmnW?YHT=KXWwcJDXXIotBV{E_{)6XSGI>p;FFYAES`!&quPSnSRb*)Ob3*i-=&ba2 z-r-e|k&Wvm){c>R>8l5-B1zw|EOJiicy?|PBytMOEoA?j9O)(I8%~b&hI!36-!R95 z3Q^b;g~BKt%h*W#H>Bo^V>!|7SY}7hPY;L3QWcr1ft;NHd17R|fIKlWf#3wg6IICZ z060NHPK%t44)>o6kU>IxTN+hGI`?i;6*+HxDo}HeC?W6N?b{(5L{I)u_^mydBKpkk5%;)3a|BA&JS?pqR z6?91fQb39$mA;w2%X|wWm7>$>M(q923t7VdwvUw8}+FtGrLP$`!Iz-Y;9_1Lm#r!T2ByX$=>c4_a^p?n)Nk zm69JEuH_6k@goB59Bv)r%}v}MR@TJYk^@GC9N zDJ|rQny}rUWG&@LpR5Vn$|P$kY@2GrwkpY53fogPVOx`AErsprny{@)vX;WOxhB>g zKajO&YGQ5Efvi1S6Kl^L$l8|3bE1Fy78V_0Z(JMT!D*{_I7@ss+8T8k7Tpd?FDs9V zTitH8%A?}eXz%pTTx>)+KK@R&M#rYFnevTkD?j!kJgE4y5!sOL+35A)M&XIx@O;KR zQ3pN%G=lxxo3fg~h<5dWV{*TrxTiXiH^iGloybo1IwKYyf#N6>uosv&wLX7KOaZhz3?@6EUPW1VE;Pc(w=kG^8z_aUX0qvZ< z0RIe4_M>_mBA@yRyahn!b9fJCFH?$3UyM#k-{ihXY@9DfT?XOV+sId{;TV~p-m-Fm zycnIHzUFlbLyRm)Up3_ld6Azjd=39uULik6_OQ1H<|2Nr$k%Z89a~!-u|L8BNR3K2M*dD*Oepg22KJD+ znff=<2=r<+kDcd)j|BLlm56ejbir|v@0knYmo7W-?->e>>Y5SFKVUQ3ZrqHvAD|i0 zLZumPH*ZGUjhhh|tw}T5u5U&(mbMweCh0^R(l?_-6oY1Tcunr%uW-y{su8762CI*zubR>)zgm4U`Bug|B;QJU1YS%~rVNcj z*94p57gKZZ7ZdI`elfL>7gNi`i>U=%OfC7vRAzWFwM%y~9iRSUN<=ZZm^x^ieMP_6ocm9rzZE34-RxM zIaMCmB=~OOhi9#JYv_PzIHh0xFnU`0UM)~X2PMBGeaLr>9&Q)!*hsrHuVZwu_j8%J zr#kd>c`naLJeQ}#xjciP%OU9xS-qA%s_7wrRI@`K>iv)t_f&_TDG&Lq#6vz44*4v8 z$iw6zza`G{-SM-$HK=(D`Zzi${mK3UPBuI$jF8`=qQ!~#>yM)@Q9R1WsE?yV)9!_) zJh^|I;M}`qd%8Padn%XCEhk~#u$wk&ta7IRPDm?~TXW*xo6?cO;Z5nNM2C+AhmYb8 zALHV1_!gD&+POD+d|FpTk{wq&3CySAxT5a)-}y zak#Dv|NQ@P_a0zU6-(dvIcM|E&diye4KyH0P=qB)P(%b2L`6^}2nG;EFo9yigop{1 zEQlB|B4!0;!8KgWIRgS05xrgo1Lhp~e%&W^ojKhz%k}#|-}8T;yD!I{?&|8Q>gww1 z>gxIkcu0kRp0k91UM~s%ynX~fKO4b6ua^p!dv8}Z#V7a$GQp>S;1>vjU#KB?lnUQg zAA*Ne__mx0zO7ya-&Q|@UzCmD+v=sl<=)$sUF;M55}Du^gW#74f=~4co}t3mN2&1b z^&vP_;oEbj_x5_xd%HyM98~zF+33B!UMgJfya=VLN5R zvbktDU)fN7!J=#~?V?!S#X>mg#elqExw1tOVM#o23%D#+;L^fwt?q#jLN)ppnsYHa zh!%FXK?Dbv;9q++K77QFBCtDQ?q)XgBg#4&)=m>gGhXl*k0{%T=rg~dps4H$9yeA} z!#~{Uv2r0s&5W*QbF*-boV$BY||%Wmc|JBStg%`U%P3wvgr{E4$U55v**9JR@$!j94OO#BJV;Fz+^E0=+X8Gh$DjT9Ez4=AQNe zO4@UOaoHVY;_PW_ZpiS7L+9C^x$q- z3^m-aJKEecV4!)XFDhrMMCHz17Zs@|gO%Ooi^|=ysN4lnxm$?JQeRZwG!jBo7Ar)h zwcSfOVB*y`Tk96INHyD9d*ZH9G_5re)><{&I%UjJ4~OX4)*62mmkWNtqtfQ+=DwV} z(X1Gbax-xDJ^{W&apr2amSk$NFH?(EGIh_c%aqg;WNMi&Q}@a;wG1+KuaK$x%I$tm#u8;#}$|G;BG_}m#xD8)WluXxh0)O1%c${}iuPD4j2gqjQW1n07x@2;h2lZJO7Q>DFk`c= z-~F8=U|1afg~fpf09@~I#|yB;VG~>{YkVptTq-SasPvqYN-yL=wHicBy2WI7jQDgZSf7i989=haN2ARxW$^-L=A zD*}+UH{1EL7IPxoqol2!eW{W-WklNA=~g74j27Ib)kZ@|+rF4Jhxt-Bl83xE^rg(R zPdq8KxYQv4-hk=h3T7ajMWjp!XxZyg0@_Ycz6;3L5CZy^pnMSo^ld@;!;IpxcTkpZ z>|-=3dlzMV&ORQ+jrV+RgoJi&Cb`|Iy*`sa8B@D`%9xfTwcBg<%KxL#3L`K)x7!n; z{lFL64LYIS=#%L@S7=vKq5XFzQS?IlVNOEZA!S^hBON>FoKgR8NNooqwOf3t{m7Tv zt-jQL>`Lv*D5?D<8#_L&FFQWVi5(qtXGh2X_u0{ru;X)|9ou|%Z1>smg^nFx`s}#C zogJ&`?D#s99cACFDf_0j?E6eL<7)LEbK*#+lyOy#6Qq+aVl!fb^fV4L2Gv`ww<9Xt z(f$!?-PxdqbyFw8k)M2y{46*!2x|Qo!IAx-)_-+5vN~#l{N{1Qcm%5e*Z#dINB&T9 zaOCqk@o6!?4<_`+Pkcg+UA$Ok_H#sbH_-HLcwo7#9H0Ph8Ho89gGAjod+ zlz2*5v~)P#rT*GQ4X3;wR|m|V5;T5cD13Dwo{m>IR=;tXdvbNSd!Mv6UWEbRT$^5x zO__alyMBJB6k~6|&%-mXm70A8K299O;m+tlu)`I*pE&ceyVu3;oNU+~XdkUq6)_jP zM#SlHpgl?5BH{wCd7w0ibNoS^qZ&kGe-MvBaq_5=OS284U&?q#jgvD9#r;W}AA)p&NRj{qK)O#qwJ2ioD;pf#-zw87b+HH$ZQC&zHyir`bbhF^*B zXY1e6Dns^IoF5*A1w~X(;>wA3%wj03}Z|Uvzy>ilDsI^TcSIW70Z;=O!MA0TrY z5vuV61gCrZyy;?;39q#wyw(x)zQ$Icr^N`puhB6RCmiv~PU^{E@%}#R4whNhAFMlAux>zo*43Gz zNt$7F9^xyr=yi3r<^(gAvHZ-Ix~qvFD(kXC1@edOI++~U-txmUwDA99aenpQ3%rXX zI|~Z9)*O^&VDmJmF_{CCZ~NE82g?H+EV?^l*9Ydn_6ByOKd>S4z>dVghKQby@&^{Z z{LIts(Dfs07auB*Y^dmO*grM0;r_@*$Rit$k&O^N9i4q-Zz?BowvmmLMqm zksafYj7L2C!gvfuhFE6^<8krhUF$WpF&*PH)*wOI3ON1@)E}>aZl#S?xb`K?e#Q`oFjH3MW=0Z(C9wJ9X znhipQg?6_rRFJb=xH)IY0a5&9p9&#o#)P0hjA)E-xnvOe28qa#&Wuxh@FAw27J&De zx+v>#~E5)zq2CxTWs%>gv-@GZ?_|EnF3yH`1L4Uq@WPq15k3@>c6czXja{+&ggncCVi+t{cu)ieW zj*oqYaLbuaBEe5pfuEKO_$Aq}zf1-G@?5~nnN=dOzd}X(t8$_J?b)!uS_S@^T)^L% z4g9q}_>f|m8G!dE!rfuTk|C*gOZ`Poyjh-CEN?X8|Bxfj@{;l?)1#ToG;vQcBy5Y% z!QVXK8{xHFrrSe}me-eMx*#Zi$JR|`7r$N^n-^agjl{k7?#i`}4SQ3e#Gybd7RFV_MZwu4ZX8{DfZClUR2Upgdg&dlAXo~n$gZX z4kcnE6KY_^mi%K_)!w3~%3vFU7ub$*$X=_X~`?eZy_O4SKt5gWd)k z^mbu`-r>#i{7W#)iwz^Mx##;=0W>x^}rB7DQS*nTFs%*Ob^>F)$kq7RLF3(=EBwS`&gkA zii5PZK{`pbW_m>DQ6}p55xZKA3DHasYXXa@W_mbhIzME$QTI+X(}TJILlT7hpgmX( zGeR@v`I>2zd73#98mbPl%y0P`>VBiJz8dO*95fWvRfb-J)^c)s^6(`&gel_(jectb zPi&s~pm6~HmYNTlZOjMFq2|Np#l=)y@+I&Q4mmyFTT;JUf-Igcx z-2>2VmN^mn?m?mNj)uN_i0eD^EtEZsvLbUe${s-(Oo_bao({y9LI*xtSP!@JW0Ex! z>2_Z3yEdmenZ(S2m<=Gsb~ z3z|DmS4ECslv14It0^Lyvsf~fSK8+&VP-m6oD_Cq5i$pQtj3pu)lcmDawqi!uV07^JkeqY| zHTB{Ze}|#Gm&?`~_LWpNELQAVmDd`smHo z+4Sa1-h?rmI}^tE8nfh;2(9ykZtq6~`w7j4r7Wa3Lk)+iIYgxD30w0_4^eDS*e9!D zW*lWa5pdo3+T%LD6kLCO*SRkD#Nu!GTz^yM`WxW-n}X|a)#v=@l@Ql6&VSynj|@54 z#nc(V;_uW4+8ZIM)Z=EBYck2VqN!Fyn?Y;UydnX%i?|aFfirT}&9y5%BbBP&1 zlF6IzY4ou0mbghb$qlLZbZVYEKNh%2(J)On$V=4wc7D8^n=pz#PT*>Mb5!7JIHkV1R=bx0$SoYClae1h ztF5d++F6PHRz#wHK<%nQRA$OrdoOiOzWOP4gKM>-Lwh(_-vf8Yfq~&5!nL}{X`*Y_ zYTa=%F7NBIJa7g&)D}BdQ2P^BBvHGV6$krR8R|Mar5z#oSHeof&iGSSB0(%=r7DOT zFCgcN!n?Q5);uIfXtvJoqQzP8J%TIi>|9sCb-LudWLmA&g^Q9M^?N$2j?=~2Ptlx? z<~rR22-_$pH9Z-yhV98`8)OP zM)6Mb3K1-hhp*hHG58A@{8o@tlJEKvg*$Mkakx?F|0Fk{r3FDFr=2;@C?@UXwwb?# zIz7ZF&1ftGi2juT5i#H`xQEYt&zNYwZ=PbV%`?pR^V*o}@^3KL=C3i|%m2b$CziM~ zjTop>9RDvi?)m0fMw9qw*tqAJn^4AYC={A6U^CBeC=}!kKpDTGP@LBpW&DOhQQl1` z`x0fPc~_w9E0mS+wa_z|3eJtMbu#9mjOVhIllbB%H=k|%>s>dWoN;(=&>g<{^o?vj z?ST38jWD0S^~_vjGCUeQ1UNE@Z~P}k#=Q7%Q5o|@iaLAFJep zY*xu1o>gLw1s`w?!EA}S`nRy*LvwY5?rjs~UsW0j8+6`U?h|&61Y*l3i^4HY_^R5=}0olG9>v=Uy^t3x+FXOx{{nQ zJV{QNk|d+pMgf9K5|%H?+H};3B84O;V6`gJQJXR<*`+v9=F8nugcEYQjYqJF-6i1uI-C%N)}xkqMH(; zTb`rnR;xs}ky>4tAC_(SChT^M5mUFWu890!R5#9n$Z%6dC{>HMwUa-F|5(cW3EQI@e> z<9-?n`MzM+v(LNZ@Rn;o`+P#qKA%ALc@*%Tg6#9!y>vpAeLfMHecstiZ^m9)PN1H{ zl0C>`Z4L;=h#c*>V^wzC z7_}WIqmqMAoZtzCIhV)2U~4)=W#50SaXrMSeNWA*kL_{lm5JJ8)R6mFlOu_ShkTrK zn5HgAK6RjctXsCo!trs+n5iCNhLk!<=^Y2k5Kl_YA&If>E_6tylp;mFE2Ssyx|GVv z@LA#{UrNWxQhE}kbexdVAQ`^ifr3NR6(vX2mW-$^Ic|;go>yX$T1sV9a*)z9{R#6T zRF?&>e?%rb!S=CFe7p`9rw;nLVN&OqSDD&-0jU%u^hYC(hb+w#q%R#AKhX zXUlAz47Q#v*m{mfOY0;zo$dSL=2PN45=Ha5?)hjW*i1|*l(W5?pKF#3q-5o}Z=HRK z^R{~8LPbcrY~*dzoz!xJQ*r#X&F-k?6ftSHWigr)7t>L0(-^2CALOiXeRVcbny7Z0 zU8=-6!|nCCW`LqH64e?LjZ2JazEQe2PmnWB6D(dx64eGV-wClQd~S?2x0y>#CpkEh z#Q|1f;u4r+dBzz=;fYTS09Y!Q4;@!ZYkmtkGuZfm7c`>NKvQa z@P#H|B|{v((8M2dPr7OBXB>(INZ##}c3hzxuHk)tzyU(|FNCv|s}LL^C$1NS?*YOu za8!t~+#@Ky6e?uluCJC(@7^Bn27k3&B(Ih?V6|K%R?8bb))_CkSvs5q!=cskOZz~j z_KtDZ-Gqd+Yvrl>rN-Bo!J98N7Ifw*$CrY@&JgGO#GJ1p=FPiKOt~kPxWy+X&miva zdu{4gY;y#(vNY9J{&guJoPQQW^PiQ=|ZxpA$&Ngj$b`#;vcCE$-Bl$;Dr+=+=?W(QD zuQmCf>7LSi(&NR1A#&3EPr47 z;&dd$=^-Iby&z5xJK|*a^%Mub6k7O^T^A>(cTb!i^~GtqEKZL?oR$l5TH%Y+2AGUo zoCZgU(~fN7gw)RAeMoH%6179~>?e=@SM5V~V$kXsI39 z#E>uVo~h?tE*1NQdYX1pdd(facya4$l@Kp(U9EP-Yp^d~gH_`7gwJ%X)%1<6iR#%e)N1-h6ST@HOCoo^(cN~0=V#ZgCjZi{Ghe^)3CgV|o&!G5;8qjQ0nZ&G zIap0=c747&y?gWZS%1DhC(qYsF<+k(^YwY3b=}>C-kEtJtLfWp^YulEbuVYK&QIDa z&5LidiMnhzd~2VkT^8YhvN1)3jj9D!AIqH(D)-Cs@5=GWnKtl*vl1Z4pZ^-U7w0h@7`40=1;}#@>JZ0skmKC#V-F0CeRsgOnJmE_kJt>EAHRqsMA7H>iSJ-wti&I=D)WoxqKPmv z{5&hah?o>ND_7zt01_cRWIq2AIiJN<6j9G}cKsIZ?Bb~m>SQ4+m532z(4>*XNEvh02uN6ySkGTm#y#p*#8rx_cc`n9trV(W zp{`0|u71sSS$tDK?|FpYDdR15A7RX2RaXsTzDixS#GgaIhUZYSm``+XF8TF-6FDNJ zxt1)AtoOU!0Nu*<%6!EUSCQZk-Gv-Nfj>0fMS7$756!7bGTx>(nY5dd!=yhn@d4tP zWllze$w~^-bCy5sChD5pK=8@@2PNNfti3i|&@EsvJZ8LLyo`VUZG2^X1Fv`|v#;3? z@j$(-!PXGZpfH;mr8|ul8iOLQ2u^A7D&S6INe-q29_!&w?D{#vMJLYP8<;&M{Q3;P zF(dzZPV~qh;l{FufF0%1v=KhaWKgKQx7ez3xO{{SArTDWsI2A#o{f4ynq+nR?@73rLk^ za4Fp#Wd#P8(v~R8H@K9xLYX6_d!US;A@%m8&+M&|zCAtZi**OhaL=Xq=pCVSK-d(|fU%1GsDc0K$ud-5Rs_0I{A zh8~1+d7Aq>WF@xpvRJny;jNXYdtXYhdjJ`E%2=S}M{K^gumTC5`%j{8@=(`)5lMXf zdinNlw4SHOociKz;lN#x9H>@lGL{7z2N53=Ai5ioyy+8!1T~u1g|KAuEQO=COh%zTyTFq8Ca=?2SQknmqn}89+Hc|lx7G_u!C~OD8`l?L$@PV zOmk<%4Tkd{MUvl$KtoMh1H{;(rvvO}@Se7~7%Cla!@~v;Ig1l#}!n|!(Q9*C3xZqz_N#TuFY0+6$OxVSL&n79$=oi^e+gydQC5=wD9R?FthnGS zl%0aISiyRfor<#3!WU6?8p`5@kD%;yl)+J2{IX|L7r(5ssn76i>eLdWoHt4yA8k`l zinOUqCcs*t%Wy)cUJD$v@htCkf#lip1WIW08PS@Wc}Z6Agzjuq_EA}hTyOuOraI*u zcjh33pC7U(&*e*iqaM$9K8e0aYWy&CaZie6Gumw}3=7JFq%MsTQMO6V%1NBJRx*pL zIko@;z91N-YulFoxF%-SCNGAn#P3sO9ws#&u9)miMc?}?wXj&&raJVVM(jb`ZlrF7 zQ}N_<85>)(H;TdOosF5c#sa3yw6iSJq4{L%USlTAv^CNBl$o|}jh){Hqn(C7kDIm0 zD=4KjcUk!Eq27{Ur;KH4R*`)ks$Q$E%1t85)s*q1raB##E>V}W_a>KmEW9zVgq^YX zPTGmwD9tA0=KnQm7!gs7kwUKm$UNW)d(h|hpm8>aww`6VXoYK=uFD|_l|86V&t@Kad z#nZ4JN9ZLZ|G#nZ7)q1e} zRkmsU4EOtTGu)f!Zn!^?o8jI(H^aR-6+|iCB=7XROY(3#KJS^lxAWff)*EAfJ>pIB za(PTGaG~!scI`+(=y?$&Wi>GuTTQKEtC{t=)im!Yt2qfj;SUZudYL~sWaUkRZsiXS z737@?-O3*v%FlZbWsjn)DDNqhEk_x`Px5#7^lkp`Dt)`c)3?@(2!7%*D~R!p2t-ak zo`b$^nN{D06;;cebx%vma%ep_5II>(oHMHuDK7Qq-H`C7xzwApA>q$(sprD#Nx1owO2Yr; zNw}FrbQZq}fD{alg!4lUUq!a26an|2xb&M?7+2fI(_vC$lHDw>sFTD;K!LcjCS2@H4Ge2MNO?J#HFDsxb6# zpc$vaI^-C3!xP_N){Bjvi2c_xc;XBnO`mEVg<^6!7EfUt8Ok7Rb(GNP=A+R~g@*rX zkb&%V0*y`%8sg!g2n;%j&~+UKog@r8`51IkVeqPgRms;~sr6aqM4Qt)BUWe4Rc95e zI!hSlz^XT5XSuJxKj;e66d_E>x5$as-a0P@KU#IC3V1BS=0gM7TX)sZk-6|Q(AM74 zK&JSlo}wc4+p2-QO9s+Km)AS{K)PsLXf8)G2GXT|19?w1khNqWU3JS>_JMTOJffi* zNZ0xeWSwdtACQ4```Hb%uBmb|o_^%NwY&OC}V1?ZTpYB7ncC$bM zEXyjuy`Dp?QPy$z_YP}?wG#jSmRFh=_e@`_g<c34cLwKb`ug=VQd@bk zWEnq*&8FXO7vs?U_R4EKUjNDp6c25PnFmW-iNZ_j;@afcwyYH?+*CHWGVGix2k?dI z-QP&@t%%XY{2AGM|1x@5c)G-j8^f*LjFYV0jmg%Y#%1{ZN~?ph!Rlysv^tqHtj^|E zYj0~GtBW<)>S}GXoRy;%i@-9Y&>k+fKMRb;_6WZHG5#`&?W6hj$N1c6Zja>KA9EPW zMxm_8JOE|Kpsdh*2xZ5jti)V|vg1%zY!#sFc$CG=Z%{TGWu?|}C>w*axOFhfPC!|i zwGw4xQI@oBL)nQaOXMMupM4U_Qr0gh8;3HRFH)Y-gsGsL~5`C3x{S9%fzt9c{ zW}VpSgv`2qb#K?GtcZQ3#n(^3Y7DW*hc14h02jaP3BC!v8H+E!cR$)yMov~D`&7+( zH__2UG*}i`&r|P!M6$JFquOZbsTgBVp6REdM#Ufmp6eGOXCh&U9LWYz54PvVPzEz`Kbo)+fI zG{Kk4eZDAW=am}1T;uUYcHjZ)8~<<5PG^uF3wfqVXLj;TlR3`LnY)~Y!jehM>{(tG z3VXJcg#yJk3i#}tqY{?+L|A(2(qv^9mY%u`{wiVVsSuXAVPTmoMsj^NVOgjVmc>!R z0<6_35}X`D|MPrz2I&dQO}?<)oV&2xvg^VklbG2&wIlyOW-pP2g(rAiB1n9jN?7hB z!m_{S;4BBtvcEQ0p2DBAzd~4U4-3ofVkCEE6PAdxWq)6DUzD%_>)HReHH$MyPgw5v zh2??Vh2_Cr7Z#bs%znrhmWO3wc?jfvSdjP;pTyLWY_G`4JK4)VUrkQMvfoP*0?9jR zKN=PSq#uOtd(6jBvFxwZXpAR3A^1m@eFt-ovvodapL98!!?ORBKboJANS_-qliJkU z&){#3@FR(f3Q_LU12h-rc!-3JXW@MR&r6vk5m|m}?N>P=4mXa4&FSS47D+5eYfRgM zBBMi5Ne$0IQMQWOxCaPca*B+Gjr*FQ$UqoEue%hPat^Y?Q(81i3zz=*0+>pBjZuCdff0ImE~%8qqEVK%2n50ldC?yTy=$9@w{t!IBaZe^So;o zCQUzIuKKCuYKylFnw@a7j5qoVCqGUcksG5p0roS_4EgyUNboUP9{cLD&6CK(zM3#3 z9+rbkYR(B|C@8Y8E^{%BitKBvuZ$w>)87U7F5SLDTS%u^87C5br8)4IPtCvVkHT}{ zBQXa)@ufy#`l!PRI*HR=xxPFjwU6+uJ|6 zv}$kvEOn1!8wI@k-#y_lk8mE~F&l$a^x|cIO;it$hm>9iC7F$^{`O!c<}_fYzwS*C zgu6S5T0)Ahzb3Ab>bd^f>^8FY=x=Kh^JVb5f52`l>C%Aift5N_NDx% z%*|um*|#U-dW1dMYp5L`3&DDZ9^PyVSkWK9%oH#8iPa5m9WTfU~{OGa-?v*a;r$ zLD??9qHP_bS(Rg>!}|Y_fD%Ub2iQ_YDIn13WGw9p`9DPWs#1xr_jaig15e1||JtQW z@#Q6?MzM_oPKa0v7PRPnI7|~0RcqSF+IXPuZtiR0&IgL2mpO1!an6NCrvheyiT~i; zC9(~6pf(yax!Tn^>AW>L~3y1u{>L(uAg$b*PPs52J z+$U3wOQv75l4)o*GG%PThU#+CahrykKtpv;h>%^|P|X`*G+n_^O|WEaegq+hN`(B? zC*-dxLZ*E}^2lz;n~BG@v&h>pt;Lo>mSLJTg38x0&D$-MEW>n*6~FoDMrxCt%P>te zV|+ClxtG>Mjno+o=?XW@zEHUukY{bJVfLx&nqVrQu<$?eaN-CK4+}VK&ht2oFU2xe z;dA(Tm&0?ia(K8dBo4MfNbra2j4vYi!!_18$*B))3Y9ZUB&B1uYHNqO+-P11Cd^|w``@k9%p~|G z7uY(cpl`a#SfC543PIMoM;wZq#=*vLW2CR-+VD`rks2k3=LWk&$;o;y&syN?xslLw zdkH<)A9}8hqvy=sJkH@u!MV0R=eoIOjFpvh3pH8acrvZfsoFw~{ZA>kP zS!k>G2w}iyspolC%YR`o8BEJ3sih)mP1*gfH8KJoBMFPiy>giEvbw=`RS9plG4!xY~ofTo4|W=_E_JO)k5h} zzzRgy-B^Ltx44iJ-M2dFKHZ+&qni#<2etQzwBZuZaZsBFQE_6A+v0`6{h=6^8nwm~ zzJj=h-;r-bVpG`I9pFP@@K6#nEAJ1e2{44;I%4sP@C1M0r)!{ ze}l1|h}V|bD@#ly$4njB=1HUL$Tq7|Lu*qDs1;0!Yzu3j1{LG;ILa)W-{FB6QX9vGs?EhA9r%{@ZpO+c- ziqsS!H@P;2S&z;y3aU?Svm!OkE~-sket6BZsVf(ocFmg9m6-jh88|8Ik&lm=d`j}) zjPFtQNGMbE;GuO z86~(vf{RN}rfwNovnqAlzuK-$-Qf`T@zfo)sk_surGk#2)5?;|q+jk$r|t`Wxm^0? z!F1}O;Fl|7T_1uco zi_q=gtw_Cs6~}JoCV5D`=B+x$Wg-QoJO5H|O665pm%Z|L(y4bH=rM@zyh!MUnZT!u zOqw2$0Qhu~#M3K(C!Jp#0KeV=9vfDhTIZn62jIibTsV!(NiQ4Hsf__47DhlzdbuuA zuSGz+Le3PB0<=v5v_%3MUo3kxU=75YwV5@L)-1-Dv#8eKUXEQPx3d?30xxw<()^OPYKaG;wB-Ba1~59Q8$Rrbss8Nkt$n zGv)VDQV_-OUEmPKyBvHZX(`f)$}G|anEOLOjinAKF=w=R&L%(tJZFdT{4v0DS^e;w zL%IN-KLvQ+C*c{)?O@nGJ?F~rYe-XjuAGIA)AQ#5&j$eUbN*MC`U?y#w`$AtYRmK2 zlo$G7=ZWOSE}P0rBC(0k1@lFM^Gxo}Ckp7Dba`oj%|kqe2LG!o#|ux9*jz92E-SGq zPt}&Eebg5aO%ZTzK}a8!R|Ggb3SUDp|EnuUZn;Pt7TWPZS7uU#;&6kUQcB{=4MgvN z4h;hwR-pe<{#RGtM1jL1JDEEUH_CZyB^+)fJOU0)0~{Vl|A+Fwy7Ju>I4qWLDrcj^ zO{A}Y7dM6Fp=E$WE&4x#|J9YZR^V`RedOU51rE1_ao8)sfgg(v;eU1I?G!lNs>eaO z7B5kV#*(mTv=7j!11da^OIclcX9YU9=|w}J0|>#Y=+BqiiAD=}bUQ&5aybMc)%srtMqWpjW znHL=ZA?pwHh+y$D!peZf%fd3(J0S1N0EKs#lNZ#LAEY4fy-_kJQAd~>&U0~*R@i++ zQ-ePJ0{Xlf9v)S&_uG3)YzjtnzrDLu4x#NIj0mZ%l2`G+y7EI6BYHq4h$pmqfq5{@ zqr(Coy_pM>9|}v!zyQG8G5~K5dr$C1()3|M0MPXCfTr(;Y3f0Y5Sd35GxCw}j2sjY z_p<|e|6j__Pm!$x z7&+d7&v*O(#gyUt@%6fLoVQ0VrccW$i#)lihqiwvJZ(-7hWB~BiS@5AvCasfZI2{Y zfxlGyGjI)=X#u6ygtrP414?}fafhjHuc#}Ztf17hQCo$2Q0h6Ndm&biA5b`?zOFZ= zo+oSvv~vPVeN%5}FA$Oe+PMKV{)BU%9*tRJ?#w7ZpEZ`88N0FFJZA=6#J)G8NVp=( zF99VzSHzmwUr+GkmNjKoUHN5(@kCitq%QQi_L8iS5=hC4PMfeMqSMP+6RFdttf{8c z8LCcaMs)ges8j9~&KSl#31Yf@zAH5FsQl>AIXvt|r2dUT{huQxnAlT3Io?p%?{5&r ziRr%Bg^%g}D+00h;(vAJw*rSK55Sut`@J^yQpR}il<=3m2XD#q2dbhrwmzfnGDX|B zLv012rO6{LT@m{I-LQDx8;IxcvaqX6?)Sp8#dG<>{{J%<0PlwZ-0xxl0DlVrLSUP* zW

eWX&XjZO)o|0t?q-Q#lIASZlY1*`kOLxegAL%Q71DRyH7y8vwK>Kno|ziBDu7 z=h`fXeepT8_HZQ@;`yUKJzB69f))?677{IXXS+MJn1K776yk{*i0Sf2e1ex60l{0c zmZFp8tfkaRE7nR$@OAYi_&Uu^ElTip^&Tk8_R0Y_zPOQpHgVbYJ6q7k!T;dfnpn66zU>S*pvl@vPv0+O?FNwYzm9+1ob>dOD6SO-3|%Rso0?=@$AyoDeI2Cs2trDkPm zu_G(tMe#*>VUTTsSKVbfUH)1Cq%<1H+bSUM`#{zPK;T=04bhsl7PDeKYc0)+z1Uu! zhJfKx3Zcq4Nh$xg+#5GrKJqLGkpsF=gr!C`{50Hfo7b?U=BSbRQBA%MH~CI(f)yhZ zy`RHPewUi8iN$JT$WK|0Y4)cquAgecpUcMiGv;IYpux4q+At6lCg9(!jYP?|4Dl(> zd6tTjX~Y=>h9D@JMtqB70O~23#zVHWq3tzPQ8HZ_ZUWc8(-&_NMaeXG%=>mKN3Vs{ zM51K6rA*1~xl!`75GDD<7lK*C5XE!h>hvBgh{KEZXvYxCj z9?bTU#=9@uS2f=6vyB&FY&?We9`E<{8n5Sw2#=Ro``>E@TsAi};6iC8^k6;2fDd6k zqyg{8_EQb`hin7pvoAE@AL=#WeAn|59x&Forv5teLp=tZF;@=_*7EW!bG0YyDd;nh z^_1wdKigkLpC7Z)$Bprn2lHb#`fvfyP>H=*FM--1)=NU|0Cs>1wV$$~7BM$}%7z-h zO9Si*h{F0w6D=;bHqN{aG*?JMl^%lcCg7ub$*@yK}4fE%0!;F}cKj%11s+WJR z*Dx{KU@nISEKkY4tgjgG5Y|^3@PX_=)qsD=HsFXU`Ad!iCT#shGhPwGP1s6wH63rp zjC7A=5JOj5k!406#10bV8O9Eh$kUHuf7G@ltEcZ_im+Pxv;G3t z5v;$2>%r_`6|TQ$!!=@x{+<Me%Rn|z;CdVzDB*fI zJ3PP@bg^Z+{81me$Wwn18zlM~%?3$*4Q7Lbz9?P(%tn`pssCsF=+Zzzmm}B_0@oAR z5fZLPvLgdrL6-(HUH+^GT{2X}*kIAF%Az8Mupt7qlh_amwWHWk0cw@e(4V8IX-8i<06W9cS{L@*`#!i=TI)j}dkAQoT#0Vy`iK6!9Y@$?q z5}Oo^fCzJuttNGhFr`TNxuYdQWd7j}#lT!TlbtCLxsshJA#xT&vLej#OjjDV-+bs9 zQ{t*%32hvR^JF$zK%c=TOVH0|XFJ2>=V^i*=dg1`y=&PyQoVE8xiS{BMAh@yd7|nZ zcAixAe0F{SQkKyY-DX6-NNtvgDCKCv@zW}Dn26fytcm2>HEJHNmZSOU^jw#6m?cdD z%1vQY#4zWxDbg@6U>5|#6y&&&T`1};U>8dDE@Br2^<;9C>huL4- zz~nR~CL)bQpB`7RD+KI2*cB4&E7_F}6~rwl5~^Uvb+1|oo6%pBnHoptTIZ4IfP}b$3`%5xQnF6d;nm$At!0NgElO zYL?oe(6{um0G*m#0HneIydW9OlJ?L>nBnm`e3rv{x*rBT{&_IN2W*yW)7{7lt=#UY z;T$@;27hwu5x^d+ZXu`5L`}6BPL)r&CS`}1b3_TJi`57qpeb>uJixSwYE~>_y!Ml{ zHjh!))Rv=d%(P}Hr2|acUA1u24^u2O#u=GTnlE>OUUaNCw8{{)yx@jsY3F)Fs|rC&2bX$Fy9fn*6}w6($;a4Ll9Ifd zUF|7Jz88rv_D5SShef-45s@fAb22m#cO6vp2h@3@Ay$DIY=%H$C7U53aSgl1U$0>J z#TVmmp)3jwbb%?zrcV~R2I7=D8XKN^zb2h_FZy!dZ#O||-eEeuD&Xfn4hP)L_qFU= zf%X&ZS_$pz*mct4l~@am;fOzEtme zc70G!rhX$4S*j)I^8xBT1JpAmrEwOsqU;WGjo55#t8+o|#lLJ;xW0M`CIN1MtTw;P z#(DC(fGrS|dXX)VD7BC+lqsLMm?E*8y_ZtWMIpVLCIW&Yy_>C8`a-m2wlx-!rdqbW z6tJzA!?s-GrpzXxIM(#b0j%B*EI-i4iJ?xvA;+djaZ~Ac+!&Q~+P&V-CuUzsjvfoN zvEYnm(cj(PPf7eVpQ65k+g-C&OU7NxBGZ#;?yhkur#}$wNG~0f_++qbcikr4QC%AX zG9&jGpJABzH?SLom~LV>NMgE(Et16)^=@Q0ih7&bjZ(eEY;jOercf)*zR3;Lyouc; zAZ}qdNf2*lHw%c4Szq=y1*-9QX6&b1X)^q96iDE&*~&gzNo*J4Ti7iE;jQcz3E^AW ztquty_6n_ZuL?wjHJhzc#x+Vb=!9;i%TOifh{MpvDPg)pTf&xzp?$)ZNJG1g-R5(b ztdl_VdL>A@8$?z0%c#6}YPq5M{YuExBt+GFl~rSb?bb@ZGX~oY=D3jj+u7}6%%8H` zr7_>Z?uZ`q9^!6zv`&n#_Ep0kq25GOefO4#jcCYU!>PHdd=M%Gy z95onEN#zM}-i_PkEQOiqzEXZCyHjxWPj;uo)w|eTj#d#*2-Q^}>m_~s+-yrifmb^u zcVXVm?&dHn^4Z-I%%yB8fmtkIrYlMUq901Y+{5k>U}EeZ3CuFKjDShHVC(?QKmz7o zcCP?a&hC}K+{f-CV5(d&)d8461kC;HegTHD`z0_Bum=d3-CQtD0x-y5fIXi}ngth1aQB!t-twnD(%o2`&wKE@s+Fp)I?!j-PrC%|kd zFuM#F>u|5Xq6ah(e;-Udu-D^p_P7AqlRYkhT*+1nklt%(KHa*I>vxFZ$Grh}z_qMa zKJO z%DpGfZO@}KKw*i~`pkNSJXRHh1GyRHKnre2kW1v|e&mXYe=P##EYOcgkW+Dl12T5{ z%F<(@EpfycZ%|h;6e~!?^*FO9kq9?IjznkB{REvCuvf^{UNI~f(eW~UR9?UXNP3qI z>5Abl066i+Jxp*q31dHkf zcS(zHfwW%ePX5rccChXQg)C18Yc#OyFL;hUC%APndrsok^Xz%Yf)m%JsPE=r-TmPX zLIhr5F9@Jh*$WcT7uk#c#F4K`n+MxN)nZEyc@Ea@JJS`H23qt~M~ga4QJE(L!mOAU z060At0EdK4zRLmt6CD65lkZSs#s((ep~Pf@$v52r$xXg9u_UbGe{~gCDNMe@0Efv)z;Z7CtE;$9fy3cs3!agek($1Ph=mv6Fi0K}9h3?^F)V*0A2;fOFZ=LO6>FBfSzG7P{?uE7I4rsM)(2%!bc#QgqeY&x=$Z}|ja>L)+8?cb9ft%^9IbgLDvZu}C7T2~TyZ_3 zBFbYKL;)GOnpvf4@nl8|nT#VfCyWA{7(!Se3Y7RGQ^pO-LD1o%>H=j|@@|YdQg?8O zg}dTuf_chVsRqpTDR(?Pt0D{XBY3yeqX+n+4tQvrH8N%Lw6+QvBNhT=>TY#aB2&Y4 z;X8T?vQJ#*8#1kk~yvKztEEvaXHN1@eu_F3UHA4V<46WTq zNj^&GV>M?&E}`FGZwNxKWp7A?ev`c!P3U9wDv`79E%ugxxSqWwL42FNt%9hD!z)Qw zd=QZP3PElWKj2y+@3417UmMvwQeW?~cST>`;We5?YU<;%9M(@rS9l>^umP{i=>0wR zp6Goudr#{9efGXLQbg;3dEN>t^YD1h=1v^%r7OHV{^)u}M%QZ@?o8lVUtep z=X5Osg)nM_;|fzn?s|0AV4#X1w}0uiPS?R6Ld@0>?Cim}7O8mWcPL_{tSvoJ!1gh^aT?PYmxv zz7A%C_iD34g^Oi4i!> zK1jK8kcX7b)3j$J>54ysp)ART7N>{LqW%m3+@1@7Gr|D=3IN=xB<4ij?J7ddiMm)j zPRyNcYAa2dQ4=+hjg*)ZZT03eHa}fy1w*;pp@lb-E0Liteigm)Hc4~k4Y5i7gK#pg zlXRY6Y)#`=kxOZlG|z>{@H~4Z4VRo`Pg0AOoN~sTq_Ntm=`zV4qlQU#0+V!S8?e?v zKSB!a+l^vyA$segtY|RUq?EB(jrD6GG_F!s4Us~7)g?p=jb%;beNvYAqMCGNkt>$a z;rHY+Mb8RN(aPdLuJ6qSz~s;+m`X2(2TR=jxd1pjWUE)YZ@_RD-h(m#Z&B0bxi`-t zzT6Gz%2+^@0%(uQ&{XTuc_H^=WjrA73IPDJ&X*J7o|@KID=U+<_Wnvi=bMmZJN%(lvJ-8kkz{gCa(>R5;(SdinlLTYmq5kN7aP9H zl#K5b;dGDQ!co{$G!cyMrff6Y%#EeWG}|m0OCPci9b*Z%YsuN=6mbv|-8VhEoFWpW zN7tnOrt?$AwQ9DNq$?`|>3duj-<+n_1)tq6|=KsZE?bsmT03OSUD3>qM zJbleG^wLRsi6+Z%814yb3;;JijpD0*d^ikOZ7PY3!hL#dVOzxHZ_2hvlm8?3QS{`$ zBxOujqDp5!s!mf@<-KS6u%>F16&=}BaW^k|_HeaUnZ$gHRP|HsQA(_60K-(B$xRHQ zsX1S1oT@vJ55a7q#*-LVAoNmY)gTo#W?MO`xy}vG9qBqZ_&@NbZDm`9G&E;hC29DW zeeCU5__eW_-mA)f@l4p%k{vK!92<^?^Zy9XEAP}|*3;Gdv^- z*ZnX&$v;JgFim%k7OL4^N#mwqUWfzfFfrzY$5|K~Yr$R*bWS>eR@?Z8} zg@|0Ci$!y_2oG}$YZ2cBQmPTDhTk4~WKJ}luFx29PDG(bk6f9vkX)IAknkk-Dm@|% zN*af(NTeFUurY2AsMJhS4p-JkOs>$m1BENPvUMOP&qayJXY4Z}CT-Yfl9+tXK39mz zRXK0Iej>LQXVdu(@IIi)t)JuUWm0jG>fplfpK-gYVKHvg-u9H2PFnkgS<@W+bcxP26_;*x^d;rBjEvjL6qBM%ZlG)s3u0tPpY z2$`k1RSokTq*aAA&C;arq2~E4eH?<*hmd28o`g=#(#6b#J5h%jh=Cx!vJ#h;BIBki zkI+W8%q~=#lXSBKeD6?Jb+Cv!^_^`GQ?{ZV%(jnG)^yl4M=VE4ekRTjw?S4KhBQZ~ z)&#@7!{Lz6V6G+@0X_qChlYZS84Wd$&&-tteXj0|i#Ye@Xbw9-htRd3G-~71cLU6f2$<-#bn)kv{tz1WfKUl!H!i; zoH1EoYf^lXSfzQISU@4zh}Ww;NreUO3TXn<=B13~O7>9q=3INKx+dAn&$g$iYjQP< z^XM{?mSVoRRIB!cU=jO*eIXXHQ`r~NBK9Tw(pkhp&ykt)b9UQ;1b?W}Es>U*6sm@txzpKK5^`U&uLW{t&fV?GGo9iyIs12?GRATW;-M( z->`286x{v@-2h)Gua)qL@Mt?1v2WS80^|kkTM6WM>^lMy4?TuJ-XNO*9Aw3>9?0+6 z_X6al?0X6159|j5^70Iji$eJYa6asT{E_`AKwimyltBK(ej*^R$pCp{$Qi_sQQU$5 z%zhRiuVX(;Ab(-M5Rh{+KrRkl@8)MLF34ZmuL9&e_NxT)H})F=xiACdO){4JnsTzM z2l99Jy8yX}{Vsw0gZ)83-kbsQ=Fk;o5zFGD`6v5Rz`T|HDZ%`U{Y7BjAz-E}y{vmU zv3v{45+N}zn7`TI0?gg)Zwbs!wv&Ll*98;2$5{G~a3MQTUg%*OFEG;(kRIS)KCC2u zGf`p^cnDMuN#c^QaKrEI1GfOc62O=9F9JLdCAdn+W0TzZw=x6xZ6R(;1OR&ZfPfo* zI8|E3zXEZFT4Y?hze6o2K%*25O9jy9_!j{hLrF|RqptELcYIRLqqRa} z+yNda4X_NY%LL?C`4@p4M@d|Q>nh*NkcqoxJr!|RAM-bOnca1a${F*Pirr#xA*AyC0M!kk(b5%_ z8-ixRrDMp(cg{}nL#*ZgPO-eOwsNb#2rVNwji5_}Je6ZuB7im(yC@FFyhA?fJ4H`c(#^>HNB2w8&T~(5nCn6#~5-{EMJhiIPegy;KK( zu*>B|$ipevhq}k6($nI8%M~QJF4&Z=2)$8X>4xy}P3dOv200_*kJVN31U3F05-GCs zF*#Ma7g_0V^aBR|D$_+aH~(~vv2>*uiv_*A#nHQ&aH^2(B@hG&PF0}?r%H-A+3LW_ zi9oB!$!I{JRpdU^JLxJnAcYg?6A%Xuh4?FVRb>hSt(Ntvnm|=4)xhxjpOXQoN;)_G z6chYTbo`9Guc*_61IJ$TC8189&BBm*x=n4B{9K0Vyzs6tJ_ATkh9L>ru7dnlaoMhF z$iIkeSEHm_mhE_zkJlP`S@UFDx>1`=%REaYd_%gbkw5QZm5w0P1KM-MSp#T|18CKt zd{rBMuvIqHF6KghQlP%@{3@8@I4e1a0w-N3MS9XMDt_v?CwwzlP89^H{)2S;_K_G_Eb!sS7a6J zO`ZS;jkQ%I6&x45@G^`ItK`WI!WleB=lW31wwDh zI-y<^dYe!pKf`qD$;#O7 z^;ksK6L}BDus(E~x~gw52AIR~zxiKXRe!}8J|H#@cm2YlKB7lNe@1mLyQ#9WcZk{+Ys`)5I^UdJo%Ojc=F`_RBOunCgHwxyJDwyzNI378PN?(#;a+vUs zWy<_)70me&Fux+Q#9@9A1#^)KCfp7gcz&IMCoW26;(5CaQ)0&sf)d2ro*vbFsoY#< z$9)kfeUl+z2tmq3>0uSj6%jDM4G+^^5e1W9l8%~3--Q;=S{uhunJ7IaH$|Gn)@Al)?Mp_$WoKp-%uO=PfX`MBf-F~}jiQ?4LH2-t zG6DV*fzp0Nc7RgVf1_Z2p@O+10%lL5@BlN05RFVmsS=h}{SpCl|1jw){u2cgTft!L z66tz{SHBoy&oWS|HWkee2pIqf=89^L4P<2Ry$M0UxUw-(&0~t@eZsxlA4fH}<>nIK zt1BYt**8p2vF~bty;TFg@2ciIum)t`#Zbb6eOD9)jZ_#kjlkeQc~stB%?UPy7me@x z8VXFB^Dlx)Ba}39FcAk7JeE+P-xxnM7L9i2U!>7)DA~X$7{%1sH6Q8ojVW}=5Z`4{PdkGr|oL&*dYF)2pb0zb46 zjrQVSq!AzY?p~wZM%fZgS_*J&`4<7l$KA>U7aryD%JUs3A}Rz)5{{rTPQ80=5F2fug z0dqijjZa3|4b}XZ*I$oim?I-#9wNi!o*^N!dq9r%5HfZQ{~|KRC4bLA#y~BMED(l6 zbz#xIAIUmY_8vf+IQ_N;?AD^+R{zV$`Dbm(!#wG3JdjBoG=z=Xt0n-)+|Db zdIE!<0=wz_i(t1uO7?fKbB?p(OMPgALo-{P=4vxXSVxG6z~Hd1`f_)Pov<>tmrJZ( z=(3kU=Su!X&^Z7l2YAHds-arkL4jcR#xK1^t84fdY1IcMeY{pwT^y;&_((~7q&7U! zC(4l_qBvsM7Z~&v*j>lJ2zCdew*Tl_yBc_x}*kIN63AC>u61AW!cFOX_BDSwPtRsN>5n@<8OyUSKtRqo! zq&F;~)t>dI)N!HButTIFXfZ@Udxw7!Xh)&sC<5(yAKLMe(1xPLPyy{d{zae-L&-1) z+63{oTlHFZM8omRaM5Z#|01nMpk##CifY`^ns@f7#vQGVto6ql-cx%o-2 zpM>2G-hr3pcME-A-WroKE?2JiWpe{*r%#3ZBSMr_ctj`I?UWGdh)&R4&xp;>7?JHh z0FmxaN0gNFxmYJ?62)f>$bUqLkyGb1 zj1|yxecqN(XRIc{cm{O}0|Ay1I58LMLLe+0^`Z`yof9<=oMlj_ z$fpjU+6XBOPlS_n`DRB$ygBv}@6h}DXp9^kz_)5VBXC2EHm|m1cj!*nUW3m)aGr24 z74pkvICsW{ohB7XXc=jhk=QU{{`m-Tn4Fh|PXn0;#5LVtf#Ob?8>i@AR4?%x ziRF3}bm=IeXL+#MD57V%OXnDG6%ZSFz6CuNrN@dE-|{cgf;(f53tCX54pS;9;c;-6 zrQ-qOcme)<{zbrZw+&c`7*!Fv>9!by(lMgNkNk_Y;BK1}f))fJ?TsM$UcPmT<~E6p z`&jfgR$%fo|00;2h>{aMOsL286d@(i5mnR~bBcVHDn#LTmk1{TJe)~bFMs7B*wS@BE9j7>|+IXq4cV_L~wZ(M%3!)Su&_fP&s`aK0D zrv&}FElx%0siMW-{EM_W4JD@qEo4l!dG7pY1Fh5K!#6=w#35&7wzE#x-H^b^Pe%GS zv`{}xOVYQYraC8z?+Q5`BR!o{p@G4_2o=si$r%9^T)Is}=|s`O;$Ni2B$P}FTF7)e zU6*W)%!||Qk;*w8^RI$ncs8oJ+e%Jho~1*`!kNJ0Oo1T}9zT;{cos^|3NUnAOh)Ns z(Sk>XPbMwSM#3O0B z4;(*_wBW9|^Me*LrW3`x57Ej%!gOMIe(?lXf~Hdddy43nhm}tu{a%2Q3!HwrJIG&R zCWQ}+lh?Y?E<}q91+)bJBG4{E$wd*+&LlxSaM~u%#-B$??kBuhK(zT6fp`f@F7Y5@ z-w|oNpQXz#AwP{}ou$hl6lj36!mD)hcu7X50;j10-*Wy%@VyizmuACvvhEH$!FO_Q zyq_!|8HxGi-IU<&$!P-D3jRfKy$mIndALfvKUO=A%S?6ia7q~{l{U~WaexAnDOx^hB*`3rHhr@+^73}lR(_|wJ!|khq>(7(( zWXk(){3}3@D+H|?@Gn9u?gPCtpgQELs}JpbIc&y5@OD#iMONUW~~X4eX=oAED#HFpSK zCt>ZYET0k=M#7wlb~6Rc7W|9AoQ0BE5=?I9;~tj}8ecYU_=LAU7iEi2@KL%bQjTY% z+t~u8mi&vLGzTSf0+hJO*-h=nvTR(ev5?B<`h8z4Yg=D1<^uj)(f1zwi}XDYCG z13LIHFOkqIe-Y>l zQL>Ogcb!l^%u6FNz5(rS5HM@_7lFA5C5s%GT$){_$Dl|FSdQR88Z9_Hgbj@QK0xr?y({tA3)9suz`SeIy-2{5vBxu!U zjFOuJp0=rN(AmA>QJHf5KR$CW*U&6T&SqU6DFe5lpIZbDUHBKl;Z~H~N^t1rcX~x6 zv?XYX)EHIoUMp!BB$v+7{EPZaJ~5#8Qe0I zEXx?&KVd~&n={teMq+(0FuPY^-Isq6tnWj~eG=CGQtwa2>mp&^k9PM9m&lkj|!NF@-G5&IZBojm}+}sP9(Kf0Ky6ZeIWlL z(D~`@V+4BGo|qen@#ARsxPUo`e-W4~QL@s3sjw&JMT%T4+SLk}NANEKa}`Qf5t!i> zWqu^g)o8a`z#PKA2+Su?@`M)V^^q{^(5_Cv9Lm24%qLOuqy#h4C*J^Jkb#Sz`s5dg z{cR8_A!27J6kL1fiOd=tp8+M^V03V3zn{C|ehMf(CD7$Q>!%31Pow1N0A07mGbnvV zwBWwwXGn{Gq2ym)3*Y6t2Bm963+@tLLs~qGl4t!EB${fGCQ^$Y`7YAAJOhWzA~CZA z>Nzfl=YYX;0z2-0evV-GJW8H-u;Y#^v1AE9#S8f11<{E6j$a^+UPQ@@{*d_Fxjek1 z!D$HCaK8nJmr(5`0fM_xUm_r0M#;-wzarE_-tpY1dD5BgD{l;s7%!$}>iEU-1yt_X z5%|3V99|Llai{Go1ix2N@~SsPu_*{oxSJ!@<7;U5nt;jOtgjK6ucPGk0H%w@8z_B4 zwBT;mH%N;&QSzqO!k4zUQ2Lf=!5yS;krr>GZ~u0>C41s2?Sx|U$E z4khaXEZi3BQMz8V;Lg$Yq{Rm)`5AIg0ulBY!K*iU+4yc z-bR#c^w9Iq4>zH7lW4&`lAB12f1~8zUJGB4HluX2Xu&;?n@NigQSxEXLMFj&S$3F$ zAzRRXi-65Nbz2DRk5KZF3U*GG{%zs2WYOnVz}+hP*^q|c90^0C*aPqR-@`iW@4 zon)Vo7XLxXf4mkx%|1oxr=kUSP<={T{1+wv4O+-FyImJ08OXxz@~BYj1%_!=c&`&dkHr|}My?hq}wZ)gW;@eNA8@mqxO{y*)V z2S60p7KZN~%DN69EbP*3*n982BVg|>8hh_+?+rohy*CuQK8+PCc16W*G>K6YHO5{O zV-nwgz{O>lHIgVUaNmpno%#0enVECXId^7ucCq|;vU0ya@EiAW3f1xtT+YQ7swdc| zC(-*$_nn@^+25xiPhb*gB0gAgocG@ND;RhcZG0Im#WDUH$Zv6s;~TyT<13ZMqbtkrc<1lYR#(wd9ILNE zUYo`MrwXyZ3ibzH_#^sFYiKFXo8ExDiL)yk;_XV9@&<(a`1i*Dgn>V!jc=l*IL7}1 z`74fb{C#D`ajr72pst&?XdSnEY`0y?back;>alZ|#~z8TVtCxjG5YDz?b;5FH?!xq zX>Ie`7Lbj$V{K>I&XtY&y81Ty_GpjlujsGIMvnm=K^`Hp(Q}OFOwT!JfAM_n`KN63 zdf{#7ok}*QPo3U7eR|oLp+ScB89K?vjG-B~X51kgeRBDf_9=&Upii*RM6^eJuK2)S zrYe~lW@>`=ab}j;MmA>tG4qqm&t+qlvsrFt`B^q*eUgo5vz3k6Lb5H)wnR2&?~{E@ z_CVQ~qiqgjjsdbU=jfa>a?X~Gx$@;IpQ{quYq{>{dL$cjSIgZvcQdrl^4R3DmyLN^ z=INQIk8I4FI|frMdAH}?B^&b<$X7966|}AM^~u){?c@9`KlUU4!2H4av7ZI( z3%D2XLOZ3v(gLBfv0%D_`3e?9ySw12f>E-ukZmD-ArG{T3w0{g744se9Sb|l#=^M@ zmoALsExfOARN?bz9~Azp2$PLP`V|Q*5{&jjk)Mm)Mf;|xL(w#{v1qoU#fz4bjl~>` zr7z}#wrH_x#r$Mr@hQcZ7RPprzbIi>0{$(LwnW|%7@rbHOI#@d|CP*CvT(^_vay_R zxhCbBqdisbhjKS$WBD=VXO_qKl;2VQc=<@#SV5}bTET!eSB26QuwNDGRcKqGgKVrg zwBn?SQ)Oe7%vFk1DULRvN{cG3&@QU7vC3xIShZHwR#n@`#%ejMm8w=&Hu|RX&F72b zum0T6)=wiF{e1nJ_+h(#ll_+XEk}FF?~dO++326vKd(Rb)4z>>U;qASxBDOSKZ*9w z0LK9MC!kJ1>wtD>Lj$%3AU*;f2K-%v%f=ctYqYG<8tt4Kt81*2jrD8RZ&@Gf_50Ni ztPj66Sl3`rgZ;9xQPW0U8g)mzvC;lU2W4Zki_LB~`$aZ3&(^$nbNI1!;nr1J`^v^P z3)^gH^FOqY+PrNm$;P(L+IDT*1MRnM@3nm(8{5@y*REYh+1S2S`(Ew)qMgxxMf+8< zv7>EAeMgLIuY$cQ^{Ofxjrok_jg@3$|1$k+_OBxw2eum6Yasd$9zA%*V8q|hHABOP z?v;%rYK~|*0{a`N3(Opt4eh4D1A&Loz8r5qUMm~NS0CSWd<(P-#;+g03GJitZ-cO3 zK^24Q1vNxFGiYVdYP5HQeh+#h8-q&+*9fkSc69KJU~DJ&Wblu{w`Ajl>=VjND35mB zg!vN|p}jug`Gi-pF~m6}Ye){X?L!8K3`4s=Gebyp>*VS9saa|X*k?U@( z`$;yg_gJ5IeF3x~>zA%a9&Ko|VZesLX#cn2$cE!+|K8}j(I6W)7TM^xu?E`n8}DxX zRW@!dwYB!vdT6_C9kq2V+Q_Xpw!+@lzqaYNVSl!b-?nfY>d|&-yZd%8v_-c2ZO8k! zuiqZA{V>|=+n;a8cu{@KUL-w;{Mc;Q`b)YfcB5mX-?~8zzjaoc`hTv(qnSyNvO@T=sIk%Q&9P^Dl3@yhS!L8}E+HW@ozg#8m|>^xq*357mZh##$}vFo9ACIM4NsKQ~Lj8FV>9i-b#mZgdw2aU^|e?0)P;}^3TDL?ase+hE+Gv~grP6YEe-hZ|i}ctw^wF*h7{d)wUONn&UJ(d#Uf`6dOgn|nUV?C{5U-!G|n z-+Tm;)K0rZdsy}EiacDC`YwIINB3OT;y+wc;ciP?7Lb%i#e8Iv+>U=tj?_$QqhUTG zNpk1^P0rL#ilbycQc3mzJ|>6iCe_g~AE6|D5DCwz>8yTI9yRlkOY#Tu&p9@lHB9QG zWj|@gMtK>GC<|CRc5AGw=v{iB(So6V6wg>nzY1=xvj;{F# zC+ma!s5G9%+9v0LHVt;nqv91<`{aJGrURxYIa9>Jnm;+}&pM`r@iiag6m!6F9}Q;< zS?828)aJvTq7M3>PgAn8t|=)B%p)Pi9ry>L;oS|q5Y|1VMT2=nq{xH+KvcZDF_@M0 zOo>rp9vLat0UwBtcQs=q>zz`g!#qM#v=crMCGToTL)JGXM~Qi)q23~wwSYn|H=86vFJYl) z9)7}k9~pMBB@q3!@5&(ka0X6V(rTQ3#D6hAoKcBQ5%HMtcr=em;lO_pljJ~CX?!;; zXJFGrDE_-pG!IAN#D5u%L&9;@c@DjfPL;uSU| z(;ueEz~+e{{WL*p9;m{ppD0ktsW#D9@-cr%$&W1%@%riF)jVc}V?SZcD&uxFTO{K4 z)5fiN>IPY?+AT&lAVyu`HbYnPQooY`S>k zr&*{7=Fb<*<^e4n{TT!LU6IbCarTaWZXkfI6mk7|oLJEA`t ztH^eUMEUZGVxBBwK&&8HXh3io9{Uk;W424=iFM?Od9H{-v65W*zyz~fB#!kYj(PHk zfw7|GQA{}Y8`xfvH`bLm=D8yV$I5bt2FLUuD}HxizepbIOCIwC5(8v~3G{9fivE2@ z`gw%BEJEawb>@+IE{Q?1(p*yJ(@J(oH+x$aDe^7p`PzW*Jfcqh`~)>gKHY#w@f1j zmz7qELG;ZsJ!o02~IqY?W(?7kTIlr!+A!KaT+#K5PJicf=1 z|7_`#l-SQx*i80Nq<+duee?7egP+3EKc)oWr$1eqoBrH)S@u|zfRtAP%*%iXfD~8; zC;-g=bfQ0dD(XPWtOMq?KmKA6`C5fGwAM1T4a_kP1`Q71&5pgLh*D@0I;S`qt2Hq?vQzbWg_ z-iUf3>V>IZnAZ#u7@}sx)(i>^^STkh{t|UV)D82xVO~2#aERIwr*=?qn11xx*_*zt z%F3~~qJ)SNqAVfiWkdvsC?oO8h)OA`%(*BfqLiqWlK16A7C~akL4wD9YO4;n5%omW zlMkf@*T}}VOcsIiAt#B~;?|YAJm$x@=4iaaG%n-lKJ33<5NC#}XNJik|i1d9mr ze++WPU6mSKBTik!smsTdK~tF#0VB%HKb9E^C_6e~Vfjg8emRt70aVTi)<5V4o9nl{iRp&9kJTI=KKH85To21FLpQ-qWz!AkKK}n;y!m7y~ z#W{~S=SgUpG?gC_Jfi$0sQkp71I7Hhh~nZajVMH-5GAw_QGZi05&%j&MClTxOD$6V3p3qD7r`aU*C#Ds6qIrQ5^UzNguoo>Py#-pnkjny703wR(N%{iez$0!>u5&sN!@12DRhnW`JU_!oOA znpM;|QR6;TqdvuJraC8rP1L#1Tj$8X%32q5l`1vn*+s1rweC~YvwyNd^)BXdv#va+ z2s#mT{}eEv>h<@5mxJdPfhPj*Qw7$?*fE{uiNF(Qd7u9*&&JUAwG2uc&*X z?tM(X`jmZ4wNC_}Szdlh2Mb%4liK!O{fqfQFYdteixOzrTOyJ^pKoHXKX^%APz2%U zbF>KJ5BoMY5b^Vdg+(BK*jXZ&KCgdFCx;>s#mQl!UKlGATcQ(1dSWjtFDi=S=S_O0 z@5Oq2f13)U2u4vDzi45k+#~EKE}^iQNt47C#D)H0#ek-FJ2?#*U6Hi zP9}a$5OrAH=cZaIf>P8a!S-eR z^*(5S;eH}$zsw+r;7_>UO_y9EXvHO$)n0Nb6WjDtefV>#a<}NmnY-}-QFRlZ{YuA* z^~Cd`sq%`z6_q#1R9-3&@vCnIUQ<-x#H$>lN`D$(n<}sfUQvOQR0UR4;dej6-+|W_ zRru3X22o)X*N>)3ECN_m;v`pz)vNJIURPA(#H}KtYJWO^Q$>z>u(2YqF9P|~IaUN| z;``D(m>Y^dKdo~`uzneTnl1uGK#PmOBzY02EF5v46mBZ& z^p~kC%3%@f|9u={PeAON^X4M3|GPs)P+E@R{JI_fxUE8C zeh>63QO2#O1er!mwEt~POr=}|w3(xgbr_!|LKZ}D9qOHOH;uYfiDVviYfTYll<4byQurtU#rA? z@@dD&RQpBni`t)}YQM7nXXQOb{r|MJMbzq~;ZM^XAQC{#0V!?{pdw}a?q>hH`~9W(}W-rK}-lKc0!6!ggB?iprktKYTm`B7sl9=^5DQ(L%mxv@$sUt~gAjQ6Zz9JWU zQ>!c=BPNs2=VuYaN#D0Lp#;#!hCBlwCz2)U52#4W&pU>(34_ns$BTsdyv`QEoIL#d zK55SKV39P*V^BqMe*STMpEzrHh)A5z?{E>+$;Zd^8k}sbj5-ITSz`TBh_)#bB9G9|wO z7D=19WBNX!eEA%aP>Jh&5$ws+-{wg*Pb5|H9AuHai9fEfiG|007Kp@3`~eU_pL~5D zn_O%FUnG(%`3|&5;x99{?-Q&dUm_Ch%M5}D{^afV_etiY}*kr@kH&%*dOa22clKRVz@%w~3%U6kn`?7-}k|AaI|9#S};cG*Sb zc|z_G329w}Ba$U$j)!?t?h;9vGJ`-Qzx9o~d1CGsiD`WUB$6iOj>-GvT+a83j{W-t&C2(S1hvjV63LUY$AyygEt5rpibP1+iD0ecFKHYi=`TgGtUN+Yq9R7E zHBM9dewijxk)+~pgo?j6ZKW|_LzAhtQ}lc~jUN*8shCew`n+Y$$N&BgQVxDZB&$eP zYYtYiZ~d9+Bv>S?m7V~JAQeT_6a&#G!wzGkPlk)}V`6?4p#Udq#n_m~!26p~zC2PSt_TkE;1J8! z9S`r5H!D9alGnNiOC*yRA7u=fu6jlCCWR{=v5(@)+$;vno?(mi7RfB8 zXK~4##A6`trSlarKPT#r?8m6a!}8#rQ!?+M?Kt2|szofNA0u z$(@Xfwn))pciJlBk+C=hMck(6X_?Uf)c3Vv9 zA}$g$_QbXlKi*6eyGV91v5Px$$vg()-krNE=J&*}w_=VHK2PizFuzlGPb9qvz{Czf zvAr)7bEcDfk@U&@#7-pGmq{>d^t;&|y!&FZ7ja>YF)H@y^TefT!WW4zCVX)>F9pSb z`JKH%{GpilKTmxX^Oo?dwZ?#H?ib0Qg6h7t2BX-o#4VKO^M6+USj_*4>wXdL!r#^q z1EzBTQ2@j_fVloofia-?VaibcRGbQksmU6qCb18RABU#X0Z{}};8Y+IF7Xr28hsx3 z*1&UdJ|M!v8pBlVQ{u#>>6}0m0&z|tZV{y57*O0QILu#)Q-j1Q!D6Ztezw*aFr6NV zVvvHT25SvYv0sT>IBhiAJQ`>3=s$&1hyNzd4-(h?BHV?)tuY2n=Ln)8h;syS3qiyH zGe1x8$qC=B8xQd~%g z7>JF5=x3H5Fz0o1xi)b_biO`Hg8)*|AxvilHsdW~Um0 zHki#x6^?d4o15w_(`p^rJZ(9&mDzml7_`&a0_{Px$JoNu8m3LHXNyu-LmR*rr=E>= z1zVE(654BQshpi@<)Unv+yQM@wp?C^b{7kke?|M4t#C-kvN{7y9hq5q-wP^RU zRgUnBqX%2<=!dozTjLmvb|G8q_&wTR**Yh0rgh55);rZg+nQ}~nu2yY+vxNI+9zyN z8u%ei0Q+B>p=d|3&1oXgMzJls|&x%|nrx-=|Y=a2R)wp%wB?K-wc_dC_1I5+06VHbz>XP;GOeK$J7H*y zwhKFH7>{;7J7u_w_9Z**o{gPxZ^NS87c;GUC_Cp4U${SJ=RM#QKVb~Ak*{OiPSrC-2)N`Hp^oS_)InV}ZDlc7KUZDDsa+-ARI^kDZg zj%0T-!Y3IQvHKa}E1xv%flp8N&}T1ulnJ?&DJOf9=?;6E`7(Q!&qg)%Kpv`SLq|C?wZ^FjfYm^Q$d7Y;zq2L$sX0XKNj8ijeW8WVW&8b2^?ja$4# zP57*4US6_hd$a?2shZ!QJ<3bhvS->_ZoEvbs%ZUrxmq~xT61~%T1U}dy{0djwpl7(zZvRXGe6#-8RDzi1m3V2{Mzg&Z`AB0Z`AxG zZ`{I`H))XyZ6V&|D_`EUgdZmbwvH`IGcCwco^*!-ldZr({}RU zT|1pc`xEch*@bC4XW`vD*F)Q$_vn(2X}c8QJ-gxfyB*`byW#k|r{aCOsfFifIS;;bVt11WT;MN!*7(wV_V~$s&iI>rZcq_E zFK9fUA9Rf`2+qs2!G-w3;0|a9@kPPdx8U7;@dOXPWI{itoiLa$oq+M4aFj0#X~?u8 z&G_;V#6`$D9y&2S(@xC8S4_nGHE}XuIq?MAD}2?YY<%q`)SF3T`T9va&>rENC&QPM zJ@}T%i22EV`8ShM6DF_W+a@DdCO_rdr(|Q=DJA)iDe%>lnSAFIjMOgq() zhfi(HcTZi$4@^aEpZbDFOv}%-(<`Z+UOYfB8KrU`1!C#>!1ntyM3j+N&!w?ds}Moz*zL)nlc)Ynn*) z)`T(bnsrkBH5bv|k{YaSC^cM*bH25wrABLCG3`2>-K{HxwyM-*-8!`Uq^9eBXWI3$ z)NFlKv`wVu>k$*{Bc&D_YB24FX3|$1R!c26ypmdNJSly>>4wz$f19N?o1>(*TOLa7 zzNsXA{Y^8e{WoD!hi~pnowvP`x@=!6b=~1Fb=$dK>b|Ry)FYfpJ;Q5By}}nty?57; z`t1Hw>bu8Z>c6+YG+^&xY2dzP(xCl~rNR5xNka~llZGA$mxe_+Ny8($Nh2Z-NFxur zNuv){kj5N3ER8*^lg1tHAdNq=M+!QcS_(dTSDJ8akrZ<5sWkEUWNFfg)Y9Y=Eu|?Z z_DEAtI!n_|wv(ox+$qh7bdY97B5otcO0y!72a&s_Ij8nYb5C!Q=AFJIEjW`?T70&T zwB%fvwDkONY5ApU(uzx=(#p$B3cDO4t-AaR&P6&i=30wC;#*h&R*O|<20oqDXEm|p z%KNbXtOk~xc|DwK2VlvO7h-#uKbBH+9s7~_VM)WDbIyFRWNTZ1rSjM-FJhjyUd+d1 zA1lW^Z0CaQ2lKWa4R!#`OWz(W0xX@rHrPS1wEAmchrlxE4}%>BOYac^b_C4FqaXeq z1h+3zjS64zP1zc{45pI}et}rySS?u>3wbz%GL2^O*>C39O(`f3VA7 z1$^i@u7DNxq2u@#tWc&VU{}G4W~u~s4Xj9J8?f)dif4KV_B~j!%+JAo04tgK2e9j4 zC9?bs_9Iy7EN8%OfR)N-3w9H%Y}Q9$x4_C|TLShISov(hU_XPE%N_`J8?0jXUSM~? zD&!adb{DL2j@DqmfK|#l8|)rf)tn>2eg&(Ns}k6KFyCBxz#f2A%k>ECA(&sTZ^0gc zRnOfF>@iqC?kZqU!2I*rgFOYSnfnRYGq4(Y`hYzLtDUC>*bA^)d1*Xeg4NASmH@{>>h0&AL&eEK(7 zll(MBZ^4@9#~67sux16kSSn8r_EiB}FbS+hflx3TuvP^of!Tt!ELafC4y<)SZ!mkX zuM0+jX~5bR+y#~jtW6;gFfCa7LK0YNuy%#Ig2`YV3pE6D0P9fL8O#x^bD=-LoWMF2 zMqGKO0qa^Aapma@)}`=yFc+}yh4+Bzz`7M-V6I?23;zn{2G*lUFqj^!cagqe2C!a5 z?t;04^(}G^%mb`X(KKM5VEu}`2J-?l7A*zl4K|=?7O=En{fqg4r2`vOOa@C2Hn5l< zSO%~m#R`LE1RGqOeB}c+tT_296WGua$T!c-U?Ykn-#oK`4KIOu;F%R{R0-4r&um~L zOCUcyvxAK(f&B2y0XDj1F|eFq<4R@(%LO*JTywD8VB^bG1(btr8rn=usKzHz{-HluF?vuEZDp%eqhKz zHn+-Vu<~FFsw@Dj05-pB8?cICi>lTDs|2>NT3N8lU`wiH2de_M*q7q3D%dh#ioa@L zOZ_xpzF?u%pMq5fTkc1`@&jAxN51k0Tj94HEC6hk-$bw)U}1juz-of6@w)(43v9JN zjZtl|b^bI)b->p8_Xn#Bw!!~vuzFzY{ZE3`2ixSo6|4c+#sG@vhG3ihDV`gF{V$*$ zSYxnn0&0OZ0oxLQdg|E}Y+C^8sb@2=tu;7UbFdu&_rY3#ZLiT9>?^QcH3Gm|g6*ua z4y+Z}?i#bez6J}gPyJeh?X6G!+JNn;Pr1+*Y=3>qg?3>38teya4;Im24Oj=T1C6?a zbp$)qs4-Y4u!D^bf^`Nv(r7(c7qG+4egW$WcC6WXux?;Sn^Vqo2RqT6a;68^@z%az zJ;5Sd7X<4CcCyX?zN3Y+dcpr z0Cu76Ww3!@=i7Az8w7T#U0txjU>Do>1sei(rTtf6L%}Y$Uj;S{>}vaIV8g+_?MSt0 z1lV^SsWy!SyVk2J*eI|cdgTWj4fegU64)59AB}my#)4h%Uk7X)*vzyiT;45aOj z2m5ItZ8r$))?myZp21+Z2V?&5oB;Oo(7j+GV0VYE0-FeSX9SJgB(QrUXxt`){SufB zYzo-@KxeS2V7~?)0-FZ*FmMCdbg&2GwO}*A9tS=Ln+f)4d<(EyU{A+a1Dg%@Wc((u zIbhGn&jXta_AH2eKM(BXc=G*xuopoM!4`o17E~T=A=s;+)nJRjUI$GFTMYJl&>OHN zU~htMgDnO7Be*u$GO)jbOM)#2`!kq)5DNA-n0&AT?C;=P%)xV|yomGQqb#>)7%Rt7 zoWNFr*-Xd`wi-;Dun24onB9a?U~9o_C%gh%2d0^D4QxG_eMk=JPf$azL zUc3tI0GQX}-@zil(k=cT>>ya$CB9&Xz%ne!4R#nT{gO3cN5FiROaeO!mT}2-uw!7E zmmCB;4wh+YUa%8jS(mziodnCWd>U9JSoY=pz)pc>3nd?%2Ftmed~gOVM`$^)D6rh2 znZVA1(27=uMtGuQK z*e_s})=FUaz^bmf1NJLem9_oA?t}TRZ3^}PtlGLRU=P9k*3|-g1Xg|BPhgM10@fV^ zdjjUaz5v)$u$t@Lz@CBCSRV@Z9IW>G@nA2&YHb({_7be_hL&Kj!0K!`4)z;Z{SE8E zeg~_!(E#=utl@?~!2SShu(1Z%8?eS33xNFz)@b9eV1I!%-FODw&!mYreG@ z%k9O$nr$7+9K1N#S6e%QNnkCu;yAo)z*=p^ad_E+wcG|jd)a}t-U>f^*@Jz(Z3&nL ztnIcjV5z{`Z1)1wg0^b>6-j%n7X1cI3HN z8nCY0k>_5{U|n|n3FZRUea8(j9ay)W`M_MkdhXPLxq9BFN7rz@|q`1S<(PE20-zDX^IlH^54R&51Y+Rt9YL!6IN~!R8(G04oPJ z_t4j1<-rylssdI4Z2loSwu)ej4$-ky0$X^v5LjifC5H`QRlpV>4g{+Tw(M{huxemS zk9-g23l@6h09bXfICKww&IuuEC6iP(feREz`~C01*-|R=GZc@T41Y> zyMWaOTX*awSRJsn$2Wr21>10ZI#@lh^(SZ>^}#lsplvh&+jwF)SVOSQC)$8D0{h&R}1Ig-3n|)*5VY`Vz)qeS0@fSs^qE#*eZWqg*#OoTEb7cOFeBKRD9lG*{lLzh!F=S^ zAM9*YX|Mrc7oswN4Fo$MMR7j}>{1lP{a~<*QP07KfL)2Y0yY%v^4aNN!@#bd?GH8_ z?Ax=Ht0Ta^J4?Ab671SpsyU;;emF}tXEfON=jwrt0sHY>F|e^<*UudV8wYmt+*+_e zup8$vcYBQo`{^9!Zm%G)Tjwb@g28T|r`VVP_VfA0U?E_4&yNP12zKW@)vHNh_s&zj znhf^K1*%t5!0w-?dNmd7*9$>l)4(2H=ms_&?7@X_uo+;FFDwR|3HInB)sk6YPcKp} znGN>j;zF=FV9zg(1e*)?>{55Id0;Ou)diam_Tth>umxbhUD^n?5bV`us#lA^USFnq zwHWO8%M=Goz}{S@I9Lky$K@?x%fSA+JR58|*q@ADak5`#znn2=Pi-k}X(oAhz^B>O znGODrPqlO9&a=~{+fJ|b|HGO@pLa8R#-Rh~9vsUU(@UniZ1lg>GTnKj*HgLEMI;p-P2N#PQ!b|U5=TOA*hPEj; zbjHGlvRd9y*4PbIwY;Gn$_+(X*ibpk8!BhokmFO!8``DV5I?WJA;*ic8+vRWbr>VO z-y#crsH(%2L$zZ!)Y0;Wjwm;D z)53<@Sl&<@(}rAby4-qKg32-p|Hnt_xpGI>)MJY6unE!IF~oF|O%+HRT}gR#CDlqY z)t%@n>hi#%ky(VV@(FmX9XP@>q+OPb)1SOPJ+J7A;39Eyq;)_qb)DCX!(NDa!f7%Xv?7%EniYvjw#+BZ8^fCl0@Ag+*_?Ra)MbFw1{ivh2;3mbWL!vcb`!WgDgCT?w;X#-e3ArRA7wxR0G-4ZapF zYm}B_F4{iYat(`?wMxq|S7{$@xvoXaveI(QW!XntZe-E2qtbHBb=XH+Zf?RjR zjdS;o|C+Gu9%XT#y_J^lC(QB$in=s42TioZOO3UREX8A9R zmWwMbmrsyocgdpVl1j@J5@uOr(Q;{}<%$Wj>|oJyS*7KKU0b=kTeMtWX*prnR_;YD zTCS+HTrFYVC}+`fWu;}`gju$HmRnV6xq8AZ*Rgb$*xOfW*)L(1CtA9;^7d0&uAeZ= zGc8&UP+D$~Fv|-pTCSMJd`Pnczk z*WKO?m6kgs$g)R6i{5Cgv^+CmmYZ3$+*E0KRzfVN_HO3g9Ji(EgLPMYluRFwYjTBq zw_}X#;uCf6uFx*bkvTDEhVS2@n?CycqJrw=y(>zY|4F8@-k8c2@^}pk;J4=dn>SNa5IkjTlNwKaoTTjKq zoijUUF~tOy5EEeeRaj9KR$7HsbgmR@uhKhv)m2z671lt7bys0SRJI$W(r>N`Tdl%& zs@S`v!tN^Ht1anr+vTq5c(D|nQ!bBH*lU+RV*C9O(@*E9!rXNprhZt8wx>&{!ZN9_ z>?$me3M;6x-C`=Nw90!ctMv0z=~q{!Un7-%EmZooR$*OKSZ@_JG=84xMk?|QbyPQA zrC*3jzp2W8c<*c#woqkXmZ|g$Q(^m5-g{Wt&%suATxI{xsPsFp((jr|zw0Xfep2ao zSEb(rm3~iD`n^=?_d0$*S1Eo!S9_IyvP!=+D*fD4`gy4IQGwdT-!qkduay0Gxa-^ab;wPl!kko?UWKJqVJdTgTTYdJ1yoou6;@G&1t^)eq+9*? z^}wx7{Bs$%jw-Cb3LB?lZ-NS&qQX|Xg_-IumQZ&cY~9u>#{>JkQKjD&m44e*`h~0X zJD}3@oR)WfBYEI7moj4eMyymWmWq5#`lXpApZX8YpcAszDmEwD*c+P^iw%s z(zjLV*HLMYhwFPP=VY+{Dr~3<8?C}r&Li}bRr<|T#xu4%Uxh7IVXIW^ZB$`fRam%+ zy(22@oRVov>c3a!jDxNIw$i8QcVF2L?3qgZ{iYIEZ{piC@c8`N_Dy)GDYpTLps<5^ytdo*C*c!U2 z_^P+EAC9BH3LBzius1@*-dL4>K`Q+wsq~ws(l6fm6}CHHrQZ^zJsighCBu7HtGsuE zO25r2{kALnVH>-Z4BObRc(1)7!f@CS5qoWhb56rqmG@m#ypQ@_RrUkBu4LHeEtU7) zQ|b3mrJu_Ahauki2e$i0X-`|yovAQ86_#3srBN~mTX)y^`{(YZ(l5PAzkJI4gS|p3 z{Yt3VE2Gk{qDsH2D*fW+IJR3$rC)vJwIKR6c5h-j*T7QrHJ*D{_inMQTg>@~doPuK zQ{vZV_c)B|^8{&tp}?VVFQJ2~rcuOz>7QRmXm6`iX)*K%&)+}(MIbCB~~=hegpQlTIgEqy6AfAhU!M@#_K|K zQ+2a-3w6tMVY+>~!@A?TGrIG-Yr5;YpLBP14|Gp-FLke7C0Bb_*)@%;o2!RwI#(ap zNY^OWUtAx!K68EL`qoY3=H#Y#OY4@|EvH)nw_ z*5aIXi`#a$aJK_)hu!Yz^Xm)iOX|z&ef0tQ+WPwX#`@;^R{FO3j{2VZ{`#T%(fT0$ zWc^J2eEm}WD*Z(BCk`Fv2j_5M-ESm}Z!5m~U8OSYcRg*kIUf*lyTu z*pDiC)^O2q)o|T#%W%)|(D2Og%J9aWx!bv?c2DE(>h9&9-aVgtA@>sQW!x*eS9SMy zujO7JIn)*TF~xn3`(pP{_ciW2+;_X*a=+t#-=m4gS00N!R(P!U*y7!jF?W2M+BV#A zvwWEA@t7EQ++`om9rw^Gp6%j}2Wj;vR_TE(?ifj{%N*Uf9(T>T;}d!T&r@;73-S+~ zz8=FJAEJx%Gw0{r`3067mY|C(9@XRy%V~8qt>T$b?y!(nSJEmzdgTsbw0g{8Eq6FT za+Tyf#F5j|eTeKQ`*Zr@7neKAoj83ni_6R8-kiQ&z~x@Fgl{l#2TvHKC*iq+GxmzU z@5CJpFfTu%7x3^sm%qmg^o=Vn->2<1qZjZ@H+N`GtF>tr507()##nX9jpOye9e3_x zaP;9W9>nxu?)bEWySUL3K2hN=F0_PCnz+2yejS&?UcgsPxKjhXAn%4{rw;Tg9#!H_ zt?5;KKZHBArMLB>7x1JJcj`^6Mq0(=LELEsE#Yw`P$Z@~ zL2?SiohFLpJjo@9b0@rDzylLp-j9ttcOXWNaPChp;CTn`OfGRAL#ud5fjf_&E)%KC z3}Se^fICkiM$suBAS0-c#@!a_=mgvKC?tF?~ zxJs+|#G5eO|?7@-uy_hP&iJ(z)a%Dd5##}r9Bup}^}}~$xO^3> z4w>NnP;m2ky|C z-a#?0!&er#Lq}TeLaX?m0e9$1t37BHUk>07J!!QMt>TG(E^j4!eTmVS)3f;8VF0ZT zrd2#Z&mD%)>Tp`cQ}5hi1g);YQ99frc@2@b!HT0TmK-%CI$BK&54qu4XzrMfR@0MY zAjycL;FuX!9J66b-cBqBt>&f|^3qZPS}H_KMRBN()v1dgu>fMV=xsZQ)uGk;^g=^g zYC=oRXsI=P@7RH)Gf6j+o)CE_+3Q0)*^gv6y)cSo0g7Lu$a+33~mw3LIEa?(;RlH4SDNb-{8Bgs!vfch0ADMV5jj5W}X zVC)Z)ze(PZT!!!>npTV#BPmW&f}|u#DU#A8Wk|}Bsd6OcNh*+3B&kGFnWPFyRq9-g z#FwNxi64nSNdQR=5}o}D#%t2<)*`7*Qir52Nj)-EpOzYsG$d(6(wL+PNmB?bPg0Rq zn~^l9x3!?9uOO*!+plB1C9Sq1X-D!kNo$feByCCBlXM{INYaUBurOxw6=94TS zSxB;oWHHGSlBLvn8Od^zP?8lSD@npgR*|fR*oV^iuAzfjOKcq(SWinENH&seBKaT5 zW|A!s_Lc(kFAB_Wh;1d=MlV#NrR}s-g`_gA>S^gWddEtV-$_=G^w#cYthRPCV*^QE zL%2POopvE(s$!L0Y1W9XJtxrp%NFvD_$4E|*Oeg6^FWjW1E+n^TwGSMnThYY4DIpJCgP!9Y{K+!q19!rll?dgWC*dLsfMvs!%0Svj3gOFGMZ!z$ykzc z^o~H1@gzYc!6Xw%LP#c(Orp+{Nv4oYC7DJton!{dOp;l&@!2GENam8vBbiTD7SPf{ zl0_toNtTc-C0RzYoFtSwub`!sBw-}0NY<0ACRszWmSi2t29k{=n@IjgvYBKHnfiug zE3IxL*-o;9WGBfkdNrJuc9ZNO*-NsIWIxFP>JmXq2T2Z*940wJFB~N~Mytn3PSEN} zT8bo>oT8=EBxgvXNY2s==Sa?zTp+nfa*59fm4mu) zk0dupZj<~(o$r#Irqz2SQ6w*johP|Na*5;_$rY00)MXFJQ<9y;_L1zR)t^buK==;6 zlS!!|HuxbUW>cA@I!R5EIwbW;8j&<5X+hEoB017h8bJ;Us$@ zk{2xYyNfDCbB&8rybeFQU zT7iTH&$b$gA4v_8+9dTz8j>`DNO@_AhS8RW(Y75)N0Kfi-AQ_p^dlKaG7Q3hg-8Wx zbu`I1k{}WqJX;z(TN*rD8a!JXJX;z(+eHwmFfA=52_*?5Swpg(WE062l5HfrNcKXc zVzfkqXM2p~6iF1xd6G*c-;#VsLgQw88zPmYCE8tE8ZFxwB)^gTLGl;Gj*-}rq#~i= zk;>4LjzmvFkz+@LVwZ&^J4r4Q@}C_!!mcPpDo;x^9(K`7m1xPAga*K_E=dED#w6r+ zyVejXx*z%8t}{tDlHMdnl0hWHNyd6$$y&ZZpYt zlHCxgIxQU_IYM%hggj|?k>m==_arw-DCF%ZD?+5Yv_w&CPf=`7PPDI0Qjeq| z2}QB}S0rDPw1r6IV*3sxT}gV7^d{*~LhiI5N-~0EG|4!KM1x{a-nA$1+D|2!K{6X6 z(P&B0qeTNHbtLIR(jCHI&=O^e)R%-dB+-T>+K@DgWGqQA$wZPV5dIr2%_N}_lxPH{ zMI=i}C_khyk~JhWmeM8&|C5%skZdE_NfJG@`)DbGQ#4DS9gEAy1V+#>tFrP_kF-HjLyCHO`~zSxY3?nPNeZSJ-1QB{}>g^*#I z#=iMGxng!X*`8Z1WecmB<@V9+QfB_)Sbkw+IU6!6OrzP(GMQc9$Q81w;=|SX$!sxO z3K>Wzkx`WLp!{(eBt!N$&S5#GsfElan z?>_^6F2ekW5%8OsKU}x(=y1p!Fk@Hy*IN(lH6s;AkA?@Tf`LF?b=?6oSa~2dQP*I$ z1{#hYjex&X;ZG?1CWT+O)6L(a@Fx`h(+aY1jV8ivD%Um+c=4xb@1!O(%aS$b9Or%(~=r{-~Ni;O4JU`0EP4p827j ztLU*_*;KHC#)iZ7cU)xF6@JtmN11rNYk!TJU-H@hdW9dC{*?KR3V&VU?_qwZg>WVPX*?H2zZ_8b zap_N)e~9^9FZQ$KmqO1f`s1!&4V|#~61U8kct|MxIQvz}?^gKh3cpX`$JwvS{AVn_ z^bfAT4fQjhaV7mKxBq!Hzw2*97ZpDHTW+^;g}*NSE%PTWKGiGEewA^q=4U?RT;a!E zzZ$xw@YfaooWhUqb8)h$@YfaoU5hX6*)Q`;dsfx_%$N2Q)cn$K^Z2#=Ir|xfZ~1e{ zkF(#F`fYzM``6`%Eq_k=*V&&-{bAdm6W{XZq$h0oa~c=xap|{ZJBKZQF7>cKXFZlb zXZ_NjGr!RtkL&E`rJmqTghUh*F){0W8s!B?vVxDGvB=?=*C356ea z2jqGkJ9JrqQ1}xHe}}^71vL)Vpxy66GPaZ7-W8huS^WV3z9GWL*$~BU$&3C(2tBOdJ68u5Sdi-^8_I4dqvIfP7D!i10dTu;Z6V%YXiXT zukhy-JqdRL2r3hXIqC8v%7)pjcQ*hLYhsZ4C*27nqD%~Cy*n{PtcijAfH^7oazPof zCkATgdY%A)x5~&Pvo&Hq^K_)4wW=x=PgMn~v*AF+V83YwhclV8;O|oT=Uo0l<~NU- z$bX*rsRKde?_&P0gCX!Q5Wn@nQ{WG({EIICvdSNI`B{}e?(#R7-@H-<{Vxzdb>Ilu zAC&fUxsz`GUCiftO%tE%b1z8(oy zg&Ou;Z!;^O&z^ZSbm@hviqPKZdZxMS?o#7I&*6%S%E{`nhD_k(+|UWJd3+D_@4cAH zWEv}HBJqj4Ey?@W8>gXfSLJl7H9j%g-?2B+++B#aut~jrDgU-^rnhK;_-4=G2M3iD;y{t8=|N5FSbFEQy<4^A*jRo#QvVHeQ;j zi^pptm7#lqiT+f7OU32&-N$cs-P!$Q=Yh)Us#M*0%c;d|pBOp;JEJu(wWWeb=58D+ZLUo8 z=5vkbF0?oFZj4;)+`V!=8907YTs^V3_fF_cX{deA^BcomqGxQj_u#x4ZEd~L+gMdS zc(9_s|6(Ya%w$>*1x-Agl}{B%_KYr_nCV%Zoh~Ez z?CDvoJK7w%mpM2PXsC+EFPqV&8nLu`t!Hhx@z{Y(>$6m!Ex= zsDGgUYUpqS1vV?&g6sXqXA-w_iDM6r!M>4;rQOvxJ64AGoW8Kql)SqX+&Oe&PgN{5 zzqk3K^as_At>NV2(Bo$=oVeBTVDRzY%@fT%i%T`5ORF;-*{N}HxcX)?va9-Xcf3Ic zV4I&y)n!L&9-XhfdFuAkp|-2X_jE3e)U-Viv%RHUW80>f=_(CG7p_)U1S|V$#tt^O z4w#{#SZl>ha^dXc!qt3J=UTSr*rvDj)m(d&b7|9_EheShK3QazFiT|^t9i?I2>QzTyE}J zM!)7(-G1FU+}N@ysGJ$#Zg28-HhB7hpne%>vHPW|wSvZTMQ_cmj@9QP%|~l7Ztv7| zT?6hnc14=6x2G!a&ekkkt({3?ygc7qw?9zB;{|&AZw}>~PNDu|y>`E~r>dy_!TjD+ zx3j3vdfKW_>)F6A+3xY|@Wn@0P6Pv$k7!(u_P+}Fe7FXDMlO$JYxY;gBB5r>zHC!! zAekS292=_M(|7yEfr-?5N~`ZsZAGw3R9iSGiq->rdb4MPZ9`+T9mTq%tq(I+yVTt_ ztCuDp!9R3l2PVbl=suKp*eAa=U7iQa4GE_a^-i&+j?qmb!6`a7d8nWk-Te9rlKc{_Bwz3R($1b_qo=< zT&<12$%^Bdp_P57ZVz7(L#wjfm+W$fJ5rIA;qkTyqu1dd8cUnxCs@ADm49C1cW(XA zqsEo}eTxJ2qv8IFfi}g@q*iB7-5!`5-CTCl59!iJn@`VOKhze2{1H3-#bC~nA8qbi zT`b@w+Alnymq`f`66(1ebF6Xwb#et z|C*n_d-h^yQ}xZREaA*f2hN0>J~{+HRkh&ab0R?FGI*{D?M65xyF0aZ@3ZaRYui0p zanc_5(tq6^>7#nJxalc>`lCh~A0@l|p;$_m5C3y%Hd#1(k>vNg^0SWoA^5qak&BOl z?Q%SocJF|mN3NcAk00BE@zB(H|9aw4THn94cq<`dBtPyj49D-xyH!Ou2fVAw4svv%|r*rWNV0 zp%3lQWZQ%Gtfc-tv>ZZvE=-Ld1K#_J>5GrfuilKq53&6%ZvKfa@^{zX>Mf2ujxC*d z3U;1#?KH#Wr$?^V01rclTCS~JOBS{War0x8>0Nh&GDARO1kDI=7HWh z_#KH;9*57`?fp^(_jf+sw{vLqW@7nl;?eHvnU0Nt{g19tJ&(C|bAR_eS{iTeSsOZG z)$dSg$?`k){EhKnL;bzD+R{6J{Y*>GYE#eJK>ee}@s`uKbHUT>cb8myxqg0opV?h~ zD}H+=xUjoM`lTn!KXP&BgK_ffn|6N8Bii<0{ji6|-)(pN#rw(c%$_M>U4nJM<9V!C zlDDbxXv2$zf+c$NWY89t(Bc z=$Om%yib13wr9A*47q;o7W<`}c72K>T7R3DG_z=B#JD_{;Y1d_e2X*rv9B=CbD>^-%e* zu=T6uH}w@qf^9S(2G7~)XkYVIvV{G{#QLy1f7I3i2iH4tQ{cxhmhoxcnpiLAFV0f_ z5hZ{1y`k!Tr;3?Ym9dVb^X^*Eu9^Q|GSk8)Ez7h9pCdj_P1?w*e?cGcMVp~^$+w`o1u98 zwplUNcW%9PZePf(Y`)%+s<=B_e=M{kSXud~advurM^!kM?lYTH1JAcMH|Gw`JyrSW z5Db5z|JkbQ_?4Arq%To=|Ko~Xt(POao*RBHf){A2DuUt0uFL(Yfx%soqt}n7DoR6` z7mim{1a~wZJeWxx%;$pf)Y1B*m+J!2*1+U56Gsoc^8DTDwq(U|)^j%T%8lXbxrnwZr{!(sSkDch{kTI{ETEE_SdS|M?D|;RLxTDxl4JVFm zF4xrss%HhQOU_m7JK1y``=wAII+3}K^+-)D!+$FpQUO~3Nx9<4c;y3<+gJAp`}~ge zfs1WT*hk+E1s|qXT6!1H_KU-{x4P!8Ki*q)Fcr+E`%uoUIMzpdcbS#Rwb{l;_uH>` zZJezkz4Dpt;e60r7oq(sjr(H<5N``KIM0<0sS3GIz0lr#YB5zc+)Df3{Ke6s_8BvV z^&G9w@8%jS8#4U|R)_mB5006UPu0bo876tG z&-WyY&^L7H7WVy#M^^_@1BKS+qet84PFEi4uA5lj7p#g+9m77h>h6v1W3WH5GV(0& zc57g;;%M_`O-s+>(B$am_|2-S*!;1Rm(1Wr!fVH#%5rtdDKyn5>t%OUrMm3mkUV ztsG~deInLxfunkUYsDDaN46uaZ%=KG)O5=BteDXAQ&r7m|9o*0>(sr}uX25yn+mq- z`4|Us{9FB3alDS!+a!)1WLt(IQ7FUPZ`H#wIJKDt8Xv`ReoZ4AI}x&CP^?QX4daVg^|*OK{ERYv0T zdVS|6_VIUTgE{YWAexf(Nwh7k>`!9M- z<8+tCfoMrCh zUySs!mhKcHNjPwlijRq4vh^(pX1>&(&FzV)-Wb~Yhid0VcBXU$z&{=T}vZrNYS z`vVEG8_`6n$IPj@>xhq351Uc9UV{6J+pV?Yvg}v(OZ!gE8ANX~i zTPyZ)yz(~{%w z4wYm-jXiGIz2jDLarQCh*I`poyRyF+Svp~cNDuq7L!}V>x6~`+E|53T&ts3Dx!MkY zKh;;+&?=wzHXX-4A`g8h%rNEeZol5MIDCutS(qny9IoAH-1*?R90w2OIG8=Ne06NL zbMdS!N0uw&I}?b*0LQgX|C68Ii*bqe#(1ar5VaS|7mWY2OkxB2cN4x5-&EpHa$N9uyHe_DztNeO`DA^byR$mmb;oKSiM!=}XQ({J-T3qa zX;0kpCx?KmYhC$@F7I=yYC_iYUSCVoD*TAV_q9{`r9-Ew-KAdMpIdr)JhsYt#^Xn6 z{)tsopQ@N^JVCh>v#)s55m;_)5W z&G{Z=(H(0&&hJE`5g1R>sNVw(M0~8_?-`iA4`9W_d4Y{g5)EPQ68Tmyzf1A zcOW5JD^VXB$H$vb&B6cEI9?+>)zCT!{ZgKeb%vcDoS^xR{I!fTSoH(mu&%+mL8>Z# zfa3T=XAnQ1>06lUJMEl198U?v-5+(Y+>Eb`jJNC_zu9?v_)tsJ7|u0PRk@UBy>k)! z>K5z^oblffK4^O5qgX#6K2Pfk?>cD|IB4bgEYG(%XGuS9kZ~*DI;ohddKl;U5zku~ z&xhL0NGW$_C;YFRM}sGBbd=@8ddi=V)?LVF)ngpzQG1dP&N}t5{SMV5g!po7=mf^` z2-Z36Dep7 z>$-KTo}<8LpPUyn9)I)b{)zpk0VL)}i~V9+`gcCp z#eNg(Y3Lj1rF9Scjo@|1KDnQWKa~Cf@^ar>(dB)1%EA7F>m%1cTn_oANd;GO9aFs8 z-h%br`U;Hkm=HPZ?kOMXDF}DYOm@lV4Py;s4}1-LqcmKekkZbug{d%lNbo zZhmz268A@_7W?XolkrWgA7sDDxR4o5$^Ml6=-VerJNI5i|NGbD5jpSCdLOUZP`?wN z;g5J3xwEoA@ZJaS<4Qa}`tW{*+%GE6Sidk1Y5oZ` za6O7k($CoV#6E@AEoNBOgX1Nws^4_SVg`K}-KJi`xH z%k^d7E3JJ@X#?Y&$LZc9YqVZI&f{R|E|Tu&d6BU*bKM6zju&Y^Isk_Y>Y{^3PH~;p_JBnbOtccDoUt>Jk64_l4I^FWdkQ zaXz_W?F%1}zgnJ6<}q)Z^U^;_+{-u@_78z)xV|KJUdGL6Ub6HH>HnWWJSZ7>iu1|& zNA6dFAG!a&#p^}FWqS(yuJK3rIc~ZaegW%`L2f@jFO+dMYL5nP54jF=%S|yJj$wU% zsqYy4GWsXQ^7c6q)~k+vql|yrm)Z8^)8phfI4;5M*l=Nt_W$fp>bTs#Y!>lDfp)<@ zAf?0+5MQwH?8F-=4(G-dC@vxQ(}T`_`cV4}&NX>`QtQ!A`|ju2{%rkDifbS~qWWo! z1J_See4@2t*pq)9<6GA6Ft>kkSlUJ7l<{hfFVefy^8e?tFGZX&!t=&O#OwB*l;@1p zPS*Ic^f>#~sI*(gJB2kqS^$q(+xjv$C;*1NqZkd0{^(PXy=P~YR9megZuESW5 zx(>7aDdQb_QtV&tXWReF^$hlVRy>U254kCkTSSD37S?~xtOJiu{c#7TG_;P*-}4^+u#X?M_?PptK% zb^Z}Q+b!zsb@MT_PlJ6ff_QT#K=XHO^Z1M@=fPvwJF$P8h#zf9(mMOx(V0YGH~B4` zmt^Xm>!JO%71vHg8e6b$;dpm@^)rh@c3hD0dRM-`h?jolyd}ruXwS+G?EA4V?Z4c& z-a5JS0_op1yf;keG0=x`UU`1D=3M1kb*w71dF~;8h#XvtREOnx$iC*wHG7V3WY1$C zFwv8RJk7JAgX5KS&J(D$^w{xFj;momWyL?8XY`*H*9v3YETaG9ybu2Xf1E`Bt*g(s zmm1reGIHHUaY~9??7dcv^)biI5SNp23-~RL6Co~#erJEgaT~M;m1D(sIUW9cmm3EG z{$#wX5#>vqbDV(s<*0oQacAT_&Ml-J_IfL}bRx~`$I+XoRuPYr>s{badwwt9BbezZ zR^;USE&lf%=JX^{0JCmG^xT#O3JRf!?2T+tJ#e;M_sWr*jkUdlfRCiE|Trud;V- zHY(5a?e%A~ul;x*xW9Mq`hLXyef8sZeeMpx zI62nGGF}3I6$qF{!v03V;Dfd0+A#5N(ShIFIN*Z?*+|`vRFx zm`12@Up|y+q7PgYi^vq0nyg1yr~i;fTU%x|zuFcL8J+n0MUlQ~(f&dqyO3RMOBD+F z%~Y|Nrw;5k4WXunj9z>`L&*X|Rx>NP)un+vzQ*yO)V7kDFXY=`MaQV!W6pOvIA{B~ zDuB+)78Wz}^eK%%E{`sBWuxUgkogTe3lt9At@YvuaYApYz z$5PjjfoaZA@qkRJvA*Fky|K2O?SaBz4vNzQlwuo6K&F(enqb_@b2-={vGb{=HWXOJEt(PAl6 z%3Abh0RscQKCrUzLaES!##vq{meTaak?d;vPA2Rkyq#EkKUa{p@^t8O?gw zYJ>UwGJVGcE~Y)Vva(Ui%q?fzhgTP}53&nW*>zaa^JF<)`)L@TBK!U2-pLV^7o@$G zEsLwt8i$*sD#J`Km+eMgj|L|%aib*24FQbO!|H>Qx9!r|)h*lHu{lPf3z zg{7>UfwBYb)Wk9aJ=-k|bD<%(kj=tN&X-)FjnyJP(<7gGV7(}5Sru+b(kF4mS*=F2 zdWFDD_)-Vae|u;+L))*7AS}^>c{u$rzp=WMg~N!*)@0`qm9*6-{BS?BoJ0H4;Nj+^ zs;h&?%cU}6Qj0xKq;FzOg$dA1HbWntIA3?HtFH3Lv-j2Z4pA@jS}mc^ zC0Vlh4OE_UNCEk5DR7($>%*gMG&empyfRSjM0*&8WMc6}EaqgcjTQKX^k#vkRJb71 zfE&apXSTzv&J%aDkhYpxx(&+}SmR#pk{vQT_Zx($Bciqj>9_3%nxWCn_M_VzaI20L zYuQ!HHm(^Z%{>H;r0;dhO;bj++aiYSLK?QO$$44UlWO91xIEru^GQErx1L8F3l!;s zNt~tyv=3Lr8tyS^`D(r>OWtLF5p908R7mIVWAU_{-^3TwXfR5YtYk{_cch&dlyd&U zC?(Uft7wO?)FzQ{H#pW95+3z3NvSJgNJypwzaTXX^(>ocVU#r~BSH$fPMnnh@Ex)s zTF;=}P;nRr9KF5lcEd@?kfVJBKq#8)H93mF1wwnYgmll{5p%5scRqwg%yz>y3548kB*)KV{ zk%ivL;u`YGRBN>ilY-2ZW58b4Ig2*PqZm{|t|<5kV}zWdrJkl{rvW&F)f#pRuk~Fm zmP?a9u9*fZHd%Aa`T2X8Q?cHY1DYm)mhv>&+}7~dh{|MI$8VKJlYNs%tsJxY#YI`C zT2B%U;06!JcHMOzF7>)!t+ZqVpIux6Huh2W+{#)ZJCAQN^2!uGkmhlT|LSw8=~Q}b za4bDKJU)oFR(E2Z*fL!6FiwxUo9Rn)cd?v1^*`g#ZX`U7HTczh0q(lCaNpVyugH%? zn#S(YW%nD9*oj-o5JO&TTfS9ZD;asKt?js-bC*x6+^ZvBtpCDvI(6>cWctFe`ZRh8 z<;k?k$<+0#9PEB1%1IOkJAZ#Z9D0ik`n3R@pFUMq!Cs&$%xB3NHOb~3UZ$lzVrn4>S}t@m!6dA zVJem_sOi5vJbEsjy3h~MOrx$*i*aqZ6JFPONROwchp!+Vtf}-1lhf3I=TnzQr{PH@ z?otB-gHuzoZQxzaiyA&!w=8c$!%J#-u%=A5OT$ADr7T|$2B2!=;CQNkbP!f*xUf;f zg_RmE?9^~!sfG(%HC$M$;lf@|9mY~qBQ#^Fbs(OHgICNVeg290SJ4;Loan}H5 z^69)P!8&-HaG9)Q{4yH?BUs1e;Lz};aoeP+)VWEAmcyA9Njog#tIv(4(*2ju+fE_M z&r|7P3|e775bCK6nRhCUVGY-IJ~cIs!8<)TIeGbo>Gb5_x#7vd0gQ_3Vf>2W!eBZ< zjRf0vSkFqE)u=3TRvre8gf4sgA!sesr})m?_5xs)G!6yYUm(P*xskEN7uy=2lS7s<^T>~@ zJlBtxbIFgFbIFgFb77~33rjUz^5f+i$d8wE$&agC@}1h3aJ)RRr?4pEi9$Y2E1tJ*{8F0nPJhXP!ipD$2hxdw>jR^BsHTVh6s)Bs z9@b{^S!$C>aK-7XmnP4_Ye+eJXvlo*9%R1hOVg=Qa@^=SQsF$?txz*Lh(+HtM!dCD zijdjX7>ZdmH$~KX;+C+EL`%f5!Yk)O^4Bv$a9FjNCV|5xW)fO^;1y~=u zbnf!#U>luXY?BwIe8l{vwj;+-rafeS+n`O=%S@;iTm3$UZHl8)%N8o)i(7ZMwp*4KN&SYZvGzT%j{|q zpU+Rt&u5FpoD3RwtNMMS+iLv-^ADqFg+DTl3bcS}45^B^qDsyGJDUH*{8MV3Kf?+E zZFwiZFp!zQlf_Uu;P03+gkyY!SO(emY+XLNJ73E~w8>?8?G2fKqZ_^O%z_Yrh*TlF zo?Z!?pYqy_43;^d%11xEcvJ>J>5vA}|Lm1UvLy|wTpIpfZ67>Zxj~iZ#!L{C=K~a6 zNEgE9XT9P`x5Pn}i_^4bRW&Wc%=7UyHsoRR3tlzIXQ=^It_JgrYBL_K+@Q*H((4Asndj zsX;DV4M>d|Ai+~Eo^1h;8Ub|v4xG2R@kc~M!+}Ph8sxIofYhh~Dm>-l*%kn)5daP% z)a7gUN>t!}pFEOnd5{`;0Kiiqo^1h;8UbLyBY-geV_34Jw4lX(Dr@8*Z1^n3uya3f$sE}4e+UM3xIA zeLYRjmPtfv1bjW6bbFdejexJGlWtEFsS!YTx)TQAe$CqmNw?>T)F?prx@#6FnDr@e zk&x6PA?ZT`J==|S;5;zK-`!6%5?G2sl`L54-fQg*Hnv#P9GlV*%r{^ zp%a~6zks)BO3NE*KG?p4_gun(H~LIHwe9lZgr2REiPQ-AaMI=Cgh-8m4<}tN zPKeY9_;AwY;)F!-JT{=BjD@lZnvk2 z)Cl-`y4&q(A~gcOp6+&gnn;a+ucy1+o+eTw;Opsbx2K8J2%tObZLdbh$JVYS@DXo^ zcDo25QlrR+fNmE7M3xKm_z*zP76ORW2>1}t<061ajerjUJuU)>)Cl+x(BmS2NR5CG z0X;4Ph|~!95YXcyfJlvi4*@+c0*KTI_z=+JB7jJZ0J>8hx;<`B6R8pK^>mNh(?pgF z^!j?5o~@oHQX}B&>0Y;|iPQ+7J0l1=t)_9HjZe3K##^Ocx8sS_$oo3J*X?*BH3Ghl z?{zz#NR5E6<9pqXCsHGT-fgw#RCni^UdtCtcxTXhWf4Dy@>SKvN3Rwiy#T=ud%*KD zCcPf6i2BsHs38H3c|O$ix~L&iBLHZG7MC}Qcd&-3sP`4;La0{@p_2q5dZTk=d0DZ__!R+SP!+8{Judi8 zYQcBX2R?eXE20J8Ng%8qjY01w$;KeRy_^qM`1bCU&sL-b~U_5+)9n%9=Y6*wpLs)dZB z7PL++kmZ#D!;t~4lL3glGGI6|pmj39i&q8=M+US`29WW}fZ@o1*2w@hUKubP8PGZz z0LLo>h9d)7Cj;zwWx#M`^uUS(Bpw-J*fKB@gpOy zAcJU~j2{_s1sOzZWGLY2=`mv1twOX;#*Yk&hdMHPWKaauTaJPZO4OC(M+SvLopSWZ zpx~#s90eJas4K^h3<`)k<>-+?(NJ$W3JxeySB@VC6d`rW(c^#uq26*798jXJ9CURh zF4iuEE8gapRuDlUQ;jqQMm;4G!?u!Wos1t56fAXQ^oXGFsJ9#i5tOJa$BzgKkviq* z5kWyvZ#fDgC{b6A9}yHIb;{8rf}*0{auh^RqOKf2A}CVol%q!k#YVm5D2Sj$T{-CL zTAX`%j=d^A;8&!8g954=MHDOb6iy7=V4`(0esEAI)sfMIgMz2tauje-qOKf2I4Gd% zl%ofS45_M1D`Ge@dT>yf)HzhcYWrh;Qx&XGUY)6atWez4DOQh_&YrOG4u4S!Tqvlj zE6NWSilsV5>ES}5QE%%j$e=_`ITRiB$PmLWhiILQ9~l%eb!7C&pctvQ90eJas4K^h z42qjN<>-+?ky39t3Nk2BR}OmHE2BVxBB?qVKNKi{>e!}-0!2@~b&QE)(snsO+1>X9LaT@KMY89xpvp6bZxaX=ANZ#fDMC{b6A9|sgwb;{A> zfa0j$augg;qOKf24k)(jl%vN1MN_@yC^(=*T{(UnP=wVfM~?%FoqEera6pN=a{M@; zc&by59tRXb^^`*lTZU*&ITS?o$|yLXM4gNu2NYO!%F*M1LaE+z6dX{Zt{guOD7@;F zqsIXSRK4XWIG{vbIer{akku(ij{^#;ddpF8K#96?{5YUct5c312NYcOmZRW+5;f&e zeAOdE47(hnbuxY&P|Vel(c^%^s@`%G98jXJ96t^y)asO@#{mUbz2zu4phR6cejHH1 z)hS1h1B#t`%TaJZiMn$9IH1U>Q;r@76f5*B48z1+JDNvvYsz!{0qMo9N zVVg&^P6oZb-wr+@yqPWF=X3m>2qywvdE2j7feS@Wb$b19p~$FXiykf%7WLY%z=aZZ z<@n)3AyKCsJzOZl=`BZr3nl8x0V2Zu)7{)^xN_aEK>-iNLv`I+m#n~vvg=9)T;z`|7Yo^JdOpF59{Uw30Mo}$6CFN)NrqJgNtYL%=m0*{ z#+D36Mhl)qhYviG;mByglj!h)M=~54EqD?gKJZ9}BclaRqQeIs$#7(};7N1<5#Ba% z;UW`t?dF3^qQixYq;)dre{VT1I1(LNa3ng=?Oqud2QpDpjz8R)P{N&(*2(yBKp{@M z-Spwk1VuDGwh_aT(c{1$?o24*PD$%*^W#7XcP5C|$@p=gggX;N>ty^mpn#@RAJ94( zY)dEo&7eR*AKy&Gd&9X?h18gnV68Ir%l#>-Qc zKku_Z34SK@!OsN6I+d5OSHhJa_A609XXsAromE#8v zML?Z$^uVFur?(t192q@u{L#<^F&r5^a47h>+dc-y`Qc)=AU_sCpE(Iv{-NIp1yUrb zt1RH-S13~xD$}n+L8d+`o1h@9w_F8$ zl&CA$2A}t{75I_^4&1z;tby$bPTE4;l>g3Xl1yVL(B<%I5m%N|u_Rx2RXM~M>@2;QpirE?5d;Wu0! zvy?cp6xWzVVPmfh7Lb(%3P`((HZ3^d*9~5a6qJ&L&LZH@E298YAGS`&urp;(8;Sv!Jt0AouF{GS4P1bCF%+Xk{sOHWpDWP zC~(uqyb~1ScJ){&z_*gT_9ze}0i8VrLAxX7thRWSUylMyeQZ2Ip>S7^WzTE;dK6TW zfX*IZEN0tN$Ss7cUguY$AW|PdPf)zvQ8GtL-r!fFK$LQ8ETN!zwQb29eWNs7_4PhA zN{~IF53(oxL3YWoouxj=o}iezQ*?pm)Ns|e`ioZZN%?g(@Z*zW?M~5pd{UTQhM3qN zQrmr(zhniSlwDV{iq6a?{oFfT^-jMY1)cine1d}U4yrPn#oUY8aMcg_^(g2h0i8W6 zIy0MVg?uSo^&Y<-1)cgReu9GbuIt#Gryp5|tKREZq#%?ebOr%)@}*pR+F8P9j>A>& z_iIvMst@=lD5mdVC9}DVeRkE)`P3+905ZSEAPV^_HJjVOcNfD|zvNehTsDA6LZ?W@ zZf0{mUkq2_rv)CrMCSvJ8a;ODXh7+C`Z8U(>Nov*6g-oF&Kv;LTegB;{rN$HjtiV7 z-Nbhv=E7B<^s7<8OZjz01Bss;SEFE8f69=cLj_k2KCX{``E$P-1-g`9XAOYp zZ3qRW`h$lA9W1!cKzif9^J`HMsy~NF$a4tWk>XHgUMS{*KTxWnKopc7l z3cn@=xg?@9Nkwj^K+Yf-_N!5lt3TaH(2<2wGj;~SYQG`{yZS?p1f6L(*v%Bk83gP7 zY832JeqFT)yDoyHGYIbX>rwEmKlw<|IfiEp5W{vv`a_PS|Byp6EE!3c+nc0Aj%~g- zNddCp0k0`i#!;(vtw}luk-ItnXDsC>JEhjceyuKyrMS*m090+1BpsbNmVnmD0DfK> z7n?~sM$yRtcUtS^$6%!OFxIQpg=&%xT69_gLa&UA$|N1M=wyI0uZ#=9B%Q11WPmQ` zS2XfY25Is0eoZb2lll{uB%QEGO=~OiGbrg&;Q@wezh9FJO(~+W$$##WRL)%_t&<@q zs=R@hRL)%_t&{Qhi*oLg)W2q)^q;#(hK(vp)Rp5$m2&Qq)StT~{jZrzhEt9nGjz-% zV-a=_tl)F-{DI|g@VdW!6g=r)I8V}Y`Jn0W)l62tWl~ItRKaEM!X^dA!!HE8a z^CTUs$S>vEb>)y>5TD!js8Wt%q>QF}=y1g=1BTty`jeNW|2=fcaAbfhT{%F9XMiC3 z4u@Irm#(-eI-AjH@&igak4fs^PEY#JVTx6_mQBbTKA z?R3d-Yy*IF<@iBE2PcjUXpIaVjqo=J66u0`Js{}Yg(sEM6G`i|`0=8=rJmHEk0kwX zsY`}!t^Rx@=|3Nl3`a(f4FCB^QaK-ybY&sCh7U?Vb!6Ck`OQ)9Bfix;hyQ2r*)`Q}K5zZ(Z_HVCh~W1Gzn3b6&HfIm#9V2PzOS9WlPTW8 zt3^k=%~#f%fwg(~E59I$ea>6#wrWK1hl1}SBi@Y*X8gMFxeB`ZrBTao6T$be=#MI9 z$OQ^lpxzd9co6vs7J08y<)u3XtIEKG=i(;sQ&|H9sT}&0dj(V|HrNW$6eqW9ifz@4 z;Lijef@2|7+kHQZX?iX;mS=O@f=_41*h7^B# zP$Dpak#Oc^viPopa$3x}Xemq|nC0)lGATa;TS;Ax?Tl&Se4G!H)+& zK|SzE%w~oAE1BXw+|wrS!7z=!ZK2||vkW+uqzhhz;tXh2dZLcNH{#VoU@$&9s2c33 zl@|bQ@g$qZSELC3N$^jpDu0IG`_izO&#x}#mNp7>vrc+x(=_h6^%vJK5H;w@Y{C$EAI=b$E%CD%dJ?L$2}$MX(|nfU&-c{Hs?!)W&Eao zS;Af}DQsp5-=CDfF($?`x_YOkR%pNnTQuPR^E!&)r-FY^E&dPaSEr@8SXk?Dl-8Bw zCp$lnZx_wuLkVb~1sdG|vCG78`&S;CpLVk24GNk4g4>1L;X{+=n;1;vOTS2}+~KP! z)oBAw8r`%IpfM4ox>GZRiu7TH?1IxETy6C6HJ4IN8}inf(d9g|xVAuvld|>i&m*L8n}Vf}s#98A9MGv~o|5 za?H0_p4juC2cKap3oMAc2${^TZ{+aRRo72w#YISjs$)hlR3prKvms&xLOX!*BS(jY zxyyJ3JvU%T+m~kGa}Y2?@qWs68p3Qecay+wEE;Tqw&fQe;yYYx8>KAW&IrX5DG%qea7_-&KfOp2e9iY>OPScFnPtqSvbE@@4eXP7Do^DI*(VZMT?`@&2y^+1^Y zOuZ<~0j3@a^Bhx;g*nKYUoFh@Ons>^hnRYeFfTCmT7gSYWj(%9;6f8geU&grn0mc1 zN11wqFvqz5ZxrS@Q*RRHC8oYXm@hE(jl!H@>YIf*$+o;jm{ZJqt1zdTdYdpWGxZ(9 zyu#Gmg?W{!cL?(uQ{N-Z>rA~Q|}YzJX7x%<^oe65N4LC4+?XUsSgQriK$-@<{hSfNtijNJ}k_; zY}Ky{^Bz;bCd_3{{irZknEFj&t}^v8Vdk0o9bv99^>JaYv+O5@SzzAp3$w`79}2U? z)E^6TgQ-6i=6$CAT$r0o{iQG;u$I3P=0oQFjWAzi>hFa4N~Zo^n2(tHM`6Ci68|jB z$4vc;Fki)~pBCn;nfiBOehH_3R+wMPy#Em9moZ8{FU;34?>~k4<&p{;!h9`L0bzax zQ(C(L&;bzYd?C;KCOL73mqyomLt6>D z9P4FkYG>NErj*HF(>9$^$mra@#kOyo&8@(5TWIs&)wR7+d5a5O;q^q>>?&_%qSQtg zem#LUx(DnDveETmPn6BprtNyPvfFZ6#Ch%*;{xUC$q!-9hpWdp&tY9J*mOi*K{Due#$#eOiZe3cAw8Mw~@AN&7Cw zdzp;x)oYw_OXHkt^f;ex`c-b%<4ii|>c4dFW$bfrDSH|FoXg8z#y-BRi8F24!W7ni zxh&3FWy?-*um59Rx>=WR`m=5YJ zHx9CZt{T?mc?o$DA!pNdzLm|npOACvFsJ6U7Z5L(&t5_39G9w(`$dLyUdBjy_aPgp zbDg$Qc)>%FkOxK zvgJCrN4~5&cX7K-3o3U_zHHHSv!sHZZO}_Oz0ldFuPL*Qux*B;b&n-msn19An0LO@ zce9<(27|tR>|EZ8=={69`Olp}^<4~gDyp~4WPw4B5IvlsiEVO=+_F7( zu$PG_{;b<9KK)s@**vLPUv~3Uo_U+iQ+eiXHc#c5t@hPAF9i#1 z^ER8Oa?RUpp2{rL0egU&{J~_@%5*h+oS3 zg!rYb+q7%h{j%&A_G{K6xD1xAo#hNVmqxe6@(rwFQ2l4)lC7E+qwXkRqEy@naRBumow_zZrOFYoK@F)?k&2UN4JvjT&~O6 zbRj?c&fV=5Ial#+Z<9J5ZdI5&)oo?9dw;L&Pn}!RT=UD8={nzg`!DCy&BV^7z??~T8J7_*gH`3d! z`b4RqDH7QoX~xSqoaco?b|Je6IlO66YspzWnSEa*(h}K^?~kCeS8+G<8of?P?+gr! z$kUP5C{po4MFT6tD|UC<*U(a#C0t${!P_RgER~)VsrXPNaxii@_zHvn!%IkzJ!GK+{(&EiQb=UpQLvlPl-rpq$`S6=_V27`d#Y| zYxTBa`&#P=-UHv;mcb`P7B^PgYzVf++cFE8HQXdaFGxClr~#v{6mCQ74NDQ}i=4t6 zFp<->!3cf;b3kjDM`TCjYy}!KME{r=H=HsM$23@jrMID+V21J8>_PS;{e}>BBS+&85u)&a~o;FF-(3XyD*hq z2b3@2ki~-X%Vn16o`^(Vh)fcwGpqd@x#flPh5U+X9EC3{D-!SWqL1d=2b8P;<#gn7 z6iRTsW8I&wEm%T06uE|hu|hYekJ@Is6@J1JH82;EnaGW3L-n}Zbdl=rDQFTkc zI=*EF+#7F??Q?i>R`$T{DEt`Sq1~~TUz^P0HuzP`KbpoB6=xP890iWg-F^bmR%Sl3 zK#mtLXga=zrh-rebH^^$XBpA9l9?~$+u&C4O1y3RmF&FxBCYPFJkvPk$?bcI^32Og zYaB|#btuofy1foX+T{*vX9#hBIR0cgvO@l36{99^8Yi~XW`oql5Dl93dWggOYnv)5 zjI;7Jg2;w2QcP_Mqo1jV!WdxcmBKj3)Jy1mWonmo62_2mfhP7>3uBn6FBQf`rd}hA z5vE=%j8Ue(QW#@QeU&iAnR-2DGDR^p5BfwgfYX^cL?JK zTl;ol++=&+A&gn(eUC71G4GwiNHg#Ig>jpyAKa!@oDwsf_uaynXX;0UvB1=i3M0$Z zj|*dwsrL$FiK(9w#vP`9S{ON|e#YD7vJhe1HSSTRJ}8W3HugipSYh5T2xFB+e@Pg5 zPW`Yj)|mIJ!dT~gzb1?VQy&#Zk@NkgFiM>7W5U>A>UV^3pHn|Bj7{c!QWy`I`h8(M zgNG8GZV*D)0n#+z8SS{Pr?yjo#=158R$+V_Q_l$FZA={$ z#%#ac?yVcbcpvj-h4Ir|^RzJD&s0Vj zKf~0#Fh0QfvcmXTrj~^9K~BvHXf96*E6=D2;tnVYj_!mz7bz%G~^L|4ZpJv`~ z3FF_G`fXwSJ5#?ajL$Ij31NJesoxXE=UDR}2;)DP_ea9`zfAp!Fh0+ze zRu}W_Q<5Cbt!6{fPlXNa*Mp~XtGUt{df8vuby*t|Y!I)A=s!mPDH{FzC_Hi$Uwv54 z&F4zX4@LA}BE8t(M*sDRiX2Aiq3FM1PPNS&e88#(@Y{yma`w_1?LLdB<7e<@zEj67 za>*KhE)xB0^gmDqvUa{?8r{k&W(z@YX#@C_P4Se7em?qzD0JZ`F^#r)-i-J$2{zmd z`82lp%MVRsLitVZ*6mD{c2~7tjmoN@C;C_*R)I}?tdf2LnNPRPWw4mM<*q|zE~j0- zScL{-p=jhz48N0U&}=kAF6Ytyw%;kD@zL}JU&OeJa{i&B2u0B) zMj(cehZ^{s#82f43#SnHiv%e76||C6e`JJT(_kFO`lCi7h9F0SyC0gqQ^;@1eQInl zY8;CVM2&WtMH9Y}zcjT6hmMU|r^vBHMo2^z)TY)qnBfps{ z7W4D;L#2K})M$;(0PHmM5Yv$V^Nc@leZN@a?`CY420cOqQMVmL z?6&l+F+>2G+7JoeD7G!(dxkLHjo`@vcLj6MC9 zzZR#h;+9Ztj*u`jVK%WM?UgY*kMH?K36;b*@r_L^v{6#H^DngcHzihYG? zOd|5@43hsflG!g~`g&b7_LVUN8ZJfNK+W-b1PEwc1!8ZornJn;nuxuTXB@0SCpjP1 zphKMR&4{~O<8L8%A7fC&zKO@jHw*LcxRDV~!0*?L<4Uz(wvQ23s9N91ER+8MKqZa8 zTO#jHt}QOif8qk)F3e9e^$v(*T_(lx7eA3>8h>jX5U*x83ASDa3iC1`u6&0=!q;_M z7MhP9nQ?ixvm;kV>DkPx>du~uy^Gx0yJ1zoi2X?9XQRgc*n9k?mKlmq$$0HTjNi-X z@iP$F_vXOoTWY}t#n#9L}xjqi;^>JXZj{}Q+9GL9mz~(3ND-3Pp z1_SjMF_Hn#d|?u62zT-FzaBuczeVhqV;`oe0Gp7TJRClPuT-GCOp(m^;udL**v$ka_0EAtYZ>!gwVK2uQCnhn>}SH9#Ywnm{A|1p>FrN?zzL;=wqxgZ0=|wK>BFGoZg^+z2>>jY@&NPY~z78}pcG5Bd*|64;rP z6(aCobxZ^Vwl`Zs0J94Xjk|3L#Hl5OMLpu?5@urO_JRyEI5fZvPHej}0JANDMJCw5 z5@L^phS-Z%X{k4=V*epTBRAAjU_D)L1gR;!E|k7@=HpO^OSc7Cpuju&l5i$(;L(O1moE({B7*=v`g2mmf;?E(%5uVVpDbzt zAzBd7pRzGR_v!c~U>jv+gUkH{U4G+}V9e8SBSDntO{be`d>q(8S-CanONG946z=12 z8_?GaNf0Ia(#vX27q=iQ4G;QLR&%Y!6D>Uq6}rl)$*V_pilt?%BufW_hb~+4bvzP|Z0SOMOEFZ~ z?tAHUCbLU}tF*=e41OFhk~qEOOO=RtZ$$ir_(>efaZA1rhk=ZU_Y3p$+|D1sCfY7Q zewQmGK4@UaBt$Tzc;b% z#la8uEKtdrKc)mS$bgXe9T5m|+Q*>?T_6?V zFTP2`H2yYvl@>EA*#&ISam32)_D3QRWhK}~1ZXJ`l#v1uzM8D&SF(s4kxA7o@s}b{ z&lHYj0D2%g9z+l>Yqpk9)o2-1n6VK`~<>RjSalRw}g1A z2<&6=f5*lqw>rPPv52xuYuXboCGN8OYxd-WjFG??KPr8zb63W_>?j1sc)x*@E-V*6TAxg&|Ujp}_)sgho zLSH)9iu%i!z&%K}N0DM!?7CHZ$YfV1XgTpDvpI+DjQ4YI;#|vDS~uSF;Iw7DzrhsF zJAAo%@SX&xt(T?s;;jo#E0v|4#5)(9w&_pn?ZEpJoc3Z_8j$$cj1C-3cx~y0tN2|` zd$l?p%eKKJ9w!)of-`&>CLAx=?b*@z9WMH{W$Fop!_WZOS-mN%7Y@4{<6oc89jG<4HfamiRqe;4O^iwGRyiSDg<6^eOp z6M^H*!&`;#VBXtl(gWmq(gP8oPvG-Y8Q|$s9Ks!sB)aj-J%77ec%f8?r&mymKnIud zgLt8YOL>0gMzNru4x z!8?J>`)d(6&Ah)AfwN3~N(B0u`Uipoj9@r`2+&TOpFjj?!_7}10<@>*ClFdIp@I6E zy(nE$rp<+x+2{0zTF3vNx$}UNqGlg|c4u~P?>2Y0J6GYbpeRa_a&#L-K#*QVR6OA5 zy^9E9M?}SjsHmveKm~-OiC_T)3l>npF81Dg`9H~%&24tGLvHqW@8|#e9(j_<^UQai zWRjUol9i)X*?;lTs_egWgW3N$Bn+cf*}w78svMFV%<(ydCXZIJUkgg`FA#v&U|vO# zdo2f^L=$r09mjqKje#fKnCypxD*H(`&eyu7q|C|YlsP$ol|9K&*Tf6$UGbjzFR#;q*s2B$pA@K%!$H z=~#zEbVN{WKm?OceCQ?^lud-jiqC!-jbVX8Vg@*p$W>a&brNzl0*J;Ai88Kw$W;nS z%^c$BxWM_q1ub+BUe$Bp?WiNKxH%_N|CuPAO8sY{bUO9-K&c(8tWfq?SwZl#!5xf& z;Adkz7z4r2hH-d|35k~l%It#0x_jbv_kxS;?T|cn-Dlx-_k*PV z4$0SDH&DTK!_*%?mIv%ZXrlxJlL-J~h?@O68v_O2gbeI=ei8{=R}v0I!eM|j+#v;w z@O&g32}z?IQm7$hudqOvd@}Z)$}sSLV(o4M4SN+%plMS1y&-2Z(oBIaGj`>Ts7JA2D^AT6$_H@*{1L?xE8J6m*gP!%)r#J=Cign!GlfVk?;xB zhRM5k@$M#PCG`(Sx; z`=zwRlR;^(M%Jy=KL)LCV^%>0t%8uK1SNYnUe3vy;s|Fwt`rlgEtMs7B&4nipx*8iXs zWnUy=Hu~edEOYaj_rNpgJrMkCNCRUa_}MrH#z641!3ℑAdkP7)!3+r7fucdgQ51 z{Wqg@EcGuz={TkytLRMdv*8VHj0t`=%7L-u8eZCx`fo=wC&4-fULSIyv0?R$_;aC- ze1z8quXcw}f*bTKl%R}1MG3YCzMs#8$UOxLC4Q!0{FEnfd%uZ%u`|rwJTCV%nCz4b z-%fo4p}gZ}$;tt|5u>L}nKrJG(8z6<3o~Eq775@*z>v!inARQEe_;vtr2b{-p5D}d4@!MuO&A{S4{O3u8c6;3;n6{` zCJfUCQ~v{)b{?z_!?YpP|1hSN!J;rc+b~!Zh6LrTv|=|h6Z~wf2+IxxKl{aajIqka zMtm^FDi<5n!5FJtY$OL`AS5YlAZzU(GX`Atbjq-*{}izr8%%h z4W+qkg1)@#xKm{;<=l8aKnq`!axcjWkIS78D;x28q3@oE5-fz7jHkbfW(G2Y3~2!@ zg2R(8gr#qIbP+6lLk(C6GYyX}hNT}U-Nar$*))ONTd4nWyu>BczZNCvkJqDg2la16 z2|lp-*>D&73IsnJZ!>*#y(%(JxzIX)4}|(0}g2 zqpbgA17>K5)ekmqhOy-O(F}U0>F7}CLle)Le67t&VV*so36TqL{fYVEUByCUFC`y@ z7lw=R;48@op`p1Duj%#VgV5v8#)EGpAB2YKB0P8?`5^S^bMW9hNe9`JT}`Fy={s}u zv|+J*Ei!VUC(ex>?>Me}d^v36kozG_m&T#}9up_P7r@*@@bykikLM}85BelC_v2jn z#$@#^pT-)=*p%+L)fScW-5?KqJ$l{d7wrr0tLT+b7Wr6R?33AsOJ<$jR+QzWA%&H0)3WOwkd zxxw7u*j5b|eD~vvZt9F5HlWVbN&K9t=E23-r?A~F#+Nr@6SP`2>KdD()>znpOZYSQ zFNf5~{TnJY`^CGqDX&B7#;ru*PYg=&g2jJx|AVWD5Sn{5zl4ZCHyE)TQZx5&n%fYQ zf{>KqkP?^UO#Foa4)HL#TVW3`HVllyl_e^dQvYXo z>WgV)HWt-9IQ1nCIT24C>#o>HWui8mlG%k`Yc6lsBd|CkF;8>q$E636YiQ&Oytr%O z42v9656=)Q6*j<_s0}CO7X^oSsPP^oF$j2Io`(=ilFh(#*Ee{Xx6{Zi2yrJsEOSVG zga9k(;p8*02M~60=06@nK_+mr2NDJWpJ?VI#DmFs;M>Ia2=NGwtU!oW0P(0p8gK|U zpvfVakaz~DZ2aV+xY;05Viu@#3<6#lF5z8!WJ7Wu7%=z=AvV*a%gx#51&@8Fey_W4A24d(Fa=>;SM~?6(2c6?fR;(8#XH^DO^v>i-qb3)AwuRCX8Y zb1Z*nu6(Lhe{nEj8V$ZyKx_39EV+xk40j(Jc}4$$kcbX7h&czIduAf{zT`acO7<5* zzDXmmp>qy^a}GM>By>*f-q(!owtxrEe^L7b1`}%-n2-R&zuf{1JZD{o)dikT67w{s zmJiK+K_eeyb@>WlzINbpS##DZ*4Qv1Q9D*m;N-m8XtE^NAl8`i+@dXE6H+R_$+NEz z6Q+-!!Pc2gxR8D3h4+yZj}o#Bc_}5l3%VGMVT=2n4bSlu00dg&kqmo+*cF*$M!iVg$P=aC29mT3_+=4;1 z{wGv;9u+5vU05{F;+X zyH!hS+5A?F9>eZCxC(4$KuEl3QFqwu47a9n0=x!&&hPqYy(InCaMx$zsT#6VLHXjT zKsZ4u3D`0?8MR8#%o!{zz+zSqN-DdB!~qSq{5pDO z7O|sUKmoSIgE?PHJ=gr&kjUWl9uTV=&wYUMDR>)vA-(F>p>gDfy&b(qCGViOA8I-BUw=&*3KEIJ&1 zNQ@6zL`T5uck&C))IQTE^n*9SjOZw6h=)#`)o&sUC6yV2Ckm`h=JoeCgJ#K7y?tqZ6Z(n8sxIF*Br@8Y1mNq&@8Lh4Gmo0)vloa|!+e zId0;}rX9*h!%~DOOw%crJ&t;iy<|n_WJWKFUJS2Bu%#qO^Fjpbp1P=^BlK)w>C*UD zHExL?;8rfrj9wPK0$MP3;pi*vdwI9fQ>U@_u;^7;pl~%bp)Q%lG7vB{aY9D)8YtUQ z(4NxhwZ2VR(S^}PFu*ZwT%EFE!^)>lWq0;rMlbN((d(l(FzvScP$&B6{y%lc1ayG?xYKr1KhemIUF3k$hjouDb2JP~Bmn}1*clyYDO%+I92v&V5 zc)#A26s|&UO&wt$g((@)M!$7P9HiO#eoLD|IVR`S~4~_SDpY?~}EXTC^^4JFYr5bkoU=UR)M--#EccC*WjcIe|>r z?PMI=r!+swEUe4TaKb(^D7L~iu1{ba@T7f5u*G~%Hk{wd36TqEcqMm*6U_}ejzdb> z#U|ghtN{5<>l9>?JO_60d4_$)$#aTBq>P4Fg&zfXIhX|T*C8!fDy%)Oz|{JX+Q1<#S?UNH-hio%A+?D^TCvoTG`ta^ zVYR0Nt36w@)KN6N2~*oZ>d6ji!%|1n@Mg3CBZdx)7@o{h$AkzxPK*zc2{gO~l{&-W zGaYgYJ3KK&U}uacQLj53=;4r4*@4L+G9^UdX<`};Z$-WSaCm@2PGg6s)9_QMbPl8r zcF5^0bw-HHq~UFt{Q@{p=8(4Rz$_Zxj;ZC4I>I6CSn7o#GCM>r3XwS>axo1*jX7W= zRA-_?+Or&ULj)c&!`osL-Kn6<3Ncs z*O|x4{1Q0%e21J7{f>rT<L20+Q0EB`nL2Gj8# z5V?xdW6{(1z=7os>B?^s`TE3{A~%yQkaIs;Spk_JaL8HF?~*RQaz3N;FlK%PGOu(< zH+IUaLu5gSTtlsb4R>N$ZOr7n{(+=tGJOi&iBVf%@*|1S{#t#_A?dQi@ zt;$v$o{*g9oE@++v$HcquA}rE^yO|K+~bfQtkzx+qZZPgFdmd@>2RfwUq-j>1tYIG zq$kuFIt=T~>yWh1A-!l;h}_^}H-61;rQNsCE{xJSFiO{(*&T^?--V?29MXr`T}-Xh z(DffBmThU}%sS^|H1-K-e(I3E%oxtBbD-CBzI31yhl;J<7^QI)=^NDl7BYY5kp4`6 zD#H8-Nk2IR9wL+UD`!GFzoPzckok9q3}pH56!9b2f1~Z%U&?fXidC&u$ zo6OX#(x-U^s1Kjp^5ApZc})K@)UOFiB@Q`XqhIOMydzN`wo=H0trUhZ{i{&_SV*el zK$n=zU+L4lx~P8wWIoX$WlVn|>NkL-h7K9Ze6kpQ0;4ZDWi}7yLpSF0GxC~+$c^w$ zpzHYSWaPoPOi}WK1a$no_swganb#_>jrtV;W4QmZdY@OIHUcEct-X2hCr1U6c(i|r+)S;Z7&)6-LKvxPsfL z^$?~kpw>#1pecV0rA5?QgVOcXT8Gl&5LrsC4HyAmZAJ-Rm~A##O7qx~Stw%2v=tBC z5hAx!>luvPMXenu-4h~k5qNk%O7-$2%%d2S53eGtsf|m&^NT2b6_3||<24;JmK|S1?QHJb z{39uS2ag{O$7?%e96P?2+7Uc{9HocwI81uTKfxj6+3_c+orlL8Q2I3xV* zhlA1iO)32a4>p5?%^fn4DX*h;4ODJTvn@P+G8{j}A(NPLEj)NS+ir+^svR6`?~ut% zc|GjQ&2N_cPSidMbDl}?kS> z0EK}LnaWO55h5Ex1fB#p(d=6MB_#iRI6TB5)7TT%<`CHuBCwFG0u7hL{@f^yg#Ecu zf;E$scT#KxCN77LRimoDGhi4J^@p2fz(?aav@9I zL9*Jo*~;RI_U*)VD6BF9g&8{$2_9#ShDG6x<`vKwQ@O^~$N zAs4e;O)(d|HRM0#khv^ZbIkQLBt7Ghc`R2;%(VlOb~^9^3J*Fv5otHgZiD$>fP*hO zWIoG(3g(B0^n7?ozm(%qsEEU z#%HgB`qUoIucV-1h@37di`ASnA(9{{A*U=$Y zGE+U#)R~af#evsyF!dd^aWzK)O!fW_FT7`nd{49c@cXR*rgIg*bgrveeeBDtXaO{v z1<-6RU`hSaQbHV6M0gT>U&vFgNT+o~qKy!8jo}te(t< zY!^9XF>B&~p!UUhd>+jni^rkqDuAZzM%D@8V{X9}Gzza6e?5puOv}Xm-p54KWFT)GkO0yT@!EJDGyF>0| z2XXd)0kq%O;hCX9D}V-V8MFK|wQ>G`!5(Vktp9=+sf}~}3tpz#i&5tl(0SD%cQGAk zFjnCSp^?DZ>IKj){7UV$n0%0C-;8SSfZDqbxtpo|2Csp!aen@75O$jCP&O4lycEDh zy`MD_&+_}L z5a#w4!ra~!tdaOL*$^&(uQU`!Llm^&Y4rhS=tVRXfuyJduPb2auMqj0X7A;kg@tgS z$RQ6g&dQx1E0?cVwq=Di(K?Ke7s8f~4>Rlg(K?Jn6v8;fBg{JVD}V78tgsHXw{p)E z9v>qAhRA<3>k~X_J=jkl^E7~8sX*zZ5DW-pzs1|T!X{v_sY6!6^M%hxvk#zJ3rKD0 zkX0-d+Mt7&3Qw?w@C5rPOSNeB+nCxGQrkJ?F_vo6>~}D=Bcyh6$m43M#H}QcyWB2# zxm`i!EQhRy$0}bS6od zA@>-ERA_QHiF4I>%ncnxA#@NMbh#^^R|}_L?x~P_nnN~1J<7oWxx$%{G|Pd9e;B+< zxbP-%KD`JH%z@k&J7lx&bd_V%g_mG%=wk|@kJ+Nj9e3eZVD2j+_f-yglEK}LmHZk= zTIi6i8n~vFLxY9ap#d25FN8t=rk>3@8{}TZ|?$bI0asIsr4J?P;_d4VmW?&N_@N`c=(mIFi)SWJ_)^9)q8zJ{5hwNeo_MriogIfr5aG%#0D2=Or z+tC0#-SgV6tfLd;AMT;meS;*C8*m z?*s`ovUyygzlJtnhur%d@)FpL;23q`n~?OD15fnHRl0fQHV1`oqXFnx3!!7(tGR^c zarg2EnEOM>{gFdnVW+zhFX0nN`qY7U1b9oi6dTqrlF8%h`&Ve{YtZ|~A+Ir0_o6B2 z7Ym_Zd|gA{BF_0gqk&%__pc7w#|*4R1Ajo$pANioBwu=qxa#^38u%A-|L2f5G?(6@ zvThdndF~=BH&|plPQ%8W_kq&u>nfitMu&6d9 z9pk`T7MQ}-b4ABd`$gWZ7S*LTE=DPW*P_=j4PJ}(qtuYvZ=uwPMt+a{0T1Fu(61Ij zzxp0K!=HGD=8)9FA@4)q?aN4}tgLlKZIBZB+al<1KL8t1AKEw_lG-}tLrqDyj4KU% z87hL8p(1!0`iL0_paFOpDuS1xLplR-1=I}*xZlo`m5<}lAdpIbCI5`}XAjQRR)^xP0?VT}lH1 zlrE?6*us8Gr0B|!FGK@4{#djCes74sQx`3y0S7ZIqJaXG;9F)R`KAoRq%L!u=0)ous%WudeSeiaI(-MBAn9ky0+DlK|}5A_$l2$O@@M}gQc{)mtky^P2A zhI|nkXpHB0jRu;dw2uaG2(Rc3_)#jXE^pDm>3H-Y4YWt;9ryt%Jo+B|C>4Ty06##5 z5`11x=sR1*wF)2OJqbNm5%gR?uzT`&ydmKGauIxA{!w>R$F&OIp!2_l+}}CmCsubl zBi4^Jfa7RIKhr>OO#77v`l0kY4Gcu-Pa42+sG`4VpbXRgr2!mYDkd~Anx_@RF8||D zvT0y4N!_sj#51u z*nv_58rXxD%$iu=%D38wXGi_hV;rg(5}u=reu{LESoI4XW!p0#)` zYYAlQQ9J~#TmV+e9P$gh@uM_&JOY%{Adcx5kEB5y(=Q%PgH7=0SQ>1B(s=lRHgx1f z8f=YelWFi2l%~>PJCvr=APyK8&!j;dEiS&02C<44UqpjFG2g{B*axL~G}s@d`80?F zzr~l);CYyK1r1(+(p5A#45bA$I0B`GG&mNeMKm}8rR!->RQts=I0MseqCrvZZ=u0C zn6`ul=b^Ne1}{bF4jRPayW(XuC~k$jX|yRHQYwZPyck;WUtwq~DDI9GG+K%WAB2Ms zIpjBX@CLNDk_K-==}{VO!H36+;T5D9UO|3m*>1&yPta%wJP6+ois9SAANerC6C77Q zVdS(?w0IMI^v%pF-V8tG7b<=dCg!s>1n?7bBSz0knDHE2H!y$}KUKU9mI)MZhe--- zizHmB0fL|Hl!P%5{A>dxjDg^1`ygQq1V7un2xB1l*&aq11HsQWBf=P4M8ftY!Wak^ z-yJ9eH&iU9bha%4T*n&0@ z=X`9ZLlNhEY%@6#=X`8CIT7c4Y(qH_=X`8&8OE7pHDMcwi8$wD3$jF<^Rb=4M4a=n zB~~KN`PlYHBF_2P##$oI`Pk-IBF_2PwpJp}`PhzCBF_2P22&VklGTK5_9Wt*kL~*; z;+&7|-X!9jkL^b!;+&7|NF?H%kL^h$;+&7|N+jZ(kL^n&;+&7|FC^lekL@soaVA+! z*!pr2=X`7z8xiMxY(pCn=X`8cxQKH;wyBMXb3V4MjfitTwy}+fb3V3ti->bRwtb6; zb3V3g8ski|ny@WgM4a=nHPa%_`PddLBF_2PZY(0s`PhCeBF_2Pwkjgd`PjxPBF_2P zYJU;ud~ChHh;u%+&>!PWvYN0(xgyT_*s6aK=X`9rzld`_wpdogIUig5FXEh!E!r1x z&c_zei#X?F%iTqs^RbOJMV#}o1*I5glGTK*9u;xU$Ci$YIOk&vKSiALv318H&iU9X zVG-wiY#F17b3V4#P{cVOTj3|-oR6*X6LHSR7WZMCNmdiKluyJtAL}qgob$0RL&P~B z>oi21^RaG2#5o`9I7FQDv93eJIUnmhM4a=n?nA^GUsJZb9FKDe;xRrhK|IFCC5XrP zxCHSSAD18=k62v7RlOQhnm;`aj$0Vz%>_4~!ammLdh)X^uL0s}N3F4BENf4KOd?UT4vj5-` z9G84dg1F>k62v7RldPt)|KJkDB_ER@F8P=QammLdh)X^uL0s}N3F6X!OoF)NV-mzA zACn+1`IuximHh{oATIg%P9;rc|G_0VF8P=QammLdh)X^uL0s}N3F4BENf4KOOoF)N zW0KWW_8(k=xa4CJ#HIh31aZm7B#28sCP7^CF$v<5k4X@hd`yD4k62v7RldPt)|KJkDB_ER@F8P=QammLd zh)X^uL0s}N3F4BENf4KOOoF)NV-mzAACs(7*?({e;xRrhK|IFCC5XrPxCHSSAD18= zqm0t#(GuNBE|(%Dl^rnlQ0BZYNp3fGeZsV>H|)+!aQ*Yp!O$ z-BWqO*pIM=M~2xPq?%9A@H^pmnY5nL2ud3$t%K4gN>4>;3(bBPrL8n4fYLUaQ;5>j zH0OAfo~1c0QQARs&PHh$%^8c*ZW^A%cc-cO0u3)m=_ML|mT#X^b1x0=L+Mo-{uZUz zDXoFheo9MGdXv&KP&z>AV3gjbbOcK8QaT2u_bHu%(ub7JKX`fMg zDN0{ZdL>FB}zX~`Z!8I(d;9#k7WM)g=Qa%(r+~Tc$8q`OIytM z7tQX9(mynN2_F5AX0PN@2~6hL!jG1~RE?b|1!(pwC}q&>KT*o0ITWQZ&8dY_HqB{> zQZCKufl`#_l%bSIb0(owKy&cQONwX?UU^9knzI0pme8ErP&$I<+>6ptH0L3dYSWx` zC>=|4o(#TRwYfd8% zqtuc{Hlfs-MxH?lcFubPrBi9-eUwh8kHJwT3O>;EgKw4 zj!geTtMI<~0@JVgrCpP>ANys;v zz>;Kg3hb;2ON_~BJUpGW^=Zo|q z3F#Lk#LMF1L*abG65_)X;^hhP5ee~;adCG2qY~nyBL5T-P8Ip4#pPrA)8pbyZ-&S>GcKLwo0Sm1P~@8}!iz*WM}!wA6yZZ6d{~5!h;XF{SBdaZ5k4lu$3?hWglj~&R)kN8aGeO(i?Bk38$`HK zgq!00%j(x=k-kM-&yynDD#EA4@ogg9F4CVC;WHw9Ho@+53Gp2X@ts0%mk6I1;cgM` z5#b9Wd{KliiST6+?iJxHg74M1^RWBmHIe?h$hS{~`$hU2B79SXZ;9gvM0ikyZ;S99 z5xy(J_k`a2BL0C0KNR6d;`kvEek{^I5#gsI{WB4MF2XOw@h?UED-nJz!f!GKS9J#6!Cf@tS`a_B5WwalSJ4^gpGxM6A?BQVW~LY%-5VS z{uUx^DZ*AFY%RhzB0O1yr-<-WVed2%o-V?+B5WtZ_9E;c!ZSqJQP}Au!p?Ok9BJ3m1*H^^*iLk#22Z(T>2+tPbAQ7G;!okAMxgvg^ z2+tSc5D{J=!ZHyK72z-u4i{m$2uFx;qzFfeaI^@=i1UsW;W&{#UW5}wI8lU?L^xUG zpCaN@ML11_(?vK#gfm4rOXyuF;~yh?;ui+l@2c#Q}bitt(yE)wB&BD`LNHwZh6MR=nKZxZ3nBD_U}w~BCy2yYX1 zmWuFp5#AxfJ4Lumgm;PXZV}!i>?{}Iy&}9%g!hYZg$N%I;e#T4NQ4gy|2-n&D~0`4 zBL1id9~0r@B3v!PH6mOq!Y4$yPK4`4SiwU3Ns{T?;M++2CG0794!psz-vem4*|&p` zZuRR`_@3|HU?&MQSiRQwa=kp?EA=aU`zm|~D}3*DuV1f0h3}(*4OWAKRWc6#4HMr# z#L4hInc@4QWIQAU33LzSnvx0d@6lj6Ku+)-@_h`cVRDS`6W^!sjsj;R9etk>QZf1@P9N$U3H7ZT6ii$-h5Eq@)I!BmKT4>d+@N4;tSOWyPpMG9NT^@k zpnfxl`on{#e8(uB`cp#v)uP@alUg$hZiOgE^kYKA&V@l;qsQ8y@<(r)M}f3BB`-bjIp zNT{eA6wIkNgz|YRJ{2lYLgl+b!SsGZD1VU`Pc>FNRV<-uxIw`R0YfNno>HMoBvdUo zs3VM_{6~55R1?KhM@y*MZcxVCMb-aYC>jrg#IaEC_ z#rKc`RbN6iaD#$19HtfBQ#bRl0(FvvYUBn5i#|-DygX0&9#x>4NT{Z6P^HFDeow{s zm;%*ILN#}Tg6aCEp7KL8)caD(b-4CT#J?N)dSCHWHwo3<4XTGRls8YQP(3A7FE^;()eQBy;;B9os;?Uq ztOPV{61;ip3k9mbgc{%mHP9H!Kgi3Yiwbp)gc|Gyb*?$o`RPLqkx&=7L6sRpc`Lpz zmEs#Jp@z9Z!KzWiI_2$U^lJsGTtba-gBocJ<;_#yC{Uv$)Mz)TG1Uz9tpYVxLXC5S z8gC5c?G5=m1!{tXn&<`vyTh5*DNiq>-z!j)CDar*sHxQq^@9R6O+rm~gMvk=)#@n~ zYNmvmKX~P&<*O^|0&cW33Z(t)b++t{>5I3?_Z@( z-6)}Ma)Y|r9Lm$(_n!iFi-fw>4Qh!wlqXNAP`62_rEXBL8-{5+sI}%$ zo;;;OJt3jixk0Trhw|j9BE?e`5^94R)W&LtDpsI2NvO?kP_Ri-wYF17Do{^KsI6{L zPnkn`D!$qZ)HVsV-3{t#b0|+0U4?o^LOtsS^;|VW)lodPLqhFzgW6TiP{%1y&r7J? zZcwn_muW?R(Zl1gU!9lml7xEM4Qg*SL#a~$UXf6*x`#4i)wSb+ZCRB~-Q>RE{x}?`tnm4=7N%5-Q>b1>5f$wo_JK z`cU~2s=y7Z&>X5beW)4|s-_zhY)WkEsUy5VJ*X7lkrL`CH>jh{p^otYMXpkyhuLq?o7SmDUW#v*0@Ya7 zsU~i9s;M!Qx21H-JWs1sLN#-PYHkkYrST*xR0|2!(haJWIn)ncicgv6X|_0R$DKg`cSFp?IcutH>eKgP#wKMeX2mo8L^hzj9B)o?50gZ zS1(XsDNtugPjz$iRCi-2Ppd(QGX2f!A)$J@LG`L;C}sMa)muXKaf9kx%}~npH>;n7 z>hA_Mz#Qs)FLg?pX=V+SP-nYA4XS1+Wm1C zd+H~pNw`2lmAOF;t!Aj76{uknYPcIzc{M}*qCkz1P$S)-MpZM^uL{&?2{pzI3VsE| zu$%FFY7&%*g4Q?*HQo&hevqVEq5e=jHBmxMa)W~3MyXb)KNYAc5^Aa&)HGu#YlfE= zU4@b}VlB5Bu~rpk#Cobze<_}lGh!{b8L^i6j971;QlT!E#W&Zj_~3VRsMA#=tBs+&c}j&^Afc{tgIZ_| z<;_#dJWuOd3AM-#>N;a6Z=O=2u9r|ZxIry8hVtYo__6W0y@D(`ci3{9J8YTH9rom@ z1SmOo*m9dYY*k_Iu$LCy=Tkf-=MGzLbB8VSxx?N(r9#QM!=*rw->plr}zZ=vFb0|+MAyudcB-Dd$P!E|yd0LAVREqCm3H68@ z)Jk(GPj{aRwMs%g>IU_gIg}?)DO10#$0gKiH>fq{P@X)cLamihPq;y?Gl%lzsgP2q z)=Q`gH>eHfP+mTR_>@WV)7VLdfE-@ z8Dl7KTN5bD39M%&)N^i7JItXxEu~YTc1oySZcxvgLwT9d?4!!vw_8H(af5on7|PQW z0AIEO^`eA&$qnjdV<>NRN`=}hpgAZQ>c$6l-tI&)~Ci$-ioh8@ziG$>T@?wePImcZ3`6@>Prdrl^fL8=1`uVJXI*U z#joYI#jjO`Eq*=i)OCbXeBVn?{ov-QAI&}G>B&=BH)H)Ip?-FQ`o$c|)1!+D^{a&X z%?;{zb0|-b!zz@Vrfa!P)3vHFP1jRJSE1xIUCV8nu2qF;x}J7ERiWfGUE6J%u5CU| z*IVOxeEi*K%W1l{+caIl%`p}cuYS#)S0FQMwXL7iX><;_zqmEt>5 zLe+DFs&5YEWty(9qXN}HLN#=QI>{Ky+fa}S)ks1$c7tkS4CQUqMTKfAp-SDLni)fR z8%yY{6kl@*)xr&`r8$(RpG;7pT1lwZZcuH^p*+1}4NyFFvV=Ot4eC^LC{HgAD%5Eb z>U1}#w&qZCy^Q3Yqj*YAlDFL^$=l|WMS>?Zst&)D*E{fRCfv0!wssZIh3cdgdqx4FA3G#4XTehl&9hw zszCLXQ2pGX`u|U%21uxZZct|%L)o5YaEw$uC1>v2ZZr4oD$LyX)FjMOpybSb+im8) zZ9a3~F7wi&U#vh4mBlyAt@wr;7oWH3Z}Su=xtF!=wwJYSzL&MP!TtFPl-$eOcH7I^ zHs8zIo2M>UpvKDL8|PMhnTUe>nxUe@*$FBSb71!}7F)HF9wO*i(G zw}IFj6{r~!YNi|1EMq8pwii#`tUz5Pq2{lecC^;Y9cAJlGo6kr026cx5CFi5tZu8M?^ZDrBpzc(lD7lxl?Y5V-U4^}@J$-Rdq2ylHw%cCTw)tMx-aK`$ z;wib8we7Z-wQatawKu5y6eziuwe7Z-wOxh1tUVQ<3bk4`32WS%gtgV&B;2of>In(8 z&JAk4IaGz0C(lO|s0|WoqZ`yFbEwT;pw=i*TO`zzZctmzp|*K}+O9y!`RKOWe01A< zKDzy!2Pl6B1xoJFY`g8yY@6@UZ1460rG7BlBa80^x8i%zxcEFx{r0O+a))NyZHH#t ze23=$3#eD5r(SjQ)NAIR@-lld!BekGsC{lw`;DPIeHl%FdP73J=?3-I{}k$gggWR3 z^|mpT{jQh0udC7|$f@79+thE{eCoITkr$}56sSYeQy;r|>JxKMeU?6y+;`Y^+jrPD z-*?#dGB3fedP+`cw%sN)+vXFRZ7&cF>)PF8e0b&dl@OxI<-0)@7()fT%uDe1Q9M;Fp=!86)ij5y<)u#bQ=sJJ(}3IL z(}4Np(?D%6Q2iCCW2C2!b@Nmmb5D7KI$MD{PC^~;235D3p#~{XCrGFh-Jt4KGn6u^ zD^OoTHE@G!Sj|v_6;GWcp&GeCH8zK8>ZPJ9^QQu(5~`USRC9BvmR_Kg`BMQor83|) zr7~bXr801e7bs=^RNz$Usngs%b-KByJT;ywR9gwv&JC))In)_mJavIm(K|}0PHs@0 z&7rz@ff}tq$%%piw~2xQ^NE6i9_d5%l%DG4=BeK1p87w4>La20xy(_67jT=C7cifb7w|IA(?3S3=tHEZE^zZynYpJt)hQK9&dCe7&B+Ux z&&dmn@N)N!RXjCPdTNxLr$(E5%2PX~LXDA7W8I*}nL|zR;;C_przT3MNp4V+&7nNq zeJa!x2{qLXYMMEempx+r;}uWIJz@iHd&CCJ_lON#+XbyF=7pTb!l$<{maGO6BFrPma@B}qQfs*s50&erC0_O9l0(W}x)Kmp(nJm7$ z+=}mR^WyXL=rTirx<^7ScZ0gu9O`~Ao|>sZt&mU;xIsN=4)w4XC}rVAK+XyZxXlU* zn9m9dJmv-JLd8>$OHZwK^VAx1Pd(uUYPJHkPC~7BgQ_rx+UN!9A_Z!bgxc%|wZ$B2 zs~4y_3e-~)YMUF>c5|p_yg(_7c>>Q$sOQ|Ec9=u$@&Ywi@znDYYPTEI9&@M{y+A1| zi~@45OTcZeOTc`tOW;*6P?sp4dQE!jbvIA#GxwCI_r6ONsQnV^4L7Ja&7lr>@ziAs z)IkaLwj0zt=1}i>fl}6D1>|pR2i$&RJ7E4B+krz~psr9nB{%O2xNY7SFyFi{;OPzd zDg{bz-WPD&yf0wBd0*fwFP>6<6Eg6%tW)2()v0gI>(uvNpcW{elD`}saQo%xfcY;+ z2Y&Gab&Ucgx1~j3 zZ&0A*_F+M{?ZblR+lK``O~6^KK-G|*s_Evb5@SyVJdIB&t80U`B-9aZP)C|Wc^Y24 zN%7QC66$C-sM^L*!DGEtbY;nJu#SW}&JF5#bEp%%Kq(7ZgK|@>pxdTcLGw+q0-nAh zt59-Ntf1SbSV8kmvAh+Z3e`v!Ut_o8Yhqq}rCy3pS@IifCZU?ULA5Z4@>J1PsFo6{ zl^axRV<>O)r&Opm66$0(s8fugf~R>YK4r;o@N@~))(xtiIaCKPP|8Bqpxo*y=(g2U z(0r>WZ{LtrD7n>B&~2-yp!rr$-X=?^P;v%G&}{}sunIFcJdGu&P~Byn>fu(WdK%ZM zfTwTBDpW5C)!PlKk2#d5H)Iv6uY~I72G!pj%F{Pw6-sXP6m;9_DQLdcQ}7%wO@gwF zJUCc->RdNZooDPRZ)>qssPiS%5I3j`jG;VjHse>J$|TfKH>hF8P~N6~t5CxwRJj|} z2xF+=C@*zN*##gtT0)I+gBoiN<>_%)g&HTJ#=AjHFo*K=Jf%X(xh_Gsxh_HTxh}z} zUW!lI1t2(0dTP3xr)C&?%G*d1l{Jk1gkLDrAPXnnk_wbk(;OHn0w08Q-bQL zizU=tH>i2$P@bL=RH#cN)O``&I$^;%?b*d&kFJewL%#Rx=z-q>)qD8{&umfT~{ojltD=D}|UtQU-&ofX&C*0zQZ^ zt~Gj->(Vf}UT<<^8YVXdH;W6eET8&NKHK#+kH#XDSDq2v!mb?rl@WY0zI?Vo`8>(} z@N|kZ>@+>YGx7}2#-HICIK#9247>Dh*`q%}a^dk4#0n40LgBrT0(!6BX0ls&h2U;^ zF&-MKM({;`hF5~G602k^Wa&);@E_FbdPf~m!`>J?pg%`FVzW%r^_jufea*S+GlTo! zCSmthW^g~8%@!5=HGWOmR`7K=>pu9~&(C}?__iqWUSN_L4b`jPpy9^gM{Xw$$rFcy z?}!o!1>a>C$1DWjBV*xu*xd;yd$pi5!@L3 zR)5+vF{eCjPVkf9cl>&Df}aMz=cmmHe#TDAECxRZiCs)i@C%TbLvw;(f)wOXHG;uU z0O(Wr`wad*hrci2?@JE&Lr{D7|Dp#=u0TIBf5a-#kKE+1!QVvjo-2ws3$J-&@ISrT zW}G=oUT;?L58>vlAUgqbb5`(Akl6KR1^)smR?@%olSge(i9qHEWQ#xssL2^bduV0>GVF|iwgP2j>WyN}jjuqlD+|dh3*nW;Dp1^Yz%^uLgu%b;UWsesGjjE3Q<`|< z^EsOSVO>VlK)JGi@D*e@Nd)UM@>c;;awnERkWr9PD3m)u{bh}1q~5xW;$6@cZpf&` zL2UpT2(M1v7gHGCOIWE`n6cr+S377QL;MT2!Rj?);diw5g~!Mas6SU;nI zsQz*N>H3W58m+^UY8~n5%L5?ZKW8))cNXc$EA&YjjYRFMG+nYjBj4CGw3V@&6;1kT zX-l_>QR(`Q&?=XjDIcj-Zf>T0R7ML?YL&Oex{Ow4%C)s;Zeyl=j8^#+Gv#Bo%BPtr z*U>7sHB&xLtK8m9`FO3j&oEQ2t37ikGvyPsXFk(R`9$rRyP7H2(<*l}Q?9R7?qR0f zK&#x#Ou3;}xsRFhNm}K8X3CAU$^*=l8*9t?Y%}F1TIF-hl$&am&oxsn)n4=YX3EVn zhR8O|&-=yzbT?&>YWszgBskju$l5XTIH2y%7e9)W?A7RU?V0~G zJM$Gf<&e)z`AV&_Wu|hC^O|- zw92*3lyB84A8V$(M5}z9neuJgN>bOXk}TCKpJ=9hyH>frnerW4<%VX;cWRXznJF*R zDmO7xzDuiIYNmX*R=K&E@;%y0($cJwEY~WxHdDS=t9-JV@_kz6Q_Ym`*D9ZGro2L{ z+|Eq-0j+WeGvx=h${o#=AJQs!HdB6B_Z$}LVy66v_RMFQDX-Ml?e1oEdzJRgJDi1VMUZYhWWTw1Ut323D`3bG^d1lJ%w8}%wl-FyO z%gmH3w93PbltaVy^O%}=pT`s`*PAR&!{i9P$>wR892pv=o50n|*rf01gb7@s(R#`@ z#wL^IgoVcFO`ej5$+3Eqr=?+XoZe*HG)#`yn{1zk$qD)rKf~B$a*0pWQ+6^onOx$N z^ps~Bn@pyhtf%a1Y%-a0ir!?mG)zv_o9vN>$!U6%z0xo_U2n2a8YXAxP4-K}9d)Y8oc5(wm%~hRLh-CTFH$a)I9Dg=v_)MsM<>G)ykko4hy; zlh^7^&P&7OB7Ie#Z*DTFy}V9u^0G8cUavQKMH(h=(3`v}4U>!YCKse(@G)&&BH@PwmllSSX`lH4sldJmuddkO*O(s|M6?&6v(lGge-sBT$n0!!ga(x;m zAJUuLkcP>J^(Hr^Ve%2Z$t`J^T&Xv?H4T%i^d`5ZVe(PE$*0pW`Iz41vuT)oTyJtm z8YWlkP3}s=<=e(4lS_Py-sHP!n0!)i^8GYSZq=Lo zFb$JW=}jI=!{j!-$xqTSxm{n0J~K9%T#266Q+{D=GPx2xqc{0g8YZ9BoBSpXlh5f* zewT*H9s1(=!PsPS@$A%_{3#8SyYv_Si?PY%5`SKA^0zch?$%%UAI2t=eYr$(Qvetu##T)td~YVe%Di@q{vrO(qx5 zt9p}}X_$OXZ!(;Q$=CHJv(qrSPj50e4U_xzCZlPXd_!+CFAbA#>P;4;Ve&0~RWC9& znS75Q(3`B0hRK6^lO<`Gd|Pkwh%`*Tqc?d}8YbV>o2;FN$@laok4?km`+AedrD5^| zeO0e(Y%;mM{7`T5#57EPq&HbV4U>oTCL5+<@?*WpMroM*L~pW58YVy0n=DPkCyeT|zSXcGjKOW@cApMtVT7HnU)DX3e#kM_Cn_$F9vhAwToP1{Il&uByl^y{;m& zWrO;gGkXxSHnVR<=D>=~^R{OWsmL7Kup)EB_RLY0r_aHi!bujHNlq8r?z0`5gQRWd zXmSca+F9;G-8nNu>_Xi+GsJeG=96DD{g51i1lVC3n8%2{e!VeIO~Kqi$2=>Ed775F z7vD=V#>_Vo&K#S>PEOaY;K`g}w1S7U(<;w0Q*N(So^7VwL90B+O!*A0@?10J&YANx zwR?VLQN{n7L&AQYq>EPhGBf3_TIDOul+V&CUuCA;O{=`XOu4&Od7+td53TYdGv%I{ z*NL_yZhPp=#Rkf_@pk6*a1Zs-8o8-zM*3it#W#Rp0aP8-4jV!O4k-^#`ywBVSs|V+5eX+v82q>SYC3w(4Ij$z2uO%?~ zofjY&q9s^aHG&JYMjox2kut536hAbhG@!y4kHO^((;8W8PQr`~*BVLj`!uOY%C$x+ zszx$GYb3?b+N2^GsWr0MoP<^LQCcHUR?Wz0t&yk9jj+-gletaP(LQZp1eC{V@0DlG zl*en&yu(a+g7(^X87Rly>Jzp2yA6!MwNKI#ykMXlcY{sV`rsu4BS0`ktDNGegOutF z%2T!1{;JuTr)jVKbpz!%?@!kn*>7%yRo@xf%Xrhk2q@3g5~TQDB*lSomoZCgj{?JT$j#l}QnexS2$9-a;9Ot;XT7u6EjDX|jX_db) zQ@%ut|CNDq9R7SQ!8Zm*0RK|0@^@y+muZ!MFjKx<`@HzGfwH*v9|8YLt&v}=X5=bu zDg173g!RT(Ykl#jfe}z%plyZ!HdDSvtNgE-@X|7o*Pc0UP}psx@m{U+NoHrhPmA5yO!

COu0g!o<&uNWJshW`;S|ih{W@M+<7c&fuK%L*ERi0(0{Jd6qwwdy7t@0c*?@&{VwN6eHz)GDtsQ~pSM z&5xNWAJQtXHdFpsi@nxN`4g@3Iy2=@wb&JA%AaYKH<~Gbu2tS_ru>EWnx8aN{!)A9 zr_7YU(kgE^Q~p}3{EV6MH(KTA%#^>?D(^H?{!Xj>yqWU%+OBqwfpXk~?GM`We$l`P zbhSTf@n1Gm{z-f0SIm@u)}Hw_Gv!~j%KOZef7L3#VW#|>R{1S6<=?f+2hEiK&{mdr z43y)Z%l_07yk}qpD$8G5y~ zbKRZIxz75seg;gr-jen8puDVaqQ0$>x*M{7sL1+xd)BXzzBcQximd-wH0-Mg2kM8^ zybWFQsyXYW&Y4+15>zvnrp_Etb2d($6U-)DS?ko96U??qoih^v0$Vdj4YKMdD78(k z6sVtYg`HgTs#n+{buG^Vht`&oj2jqhNjM$?6I+_GbflGl{#l;-|gXWML4%2?7%_@Smw~6@{X6w z9DG}?wPKmW(QtPMttY~Huw=l`?(^6(2WvAfa}Yawv&9Y2uKlZPRl)_8=WrWS`%kM} zWTfmPx<)Zv!$7(60l??e8YwY10#h)2eyx!ss%FH}8ab+JMr^H-+Ep_W(9LZLA8T#| zCdl}LT9V_;jWEY%XzNE^10$du(mi2?Pc&1`)GF6EQ_j+2H#AcYYn2aQXrXN>?Pcu>`r-o10D7Q0E zCQe3;jG98Z99E@4Gfj@JH>le)_10w+?;^SNwveWS>TL+0u|A`?{<9B~VEt#et%0`M zCPkzc*6UJu~VM8KBMmc%_$=_!d=2$#fp@bu=o$2bIJI%;a>1$P;YIxf31q}*%jfzmCp&0 znUNW(d)^@x;i2_w#pjNa`Khx9D#8ihu`DvPWCAN&1PPx7YYW-`#)rF!^@iia-C;W-Vgt>L*9;Y%MRnLQd-gsoX<&*j;1VP(lh;S60YB-%-Ps9uKz()PPFKd_D^PuUL$d zMJ^^+Lz5IB`J^a3%{Se5rEfuanrL*+AohRI3R%$X_%gyX2>dgxV@7!Hf4;FK5KD|5 z_>W|$iT;etQINT0Jlq8MTzL(zd#6FHhy=rThL^#uVv}0oyU1y9h6N-Pz8lg4zNw^k z_#Q}u*H_=O;VHp;*?j~OyM6GtYBK%dDUsN%v)r{--e*=T7kaFf3nQkra$(hK<-&+* zty~!KSSuHr*UE+FwQ_}7t%Q^R|4}O!Dz)+fSt}ouwelfZD<3wmm5;;*VMueh!F*7I z!_X^P*efMII4tH2xbPzk^&Gkm@#ZGFhm}>acGKakt%6?@_wyC~32dt?!cQc!-I8E! zY$Dsbs#sfcIBV;xVr}W+tW{LS+8u|pwxKH4mL1O8#;TO*-3iu`YRIOlSX+KLYn!Wb zrS~OROR6DTs>1eAg0-Y8eX=TSD-*0Gv2Cpi+v)^sNo-G5g>7wuwIsG}RbgA7U@eJl zdsVD$IGnYot72{I;jBGV6>Co)&f2r#=S2JV4Qx8X-ncfwgVRp&a2Ee;v@_x|EV>7j zUQ`|xce-`8%A?}WNcYswTx>)+HvUd_MnfmC%^VWyr|f-5!saL+33~aX5oqM z@O;KRQ3E~z)PwWeTQeKLh<4?GV`94>f2JDY*TkDbjqvO2bw+GF0?83bU@tKHv_5}B zxFC=j653m7Jy^_u0zv4F&3jec- zJtS_Y{>?NDts2c`OHKNg!)d&uWS5jK&y&BBC>*?oIv1L+i*&`31IZzLoVVXx$QQ+rn4JjnzfT z%aQX^w-BGl>9L_1dLnNVGaJM=GA~DFr?f!ToKbQ^%BpZE zpF>`bl1EbKSK3*~dTYw82@p|obIPn?rA-E_kEhO>)F!`Nc{BM|#u_BwN_rgLOpvAw zjY87|hhjHVWA8T;o;P+gHIX+{)A*aI3EWIg`OQ>ncr&$1bu*ok`euqpF}Rsd)mHzu z`s%;;aMeGim$LfrHLm`9&8z?3h-vlT8#zq%S04$1!L<5AR@3SaSxu`yWUWf|-)xS%s>fb$e^^Zp}sQx`@Pw`~? zE_`Y5!|?A4)(Ar5-5dV;Do+asBB!Qqij=nSK*VMCQ1a94fkQUd1^iExZfeJb_@%8xq%F80H zVwVMy{v!n)=KU^?KT{1lT;9dy@ptiXxQomAT|6T7C9AFI2UWe~52|*_BfVd8{F!Rd zQSy@6_sZA{3?xS=e4m7e;4$)&-w>sIZ>*Ge1~u=$A4UeGF6_^tu;GpzC%-~QisGNt zK8(1`-%>t9ei#{?@+>szo$bRo=iV#p)4i$c(|GCJG7{pij}7b9S2naZa$feCn zcKAnCbNJN5Is7A+XP9J%$Dh3+ohBVVJ>KEdz~R%m!)LfS96kmoIs8yn9G)AApX5sp z89V$?l^lMkY7U=yIENpKT#&M2Bs)C*><#HG>F^8V9X<;jej#`GZ0Yd*qDfgUn-utn zm*nt|tK#qsE53q%Z0zrktK{#G*DHFlJ)CBp2366VjSu)ut_|=%;lY!t@ z3xZG45IjnS@2rl12UPgZtR;MBwIqCJ^$31VCW7y*mI}wcw=9|J6Z~3C@ToxXYX!ll zX$T&r!gp1N-~koBD{F%9susa_Rgd7;Wg_^lYN>GCd&`pPKEbcY1fLEBzg`gh2A|*| z6}~k}h3~Eo!Kn)0oi)98SBu`e5xuie;WIMPdv~=|IPSe=$&Eg}XJUHa2=tyQ=snA$ zw>85^ir}q3R+iihru2OHTYy$Pv%YSBJJ)Hn5byEL24bJ7x}*f~Z?_9;kG2b7YgZcw zzd^&6Y9rweXt+q(P<^$gK^zY zRHJXGIT59UXqa&j^2i$a*Gi2KAMs-l(w{K*Gwb`Ygl!CKuL*~8Uf3XyCEN>fhkij` zLCI_$F<8`sf4Fgjr9zDA8y(CBX1>|be9f#cW&}L%0l@N0M6{L}H|ms#Xf1FP{oxrp z@TJ&_-|x?o>Yj<44bgmLB@ehWf|WdoXT$?ABOVkp;vsKFm`jY9@M4{(m=R5MY5|`V zm`&^>l(gq31=KkP)irmc@}N4MXH9g+Y1o$rfYsJ_tSF2}%9x_YI{;bjr7HpHBJ;aR z%9yT(8$5_Gn@9$l=lP;?o=Q|6-gi+!JsGUz5nogu#iH^Eh{~fvRF?aq@`jNRqOwpS zDoyRfl>;W{Ic8Jcf)=S}n`&b%G>WFFCbqsrr)Ha`jGNTMA$qo{#$Uzdf*-y> zVgCHVhnfVtURaDs<^ zw1CCoWeD4~3#ZRO5rRZWkNtpwnPbNOF{YeK)zZapxXrH3qU~M6O=#JC@gs&%5sf^ zjk+ZtKpCI2tD?B^q0fzg&~6Wt+nw4w!u$zM?N%vca+cI?rP(Y0k3uVqz~J0&MTGVf zUuZwo3GHV-nJ#gKb~P2+FTzC83+>LVgtm3cxGqaNw$?eL{@;+=)R8(1d_d_o1@&IWvkOyE;K_@Q7daO_*IjMr#Z8Luh9dodkmC%X#>vK!M8 zuPrQEI-E|Zzm`$MsZ)(hBj%w98ow$QyfhNuFJ9NN`i-mIldI$0o5Ypz`Y-@E*QOVv zQ)V~auAko~hOsx~=i%WC#b!5wj}v2boHIHg*l~*8PketLyZc@2F3N=6QT7Q+RS|Qs zYfQX_N7>`mEh4UNn@6ERT;vbpBGn)c@CWfYC{Bn@GA3mjM30p5wi+jA6pEYACba!r z-F8LOea#6SM=X1Fc^sXwBly-N`Wo?!)k@-GX0+ z@n`c1I+sbvn{)!|u0^~Bnv3uc*jvV1?)zTff$hfb#anrM{djA%*N0*o3V2U#G7M~( z-B2w;p@9t}18a*12GO$6-9h_4FbB3buy+2y+T($>gMqadJ$1-9u(S7hU>)(mI*RT( z{Z|7!*dG|*XL>3c@Y-4){#SdO|8g+E;^!s_Fpcz0;h zGtfj6a!mZu)9wChKH#W$bGptqk1zc}&1ziwGp7q0IaB5nU!8xVQs=$)ozu7{7VqtI z`e@AQ-oWXj1*ebkdDGq~5ngLUc&#JoeZ8$dPm2+HU$0{(oN&bZD2~alw@* zeU%M0v2w%*eZAd6-9?mh_hNHmY*R$nTBFA4FNI@ z6+NAhVPJDKr!nDy;XDs5;=}O3hKcS@-1mVwu)TquPQxQR6-IWN=xJo;k-edu#F<8RIv&~SqQ5i#tC8`5 zZvKBPeij}Xgmps!Usy-Qy(CeAjp-O?W6INa_@?YUyAzBOZA^o2rhDrp5yoT zE$nl+wfsD6gdGjfyM(YM-X&<(bFmRU-|noWuQ>aJhttd4EAnYT-U+Z%xfc`BEe5rfxjUe@QX5GKSKq6W;Wn)PMt{XXQ^mEI~&^Hl?nSfD)2XF1OA>&;OF_^ z1B&Gq3ErOwOM;3eB&kbKe~}Y!f#(&=83FNskR8zS(lII%x;@kgyuK{c1wrw9e{KrH_?;2S;+)vL_~K|J?zi_>u629>GF@N%ZgpZb805Xt z@E@=nXyD^PK46!tYm#m1{hCcojBHcyw`*hxbD4dfx+a0SOcN_h7yD(pE#q8pOG}ao zAE;$KXsk7!f;@)#W`)U*_?z^(YnkROf$M=3)Cn^}ry25^0VVTFs%*Opn@))bJh6l+WO5&E;$2kF$IfiUSFY zWg=0vW?HWEC=>O&+^$e#LNwE(n!sYJnI6rW&X3s5)V&kU^sp|#kObjAZ1+>cjL=Lu zzGgbnJjWad8mbCnncws^)PqKTbv4vOS!gIwS0TLyTFc4i%EOm%2vg_>jectep4c4o zVdDt+TWUUHHZvbK2bqtWtIXxr;pU^(T=Nm@Df8i+w7FbpmjR$CxV~HE>$}xL-;D!( zS1I(}383$u;QG#d6Ux>=S%J9*%GN>|m=ZY+JRJyM3LRLLUk$hONs!SpEFIyIfFD;Aq+4yIfwtE|(3k z+`S-{yB9q%u!cKgU^PWz0G}^o@>FWPf$`U9O&&H7ePTiK4VjfXD+s3>f|`2qioZ-w zKq@uva_W(<)TE7|CR?ROg^D#;CE=C21j9taD|IpIDhaQ&Co0KJCA?B|>5}9?GAmQY z0wvI}h*wHG%WCS0ID9EY{Pleoanuut_#3{6zllZs4G{4+g^0gZ9lg0GliqyWn=obr zXTliY!YtVsp>@{k_I^aL*J?H_B>}y;8PBq{_Fy%Kh*Yh$H4iiq#kSTyOARx0l(ANF z-T2nyI(#X(zGdIJj(cM9tv=V^#a!PCTz^+^eOqm>(3~_~8r(jt4z#y3;r5Z2=BuC;c-Uixk#{jM1IRN1=0=Sk7T%H_ z&NL%8q&Di*Ja>M~bJO9$H2oA$o=tX{TG06h0yQMo$|k$DwqbmII02uzNptE;HS{L? z5H)x*RX5r7)HPv?75^MY(bWlDjei*xxEg#+!Q8Cf%K%8oHV8X6@fZW_tiED-XlEt% zTM0it#xE0p_K40Vg0(vA@LSHz0M&iFf4gdi5PViiP<7m#yB;oaL} zYn~7!G}~gg*WxVr9>J9@S+9UwbZME%wA!o-7bQFDjXJB2)5Y0O(QKaP7TwbqyC^3$ zK|BPLEjpZG)y_39Ft0YJ!p{6f^Ih}(*hM+*b9&_ThW}2>S&(y^zf<3B6z(;riePbg z^2~h{g};5mZv{Cexvn2kxC8eZ#~JzlPq+as&6ACscIKHzA!&!(hJOikdbCj-YK#Fy z|4M*}81RPN!)I%J>b1d~*YA=J^eUyqw-p#&0MT<{SiN{Dwk7&TUZk3zQY-Oog&v zp{$6ng`UBbcX8ZJ-wb6ui!n~$3_rP6*&3zw`8IyhfqwB4A^<@ z=1AZJU}`pMR+%Ui#zkPRZqvPL!?m-@NZ6+H)^eY)Ya|d`W}B@E_9QxLn*Qi-A8v+%guYb4INo--qS>%IQvlFl%|IJd-i2&!NJdeneXY2JBT#Crp^ys{+jr4*`t?M&mM^-svFO$U(XB0d zs*|PYHc*LfW3}jFRI(7=1ANi79F=Ko0F`-Ql*)WxXOaa}Ch=yxpS6YkzV1~rB1P}( zBr~MaoLsI#r5PJMxiB^)nmBR+Wg(T;#FvX^`z{wKhpfR8&3(COf#sq($c4Cek!YEv zTpXm5i;il!z^G&)7o9x0$Y1De@N;+YMbUMK!6$wv(EQLIq}CIBQHrlux{innUCzY8Z>E5&9xI^pns%GKmgn$=!?yDn)I-+hq1dgAcx z_UhY3$XK-Jo}N)|x0|cU#QRt5)wgS<0F!sScFzVqDE8{xvkslvp7mb+BVAIj&|W=p zWOjS?kL|RUhH|f-=$74H{bRea7NTme{;|$oP4?;^i&!d!gm`?9*sFh>HQzth@|{XZ zwsAQh>UPLv&G=ZSaKv8vFtd-@5BAb?%zGf`_eIv;96Kisd+FnIM&_JJ_tO2)&e;pI z`Tz4?`a{@De`K~dw_C57A6dVcALpKCZqJ=zewe%3bTWPOy>vgLFmaUFOCM^~O>`G~ z>7`KCL+qt*G8!a$ioJ9i%6jp=wDk{^^%i?+a2zC#7JKP_P}VQ$)70h2rw)`)bjuc5I6g@kH>yV%l2Rurz2iU`;7O@DATie6g$@Wy z=~!P%WrF-_XL-cQ@L9r3hR+f>89o%-P{5`1yey^kVwIF$rj}BSN)}QovzEUAs>=eU zJIAq{RWa0GY7&G}$@x-e{tzyIX%DRilX2SWIUbXZIf?`Fgv?T2ZMNc`Si;Ll&Js8y zITYJaz}f0&B)86R)7fqkH=h!dNfgaa-NWIKU^6i#A7^_vcWRalNXg0*<~sWlo__E0 zHHoQ;U{!47?b4mpa)VQG{IpB=dJHjXcV#e|6VvD@cWDe%kq>fVu)aE*C{0wm%Pv+* zSIF(PQ!_wO84}eR0Go~ZP?l@9g0fqn ztiY@ZWecDTB6!UOzQiw3N&Kyz#GCEG$bzd6#)>G3|4O$Maf!c;%Y>^x?}!w2Dh^+1 z0#-ue@RcV1kbBZiqlUU#P{VrH7?-r}&Js#_f7u_r!PJ-dUYWcN&lu~=g zIO~=`LfY+ks(!8UHHLWewZ?)DpK^RH2H~zG_0;bJ!F>OY}w0X>(Hohao*rDR|ihbv_)4Mlqcw%P$e=PAh z<}{>dh5~M6uJSqkGMspV#xuJ`ar)a#(*`siu^KBQ`A1Wyf2(!vs;$OvHTj?Ep5a?v zAP?Cyd|RDa{;e*Tydz6T7=4Z7eOcm<55W5D-}iGC!|2Bcq(SE_f8YAzbUcXD6GEI0 z2XR{Ch?CjPQylQ6(86o?U7VcWJ#nh?#py{bPE{aIPYQ8*$`_|?U@~%X>K7$W-(?b~ zXGAL^QR}jhsP8lnzVhgQ)js6Ato;|?>28(q9u|vU&{@@YnxoK=mijJ}81m)aGxeOy zrDDHOP16pg*Zj^GFK%6}7vjaOtLI$t>gS7BKb3er?=xL%HGOYu;yU?i@dDESFGX^j zT20?;f>t?YN#xG=y4#N6`Pp}?$-i{#%-8RIf^w^g=YY>KxYfjSz;lO47FN@%`#xWt z-o5$ynm=D($Mf|yn6Iyk`TB;>x=!vw4@uR*0}!y9e#kUm-$JZ=C(JrOX)l@=KV%Yh zY&ZO1pQBvr|5Kay2g1FLKKC}c+;fwm10PqZro-la=bqEM$Gt5+_xNQB-#xtrxChrL zVDWy}=iXzmc*7pYcrA*1do-8Agw6Zj%HppO13yqPFu@Ju+^36156j`zot*jLBH9tT zId+(y_-x?1SgbOZtc;anj_%Q2sc{mS@l3_X8U0}u9cN53rueJrh5R~w|Lj)N;+^6; zee*0Xs}{>`5VV427%t=|cEkT*wY~~z%soOx_@1&qOz_Wzh#UqJ{0ku>Q(*7;C6whE zV`1;P6Uz8D@-o>jb}Igu$=&x2;^cQKPC~Y3G!=i$;H4sp^haF~(0{2& zed+&u8j0eM~1Y(CT z11Y37FSV3J|76PDoN{I0ncDy%Xm3`Sg+xO`30WQFL;gSZG0 zb!Fvu-N^xjdz8$lIH&{l>1opMy5NGjE0g)PE0e`Qw#5|u#me=GZb^RE9e{BI(jkVp z=>fzjVa13TF$S76k{Bstwi*G1^}Ph^lyRTB6>*jRo-*!MS0!61s5(_$mBd{Ap6Rmq z=aSyH2QYtA-A54fSJYL5m_MPeTIA26--B}~ncx%Mn@fJZKSYiQHv=A@Ye_q@-k)|& z=oZ&2^%X~4MS{O{7jg&%{?d3C>5byQG^ZxXG~i!u*CU5Xe`(?a#4*e5p#~QyDNN5< z{<7<;YjOj@C-YyFd@Eq>wZR455DbRLjSa?2@ZS%NZ;bE3E8f=ZX7+%1pu??x)&S3- zFzXw|dyR$~gCeH@oYLY|z`e$zEKCV_tcN?XtLF$8oj7-I!0ajF*Jt>R8T{ut(IbBZ zH!i&S<7NTc3kiH;Q6TUo9AyP~LC6Co-4Qi_u7>#=K_Ym_hu}WVK zOI|}GKUqVp(n+IEvO=uVFByf&n!*}dVKhiGVGVr`WwoHJz<3YJYC~DR*#pYzKv|L5 z8p`&AvO)_y{>i#f7PAh8vU*Tf%$HTqlFGX*@r!Y!Zx+YkF)b(nvp8AbTZ^sJAmo+b zA38E}ElxJfVlDnxlaQUS#j#sp+CPhR)4y4-#s3ENNO&zyHp*@-P9BimTD(_tmK2j3 zZZE}b1(;rnDdncPx``h!{XaB?guU)WVEqdcyH`jlKSSbD+61I32hP;%B%6X%Sq7KV z1EDO>;8NNQ%5n`ZrOlztke0-7ZZqwu9@>1&-?`YbD> z^a<-S*%owjJ0yhAQ1|j3)b0R(ooI_ImHL*LFaUeBx+XVaHUsQKDC}dEErfYWE2}R} z6I&J#VE`7bn@(~W=)H@3X9xZR|2nKPd1Pg>du6gW?k7jH>*1H#lgGecpR53B=z&*a zD@SvGhpfa<^Ef5N`0J)5;jNXUdtZv1*vY{2}J(^XdHN# zS?;R01L=90&@y;SWX?dPjO<-IXRF`Fn49{^SLj^F3Q`Mw>$5f*hTp(@}J9p z!QWqvF-o#F4y~WE*k9qT($Uq3HA3y+hC}2oO1s6v?f3g1_E!jw@wO3H?bGmHOk3Ih0)hW#A|+e95z^3tv*%)E9a-b!w4O${Qt5 ziMFXPjK4}Bk|)WTw6o9fVe8nFj$ zySBO&oQfy$$$({Rd<%SAkcpYL#sa3yv@{su?5r}omQoHP zRC$^1!ZDfsWty#O5~m^n6q;#KNV3(5ze1 zZEe*E=^6LH4Q<@%#<@Z_`9NEM+sbo>+@W-a5D~1h$uP3VqyNmV7&O>Lqsm}ZIb=W~=&Wx6mKf`YTLb)QXwoT5R9P9sAM(7B=Xk5{xB8a^!T37T=(dO7i#pe`X zSv(2;yQ}z*;=g?tPpxVkp%)GN|Hj2*-1EP=c#Mnw6Bmzg$(&^{aLFXh?pD%TW!ZVh zSjoIgtwi2aRw}=fRhr)g{w7=Lf>Nur;83fqaDkOBTyK>Yer=_ST3Y2rgRL6HjjW2| zx2&46_VBmNVkM)jnk93sTJb|Ic(Bo`8Nc7EO%CH1gR8|k=DZ7BEmjePCMNHOvb-V) zO-$YcWw}M0q3m8LD=2yf%9cP`e(@wITMA`G#b-m=eNa|d{5O;>gR)rhmr!;;l!1#W zd5Y({NuHu|-8|sAZt@0#mkAEzbN7#S-8>ZOx*>saR$a}}g>x7mwZ*Qhxpc(iU&%HS zEA6_PJ!J9`T2q%N1G*sly}I@#%4shS_uv4PZsO|N)i^+{t64PZzN~IYSGxy%$##IV z%2uwYS@9D!FucT;OFrV_#+OZG%ZGhA7?t`O2L(mCzV0~{vdY%C4^Z=w zm=5)HL4{KX3KvoqWqWN)~y$j)$Yke%V)fC?gtH^~{BGcgBl$LBng z^H$DAZ@n?*RwLddC!5FAqziqov2RBTLeGmJDXXrz(5hz@TJ^1+R=u2oRs#}#vJ&*D zqn95CJ!<7l2HnaZCd$hh1G<$zOq83m5z6?(L`$JF4Blw0%Ll=OH;`A` z(-Bbm6jlk1H5aL=&)5a z-R2U1=A6iHPh=UXWnNJ&OHBq{S&fXPR%0RcTypv0l5@3;2VIG)W#$r)@aMVIo3lW| zH*l%v!sRGI(}uzT8~^XK$)6Y%szS%!D?-fRW5LeKgD~~!Dm{n z)(FGnJ#HGut1x`U$8Zc-haAI>@WeMT>$TczV_#SXPn_YS=~JzZP)shz;%4DNORR$#j1l4hFP#`W9)p8O?Z08c-R%D2|}2Xo5_h*JDrz;AFaAmMKO?e zx~qPU%mtr;w%VbAOz=rPK}G5^ zy5%eLKssn1(NGPfL-hvofodQhk%4sl-#F57A2`yndIQ<+El&AQ!{StG7&%>^Ozs#4 z3zKuqlKgaKawixemC3IwlY5>>{>+!GX0@x5{}@K4ZGsi_Dg4WZNPFjB&+>o4QxxJ~ z$wTM;02l&^}m3FZqbA5`t|L@BmMe5+-@Pb2Zi7YW7C7{y6o-lkuG~5?$IH* z?Sgc0j<`IyZd9IqY-CiP54T?kZhMi!7|}Q0P%J5qD0EJ+1dsN!k7o%K?U!V*|A1&e zAMW4~+zuf+2pRYAwV5s84rMlin_?*n_oNWqjuhV&uprMjmKe*xgxziYY5W8Cc)FSc z%)#*Ah313iBkQ29GO<%u$D7BRrOBVV;Y&QLNMN#ozUfakYX?x{09uJ1H0)?XuG4o()D^YmKsBuMI zXUbTS!cAp@i{s|9sx09P)4QhuTGjl;sB8WT*?a#nI$NgE+lm`Qto@8Lto@A(tR}|Q z@cXq^Yh#<$#%yD?HK$t#nLDg@*1=YLYqr(F+GRN_MKKv~TE z9?H&yvSRCGC_4+v;#Myx8wF)0)@mpl4P{AdF_fJRWr-X}fgJl8hIqDsdNVZmJR2a296=O8vnSN?% zR1Ac`Hz4^0EN75B%~~yxlZ$~jIe>i~`x4*{-w%!OcmrPw-dwuR_uSpy-Fxo#1n-`^ zeHpsv4#hSUa1zS|uK_6#0s3;MrjUZp+Gk)CJIc-qDYzmi1y=|Zuk@v01<;gBL3=6% zS81eRiYEm;Bh3HIS_gBFwb%Hpo$9i-z0cbAD%M`>PrFClX?K~JcJ_2)8F$g_n&4VV zrcGBDU1DU_?CgU0;$O=&F0-cv`7%xL#ZM<{C}!sj4PS2Z_<|jHfc35aw`Zp_NRNfH zeHPBies<2?_t}X_%{VD;c#gxM_ zy(TN~j}Ysvg|vUdz@Y8b9R09 z%l>ozXnui2`rL?t^dj~K_odWyykAEdN>l86!CnH1d8xl5zj~D>XYz5v0UwM9AtE$a@AqqAh5~Nw{Opr>3`|TeupL2i+?hzO?j5DMk;W}v@2FWM zF13zAoJJ?6s(JIvzS|zSCuaZZ+aAAR+v8Ul>~CVgzuSMf=Z>@8v)SRz`LPL>k{&7J z8KsoadwNh+lMpQU2$0nSk!83)0fqxvWKK?=d!CREBkNMJrvte0NtkyPdLo}&I3GV9Uv9Gc-d1E)x+Z<(d(cjvys))?x)0@ z2F&!-y$J$wdrqR3fTHWEi7TXfuBSG;4W@QaTa%bC#Ot1t*Jd4$*YFkXc1xL=c->RC zjR^A^b#JsvSst%bIf&O#Y(oL(b*{&2<2P5-p4Tw1moC>HL`+4pq?ab{l(M9k?t&f( zk?N(1s*QzM(o3?$c-~_Pd?{Fx=davt{9-APulvbW|M17&4z`waCoC#>wP3-usjt-Vvm z)oP$2uJ@K)H=B4|hc5-!BPrtChgOq6>)wTOc6#Lv+R$5&R(9)D)F)0#TdcgA&9>>`TV^}#oyXKf5*H0g*=rRR*a$5EM|v`w3&AuD>s-?z6F$1KW+zNY!)qAS_iM??LK;SyEs0)W9=F&6C}egB`>mp_y6F z)MYE+TZzWR+j*u=6o~pbQ@bAojNBO1)c#?IpzsxA&3>lNXr0TCDi#>`84vg_Wf6t* zfX1b4@k0vys)u!^;2?JaK49dh8VOJGEk>PGW7m_M`PH`60lqn^*oB;#GG?lUDr9^+ zC^?L8m1^SJqpea+u|3)Z7~fPd{yW&c^a6eSV%C`~&%O59yh zr7dYznQCs=%g1~irMchFuhRRu7DMfNs_8a^_HeMeCb_&hN_%+|RGF7U7?yV0!*ERx z&<>{!4z<6xqL0!oI@FZqw~BJCvy5)mD5-$06FmhC zUkYQVg(t2i1TyjyPIJEqai!a*dAc;GTwIY}X{FFBp{>I_O}YkZ^v<(&Oo6`XCS#s1 zs44(i>mG3^ZW_IeA;vIY$u;AlhQl;U4xStA1WFF;xg2Yrujht=o@*`iTu;z*Z5%yk z?&on1z7(8m>vOK7YsOd^IX7RE^^GUf3Y@CV*VzA*a`SbUIAMDc;K_W=9w7+$eDyrf zX!*~Vlfkrnl3FT~9#pc*wMK@52Z=jQT8isu@#W5;9l5T!MBLIwhjyWZ>m{Oh#~f+t z^zND?{GmB`NVzN|gg_hqzDI_I%G(e>5L)1a-eIji*;yE1D=76X;vB%Bfg~7u>F)TGIjkUglxSrpUuVq+pNGK!X z^Zb8X1@ScKhP_4|BiF4Wt3miQD$C(jg~hbjxX{Q)zu*?Za@k5m)jOw*_X$zy4Ke`H z&Z)yd|5)%{Cv`aJAJaI@C`=szWw}N(qi*U*D9bU{LRnWR%Qx;d8l<{G8Gnbwjaa8* zeUv9=#?wHX9GFIZsF?ML6f^INDY?MAOysVh6c2_3H+G`EGf)_fewi43eL#(mzNbI> zUSjlsZfLR^X7P6gwZPeWj*)xgX9hF>1wUiGW)5CYq>gE}Ce;`I2EyN9@U_M(i|o}! zCM3s94Q=+M(P3D#CsHR?rcOzxMyySpnPaSrU9!3;*ZH|JHEK=j+;nQ3_+?G%g4IQr zc)wgEez{owGC}-e7mD&rWclS@iwU`Bh~F-gzg_A7mUoX)oC`n0^U^C*R{?SpDpQk1 z^{Zv|tD3D$O|uIsQ`aBYVts1HLep-sE;R#Ye`*$-6n4&qkGXtG^52X-EDwGZF90|{ z7qEQ(JKX9LzrV=}`S1MsFz5GT{x!EVJfS6ie~88S@BC7g^Lw0s&E>bD#P8Etg8$C1 zK{>y}!!rDLxW6QRKa-{S@1?BN{XNaU=5{V)W#ad4tc?F&&dS~2Yw)kRohw$R7O;xS z)a?t6I!~tV9L9240V`&4X0tS_@kHvbKFf?o%Z!@KjPhkh=`tg^%qUrA6u}h|xVZFW z>YiaOo=7cyw)yJRGKaXUQp+k+52jNO2|5CuRu^53et9&VS}uRN2K}-!oqAmUaxMC$ zGM#!t{&F4qr7E3zQvPy1`sJB)>RI{A4D`!$>D2S`mzm<1m8q9krr_3oY|qNno3P^8 z_1z>7skgn*6ys`?CPR9k6LFy=T=JKH#@*%gDX=XIB4?$_^`tZr*RGGWqUgHu_VO&2xv(!*G1~>2xwDrrhpVc z+aaMX5YYHy*|{dGDb}n{SxvNNF~*!lwFTVEu?q^&j>fo7mjQ1^I`x_CXQAw;7ON%t z`GVC#{nTdQD&uP-?kkWD^ejg z0*3{5GJ70u#d&KH4!05>0S=8N4y&O5{`{}1^gsm;3-L|mOmw)7^d)(5TTmXFNgOJn z{~`RZsG)%S5?|hfy1489F%MEB86xy3W`R1iB1)u zQp*3TN)J|`vsf=00v&)LS4Drm+(k5+ z9tDr?3G(Q0iNiC{|Ec`1s@cHx6s6MXpvUNQjx&R(}n=6y9)~p%jYpH6*Bh)R*2Fb5}6kwbu!Q+g2l@SD1^s!EShkoW#5nM13eFf}yvd4OnYpwF?AKCc9aM-}XYb`!)VIid&c z{ZTmxZ67%zFp`ru^1rIm{)!PjgbCsatzKXr4)W-D$)h*2Ve%tEDH$LEyoCXHbJ%-= zFH+_nB?JJP4wN)~CrDEdVuZ*nSIo%e!5KM75_l63mq#JYttvf1LEy)tW~AJ~P$sXy z+(0YX3X##$n^(Z(VG@Te&_5U5m#RunR^YJGj!7AzOm+#P--ywy1Mi8nk+Vll+1O=6`&NmLRp06J$# zYJUKzyvYBmN=GZudE$Rm5^D%rAkb$^YJU_lJrJcMHhyaf%>mjN3GL%*L#q;*$UQ*> zXy-_1pHv&#lR@0ZN@$-cQ>e{ueN1-r;{$rROUc`7};h z)-b-p*)9Z&E|k!AM-nT~U#k5XxQ@&;NvU@^&HW?AXbhaP&lN%tv02eCu|33mrF{0Uu|d`2+0826%yJWSn#`c zuEXkZXGZBnRtGsV_G9~b&J1u7``(BG;fg4|1}N#dBG$$JS<8=G)|FUQrPmq8+LEM5 zUFdV|MXZqcQ}EDfT~=3gdOfR)I<3d*X*!*y>U3^Ir!NIMC#(Wp#hJ| z&kmh~!@iT$UnJ}Q8Y#iVp7P1@y25^cohVM2?u%XcFx`KLK&%7#UsdV7fJ2lA;EjO& zUKx8aG~W9W{@8o)CZ0c_Dk@`JLv0^Xw0$ekRuCFZ9<+2t==XPm;`yi)&p)xSt4!{V z!P(-ue8K+zI~xF-f&d$CboU{|vGNMIYV2A;rzYq1`V0x~w+&B1I@ zM2O4)2g;04gCms<$m0e8S`(lJC(4O$u#a zi{M+TMer?|34Q=OK+s|>I{?w532PEf@GZI=Cv(%KRg&Nqo+853ls?Od>H03wQLviG zBa!0Vkji)-?zEP^s#-Lz|v@l)miX4|Y&-K95l4e^sTgD-`2Lb_s}I zz!{mfg4#}yf)T&r60gPT;zDO=!Bt`-)|7a0-0H4V=~DOH22QMsi=%(5{lIMsD*2HpfzXsDNTPM}E|qA2_(>teCW7$j^2 zhg%xQd>Nl>;(<%f_t)c3u;<-1Pnt2n_wqIA7;A?rwVfRf@Mo?Ck@7=*O| zIomNAm=^2Yl0k5b8%x5|3;_Q+IGG0U>hZPq9#cBqQ7W^9JC({;rn>-${HN20fz*0Y z=3P6tVyy%kN3d3itF0N_^Z_(V{(%2grn@;88+e{{z{%5w>Fy8$&jngSYs1|xG|aB7 zt7@3PW*TP1l>9ZzVN$*PYqf?6qm^?xFkn0-yD?Z5Vf`M#x}gCd#g0-9__s_0j+m0a zWjSEN*55Sa6*0|(twdMT@rGvPsd7e^WtfrO8CVBEo{_9OB2N$2Lq(oHbZ<>5R?k0l z?+nw`(|5TwSPl4+htS7>?7we_M_0LSWMoiH^GvbPuPrEQmy@J`;oAnmBp2d12 zT#sf)OI+=Gb|KbVf9h^a&7drYy@s^Ej$y}$zDBcSP+!NgV`X1-ru~(PE)jG3ujmzU-!}=gxk7LJ4T!Ah&rpsT|p$ne+eOX`8*I3pU_0^B{lYLRT{GEv|5mW!~ z>d~d9f-e18e}U^b)*s<|JUd?E3UtA*^cjCwgDxQzFO8W~i?00yfisEG5~0EF5= zHc+BQ$@p(3GDa-g|7Id1Y-+o99>fL-R4!zL5GsS&U>B9psy>7b5e+V8Lr{aEY^VzN zy_s;2P#JqO;VxEnK>q{=wj5CMQg#BuW*8eLv9T-28nIWC783R;gg-v)W{l>WGphq%S5*eNj^|Z6q5hu$#h0BJ56Qr+e6yKzbA z-^j+G+UKxy6Hdf#?i;YD%oy*R}Blyf4!8kTf z)Sk`8q1xlwcsT+h%mucZ)G@-80^#S5mI#pwhr4E_xpW>oParavore%PpPi4;#;!Ej ze)FM+ro_#1O4O0!d;z;aK%dVpK+rE_7dpe_2TX#Y7qN>(y#?$dRPSPTF~;IHQS}mb ziKu!9y98CeltH>7u9gC4R%VfIGlIR$P_v<$-w<6zPS>EeI*lN?hYU53)4)xq@07Eo zuB6-qHbD$?F`Iyfc^SJ*4pWfha(20>cQ?Bn)w_aSA?x8OTddO;e2_$zip7OJl`K)X zn&oY7I(?5EWPL{!I0rY1(_k}3LTR?A)AvdM4P7e5KZo<8r!f{Kn$c0Flw@Ew=*=tH zm4dQM*_DX06WPRQ%9iL>zoK;dJ~@C!lFe7Kt3)UFv#U@ilh`ELiD2_&Hd)krkWEJQ zu4Y&J_3#B!Gj6v~${e}G2{oH3Yw}ZKD)n()+Bc{TWShdK2uvSlQxK-tuxkXSu5TWu za`4m~FgZtwiO8$awewUqRlt6fO+~P;W!E}X5Z8jp*}WOpJ@X)JMt@C?+ZQVPC&^a< z(-G>LM5n}cYY0pW8RJlVKsM7NK|)SoTF4lO;-(mY8&8>D>F%(iLRQUWAYvjd@6y3x zCW`-1%~CrM3zl9bg}g;J08&8!D1@LD2p`Pw_(DF*!FqZE40`y_+K>;}EY;?J!3v_( zZlmEGbTl9S7SI+W)h%!yNx(vFhEwHJs>xv?<{VMN=|VLE@ECLAVT^8Cg!x8m>=>{8 z0Z6!0`QO(@BAY#LIM z*Rkt7CCT?9@q7KzR^T{ccP}C`$A>3FO%eRBqCY^rT{MJMU^<&Fka&(wM@U@HuJ_j~ zF#O{8!rx*n3N`H>O2Ogj<;fyfQ=C#qVI~@144TSn}HUu#CE_KywRhFCLzv*rW#OpHk&Q#y}@RqdUMzur(O$q@KnH?%jSxDZ?U5~I<`7F5?}mF76$99mtYd{1(4O|cggvl zyv}3u1f@2zd5BW;*?dg-#59V;e)fTCX^lX7KTQM#MS4G5t@K0lppFQ=)Us`pWZU5m z+p>+DGV2BcJJXvbtRo#*exQ#NRGfYf$EKjTsq{x~j7mDaT>|NbO*+?e>GT(pLfsw1 z1SbA1>=q%WJJ~HrOc$^PSWKbbt?X7&Z#TOY)mz9G%6b_0#+rST8!CDmyG=m+n%#yV z-p+0p5FN8VUPyuJwuGRFakQ%0Sd;RGqd+2Iq4o(%V!H_6!R`bVv}f zS7@wDvmX)XYc@_9*DKMW6S}c3WfM-C217ej3DX_gBDP2j?R&Ne4Q(-7>~oi_lYr*U zN|1Cn2vyZjh4S91%{nTzU^~Bpf|DS392)kdja2(NJ9XmhzLxlWdecXo{>5cf(KDlAkv9t zkTlK#QkExYd~Y#5+!uVn$AO;iq0^?B*uq7RlWQHYvdVCt46~UgUq9toGwojAQPhvY zYeGITo8hRzcx_ak0M5H{yPTz9Cc4jd-^1?VTrDeN_aLs`%kFiwig?Dosx0X|sUu%; zH=E;7;F6&Z$z7OB*b)IV#g-tLOW9HaQ#>-?CS6uT68#tg=00|x08^9Qhrldj%Lte{ z0=0D6eiBR{0_J{pzW`H@-H*ULz#brA_IJTFl3@B0Fb}c^1(*Zag9yw+>>&cCnG2@5 z1OrJ0V9)20=3(}*fYOpZjG#Qi9wAWLhREFpUrF&cR4&X%*`oqxJN77oxtuL0Fgv-J z9V{^$Ae6cb~R9KyNj83 z!yEQ^g8(zo_xz>0vRAZl42VCuCBjt!r!Sqpi0goX0PPV7Z zPIm#ox!hb(h1Iz#G*;*kOXOvJOCMG#^Ja3))`tM0B4+@LCR-@OaB>#a6N zh(Xp>cV!4nqOvi78Hi1UW3sF6*;!)#cFo`tq;^*~&F-)@w!yn_IeClFq=!|blx zBE2dEF$veGKoFC~w4K^lLU+pDH9KW){R>f#*eQ3{JXFAU%FvFCqmy##g?7r_HDV(w zr_1Ce?c%ebuS>;#;VJf%ShcQTPoY)oY4)^S|3tlK*fXNuMD`4-_bhwXuSfP$Jv1&K zDrG$~`AW(B@1c3ljtW^1yR(vdf=3F4*F)pYAn)FpJ#39?1StUj!aBB2P;C-hhp4um zt#_yvvAOP{n;Q_Mlxg};%{2XcXr9%e$9FxnJ8a)1>VflZd8TEyMn}&;a+!mwEA;-?~5ard&9;6mqa>&z5yYEbw-6*x_7)OgbOi`I9y@RZnDFKYl z2EfrllW&#;FwOy>GWm`nW~?;%jv*!!n0z-mAi2qR9xMs#`CnDp%?gw6SiIBrOgm>gS5RV*3ow`O zlHzoEHW&;f`v?GVw*+uyHUI{Z99{qbMdw_V4S>NS8Vzl!P;|~@Zqa~ZTPn1~i|j?A zC6=)lk(PLgy%en_hG-9X1;m%x%L3v9>}3S;750jR2)#dq4UQq&C=6P&y;4ijWq640 zV$571Vr(7|qJCu=WZ5JS(3(Sa&UU_IS&lIpsyS8w_3r42Rd{}2=i(Z9DOg~WyaB^L zL1g@hHp%H|PY{W}qH8)>GAj8ek{!)qC?qjfGF1>w}v6X+{S)Lrx$6wkp)toNp6nz=^v3Bj4R^ zqi|1FViBZ+=0-G!`#yzxN!D;LQr3id#rGkvvRB1o@F9B@Ee5Z#*W_YA`qn*VjSiuP zV7r2v{;E~|q(JJhvXA7VJOw5aykt;W_AwW>pkNHwYIuzPa1s5dnjrw2Y_o^!q78^( z4A-0qxrBb5y)Fp-340w8`VIC*G@*xUgwlohCVNvr{EWScAil-kQbE+j;T5IJK9}U4 zD#$J32V5)UZT7b4>r3`F>gyf$j_AufyoP3xn)>7nhxMb=Wjkf>(?Y#(WE(~AyV*w6 z`zE%@8!1HV0Q0;R6m$F(&E`%V@1@JWl3h;^b-kHw7F~bCHlwb$uq{s4B2WlMJrla; zrV8$QM8;sCvTxn4;mG6$r)#+f5rKBzon-kUf_W;j_~lOERJ_~fJAv;U;Cx2}xns+I z;D1$RKjXDXrcF0bvx`AjxE86m6A&)6rQUtBt!%5H#jk8DqQ$%HT_gm-*sg7Cn`rYp z+lJb_$KJzjP;3`afnypX=185TCE`8G{*c6+D*y*(6;ojQmF0%-q#1=ZH4a|nGoQy7mcy~TTr5Xt9ALRAQMwfmP^I|K4)CGel6<%= z8vtX10CFUNI|Kk2$r$(>k4JKj&b>&irE_%Z3rxcDJoqCq0_WJ>)eCO`b~|;=x#{vk zIg~}&&|+-hEULUn0=O$10Otk)6iWd2D2X{vce{!Vc$_ZQjuR6Sc$FtGqsD0>8>!tl zPWP}p5Hl%nmACPlD{l~+u2{l~a9=i4^!(ryEthhAe>MOv2wZ|G z_hNWpiF+^`02c;q_3{dd!NVAUx2Wk7znd2kU+(sFc}+=>N2?9(;vjXHg!UMQrdp3K z3Ah)_YstZ_6aYZh$H3nOSllnwTo|KbcBwY9o5ED@V@tTMtV3%brWAC(Wk|MzzYg%% ziMG;6GC3!?G;2+9sU{UokQVAopd4qu;VZ9;@tq)??$KK~3VVVkg3;YVeaJrK#!`8G z_8~HsK4Kp^#u8kGC1;lt#6d`O-}LNqg2)&iU6cBoE=?IXsM%JOE^i>EZxt5btftmw zf!Oo%hH^4gI?%)t7$WoK8rKXZ^W_@B=NG1uU4kNaxzHZb#Bolf%lDUPt_cm=PwEpH z_;f{Z$S5SR3d2&(|0{#qv9aU;kG;HrugmmlYICCIHY~q=5qtw18njn(ovw8gb*2@V z6y;6u^q8n|l9e}w+RbR~{%S0Uhhw7VE$EUK!AUw%lXjO%&qPgt4~HASf#R!vjW-Ba zZ7OlN;QHFmARPis{uXRIn*1NLkE195#FQ~bNh~`1q3UF1RWYiN59=z8vZ5oqO5Dwh zo;_TxmDhp!7OCp5vQJcEMFSYF(wW@E5V|VsD~(s_4&(zcHF*SxaRr24svJ^D3xAV4 zG3q{}>)hb~0cqI5b_i)`#daWR_=J7p?VDrMiG@8$GtnTQ1iubf!UI%-S=YRv&2)Ep zp$%%g+X?uOtl+oipiphJ9iOCA)8e`x3=jFI$Pgy$&d~xjTPew$O!L7)AMh@p=eGGz z28}>Q4}P-ls74H)P^yk{2=1cOS}r=zI12?8kx$vDLPXlKPmzdx#y(Ss$kmxeBr(lr za+Cz1ac2~f#57bJ4gBitMWoyS7bao+l&nQ$il!SZBBGD~YS4~|2$0c(pHdwWxjOS! z?I0;4>!L*DbN0Cqk@oC!BqCq1FBBp&RTqoqYLVL`sO6hLN;QIN`0YWD+#XG*sTw0r z%nt7JROyjxvlfzTvk(%V#9pOG(4f#b@YFyx)XBPLUI?m*#+F7F`4 zkRHaMyaoCQSXtc?7)e)0vnZ*R%<=NnfiGOnr67TWn2+9wG`Fh043S zp#$miZc^A@R6yyaf^w`61*J%T876wy&b!zyA)|fSE+nJ7*=|op`4#!{{=SWM1HMJ! z9VE!(EV0}2E6*T47I}y@gB)&cO_#e*67oZ=SHZ4@kf7wes`9~#L#!L|VFnyx!TAkW zCR|crcKE%|)NDXwkS&D<5Y5zGkl;QF;%}U(xm6A3IgnNr)-+R-zK5FUGxc!@P9H*! zVf4?Ek?Ue+f}NX^ z93OXJcF)$GaS`X3w@Cl4m# z5yD*|O<>xbl(9m|9_rqlZC|CXN%r!y>Zjq1U%&7bE?!C}&*f#>X%h)#vxo_FG0=W|B z?soY^=X!1VRqiqmXEd*aN;=oOUHTpSPC%K=zC%#HXWtVjaQmauZUbp;e9Y$KwGu+K zc(k31*bnRn0dgAq0fF4Z_7IRagh1YcO#lwEJkJC9Bl}T+ypjEgK>oyjA|PjnKrRT- z56*`@kUz7Z1<1MVX9V&W_6q@dO9o&lBOvbxfm|54 z-p$WgT#&!B-v!7;>~{q65B3KEc~1!BZ5T^_O*z@c1NkTWQ-EB;{zM@EVt)~k@WNq$ z~93~ANCJ{`KW-IF88wT!HMOYpe!LI#s%{)`&WQj!Tv>H z_OiVM%xV`*r4;G6L0Ia#P&RZnjSb8+1f(_m%ZHVO-%Kbm2|Nf?4h(fsP`JVG?Vtz% zEC65$;Lq?c0z3yw;3^^9@b>24b0Of11KdUg0O+{@0dDw#V|fGrBGB`oB#%Icz-T}{ zU5+wZZRE!V&Xx4?G|codUTPUjTlMe-Xe1P*Q*ZJ1q*Kv{1BogMX10MNm>C zT7)j$->sGtK%*EM77L(n@h<{21|>0sMpgMHcYG-4(Pkkr?g0Cv0hU1P5&?ND|00m% zP!dOwodG7GG$C4S<6opj5=xR}fa&u0Zrrs`8IR zGO+~fsffG!Fn{G!{7KV4OWC#Z?KWA!*rRqjPr`Wt%+x(sTheK;uMWQPMMD*`=1PDUkxo*?(Bwx(;i0V$k7p8|0} zqs2E?)nEz&t-<{VY9s_<;)hD_P3 z%_?g&#*myR&lSd}g!E((l9+lmKz?g**{;!qe-YWPfRYL<+wuB7UhD9(=E=4b`XcLN%a0N1QbP?LY~w0w`a<89&%6In^%YLVku+UzH^zft1c6 z5$BL}HdfYX?hp2PJa4$xs?jn#fHfLN1AHL}F!@xL09!``d=bY?drDuMSX=A0TrQ|EsD2xs4+A@9Wr-t`JMg1Q0qxB2*7n`ZxJsRgI$*2)&7QLbVWji%>!$^cI7>SI73R#v-zn$h#cF*1&D*8vW!Lz{i&WC6Xwt zsxeS8hIg@z17mB=HS-!DS(^5hfM_0`^Ko|BNffx z4>nIgik{HpzcofFntzC|e(>ItZ$>pAt7yI>u>OLqL^bEmB9Hrc?4JZQP-S9rRP#%4 zb8OyR?)jRKbK*;J-NQA9x$d{OM8TYdVWP_{JA)&#UyN!_QevRyy8{!nGPyab`SrMW zJffKqBl-%%SuGn`3rVMxgY4NWgB5g88Hh=Cctne+UlK zUK0hAUy_cRM|%PbXQh3ARP$GGb3Dv9B2fAfuYDy$qu{**yE=H9zA_1+C}G*zs_O0i zaBtQjcmzUrAg(J66L!y01h6_|WQ7t1i#y!>bKD#gU{?f6U9jwMlxlnx1@n6qOxW`V z#o0O(D?AP}c2gA0-&HXGj(~YskaT4~M8K>tF-$o{tiyw=UuTFFyB*nkIqn_ty#n@?As%%L(o^iaYQo;CCf|2e)aGAg z-^HMW$$eMU0P3qSXcU3LQFv6|Ud;(M1TR`G=&hE(b}$hK6g-wtpkD`m zs3RIROo084_pZ>zW%X`)gx1w zl(-)$5UvkU>Wdy)@GsIs11M?W^-wfgL`;fC4dI7|qERdUMH=l7CHs4gvKwV1Xwpc4 zYs0??xW-V@*aH_FgIJS%?_(4-feLGS2j8d zrYh*9!V5Y!M)xSK&D*0?*#TH9i?-H&hH$!8|1b=Fu1?_Y4V<<#YG| zA!DcVFCt^y!_!2{7*GpFCTG(zy0B>9k7ON#y$7I8oPN0)Xe#<0$-hXy2SUk#vR^qV z`DBOopajq0U!+lUC~59Ba-Nn0WyL3N3(?{%{zY1}gp!uB1s>Y5nmZ0Wrl5i++5=4X z1E0ZL1J8>lSay#e3Fm$oKaA-F4S~4BtHGQf@&oQb1wfP zglP>Wtv$ks=S1dJRa^kF=KF~Gq=sFQvv$!*-A8kuB>r4bq4d$*IwjE(Rv&F(KfuMA zT%h(4HF4dKd<%)#y9tT9dlFmhuBY7W=%&($`ap{LFQi);B8 z!J-S4bWvfUzKRpX;#>_D{#@*f{e=EpJQOfERA6@<|038O1|^3%*g3~p@#Q|Weu0@S zPII-HBdq=+B2XUIRmgW*W8fVX5^*?mdALC52L46RIRZ+K@QB4#L&c45t0Uo;BSouO z{EM{e3ME~=R#aUaugUmGNqoFEJkcl0@d2VZW9kMNbQ9Rk=3fN6qoCv{LgK|fv;p{n zuV)J5gr$5D5T}?G^YLOV@+GG$ZgCBm(W_%{E-t9s9eV37Fu9d~5lnhONe_=s+^9pD zQDsMWhC1@m9VDWFg1WvZfbA)|T+F{nm%X5*mmIvJOX4#TWAnQlj8E3|6nY?pc)H?2 zY2vkXT(QxEULMibdjJv4!`7lL{68LfDCpc92G&~)dL{oNgFYHcj`jv!)B>)Kko)}B z37XK#k~`8B@~y;JTd!lD`$cqo3;;hybiA5>k&cgrl4D87=?X8D1V+)$16JcJ7g!%? z&_@7U!@mfy=f01Dw4<*NY!xCEUMPI&84s3=UCJ%rX0|c}!{EI*v2qgmv zw3mHor$j;<1T6*$Xz%hb0&Osq40fQ67H_*%yyuQ+2>dccwEBR5kyb;YWT@AQYTOZ; zclM~p9ife^^~W?KQt6xk7yyI8R{w~95$uLR$uLPFu5{8}fWL)Lrw<#JqlZbA8^HP-mnj!FY%|`BH!}0;gv~oe04= zJ!|Tmo+Wi`L7gN;u3FTgvU9rTfwK^G3ViDDsSP28!HIB&F5m135O0os#5?r9J~|ag z2XG^sX9Ny8<14`DE*(dFL;H=l6e5SwnL(!sPiPrwmF>acsEKqIJ~tLIIh%z~1HwkR zS5+k8D#6@1+wQ2=1x0=%VQ!oVy7WY$XMf^fM9-cCB`0~SfY`|ME$DD49WGk@!oNt1 zlcD5f*@7ZiCBQ=O6(Y9<_dJ~{TKvJkNQ={;= z9xZSt!Fu@*{~}$V2_A}!8=l5=DWjHx!yo&Rj0bq+p!6VnR}hfroa zYpm{u1Y~;&rEh~4>W67b`ZlPk&WXbPg<__Tg^`XGRLJ9BgbLgVcdn#@+hQD)juR~k z_!nt09!kc`7MN~hZMEBi+;IWChbiZD%)bf()oiHdZYw#3d6o__rt<)c^8|)GD*HTw z;rUQkCMW3!&sf*#eL0TulNaB(>*;FrDT0dlA6CNc0=$U!>oQ zq2yxOuiN4hD7{3qNb)bz;!-HNRJOpFjuY=bL@NV?>A2wh;t8$fz>oN#Q4RC&Nl}?_4Wb`V)=_-M51^*)WPJ)t2 znee?pcZZ$edqH-*zW^T@iTMPN7YW`^27D$9Tp9l&xN`sO)gG>h_ZMPG5{LSHm7fB& zrwB;3`4@q74U}BtKyvn!JTo;(R%TtIIbYx!4em#wqo7MPo@VOCzr=2<);Iu{Bn$^E z)Y(XbaJy;X`t#%xOnKh{I~C|LRnTfb{zYiT9mdy6s)Jm0@u6Lc!)APF)1bvP0j(bY zBG9;l_c{s9G3B_|q`B~#1e0x96EvH3k!&lNuSUKiCO4s&Qxi0M1a7MMnTMe3a;fzF z!A*w&Oc#S|z`w}gu7{HALxYq1YscN=1y9%9A7RL!KMTHshUCjh1{bYUDb|-oV$B`d zHwdg7^Dlz+3@Dj_u=Z7!&*sY`VcrPsZWJ(^@Gk;$CX~!XFol`l3~57ffWZx);MV7g zOz{amN>@b6G55UB5-1(WzX(bpbD>xA-QPKw0%7HD^ifZ3LR5ts|0WPt;dYuyMcOpX*ZeokG`HdNzI(L9#H;ZjXLC2LJS#m-8TPl=?} zZ9tFP1g$#oFG8!^q2zYS(`J=5JGoap%IiA*AD_9`XlN#rvsu?f%D^4a&m97XL--fL z;Z7*Ili(m%lc|x=7D0ok7sAl$ARxIR^{i_|Cg0Ojrx zRPD;Y2vzTel6x`VkrgpL(u!CDEtUvqNAWKLZ7Gy2mC!O;5!e6MR>bvLTM^esPSg8f z0QZT(_26G*aLb@%S!i(og%xo_)>z*ViS_+}+5H0RUi^z-{Q#6afUpi*5i=rTJ_zj| z6flqGUj*huQ1TFhNvsH%>?q%2v^{ZS*7n4WkrMqdAn>q2?pXdskb4A59+AjJ*%LE$ zT2$H-Gb8PZM*;q$qVMDQ7wLOBlq{EhN35i?BK6*5(C#q-vmgH=Fjqjy3IbDYPuvtq zEq>0tQb0eRe-Y@9L&@U=deEMj9f|QOXtzqh9LT>2%+*k`+JULCC+0+oTqU%t6fg(# zF9P!kD0zaw46Z11BVqE>=`{l8Q2s?=u7#4dT9`LS!mNUJRRZQP{zYIu2_;V=n2|pD znh*vlUHsH1KTqs$Wu%0NouN?R+B;8VR`c`_lyuEA(5Y!5w-VNsCQTvPrg}*l1kbEy(`}kEWU-3Z+$FAyVLkPDE&^f;68@$ zNQ>{GP{odsYN*A|BFofyk(LTopNLvXiXAq0(J#i6(a_oYB_3kkv9B}niV zcXua13KVJkXwd@2p|s`wCt<^8GTV|C!X~-zmH*Csd-u%Dx#yfaGdsJ9e@DV2bYfv< z%a7s^|L!juCH!PE(y^ymqb8qBMk;HX{lfRZjPwjU{Veu?=!VC$1PAmSrKVp^qz_i?m%KOr0tQ~h8edLJ35>r4d6~fYszk<@DUHWgmRES^t5~aR zXeoi!*C4NrV}MhI_+JJ49WVSI`=)iYl;BNoK;9(S6@1xPah%JQHz3@`y*K^`4Ezym zd=o7tF#adVp9zfPk4`I$N10Yomt7k)_FLR{S}$VGbjI!CzI(g-eu+gfJZ@zl`}F7* zO-Q5l?5TA|>w?xrWP|l6>*?0BWP|PtT^n6{v`2K8bl=Mc_kQkS?h&%VW2DD4kC|xi zc)a%bLpFFm^Rn?uCmS+(Wh#)Vux!ZOKJ!4cQuG3(3|=He_enowB>4{VfO2VJ#bS{FLKKj_0z$n|nKZ>(I{gUhDm> zY{)e-*R)*NZf-;F(YeE9L!PO5mgb3)4SD_Y*2!BB?ftxeVmHzLS=^zxlWZs+T6|{lIcR?_{=E1r*-%1TB2$U1Xnjfq zln9m$B{P>ST(TJ2Y9$+$Y$_W{Eh@FX6t?SQWSKwHqKf{#DiOFnme;J?zvOIImf zT{ieO_U+``743E3hrW+xLxpJ-mQ`4R_E?206|Tt!KNr88e!0<>^Q+;9{qk$;XYlJM z8!C>kxS--<*-*Je<*Jnf&^D{wt#VJa>niW9d_Xo-X<4Obl|HheYT2s6RcpzHYK5x# zRm1TISO@3=+|f1;=oEnM1}qHtHee&#TLCWvewPh_1p_MtVm|}>1da+EgZ60P<-n`5 zA;>-`a}fL!)HSDca zXx*80;kSCb>Yc84PBt{?++bjXA!zqDIM?8UY-sXJlNU{1%Z8>tO#_<3kFBb-YS5~& zY-qi<^`6%I(Y|ft*v3URwCU1jP@AD>@3#59&7ZQNZM(L8+xC|Y?RvHw-fk4yrR_Gi z+a?=AbRoGyFs?l+^{CgQp={{m*QZXOda|K!&Au)Aww4Y3diERM5B&#B9k6r&;&0%N zfhPx^kqtvy4Cyfh`#UGT%$70R(Y^}PglT0%SdFmeVXe?k4O<$9?Sx$o`z`FLYzQwMUNgK7 z+8N=i!`GpGG}d~ooopDJZEUHrWzc>#He&1qwCBeDGWL#ah>#-OBC!348WF7{+R27- ze&gzoYlQa3xToV@$cBkKCZ3&mUN+1xHoyA(Ahf&YN6*K8&wsqYc7aAVEU37k!2@@HY`21^!ifd&C=hOWmx7c89`X9TiJc($d$<7Rc%-GUo{Zz zwN;N-J(CTq^RBM2+8^z_)$3OykJj{A6SgJ-?fy02t+^r_)@E3nb8T+4Ro6CM+Z^r9 zwXfE`kqsMzH@4c?7VY4TQ#MXVdu`+6jj*@LVN;Gx*q=?aHm%)+dbHVPbDqul(N^8u zbTi(+dH3e?n`6*E+G4#0KmHIG`Dm}~d$IsH3}% z?v)M4avUpt4E>JvJ2vjvM6@x-?j6JXkLNvJ;W)M!areZpvf-rdNw1Tc(Uv}0^CaT_UHjC98B4C3=li8Fy`YM|Y5=Ij~x>&&lb`B`h( za5m!XqO(iT?mm0|Ec|}<(K+jL7{_zL=USa>i+1n1i{~z(eH?8Yjl77?5nVbO#}z#@ zdQJ2ExB z;=qfjV;2uzynOMhY{1`%E);|Djj0vWHU`HNvpQy9%t6_}th~B1t4VeF)32mg|{2b+PkYZRZqWrz!BS7h+Ang(=;CvKMd0`QMm{e|Lr? zygU9)+JAmid|!>(zVTgbm0jXrp#dP5$AU^&dCStpj)R@k{C_`KE(zS zY(!eYvVE$J7&k=G^GP>iGAi7P<@l7xV|q-Vd_&f;N7C9((=j$4nlbNBeQ2hKmn<7g zICQy_REG1)ela44nBEN^lhs_}4RYYO*g`IB?Q2{&Gi6-@4p8+U+e&}Z4WNr1B< ztnlYJep4TOwjGdTj~unk+0Lc3ohGB5=UV)S(^MZ?q3NzFEBd*-W9p&Lx)UGbrQ)B{ zOQxRs>^t($-s;Orer|7>ddwnr=AXS5iKP69R}kcZfBVmP^>s_!-I%YXdDGOR7P)i(=v6j_`B|b@O+9O|JNVDuy}|q~ z)w`x1w&^$5X`4{-f8~5>`DWc-_?VDQ1U1 z#QT9M#rviskfL_lDb~ZPcUR;gcIj`^2YhtT9m>&=)CnbWBGmMIS`cb7~5!my$=#bmUU}f&6oh zjbIH@`e>PsSgJUfzZo^0`_Z{x9@Z!|jGF1lrJ4i!o6&psx#(!tB(;p5=?JE(gQ6!0 z@ua2Nr`vJYUzCQ!*q5nkAWa7|)g9P}q-FEeHkzg*nkoXY*L6v@+DD!=0uM`kzlzyjhpD6a}V{kme5jZ=&Jd4ZH}}Ev-d^X+)&Sga4bT zcz0vaoApSGQDGVxY1RRM6CLkr#xT|^twx7wgrsRF{7sa+t04_opR^n$rje579btOG znT7RD>v3Tk8^Rg*4wvFWH9PAkLL}~+ZN_h@35UGG~om^P{hmocrl%fgkwx%h8&Y{V&Y%0!6I}LhK_0Y2N2akPoMa}JR7%rgHd2I?`H(UVE8(cW2`iP*s>eo) z(E9t(G7T@`tbYhEau)p+jS+$N&w*wPwzy}!2C;DAv=4Kd z(Q)*wm~h$$WGOi;_TRf~)lPbstyrM1F05k_BKAH!_DthXIL;VbAJ`i2=HOQgDD?8P z@gnq+7J8=PC!F_zVHaNl(O>hf4AKv0;G`v^ox@%H7x%*%mDofPk4cY5)0h+v{1-7v z4m6g=ce8R9Hd%z?zY9gva1>7bm*GfGG=9<>cg9E)b`~~OMCHGaO4G;`j{FaiNsct$ zeTa)rZ48}J@@#Cn2+;o)pr%18ocSMvl$>c78OGXX#txlV)ehrs%g9m zhklHBg$>F0hiS5~*&;|kPLP@gs&MK@3RH5cRqT~~+#ga3WOGHle!O@!jalK?j~KJc zxLv{Ki@5!`acdg8!nq$gc9rpao-Gvd`|;z~G=_zPKS2yD<5(b*RJ1)_)q@$H_ZVt0G}ZTRHuUVA{9PQDww8& z7=+K14vD9RjUpdDUp|=Tgcyj=m=h{fMG)I8QseWchG}|;!T8MSL4#pSKe@mP$Nv5A zj&|Dpu^)~3v#lagK7XQ^CW{yl3rH3k5L|}Ge}vqKZ5MfB8F^xwD`HSABv<}sg4rn& z$8r+KG<5v>sc)c7Gg%C9D$HaW;J7C?3(#yV*|48P z9;ePcHqB)*$f-1!X^<1%33>Ntmp$1{k=Us>u}za(40I|^?!QU!UqphZ?gTeYaxvJc zJju-_dS-T8Bzo#kbkk%P1D*zw-E6|oWp_owr;UU+CVlKjBeuKPeKGK9XW)&4Pam6z zflnh9p9Y=&S<@#e@t>!#Y3zYW{j`<(rs*#RKaHh-TnWHWe>$}`{<&{o_DGa~v{wR5 z%YX=gG*|{G08IaMVi0>Q>Ok781E#e=1VI|D1&QmyQ&A7nZapxq2_g{Ea7{>D7oLl{ zkhbfBX>Aa}kj866;`;DX)CW-?OlyP)2vH+qKYd7ezu~p06QWK~oiMExA}BP7tDlnr8UM7@8IZ3>hu&#W;<9>W=zMbc4JEsV`5Bskd#F?S$nPF-O zIb&@R!6JhEAA?+RSEUBG6Q?fX)a66Ups~z|fDvWpAIl5{lntG*u)?HqzZ}ZExkgkQ zQEfh?+E5>3#SuXxD$WO0910rS1XV}7Kla0;03P?t^WsYCgZ=oSNt#;w8HI23&0AY5o3g(7Wq0U+yGolBh}lrY4!zB@slTF8#Z@1izVnPvI7K5%oyaqkmhE zj5R4Z_NL<$t`or|g6Y2mQ-Xk!&T=;qP$HoIYd|FkD*G+3zWfHZz)7xxDgSstEAlrB-a)FS1-Fyn1>5nQ5tebn+r zL7DI`!KS|*dkdlx&msz$C}jVoXqkJjv6zVf6UFSK7qi3#4G)piuYfe**+fAT1j>P6IJcMR5L4dW#w-YTVz|sE_fQvCfHL6Ls#>);aR8vew02rAm!>Zc*z*t@{}D?4N8< zy^DL?tP9U8f=&e8KLyOkdi{Oi<>C27;EBNdSb_B+c8q6vBJjjn-lspyGx4#Noi@gJ z*Up<46m?J3y$`8ZAG43K_KDy#$;*%FU}4LAQd_^Pe{nzP#kIV!D1qj^B_iq5`6mAQ zgO}k&MG$^EM~fi-d*8+fB7WYmxCq3*ca{jIPwOA!$)N~DadMcf7skrOmgq#0p4ju| zB}GyEv`Me@y;x7|Z)0H;!6*vjXDy7BoUwNi-~A?bUG5|5W8!=jH7xmkVyuxOAVrP* z>@_lRoh&2jWb)SpQHRxiZmg9eC`GNbh+3(vmjie?Q7_eVSJbr6;}>Ji6oDyfrp44u zW!;?2eMQ~;JoQ1;W%GWg+8Otp_XzGM0@S>tL=b&G-@Fgfyxd;|>E{~=kpzG5d*hj? z2vTt-YEfsRrip9%DbZwJS=7_N&uLTFiRI+?)%(eRFs~|tHTefX1bxzc{ytzscy$r5 zNpqeEw$J0Q_d$Dy2a2HmJcA&DKk0rqUUG?`6_;EVd tY~xS$;m@hcU1J|-?#hEj z)lGW#D;+D=lh237$}0j_RNfR*d8t4ouD%s{O;LT5uX2bg{c(J4tiU38MFmb#63sH@ew~C0W{qg)w6*=y~Mt@#U z1oFpotO(NN_oZntHxR*``~x6@{^R=meL&~sjYL3yT<3~l{XG6OUIdDO78ijj@*+@K zI1)Z7+(gvr&r?^F!y?xI`#8j(fY^M=zZ8M}-yJG~(sF!gJP{FrElxyI#fgZqxTLeg zuiN2|+bT5f_duJAGHy8~$T(u6{cmGpEaf7&MJZ2JrJM?bMp?+;mG-z_c&^J^ii-Z< zs)wk)mgzrZRTlv+s(Pxc>aj&fS=rzHDz!InEo!=D)+8}k{P%G&)^!o&qOPaTx{h&8 zbQ1=({atw<%-f3c{@=@pD8-iRLt}{-fi6mXsx9$UfNb8M!oRET-FbUa-7U8=iCN?0 zjE%9vi(nTOK2=wEDj1US)ZLqR5XJrD6cAB}E!&UA0xtqy6!=tM;Hel{QIUUl65oY) z5_R6P>ywy2KHm5kYrP11QR~x0tyi4XcM-+@;}sK8m@VIz#)2;bUljZ_Q}C51`LB65 zQTHvsR*CuKCH|G2e9)asPsPvaaQ5JanB4z#V zX8*hU{nL3bF%_hY(j}&tk3UYv=|Cibm=4n9bU;PL%})@CFCqqrc_L-iGco^sy74m36(T9bTp{L)cQJrZA_~~i&o%q=fnu`wbj3#$ z_LS#avB#4+p#Knh744BR%3;A#{kBE6BIqP#u+Lm!H5lNy_M^e&2 zihccbMK1oPmMU^q5q~vqF2=dhD>-Wj@ z1)naGDfJDoNZRBb)AtEgozD~rmAuXu!Jaz(ZJJcGMN*~CK^DoI{Noy*Sa|Gbu1Kup z9{>^bsn_T6$;JBd`69Ve??8(r{yby*KEeF?LXlvfXAng2r*6N$PqONKu}HGiJ=h|d zKi~MqCmIjrOGKi5zJU-)kotWepKSR0#xjv?seiylQh(ktexGpXc$7%E&pQ|*8PbOT z-zVKlzEUJz+8A_^+@F7(-zQ#mzFH*S=N}M}6lrG+-Y4HAzE&h(+8KC}_lfug-zXB%at1~uN!lBW_sLkDZx+dz z_5wg8y=9HLX+mxl329k_Ba$U;j)!SdZWl?JHiJMUzvYd)X=3gaiD`KQB$6iWj>-Gv zjO4pTa;Dut5JkW;$NqhSdh@*^K`nETMDnEVaiJuA%Vd$DA`#MdB3SD9OLp2A`b$yF zo9`EssEAQZjnlNgU&e`4B&qltq2ljNTWAbe(PXOW7(1U%<_E=mD(2I)K5tp_@xQ-= zl!qS{$tsf7l7m(3+gHqZ5-gI{LQjB1kcuK|jDgsbVeO>Yli^bQsF+_xXc$97w3ZtS z#yM6bte9iPji!_k19Z~~^DOQ=X$sIRD|d~ZbEop-V$QYPIxFTtF*c?#@cw30b$(JL zt_Tj(;1J7}9S`r5*PEXf$!pnzC6Y;uk1_^~SG^*6Q^FOG*hg_?ZW03~&oG`9ldmZ5 z%Hl58Ek7oV6R=2Nlfotf*YX2bj8WVenLhhin@5XzScI-fjg%P!#<^G|v6zd+g=Pwk z0n_=|n_m#~amuX4Vh$DKMloQVlSLw@(0VKqStK&Wfc3lY2)_H8-~b*Yre+ZtqB^AP z7%)!HBALbXEH0T-cnl=GbiO3!=agNW#oQ{!k7B?$M~j3`;q_T0v`A=*0mY^C6n;fa z)gm%Pbx0jCK+|>byPu}KDiS+&45~;@F^&`i#&dCz*y3DV+%HQ-F<|mtjO$|37R6pn z_^B%fj1#v=?o?E?MUtkjB>lJJY5KueZT^FpzeQO5+fWkkv)tG*&fy}##T+g!zEfcg zn9k!J_)lUUx7=DR=1DO&|0M=YKP9^<5?ut-e+ee>UdxUjrx{ zMbe7^Ozr>_+xt8*XFRzVNuSD3>_mcno&>W*znk2_yDuht5f_#iqhg;vO8FpB+3-a=_Q|9kUCV*XEF z_ls~B{z68n(+acDdp5JeyjP6Z<2 zl0V@r(dP+o4LlX+10pOeF-*liB~M%$&j~~!5a$Hq7C{=00mZF?L;SfoHAtQkET%f) zXG@I%)OwSVn`D<~WAm%MgoVUb2C4XGfxkB9E(#*r(h{BNk10aH4_}o%sz<41c z3WGR_6c-XA2I6BN_L-&l8;^gA^9C`8S?U}n_UrS+rt#cC6bCVH#LpWd89z@lTAJT+ zL$YJs2bXVHC9iIb$=#)^jIs1g&os=N$*d^aD(q_(jJ5?E$l9ar&jzu zuw!VyW5XnCrjayg9nt1Mn-8ro+A3%pp>2V-7ux=4BhXGkyA16bw0qfbtMp7`m5Gh8 z>VWoZHqvS{+GA{#wH4D?>)9CVE@+3aFzfSZe_>;7Y?#KzokiG`MjOn=*-S^ff{nNN z5$#hp!Pb{)Z0oRzwqa=JvPrhTpnb|F+vQ{$yS!|QT_3c=*;Km?Xt%Oyb}yMGoei6w zt`ypGY(~0)Xv5jebUV=QWwX+~Wg3k=o2{vUwlbTe8Hsi>o2xm1_9&Z|-i~R~>)8DC z)zAjB1?gv?UCI`wk3suATO{XZ8o4A}EQg@&!Xo9>Xt%Q^@;$VV*ivmKrqLE*%e0-) z4r0r-tI+OdQTFhQy*pcBABeUVTWKGTb{<=0e+}(Dw%WmqX&kb$H4e4Vwq|P`CZdgG z>m075{f&K-0e;93%)ZSq2<>pTKEr;rXW0fv#x#yLY@=gEwDs5~$3 zdtCaV9n1E*97cPY?Q_k}G_FP1e%ID$L)Zb=#b`INgRYO5MkldDI_#$|m>tpWV@LHR znMPlh9oIKP+nJrvk3l>o0)!KKWDDae#+dE-O4-${|>U-nP0FwS@N>G zSthevSynPlmUZlYR(qz&nw9;Ubr^e)^$dHM4db7!Ec-3nOZGVXZT2L`W%e}Z1*XY) zi#_w6%AV)?n!U)~g}uy!Jj>Icz0O;T{hqHDdy_we{ZRlhTwpHyt6(Sgw$L!n3eV)c z$Tp@aa)etIMSK*^z-@|R+=@Qow#ANcyW)d+y5c99ruYr6DTzEO>CV%ayodHrE|<#B zG^HwVZK+?;zUB61IMbAI4o3l$nHf33n_LjrM2mRMwek%4X%xW%F?7a&FwE zd@k->z9Z9=@5WuruRyz#>&m}i8ea|9`y$tU>vA_=#J=xb?p^_7UBSRTD)dJi!96P= z$NbWBFF)j*-(jAy;wYZUzdX@gRAgT!E?D!@I$5v ze#%SNfX`}F;ALujg*Kd*t#JtLC0?$k8`ISE=H+WPMEfPLP!q>pb0znyc?s=3Ua^)t z)6~k({cGprm1;LaJDgXlqve(BbVWN8En@!*iC3wMno+ktuUa2DR(~U}R{uWQzj*bA z$b*IfJfI=A+c2C5Hr$H#1P^M2F>mC~gBt~+t;K6Jnt*m5ui5A{+Vi|tV~knjth{#P zFVHsRbsCRCJClFW7`fQ^GOydjmT8)J@On+EqixFTH$i+gnadkAfnS?k;tiWz;Fv zk9e~-!A#Sp1#jL4_S#(IE!rY3+BV`X+wMhsp0{d;F>RNRw{G_(+E%(`18?_Z6N7*LrH zAJCI&28`sRzV5#;!6%QN!^e+CE{@*Hr;SF;jegCiht}XTLKpIxp-=d%F;)5O zF|+ubG57i0FwA>lmHE7|erU(?`C-_%uv2_NcwW9RJd|m|Blx0ljCc4YzIbd1(~RxH zBgY~x#_r-vA~2Uk`0}L@bI>m2%ObuuO=+x+a_SlCS2v)Cpt3C#LRrh#EyLD z#0`AkB-HjvHhlji)c#5J_<>2tkxBFT!ATGJ;mH;Fk;xO8X7V(Cbn->C|KrD|xG>EW zcYb^d#&Aj>eqzc}v@7|^DKD62sx?0~6}dRIEI&PU4BF}Z%+w=jPx74*`PaA}GBL8k0a$(v%erdWFzdXGuzcPI`|9<*qer<*u|8Yh`{?m-<{ML-S{PxT| z{O-&S{NBu+{Qj&A{MT7+`GZ+o`NLT+_@miD{I}T)_~Y5v`I9+C`Lj8}QkgjmrLuEw zNaf}hlgiHxm3-%ZFIAZ5A^FW~ELEI0Q}Unpom6RlKB@A2oL9|XFI8Oxe=aH}Ra+D) zRbTYI6tK9E6u5YV6twtvDR{}(QjMkiq*_t7QthbvOcT{asuP9di<&Ndv7(bycg0qw zS+Ps1x8fJHPo?@RL!<^PuQ1KZ8&bnnc1*L%Q);xTGTMex<5j!Ro|T%c)-cWLj8fCp z4bgUzzFdu%Sba@uwx&7Ltmz^(U$b3mvDQv%x%R5mYTaY0^*0BkHsAgzwO#+0)NVsP zsnv!qQu_^CrH~DONS!v@Nu4*Zm%42EQtG;Ox72N02dVpZC#lEwmQv5{Yo%U0noGTR z+DmaCW4$ z@Z45uQS?M9@?s-t>BUXbvKS|6dCWX1D&{rLKe{vKQj0(28(0xmiv=(}pTg>~npkq- zz1df+29_LoU7TkJW67QuW4l-omeO-)_5%yVk{x@>IjfE(YwIE`o%;cKKJ&2lWZB#g zvI@-IdKTCrFfZ#7V28mxb?w29fMwFv20IFtQTILAF|aJULtw|jGP_5BodC<`-WUH) zf@SrX33duBhsSWR(_q;>{s21z=IwC{>?~MLuXJGNz;b&&0gDF9m8me;d9b{hJiso1 z<;gq%>>^nH%x%G9!184|2KF6T!7LlVE`b%uS{v*#SmCT?z^;H5$~qtHd$6Ke$ADc0 zE0Xm-*fp@?S!2MigB8ox3G4<~$!zt&egG?x-4*OdFrRFHf&BzlDu*@L|G>&*e+YIH ztaOg&U_XPE%kcx)FJNW8bzrx^d~?19yA4*}`&+O(V1C|n!0v)o$VI-o2j-uPe03kJ zV(u`oU%@Kp?hW<;tWusRu!mq(^GpVN1Xd+)J+R-vs^|3udkj`B?_01ZV1aq>f;|Nb z$kzqz8CY<>24K&@g7Uk8y#TA3pM$*wtC4>s*ekHw`MZI=2CG$o#^ZOeFAC6jyaB6I z-~`woVD$=Y0{auJZovj%e}Oe9=m+)|tbV~zmd=BLH7eMXW%J-*4GY1i9uioSg7B$_ z6nikFvW((H5unx=)tXbhrVCleG7G40R0c%mD5?Fe$)L%^KCLW*Sra|Y{FOa^lS z>sSnN<>3m}r5NJMLkHHm*iA4!Shr%Q!Q8;Q7Iy-32kTMn4VVX5_u_NFJi&Su9|h(G z*0cC4u#8}RivJHR6IkyOS-~=c^(~PeEDM;SL@-!Zuzn>|g^*W(3Ot z*1u#^u$*86OI88%2K%}c`6?IK;8NtP++c%zJizjR4fU}C%L_Kd#~&;o*l?fxVEMs@ z`5-?$3V@CDL4J4?1RGJhI#?mF(WQ%m6$TsS+ZC(`*cji2U`4?~eIJ7r0}J=P3RWB} ztilSg5?~P(rht_M8(ZNTSShga6^?-UfQ|FZ4OSX#qMs938L$a{*!(KZ!K#DJt6B>z0Bm8^(qMsL3#w841%WNDM)4O6wkW_I ztOnSU012!nSY!bCsutL?0PH3VB5*bA%?*qXqrV2#1P2|Nte1Z-Up#dA}z^+6QRUxIxb)EBH7 z*v6oiV9mic1fiaKv;f;2gnH`H5^PhjGgvFItwDc)wFcV~+#9S7*!JLNU~R#+1@8iD z2evbKIaqtJ9d)T+2-xnr)UN~BuDX;99l`e2rCjI)wx`}Xu+Cun>+JyR0=BQg5U{Rb z2OD$*>jrk9!3D7HV22y*2I~QKsL5-vo?u6t+yv_dcBCogOmDE`O(|#kfE{bq7|Z~6 zvQ;IpzF;R>?+5z|>~!nZVEw>OwQ&LK4|cZopI`&P&a@c{_BB{^n@(T@!Ope$6KoLJ zg*Lar27{e%+aGKQSWMeCU_-$!wi^XD4D3?7?qI{gzH7G)Yy{Yqc9CEs!7hhTZ5jo3 zHH2!@Xt3{lGz1F;yWXQB*ch;Ded>XQf&I|O7c3m?M&H(8W5Isv8w?f!_G3TV?l`cU z{b;-6!TvV@^M}U-uwMpX{_vOx_Vd6qV3WXZ58MVe8SK^&8n-E6cZblpO$EC%+6QbJ z*!|Jjz@~%U8+{RM2H1nqd%$Lb{Tk{CHVf=gs5RJZu!o`Dz~+EG4s8TB7wostePHvz zo`$Xhn-BJ64EcTm*z-{G{X(#3V?w|dfxR442W&Cei!s~5BEepdSpv2M>{XZ+Y$@2A zF)zTDf&CuV3T!#ppJ72@QDA?Bkq=gYy$vHDtOWZj>?zZFtdi$*9(IZ4_gKv;a28$% zYz>%IcnPqzU{d%xuytTI;ZwlA0ka-!2lg$PUHE;l^BfBzwinEG;(4%rU~Ut)fb9p<&kq7S0Om2j5ZFO5_xTsW4uN^i z-v)LV%yWST>P7r^pF)&{!>mOrvM zSPWRc$l+k$ffbBw4|WNxz>+y&m%$1z83J|%tkBXQ!M+D8y7Um(Rj?w^fMnWmUj#fR$X92kZy163do={Rrl>Yz){>V5OEzVE+Rvv+NewO|a6-!@zz9E4REW z*e_sZqjG`W0`rYZ4|W@@eAH&JJ79iMv%&6yRfxI|b`Q)y>MYoOu!<|f!F~m+yrLV} z1F%XfUBDiKRbBBC>=9U%m7!q2fmL7G8SF7wwN(Sbo`40eY6G9$;_4>a4i}_6JzKHM_z7 z1gpC?H`rfb4c5wFZ^7!XZO*iw46M;wf0o~qgEd_H2228Lvi1isE3n2J+k#nxeYvqZ zmf)Y$J}tGaXpVjW`ZZ4Ooj!@Uv%nu-2R4XHOZd)uwO3v|w#F zO#`zBYqL2&m;+e*%^AQlfVJC9`{xMOVKeQY6IjUR7%*qBPMf!bxqx-tf;{(h1?#dI zdG4tL>%7$-Ob^y=%VRJ%u&!JEz}&%lY|R1Y0oHx%Phg&4y|x|(^8)L+4P)t<5v{;Q+jfIx1?#tMF<3URueO&2%MLbRy9Zbfu>RXeg5?Ao zxV;0IH`v$P={RzM4c<=2ksEB#j?rLwz=rPV1eOnz zOMpe}L4J6a1RJ~O8dxc?@q6}x`GAev+XJjL*u=f{z{-G4*o*w|EDJVyFY?2)9N46N zadeZi*en-5k2Z2G?8V18iJ_B{rx2sU$H446OIjQv%?DuK=3pBJn$*sKG+ zz^Z`FJi zs{yv;P&8Ogu*k#Zz-oalJDdfqHrUc5?qGGmq7MH7_66ATBWJ+sf~`EV0jwU_ilf=V z>VvI5Y75o?Y}L`dU=6|69$f;~2yD$U+D2orZ;sJ6nt-i4HW92T*!p9Az`g|g_89G7 zGq8=vX#bjnZ8(m4;MoFf^KsMz&z4}Dj!y?`1-AA00I=3zTaMoaYXi3Z_-U}VVB1dk zg0%zNc_JHFd$1iRegz8w+kN63SO>6OCo_X}1jDTsuufomPGYY0>N4%p)hR7>W9 z{dQq3*gUYO7bb(v2YYgH2-pI!=NH?6Ed+aZ@haFNu$LG2f-MGn5kvJV66|#h)vG07 zuVN?;mV&*Bp*UCu_Iu1hu;pNX#zcWdf&IbQcUf!~+eR|x=%FdADaRzQF8CxnfLY=H z_(VHj{sP;adT;Yw|1H)e`mCGTG7jxW_uyF8xLz{dWuyP4m+8(My`EmK>A3;#=z(Q> zD|B$gOOA3)=7s57ghwxLegNN3}QuyoJEASh z4INLgq2A;Z{4c$HgH=@9P;YGHhH*n$PxBkvrrgj8^$lsA%x(xTy>p$mg!v8aP;Tgq znGJcH-;j6whN_z1&@SbM&YIZ}Zrqu1owkB;L-udXZ)lHVL;Sq@hV0*u(IeBS!x-Tu zM?4~csB^G4zoC7~4P92>kb`af5h*u>my8?AWPU>jlpDHYWxIoNhbac~^pbnS}r2qqThbV{7Uu#dg@(*zM@!I?2Wgq=~JhJhqZ* zB$?_?Y!!8SV%G8*rR9huS#}=cJk-oSpHo^sk~GU>&00RMw0tyamZzGvd{JpRuF@y= zGo^F_KgZS$=hccEiT9b#ITDOuY^FH>Y}WFRO3QJ%{=t?Xn6>;rrRD!6%^NSwTK-vS z`DW5Azcp+5meTUiNwVysHEa2f((qoi5>*=%eyR$6YKG|Lan z?sHS6<&Y#@!&QVP#lZe1E1F)+DsO(aLx|J^smknAWQgV>*0s zmhWer@6h1EVNDrL1x-bbzowF=3jS4m$9g7a@(cNuu^*Q3Uahs(PMgliuoP=gn@Oc# zHkE!pD*ei-^b1hwS0iyh`@a(RbC44Eb8uAY=c>{#t4hC|D*cM8^ed^-udYhJhAREq ztMu!n($ApMufL+7ZHDI=Ug6*C*zpZ!Sa-Bmtfy0~YZdE`igj1Ty1U7GI=;g(uVX%A zOkfEy0aj9ll~ZBs|ezjHlHB#x8Nm3|#mSWgw!Plb(0oM+CViabLdbsn$MZ?a0i z8OnZm?>rS2sj@FoD*e_dn62|J=e^Fm;&Yz%?WBsWXvOd#=*&wMxIYiTk-)Df{squG++P$<Ry zzk@3Mj;i!Kt#EYPr%FGAvLD}}8>F10!A7dEa1}ODg-ussb5+=4WjtfMQ7UY$3frV&Z>I{| zufmS1*gLPnZYY_ijP9;7XSCM3CrY29-z#N5FqQKey>;Ta(x*#oPw$}8&sn9Pd*T?^ zt6Z<>Rjya`#S_P_zO;%xUlmqKg;iH!HI&DpDWk8e(yx(9zh)||wF(PSVO>;MPZicz z$+Xt`0V;kTqU?v`7^%X-lnnO9so0yW(r>y-zd0)X7O3=FqS7x)rJwTL3g=S#^(yb( ztn%I+D*g7T^gF2Rhix2FGWg|`;=MS})1OOto~MsddEXVq`{)>MDEookR5EPyw#s`S zs`OLIas5k`es2=nb7RVWnlf%SDlEMUOLR_$_qr6eTi}&16!%W z)+e4T-M5-DuMqYcchh>xFK}NhlQx^yM_W!Cpsiv5mxJWs=-}#*)gh-tQHPQabsZWy zw0G#_U~uT4;gzGcV>(Bzqobp%qdV@MlyofT=b9EUqja9rTH&T)_9 zRmTUoH}Kr)Ew1u2Ip=WB<6O|Wn6r;_d1rs;K!qi zlFy}(%Vn2qF3(+FyS#O^a@D%Jx_Y^0cg^cs#I=;GuWM!3TCR;u*qhFw3qL0$A(XZEU*6+~o z(I3Tl~G>YwOe>fg9AHygL~ZW-KM-Q3->xaDvw?&jm>>*nuP z%`M2Swp%^7#>k?c$dGAn^W2uWt#DiCw##jw+ikb|Zoj!VcW>jq#C@gvdiSkf2FBc0 zb7sBMey4nh(}QE&{-Es+ZhwSU@w6AWKSitOXcdoWar+orU2UJ4>+t*JN}L(?P_#!!NZ$eyM|UL&?=r1<=Pdr`VFn(0Zgv_mR6&+ zo4ED_$xV`L5PO-Ho6@+xzQ3nd*bpc+tpm&A+O~QdThu6U%TNB9(bEW zL0Hz~AuR5Yi(bIjWVk~Pqf?haH zt9Y=3%e!e$FA}>%43A`RhkLYyM<}?%W4w^zhPF0$cm~Okm82j^ACi?2c@Op~!!}wy zOmYnG&2XIL6vgv7(Vmoj+@Ee0SJ9i z&mF&`C48{X9naGXKhP>Z4d?QHvUi78@eww6{FzLVBOIR*!-vn@@i|tV7_H(HWA4Oh z)tXlEF)w$rq1AM>iqB@bd;s>GG{m$p<%UmHxs$z<19x(Aa^_C1wB$~KiH|P1lLsx~ zqekwOjXL9FHtv**y5yx7@YxubX)Nh`Hr%NI7=5b6or)p-oQji_vhT>9DnsPe*sdIn z?aCMF9r*qXm#<(|n*%Rsixb1QNVqm90+YTR$hAf2)hhG?1&!91Uci_6xYnPntiecY zYhjSJP02uK>W8mKa4p5Nwl}TfI}KdhhgQF$ReTYFYiU%qG?vcz_5jyXw9A{w6oouJ z_s_LMsmlmj#l!ksJCasIX%$b{bL|*f9ZRctEFQn>L8}|7=KM-EXERLMTVcuGfy9X< zBe7g?jw>FP=JvU1H4jN%l6<4=t6YrSi1oheNfmOa1B* zYd~+?N~?`&wJCLJPD?FmsSPc)qopnw0Q;UKeMr8d@z_SD2GHst+R33LVYC`SGL2Se zVxM(*q?y}O@wMMU?>GyQx6{&P+U^xv`kv$}$u)?BHHj7;qDNl2gAG+0d}Wb4P}DhO zq!;kSDz~3Z+o*_DdeocSSEp?R(JFhzmm={YDNRy_q%27}lJX?JWU2y*A4x?L zf09Zhl}W0QRHe?}AaS-`%6LuM-C88IN$QY%K~k4Y)uW~QBn?O! zk~AV|Owt6x{7C$1wJFJ$^tNWS)Etuj7u(g0x1iOQB<)CAk+ddhL(-O{JxK^j2a=8? zok%*9y)GnOX|)?kcak0?JxO}etG#Kd4~c=KFUeOV{Yd&#mjSf&HOWAdK_r9eg&`zE zX>}OMa9SNfOC!lmqiAU~Nhrw}k}!H9oMbFX1j#s(@gx&SCX!5|&XY-|kW3|+Mlzjb z2FXm4S=4zp$sCfoB=bn-lPn-vNV14JFD8j3SwgauWEshFk|>fD5Zfg*zANcqRuNlG z2G-EhT9S1n-;jJuvYuoEguSJ}{F4H6Be6{+o9Ts0w6ukms*qHsRUIw8q<1VMc}236 zq?cwdW3@FC80$~+8p3T!Y&7#28$+xmNk5W4l$YsWZv{Xtg&j zbtCCRtNUr`3duE+AY!#hYLL`~*ltFG+2WLm+2X{I*{&zKY5Ox{2k0F~NG4L}Fp`xd z`-sgU8BeRLNY;_8CRs!B4aq#}@)a$uC;65niev%3u$Uy0WFxWpw6uYi7ShsYT3SJ} zgk(9%B9gTvn@Gk|zqusgB-Oz*HX2)!&W!nL@TFm2*^lA(^M^9ll!B%a$vEm9K@v)< zqez;Qv%e&1L7khCOeUE`(tuPbtzXsI_z9})veUy`p#`jPafegjCpCK*U`1d@p)lSn3$Od**{GL2+9ZF~mF zOp;k7vq|QVmASMuk7Pc{0+NL!i%1reM3O9_&P!=&8Od^zD3UcKD@az7tRh)WvX*2W z$u}h5lB_4$K&Cd5Y@*f8BwI+fl58W{POt8urJW?ZNOqI#A=yi^kGkxqr2`}fNe+=5 zrWcNo9HrG`B*$s>1TCE;mz<)d(`Ba+`p9@B9= zp{1wv-e}k~jOLpn)WQbgzv2yrx7wisRVCP6~kUS^3M{=L!A<1RDjeSq@f>wVec|dZN*maUe zw7Qd)o|0T3xkz%H2#Bw-{GBojy`lT0I-Niv6IK19;dQX~m}g~kYt2N-n5j3B-TLeY93n6Pg01a zC`kztACj^VDYlC*tyUzV!LzPL5=c^mq&7)ik_IG=AyPqFqG7bAVYF^X(t)HiNjH+7 zBz;NxlMIINdl0Dzt&SiWO%g^zgJ(^HXHA1=O@n7mgJ(^HXFVSx6{n>|Buhw^ldL3J zL-GyD29nJr+evmqq*Am*gJ*q|O?p~$hJL9xk6lA9zS3Hi^49AQ%uBKgr0jfYL_QYBid zPC^4<^94zLl13!tcAM4^DYhT^-lh{tSCU>N29g0JLr6xFke_YFL!_#-G=+p*Y(p-# zSx6E|5=BBjwOLQHg=8m03ZSKZB!@{(kdP;BE|Od#xkmC635C23g}f9@OAkq&l2EkU zkpFBY5<3zti4zG0wXGL~liQ_Qw3?YD8%Zt_if3DjXWODAG`_YpTDIhSTYrf31uan& z+fo$Uk`rxflhh??KtfS$+nl5oNn40SF18IJ=|a+-q!-CoB;-!pK_o*-Mv#n#NHi$6 zCpkoNl!V4t zI!nSy&XdHDP`XHzF47H>pGbZtxlMA9StjMSpb+OWrIFL{X zNE8uPdJ>8QtE?p6BzZ^*k`yDM#IY((QVwD}+jb6P!I++GPvT79E|XnmZkNr@liTIA z^X7JWuw?tf_9e5kwzFZjk8ufL$LKm>KV23arKRs}uQJ