From 9cb838c24c452d93a9b57e557ccafac358376892 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sat, 7 Nov 2020 19:49:08 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.anno.json | 26 + el2_lsu_bus_buffer.fir | 9508 +++++++++-------- el2_lsu_bus_buffer.v | 3649 +++---- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 3 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../lsu/el2_lsu_bus_buffer$$anon$1.class | Bin 15262 -> 15385 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 498374 -> 500486 bytes 8 files changed, 6612 insertions(+), 6574 deletions(-) diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index 5a10329a..d4e32574 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -144,6 +144,32 @@ "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_data_en", + "sources":[ + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_bus_clk_en", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_dec_tlu_force_halt", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_commit_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rvalid", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rready", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_dec_tlu_wb_coalescing_disable", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_load", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_no_word_merge_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_is_sideeffects_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_m_load", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_addr_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_addr_m", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_store", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rid", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bvalid", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bready", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bid" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_data", diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 98d325b3..8e65eba3 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -291,180 +291,180 @@ circuit el2_lsu_bus_buffer : module el2_lsu_bus_buffer : input clock : Clock input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt, data_hi : UInt, data_lo : UInt} + output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt, data_hi : UInt, data_lo : UInt, data_en : UInt} - wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 122:22] - wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 123:23] + wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 123:22] + wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 124:23] wire buf_write : UInt<4> buf_write <= UInt<1>("h00") - node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 127:46] - node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 128:46] - node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 130:66] - node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 130:89] - node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 130:74] - node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 130:109] - node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 130:98] - node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 130:129] - node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 130:113] - node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 130:141] - node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 130:66] - node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 130:89] - node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 130:74] - node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 130:109] - node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 130:98] - node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 130:129] - node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 130:113] - node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 130:141] - node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 130:66] - node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 130:89] - node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 130:74] - node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 130:109] - node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 130:98] - node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 130:129] - node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 130:113] - node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 130:141] - node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 130:66] - node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 130:89] - node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 130:74] - node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 130:109] - node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 130:98] - node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 130:129] - node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 130:113] - node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 130:141] - node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] - node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] - node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 131:74] - node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 131:109] - node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 131:98] - node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] - node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 131:113] - node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] - node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] - node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] - node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 131:74] - node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 131:109] - node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 131:98] - node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] - node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 131:113] - node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] - node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] - node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] - node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 131:74] - node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 131:109] - node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 131:98] - node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] - node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 131:113] - node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] - node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] - node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] - node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 131:74] - node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 131:109] - node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 131:98] - node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] - node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 131:113] - node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] - wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 132:33] + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 128:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 129:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] + node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 131:74] + node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 131:109] + node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 131:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] + node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 131:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] + node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 131:74] + node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 131:109] + node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 131:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] + node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 131:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] + node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 131:74] + node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 131:109] + node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 131:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] + node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 131:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] + node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 131:74] + node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 131:109] + node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 131:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] + node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 131:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 132:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 132:89] + node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 132:74] + node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 132:109] + node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 132:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 132:129] + node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 132:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 132:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 132:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 132:89] + node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 132:74] + node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 132:109] + node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 132:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 132:129] + node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 132:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 132:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 132:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 132:89] + node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 132:74] + node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 132:109] + node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 132:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 132:129] + node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 132:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 132:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 132:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 132:89] + node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 132:74] + node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 132:109] + node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 132:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 132:129] + node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 132:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 132:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 133:33] wire ld_byte_ibuf_hit_lo : UInt<4> ld_byte_ibuf_hit_lo <= UInt<1>("h00") - wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 134:33] + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 135:33] wire ld_byte_ibuf_hit_hi : UInt<4> ld_byte_ibuf_hit_hi <= UInt<1>("h00") - wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 136:24] - buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:14] - buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:14] - buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:14] - buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:14] - wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 138:26] - buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:16] - buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:16] - buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:16] - buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:16] - wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 140:23] - buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:13] - buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:13] - buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:13] - buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:13] - wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 142:25] - buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:15] - buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:15] - buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:15] - buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:15] - wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 144:30] - buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:20] - buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:20] - buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:20] - buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:20] - wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 146:26] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] - wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 148:26] - buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:16] - buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:16] - buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:16] - buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:16] - wire buf_data_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 150:25] - buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] - buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] - buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] - buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] - wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 152:29] - buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:19] - buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:19] - buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:19] - buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:19] - wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 154:26] - buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] - buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] - buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] - buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] + wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 137:24] + buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 138:14] + buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 138:14] + buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 138:14] + buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 138:14] + wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 139:26] + buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 140:16] + buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 140:16] + buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 140:16] + buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 140:16] + wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 141:23] + buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 142:13] + buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 142:13] + buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 142:13] + buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 142:13] + wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 143:25] + buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:15] + buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:15] + buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:15] + buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:15] + wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 145:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:20] + wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 147:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:16] + wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 149:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:16] + wire buf_data_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 151:25] + buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:15] + buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:15] + buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:15] + buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 153:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:19] + wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 155:26] + buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] + buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] + buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] + buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] wire bus_rsp_read_error : UInt<1> bus_rsp_read_error <= UInt<1>("h00") wire bus_rsp_rdata : UInt<64> bus_rsp_rdata <= UInt<1>("h00") wire bus_rsp_write_error : UInt<1> bus_rsp_write_error <= UInt<1>("h00") - wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 159:25] - buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:15] - buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:15] - buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:15] - buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:15] + wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 160:25] + buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:15] + buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:15] + buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:15] + buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:15] wire buf_ldfwd : UInt<4> buf_ldfwd <= UInt<1>("h00") - wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 162:35] - buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:25] - buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:25] - buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:25] - buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:25] + wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 163:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:25] wire any_done_wait_state : UInt<1> any_done_wait_state <= UInt<1>("h00") wire bus_rsp_write : UInt<1> bus_rsp_write <= UInt<1>("h00") wire bus_rsp_write_tag : UInt<3> bus_rsp_write_tag <= UInt<1>("h00") - wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 167:26] - buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:16] - buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:16] - buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:16] - buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:16] - wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 169:21] - buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:11] - buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:11] - buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:11] - buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:11] + wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 168:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:16] + wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 170:21] + buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:11] + buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:11] + buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:11] + buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:11] wire ibuf_drainvec_vld : UInt<4> ibuf_drainvec_vld <= UInt<1>("h00") - wire buf_byteen_in : UInt<3>[4] @[el2_lsu_bus_buffer.scala 172:27] - buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:17] - buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:17] - buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:17] - buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:17] - wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 174:25] - buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:15] - buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:15] - buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:15] - buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:15] + wire buf_byteen_in : UInt<3>[4] @[el2_lsu_bus_buffer.scala 173:27] + buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:17] + buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:17] + buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:17] + buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:17] + wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 175:25] + buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:15] + buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:15] + buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:15] + buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:15] wire buf_dual_in : UInt<4> buf_dual_in <= UInt<1>("h00") wire buf_samedw_in : UInt<4> @@ -473,763 +473,763 @@ circuit el2_lsu_bus_buffer : buf_nomerge_in <= UInt<1>("h00") wire buf_dualhi_in : UInt<4> buf_dualhi_in <= UInt<1>("h00") - wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 180:28] - buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:18] - buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:18] - buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:18] - buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:18] + wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 181:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:18] wire buf_sideeffect_in : UInt<4> buf_sideeffect_in <= UInt<1>("h00") wire buf_unsign_in : UInt<4> buf_unsign_in <= UInt<1>("h00") - wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 184:23] - buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:13] - buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:13] - buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:13] - buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:13] + wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 185:23] + buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 186:13] + buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 186:13] + buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 186:13] + buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 186:13] wire buf_write_in : UInt<4> buf_write_in <= UInt<1>("h00") wire buf_unsign : UInt<4> buf_unsign <= UInt<1>("h00") wire buf_error : UInt<4> buf_error <= UInt<1>("h00") - node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 190:73] - node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 190:98] - node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 190:77] - node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 190:73] - node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 190:98] - node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 190:77] - node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 190:73] - node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 190:98] - node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 190:77] - node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 190:73] - node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 190:98] - node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 190:77] + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 191:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 191:98] + node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 191:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 191:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 191:98] + node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 191:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 191:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 191:98] + node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 191:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 191:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 191:98] + node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 191:77] node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] - io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 190:25] - node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 191:73] - node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 191:98] - node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 191:77] - node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 191:73] - node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 191:98] - node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 191:77] - node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 191:73] - node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 191:98] - node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 191:77] - node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 191:73] - node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 191:98] - node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 191:77] + io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 191:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 192:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 192:98] + node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 192:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 192:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 192:98] + node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 192:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 192:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 192:98] + node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 192:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 192:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 192:98] + node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 192:77] node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] - io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 191:25] - node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 193:110] - node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 193:95] - node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 193:132] - node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 193:114] - node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 193:110] - node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 193:95] - node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 193:132] - node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 193:114] - node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 193:110] - node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 193:95] - node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 193:132] - node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 193:114] - node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 193:110] - node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 193:95] - node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 193:132] - node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 193:114] + io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 192:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 194:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] + node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 194:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 194:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] + node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 194:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 194:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] + node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 194:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 194:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] + node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 194:114] node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] - node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 193:110] - node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 193:95] - node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 193:132] - node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 193:114] - node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 193:110] - node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 193:95] - node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 193:132] - node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 193:114] - node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 193:110] - node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 193:95] - node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 193:132] - node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 193:114] - node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 193:110] - node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 193:95] - node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 193:132] - node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 193:114] + node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 194:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] + node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 194:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 194:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] + node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 194:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 194:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] + node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 194:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 194:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] + node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 194:114] node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] - node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 193:110] - node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 193:95] - node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 193:132] - node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 193:114] - node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 193:110] - node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 193:95] - node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 193:132] - node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 193:114] - node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 193:110] - node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 193:95] - node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 193:132] - node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 193:114] - node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 193:110] - node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 193:95] - node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 193:132] - node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 193:114] + node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 194:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] + node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 194:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 194:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] + node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 194:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 194:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] + node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 194:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 194:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] + node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 194:114] node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] - node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 193:110] - node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 193:95] - node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 193:132] - node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 193:114] - node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 193:110] - node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 193:95] - node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 193:132] - node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 193:114] - node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 193:110] - node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 193:95] - node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 193:132] - node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 193:114] - node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 193:110] - node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 193:95] - node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 193:132] - node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 193:114] + node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 194:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] + node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 194:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 194:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] + node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 194:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 194:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] + node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 194:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 194:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] + node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 194:114] node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] - node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] - node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 194:95] - node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] - node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 194:114] - node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] - node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 194:95] - node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] - node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 194:114] - node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] - node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 194:95] - node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] - node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 194:114] - node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] - node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 194:95] - node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] - node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 194:114] + node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 195:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 195:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 195:132] + node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 195:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 195:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 195:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 195:132] + node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 195:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 195:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 195:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 195:132] + node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 195:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 195:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 195:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 195:132] + node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 195:114] node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] - node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] - node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 194:95] - node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] - node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 194:114] - node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] - node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 194:95] - node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] - node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 194:114] - node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] - node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 194:95] - node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] - node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 194:114] - node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] - node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 194:95] - node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] - node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 194:114] + node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 195:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 195:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 195:132] + node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 195:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 195:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 195:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 195:132] + node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 195:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 195:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 195:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 195:132] + node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 195:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 195:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 195:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 195:132] + node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 195:114] node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] - node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] - node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 194:95] - node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] - node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 194:114] - node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] - node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 194:95] - node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] - node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 194:114] - node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] - node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 194:95] - node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] - node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 194:114] - node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] - node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 194:95] - node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] - node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 194:114] + node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 195:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 195:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 195:132] + node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 195:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 195:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 195:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 195:132] + node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 195:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 195:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 195:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 195:132] + node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 195:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 195:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 195:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 195:132] + node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 195:114] node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] - node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] - node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 194:95] - node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] - node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 194:114] - node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] - node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 194:95] - node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] - node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 194:114] - node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] - node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 194:95] - node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] - node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 194:114] - node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] - node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 194:95] - node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] - node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 194:114] + node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 195:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 195:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 195:132] + node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 195:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 195:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 195:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 195:132] + node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 195:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 195:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 195:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 195:132] + node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 195:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 195:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 195:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 195:132] + node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 195:114] node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] - wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 196:29] - buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 197:19] - buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 197:19] - buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 197:19] - buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 197:19] - node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 198:93] - node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 198:144] - node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 198:97] - node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 198:170] - node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 198:148] - node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 198:93] - node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 198:144] - node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 198:97] - node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 198:170] - node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 198:148] - node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 198:93] - node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 198:144] - node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 198:97] - node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 198:170] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 198:148] - node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 198:93] - node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 198:144] - node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 198:97] - node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 198:170] - node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 198:148] + wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 197:29] + buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 198:19] + buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 198:19] + buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 198:19] + buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 198:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 199:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 199:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 199:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 199:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 199:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 199:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 199:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 199:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 199:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 199:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 199:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 199:148] node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] - node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 198:93] - node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 198:144] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 198:97] - node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 198:170] - node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 198:148] - node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 198:93] - node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 198:144] - node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 198:97] - node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 198:170] - node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 198:148] - node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 198:93] - node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 198:144] - node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 198:97] - node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 198:170] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 198:148] - node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 198:93] - node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 198:144] - node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 198:97] - node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 198:170] - node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 198:148] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 199:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 199:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 199:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 199:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 199:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 199:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 199:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 199:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 199:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 199:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 199:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 199:148] node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] - node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 198:93] - node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 198:144] - node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 198:97] - node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 198:170] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 198:148] - node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 198:93] - node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 198:144] - node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 198:97] - node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 198:170] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 198:148] - node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 198:93] - node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 198:144] - node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 198:97] - node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 198:170] - node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 198:148] - node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 198:93] - node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 198:144] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 198:97] - node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 198:170] - node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 198:148] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 199:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 199:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 199:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 199:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 199:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 199:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 199:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 199:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 199:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 199:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 199:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 199:148] node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] - node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 198:93] - node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 198:144] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 198:97] - node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 198:170] - node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 198:148] - node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 198:93] - node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 198:144] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 198:97] - node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 198:170] - node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 198:148] - node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 198:93] - node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 198:144] - node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 198:97] - node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 198:170] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 198:148] - node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 198:93] - node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 198:122] - node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 198:144] - node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] - node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 198:97] - node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 198:170] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] - node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 198:148] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 199:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 199:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 199:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 199:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 199:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 199:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 199:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 199:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 199:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 199:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 199:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 199:148] node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] - ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 198:23] - ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 198:23] - ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 198:23] - ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 198:23] - node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] - node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 199:144] - node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 199:97] - node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] - node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 199:148] - node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] - node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 199:144] - node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 199:97] - node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] - node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 199:148] - node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] - node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 199:144] - node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 199:97] - node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 199:148] - node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] - node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 199:144] - node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 199:97] - node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 199:148] + ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 199:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 199:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 199:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 199:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 200:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 200:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 200:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 200:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 200:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 200:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 200:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 200:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 200:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 200:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 200:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 200:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 200:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 200:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 200:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 200:148] node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] - node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] - node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 199:144] - node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 199:97] - node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] - node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 199:148] - node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] - node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 199:144] - node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 199:97] - node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] - node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 199:148] - node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] - node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 199:144] - node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 199:97] - node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] - node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 199:148] - node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] - node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 199:144] - node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 199:97] - node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 199:148] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 200:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 200:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 200:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 200:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 200:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 200:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 200:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 200:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 200:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 200:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 200:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 200:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 200:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 200:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 200:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 200:148] node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] - node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] - node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 199:144] - node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 199:97] - node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 199:148] - node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] - node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 199:144] - node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 199:97] - node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] - node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 199:148] - node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] - node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 199:144] - node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 199:97] - node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] - node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 199:148] - node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] - node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 199:144] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 199:97] - node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] - node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 199:148] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 200:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 200:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 200:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 200:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 200:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 200:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 200:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 200:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 200:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 200:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 200:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 200:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 200:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 200:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 200:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 200:148] node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] - node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] - node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 199:144] - node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 199:97] - node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 199:148] - node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] - node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 199:144] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 199:97] - node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 199:148] - node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] - node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 199:144] - node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 199:97] - node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 199:148] - node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] - node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 199:144] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 199:97] - node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] - node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 199:148] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 200:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 200:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 200:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 200:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 200:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 200:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 200:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 200:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 200:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 200:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 200:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 200:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 200:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 200:122] + node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 200:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] + node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 200:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] + node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 200:148] node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] - ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 199:23] - ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 199:23] - ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 199:23] - ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 199:23] + ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 200:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 200:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 200:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 200:23] wire ibuf_addr : UInt<32> ibuf_addr <= UInt<1>("h00") wire ibuf_write : UInt<1> ibuf_write <= UInt<1>("h00") wire ibuf_valid : UInt<1> ibuf_valid <= UInt<1>("h00") - node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 204:43] - node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 204:64] - node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 204:51] - node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 204:73] - node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 204:86] - node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 204:99] - node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 205:43] - node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 205:64] - node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 205:51] - node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 205:73] - node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 205:86] - node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 205:99] + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 205:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 205:64] + node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 205:51] + node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 205:73] + node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 205:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 205:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 206:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 206:64] + node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 206:51] + node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 206:73] + node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 206:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 206:99] wire ibuf_byteen : UInt<4> ibuf_byteen <= UInt<1>("h00") - node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 209:61] - node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 209:48] - node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 209:83] - node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 209:65] - ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 209:25] - node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 210:61] - node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 210:48] - node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 210:83] - node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 210:65] - ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 210:25] - node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 209:61] - node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 209:48] - node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 209:83] - node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 209:65] - ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 209:25] - node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 210:61] - node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 210:48] - node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 210:83] - node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 210:65] - ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 210:25] - node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 209:61] - node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 209:48] - node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 209:83] - node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 209:65] - ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 209:25] - node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 210:61] - node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 210:48] - node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 210:83] - node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 210:65] - ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 210:25] - node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 209:61] - node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 209:48] - node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 209:83] - node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 209:65] - ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 209:25] - node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 210:61] - node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 210:48] - node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 210:83] - node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 210:65] - ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 210:25] - wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 212:22] - buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 213:12] - buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 213:12] - buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 213:12] - buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 213:12] + node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 210:61] + node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 210:48] + node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 210:83] + node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 210:65] + ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 210:25] + node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 211:61] + node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 211:48] + node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 211:83] + node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 211:65] + ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 211:25] + node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 210:61] + node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 210:48] + node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 210:83] + node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 210:65] + ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 210:25] + node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 211:61] + node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 211:48] + node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 211:83] + node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 211:65] + ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 211:25] + node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 210:61] + node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 210:48] + node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 210:83] + node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 210:65] + ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 210:25] + node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 211:61] + node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 211:48] + node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 211:83] + node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 211:65] + ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 211:25] + node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 210:61] + node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 210:48] + node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 210:83] + node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 210:65] + ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 210:25] + node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 211:61] + node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 211:48] + node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 211:83] + node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 211:65] + ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 211:25] + wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 213:22] + buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 214:12] + buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 214:12] + buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 214:12] + buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 214:12] wire fwd_data : UInt<32> fwd_data <= UInt<1>("h00") - node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 216:86] + node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 217:86] node _T_553 = bits(_T_552, 0, 0) @[Bitwise.scala 72:15] node _T_554 = mux(_T_553, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] - node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 216:91] - node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 216:86] + node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 217:104] + node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 217:91] + node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 217:86] node _T_558 = bits(_T_557, 0, 0) @[Bitwise.scala 72:15] node _T_559 = mux(_T_558, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] - node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 216:91] - node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 216:86] + node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 217:104] + node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 217:91] + node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 217:86] node _T_563 = bits(_T_562, 0, 0) @[Bitwise.scala 72:15] node _T_564 = mux(_T_563, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] - node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 216:91] - node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 216:86] + node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 217:104] + node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 217:91] + node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 217:86] node _T_568 = bits(_T_567, 0, 0) @[Bitwise.scala 72:15] node _T_569 = mux(_T_568, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] - node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 216:91] - node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 216:123] - node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 216:123] - node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 216:123] - node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 217:60] + node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 217:104] + node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 217:91] + node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 217:123] + node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 217:123] + node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 217:123] + node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 218:60] node _T_576 = bits(_T_575, 0, 0) @[Bitwise.scala 72:15] node _T_577 = mux(_T_576, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] - node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 217:65] - node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 217:60] + node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 218:78] + node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 218:65] + node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 218:60] node _T_581 = bits(_T_580, 0, 0) @[Bitwise.scala 72:15] node _T_582 = mux(_T_581, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] - node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 217:65] - node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 217:60] + node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 218:78] + node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 218:65] + node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 218:60] node _T_586 = bits(_T_585, 0, 0) @[Bitwise.scala 72:15] node _T_587 = mux(_T_586, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] - node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 217:65] - node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 217:60] + node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 218:78] + node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 218:65] + node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 218:60] node _T_591 = bits(_T_590, 0, 0) @[Bitwise.scala 72:15] node _T_592 = mux(_T_591, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] - node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 217:65] - node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 217:97] - node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 217:97] - node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 217:97] - node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 218:60] + node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 218:78] + node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 218:65] + node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 218:97] + node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 218:97] + node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 218:97] + node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 219:60] node _T_599 = bits(_T_598, 0, 0) @[Bitwise.scala 72:15] node _T_600 = mux(_T_599, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] - node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 218:65] - node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 218:60] + node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 219:78] + node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 219:65] + node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 219:60] node _T_604 = bits(_T_603, 0, 0) @[Bitwise.scala 72:15] node _T_605 = mux(_T_604, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] - node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 218:65] - node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 218:60] + node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 219:78] + node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 219:65] + node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 219:60] node _T_609 = bits(_T_608, 0, 0) @[Bitwise.scala 72:15] node _T_610 = mux(_T_609, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] - node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 218:65] - node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 218:60] + node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 219:78] + node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 219:65] + node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 219:60] node _T_614 = bits(_T_613, 0, 0) @[Bitwise.scala 72:15] node _T_615 = mux(_T_614, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] - node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 218:65] - node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 218:96] - node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 218:96] - node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 218:96] - node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 219:60] + node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 219:78] + node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 219:65] + node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 219:96] + node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 219:96] + node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 219:96] + node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 220:60] node _T_622 = bits(_T_621, 0, 0) @[Bitwise.scala 72:15] node _T_623 = mux(_T_622, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] - node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 219:65] - node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 219:60] + node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 220:78] + node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 220:65] + node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 220:60] node _T_627 = bits(_T_626, 0, 0) @[Bitwise.scala 72:15] node _T_628 = mux(_T_627, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] - node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 219:65] - node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 219:60] + node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 220:78] + node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 220:65] + node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 220:60] node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] node _T_633 = mux(_T_632, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] - node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 219:65] - node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 219:60] + node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 220:78] + node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 220:65] + node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 220:60] node _T_637 = bits(_T_636, 0, 0) @[Bitwise.scala 72:15] node _T_638 = mux(_T_637, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] - node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 219:65] - node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 219:95] - node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 219:95] - node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 219:95] + node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 220:78] + node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 220:65] + node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 220:95] + node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 220:95] + node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 220:95] node _T_644 = cat(_T_620, _T_643) @[Cat.scala 29:58] node _T_645 = cat(_T_574, _T_597) @[Cat.scala 29:58] node _T_646 = cat(_T_645, _T_644) @[Cat.scala 29:58] - io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 216:24] - node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 221:86] + io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 217:24] + node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 222:86] node _T_648 = bits(_T_647, 0, 0) @[Bitwise.scala 72:15] node _T_649 = mux(_T_648, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] - node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 221:91] - node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 221:86] + node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] + node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 222:91] + node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 222:86] node _T_653 = bits(_T_652, 0, 0) @[Bitwise.scala 72:15] node _T_654 = mux(_T_653, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] - node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 221:91] - node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 221:86] + node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] + node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 222:91] + node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 222:86] node _T_658 = bits(_T_657, 0, 0) @[Bitwise.scala 72:15] node _T_659 = mux(_T_658, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] - node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 221:91] - node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 221:86] + node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] + node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 222:91] + node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 222:86] node _T_663 = bits(_T_662, 0, 0) @[Bitwise.scala 72:15] node _T_664 = mux(_T_663, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] - node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 221:91] - node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 221:123] - node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 221:123] - node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 221:123] - node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 222:60] + node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] + node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 222:91] + node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 222:123] + node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 222:123] + node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 222:123] + node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 223:60] node _T_671 = bits(_T_670, 0, 0) @[Bitwise.scala 72:15] node _T_672 = mux(_T_671, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] - node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 222:65] - node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 222:60] + node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] + node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 223:65] + node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 223:60] node _T_676 = bits(_T_675, 0, 0) @[Bitwise.scala 72:15] node _T_677 = mux(_T_676, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] - node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 222:65] - node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 222:60] + node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] + node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 223:65] + node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 223:60] node _T_681 = bits(_T_680, 0, 0) @[Bitwise.scala 72:15] node _T_682 = mux(_T_681, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] - node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 222:65] - node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 222:60] + node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] + node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 223:65] + node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 223:60] node _T_686 = bits(_T_685, 0, 0) @[Bitwise.scala 72:15] node _T_687 = mux(_T_686, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] - node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 222:65] - node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 222:97] - node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 222:97] - node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 222:97] - node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 223:60] + node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] + node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 223:65] + node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 223:97] + node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 223:97] + node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 223:97] + node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 224:60] node _T_694 = bits(_T_693, 0, 0) @[Bitwise.scala 72:15] node _T_695 = mux(_T_694, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] - node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 223:65] - node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 223:60] + node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] + node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 224:65] + node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 224:60] node _T_699 = bits(_T_698, 0, 0) @[Bitwise.scala 72:15] node _T_700 = mux(_T_699, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] - node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 223:65] - node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 223:60] + node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] + node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 224:65] + node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 224:60] node _T_704 = bits(_T_703, 0, 0) @[Bitwise.scala 72:15] node _T_705 = mux(_T_704, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] - node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 223:65] - node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 223:60] + node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] + node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 224:65] + node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 224:60] node _T_709 = bits(_T_708, 0, 0) @[Bitwise.scala 72:15] node _T_710 = mux(_T_709, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] - node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 223:65] - node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 223:96] - node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 223:96] - node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 223:96] - node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 224:60] + node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] + node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 224:65] + node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 224:96] + node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 224:96] + node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 224:96] + node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 225:60] node _T_717 = bits(_T_716, 0, 0) @[Bitwise.scala 72:15] node _T_718 = mux(_T_717, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] - node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 224:65] - node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 224:60] + node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] + node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 225:65] + node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 225:60] node _T_722 = bits(_T_721, 0, 0) @[Bitwise.scala 72:15] node _T_723 = mux(_T_722, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] - node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 224:65] - node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 224:60] + node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] + node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 225:65] + node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 225:60] node _T_727 = bits(_T_726, 0, 0) @[Bitwise.scala 72:15] node _T_728 = mux(_T_727, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] - node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 224:65] - node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 224:60] + node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] + node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 225:65] + node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 225:60] node _T_732 = bits(_T_731, 0, 0) @[Bitwise.scala 72:15] node _T_733 = mux(_T_732, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] - node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 224:65] - node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 224:95] - node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 224:95] - node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 224:95] + node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] + node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 225:65] + node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 225:95] + node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 225:95] + node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 225:95] node _T_739 = cat(_T_715, _T_738) @[Cat.scala 29:58] node _T_740 = cat(_T_669, _T_692) @[Cat.scala 29:58] node _T_741 = cat(_T_740, _T_739) @[Cat.scala 29:58] - io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 221:24] - node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 226:65] + io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 222:24] + node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 227:65] node _T_742 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_743 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_744 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1238,23 +1238,23 @@ circuit el2_lsu_bus_buffer : wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_746 @[Mux.scala 27:72] node _T_747 = cat(UInt<4>("h00"), ldst_byteen_r) @[Cat.scala 29:58] - node _T_748 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 230:61] - node byteen = dshl(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 230:45] - node ldst_byteen_hi_r = bits(byteen, 7, 4) @[el2_lsu_bus_buffer.scala 231:32] - node ldst_byteen_lo_r = bits(byteen, 3, 0) @[el2_lsu_bus_buffer.scala 232:32] + node _T_748 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 231:61] + node byteen = dshl(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 231:45] + node ldst_byteen_hi_r = bits(byteen, 7, 4) @[el2_lsu_bus_buffer.scala 232:32] + node ldst_byteen_lo_r = bits(byteen, 3, 0) @[el2_lsu_bus_buffer.scala 233:32] node _T_749 = cat(UInt<32>("h00"), io.store_data_r) @[Cat.scala 29:58] - node _T_750 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 233:73] - node _T_751 = mul(UInt<4>("h08"), _T_750) @[el2_lsu_bus_buffer.scala 233:58] - node store_data = dshl(_T_749, _T_751) @[el2_lsu_bus_buffer.scala 233:52] - node store_data_hi_r = bits(store_data, 63, 32) @[el2_lsu_bus_buffer.scala 234:35] - node store_data_lo_r = bits(store_data, 31, 0) @[el2_lsu_bus_buffer.scala 235:35] - node _T_752 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 236:36] - node _T_753 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 236:57] - node ldst_samedw_r = eq(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 236:40] - node _T_754 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 237:67] - node _T_755 = eq(_T_754, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 237:74] - node _T_756 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 238:40] - node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 238:26] + node _T_750 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 234:73] + node _T_751 = mul(UInt<4>("h08"), _T_750) @[el2_lsu_bus_buffer.scala 234:58] + node store_data = dshl(_T_749, _T_751) @[el2_lsu_bus_buffer.scala 234:52] + node store_data_hi_r = bits(store_data, 63, 32) @[el2_lsu_bus_buffer.scala 235:35] + node store_data_lo_r = bits(store_data, 31, 0) @[el2_lsu_bus_buffer.scala 236:35] + node _T_752 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 237:36] + node _T_753 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 237:57] + node ldst_samedw_r = eq(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 237:40] + node _T_754 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 238:67] + node _T_755 = eq(_T_754, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 238:74] + node _T_756 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 239:40] + node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 239:26] node _T_758 = mux(io.lsu_pkt_r.word, _T_755, UInt<1>("h00")) @[Mux.scala 27:72] node _T_759 = mux(io.lsu_pkt_r.half, _T_757, UInt<1>("h00")) @[Mux.scala 27:72] node _T_760 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1262,26 +1262,26 @@ circuit el2_lsu_bus_buffer : node _T_762 = or(_T_761, _T_760) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_762 @[Mux.scala 27:72] - node _T_763 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 240:55] - node _T_764 = and(io.lsu_busreq_r, _T_763) @[el2_lsu_bus_buffer.scala 240:34] - node _T_765 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 240:79] - node ibuf_byp = and(_T_764, _T_765) @[el2_lsu_bus_buffer.scala 240:77] - node _T_766 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 241:36] - node _T_767 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 241:56] - node ibuf_wr_en = and(_T_766, _T_767) @[el2_lsu_bus_buffer.scala 241:54] + node _T_763 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 241:55] + node _T_764 = and(io.lsu_busreq_r, _T_763) @[el2_lsu_bus_buffer.scala 241:34] + node _T_765 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 241:79] + node ibuf_byp = and(_T_764, _T_765) @[el2_lsu_bus_buffer.scala 241:77] + node _T_766 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 242:36] + node _T_767 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 242:56] + node ibuf_wr_en = and(_T_766, _T_767) @[el2_lsu_bus_buffer.scala 242:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_768 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 243:36] - node _T_769 = and(ibuf_drain_vld, _T_768) @[el2_lsu_bus_buffer.scala 243:34] - node ibuf_rst = or(_T_769, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 243:49] - node _T_770 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:44] - node _T_771 = and(io.lsu_busreq_m, _T_770) @[el2_lsu_bus_buffer.scala 244:42] - node _T_772 = and(_T_771, ibuf_valid) @[el2_lsu_bus_buffer.scala 244:61] - node _T_773 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 244:107] - node _T_774 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 244:132] - node _T_775 = neq(_T_773, _T_774) @[el2_lsu_bus_buffer.scala 244:115] - node _T_776 = or(io.lsu_pkt_m.load, _T_775) @[el2_lsu_bus_buffer.scala 244:95] - node ibuf_force_drain = and(_T_772, _T_776) @[el2_lsu_bus_buffer.scala 244:74] + node _T_768 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:36] + node _T_769 = and(ibuf_drain_vld, _T_768) @[el2_lsu_bus_buffer.scala 244:34] + node ibuf_rst = or(_T_769, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 244:49] + node _T_770 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 245:44] + node _T_771 = and(io.lsu_busreq_m, _T_770) @[el2_lsu_bus_buffer.scala 245:42] + node _T_772 = and(_T_771, ibuf_valid) @[el2_lsu_bus_buffer.scala 245:61] + node _T_773 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 245:107] + node _T_774 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 245:132] + node _T_775 = neq(_T_773, _T_774) @[el2_lsu_bus_buffer.scala 245:115] + node _T_776 = or(io.lsu_pkt_m.load, _T_775) @[el2_lsu_bus_buffer.scala 245:95] + node ibuf_force_drain = and(_T_772, _T_776) @[el2_lsu_bus_buffer.scala 245:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -1290,211 +1290,211 @@ circuit el2_lsu_bus_buffer : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_777 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 249:62] - node _T_778 = or(ibuf_wr_en, _T_777) @[el2_lsu_bus_buffer.scala 249:48] - node _T_779 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 249:98] - node _T_780 = eq(_T_779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 249:82] - node _T_781 = and(_T_778, _T_780) @[el2_lsu_bus_buffer.scala 249:80] - node _T_782 = or(_T_781, ibuf_byp) @[el2_lsu_bus_buffer.scala 250:5] - node _T_783 = or(_T_782, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 250:16] - node _T_784 = or(_T_783, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 250:35] - node _T_785 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 250:55] - node _T_786 = or(_T_784, _T_785) @[el2_lsu_bus_buffer.scala 250:53] - node _T_787 = or(_T_786, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 250:67] - node _T_788 = and(ibuf_valid, _T_787) @[el2_lsu_bus_buffer.scala 249:32] - ibuf_drain_vld <= _T_788 @[el2_lsu_bus_buffer.scala 249:18] + node _T_777 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 250:62] + node _T_778 = or(ibuf_wr_en, _T_777) @[el2_lsu_bus_buffer.scala 250:48] + node _T_779 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 250:98] + node _T_780 = eq(_T_779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 250:82] + node _T_781 = and(_T_778, _T_780) @[el2_lsu_bus_buffer.scala 250:80] + node _T_782 = or(_T_781, ibuf_byp) @[el2_lsu_bus_buffer.scala 251:5] + node _T_783 = or(_T_782, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 251:16] + node _T_784 = or(_T_783, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 251:35] + node _T_785 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 251:55] + node _T_786 = or(_T_784, _T_785) @[el2_lsu_bus_buffer.scala 251:53] + node _T_787 = or(_T_786, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 251:67] + node _T_788 = and(ibuf_valid, _T_787) @[el2_lsu_bus_buffer.scala 250:32] + ibuf_drain_vld <= _T_788 @[el2_lsu_bus_buffer.scala 250:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - node _T_789 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 255:39] - node _T_790 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 255:69] - node ibuf_tag_in = mux(_T_789, ibuf_tag, _T_790) @[el2_lsu_bus_buffer.scala 255:24] + node _T_789 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 256:39] + node _T_790 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 256:69] + node ibuf_tag_in = mux(_T_789, ibuf_tag, _T_790) @[el2_lsu_bus_buffer.scala 256:24] node ibuf_sz_in = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 258:25] - node _T_791 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 259:42] - node _T_792 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 259:70] - node _T_793 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 259:95] - node _T_794 = or(_T_792, _T_793) @[el2_lsu_bus_buffer.scala 259:77] - node _T_795 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 260:41] - node _T_796 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 260:65] - node _T_797 = mux(io.ldst_dual_r, _T_795, _T_796) @[el2_lsu_bus_buffer.scala 260:8] - node ibuf_byteen_in = mux(_T_791, _T_794, _T_797) @[el2_lsu_bus_buffer.scala 259:27] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 259:25] + node _T_791 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 260:42] + node _T_792 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 260:70] + node _T_793 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 260:95] + node _T_794 = or(_T_792, _T_793) @[el2_lsu_bus_buffer.scala 260:77] + node _T_795 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 261:41] + node _T_796 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 261:65] + node _T_797 = mux(io.ldst_dual_r, _T_795, _T_796) @[el2_lsu_bus_buffer.scala 261:8] + node ibuf_byteen_in = mux(_T_791, _T_794, _T_797) @[el2_lsu_bus_buffer.scala 260:27] wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_798 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 263:61] - node _T_799 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 264:25] - node _T_800 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 264:45] - node _T_801 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 264:76] - node _T_802 = mux(_T_799, _T_800, _T_801) @[el2_lsu_bus_buffer.scala 264:8] - node _T_803 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 264:108] - node _T_804 = mux(_T_798, _T_802, _T_803) @[el2_lsu_bus_buffer.scala 263:46] - node _T_805 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 263:61] - node _T_806 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 264:25] - node _T_807 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 264:45] - node _T_808 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 264:76] - node _T_809 = mux(_T_806, _T_807, _T_808) @[el2_lsu_bus_buffer.scala 264:8] - node _T_810 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 264:108] - node _T_811 = mux(_T_805, _T_809, _T_810) @[el2_lsu_bus_buffer.scala 263:46] - node _T_812 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 263:61] - node _T_813 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 264:25] - node _T_814 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 264:45] - node _T_815 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 264:76] - node _T_816 = mux(_T_813, _T_814, _T_815) @[el2_lsu_bus_buffer.scala 264:8] - node _T_817 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 264:108] - node _T_818 = mux(_T_812, _T_816, _T_817) @[el2_lsu_bus_buffer.scala 263:46] - node _T_819 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 263:61] - node _T_820 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 264:25] - node _T_821 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 264:45] - node _T_822 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 264:76] - node _T_823 = mux(_T_820, _T_821, _T_822) @[el2_lsu_bus_buffer.scala 264:8] - node _T_824 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 264:108] - node _T_825 = mux(_T_819, _T_823, _T_824) @[el2_lsu_bus_buffer.scala 263:46] + node _T_798 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:61] + node _T_799 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 265:25] + node _T_800 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 265:45] + node _T_801 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 265:76] + node _T_802 = mux(_T_799, _T_800, _T_801) @[el2_lsu_bus_buffer.scala 265:8] + node _T_803 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 265:108] + node _T_804 = mux(_T_798, _T_802, _T_803) @[el2_lsu_bus_buffer.scala 264:46] + node _T_805 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:61] + node _T_806 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 265:25] + node _T_807 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 265:45] + node _T_808 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 265:76] + node _T_809 = mux(_T_806, _T_807, _T_808) @[el2_lsu_bus_buffer.scala 265:8] + node _T_810 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 265:108] + node _T_811 = mux(_T_805, _T_809, _T_810) @[el2_lsu_bus_buffer.scala 264:46] + node _T_812 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:61] + node _T_813 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 265:25] + node _T_814 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 265:45] + node _T_815 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 265:76] + node _T_816 = mux(_T_813, _T_814, _T_815) @[el2_lsu_bus_buffer.scala 265:8] + node _T_817 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 265:108] + node _T_818 = mux(_T_812, _T_816, _T_817) @[el2_lsu_bus_buffer.scala 264:46] + node _T_819 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:61] + node _T_820 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 265:25] + node _T_821 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 265:45] + node _T_822 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 265:76] + node _T_823 = mux(_T_820, _T_821, _T_822) @[el2_lsu_bus_buffer.scala 265:8] + node _T_824 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 265:108] + node _T_825 = mux(_T_819, _T_823, _T_824) @[el2_lsu_bus_buffer.scala 264:46] node _T_826 = cat(_T_825, _T_818) @[Cat.scala 29:58] node _T_827 = cat(_T_826, _T_811) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_827, _T_804) @[Cat.scala 29:58] - node _T_828 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 265:59] - node _T_829 = bits(_T_828, 0, 0) @[el2_lsu_bus_buffer.scala 265:79] - node _T_830 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 265:93] - node _T_831 = tail(_T_830, 1) @[el2_lsu_bus_buffer.scala 265:93] - node _T_832 = mux(_T_829, _T_831, ibuf_timer) @[el2_lsu_bus_buffer.scala 265:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_832) @[el2_lsu_bus_buffer.scala 265:26] - node _T_833 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 267:36] - node _T_834 = and(_T_833, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 267:54] - node _T_835 = and(_T_834, ibuf_valid) @[el2_lsu_bus_buffer.scala 267:75] - node _T_836 = and(_T_835, ibuf_write) @[el2_lsu_bus_buffer.scala 267:88] - node _T_837 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 267:117] - node _T_838 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 267:137] - node _T_839 = eq(_T_837, _T_838) @[el2_lsu_bus_buffer.scala 267:124] - node _T_840 = and(_T_836, _T_839) @[el2_lsu_bus_buffer.scala 267:101] - node _T_841 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:147] - node _T_842 = and(_T_840, _T_841) @[el2_lsu_bus_buffer.scala 267:145] - node _T_843 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:170] - node _T_844 = and(_T_842, _T_843) @[el2_lsu_bus_buffer.scala 267:168] - ibuf_merge_en <= _T_844 @[el2_lsu_bus_buffer.scala 267:17] - node _T_845 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:20] - ibuf_merge_in <= _T_845 @[el2_lsu_bus_buffer.scala 268:17] - node _T_846 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:65] - node _T_847 = and(ibuf_merge_en, _T_846) @[el2_lsu_bus_buffer.scala 269:63] - node _T_848 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 269:92] - node _T_849 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 269:114] - node _T_850 = or(_T_848, _T_849) @[el2_lsu_bus_buffer.scala 269:96] - node _T_851 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 269:130] - node _T_852 = mux(_T_847, _T_850, _T_851) @[el2_lsu_bus_buffer.scala 269:48] - node _T_853 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:65] - node _T_854 = and(ibuf_merge_en, _T_853) @[el2_lsu_bus_buffer.scala 269:63] - node _T_855 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 269:92] - node _T_856 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 269:114] - node _T_857 = or(_T_855, _T_856) @[el2_lsu_bus_buffer.scala 269:96] - node _T_858 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 269:130] - node _T_859 = mux(_T_854, _T_857, _T_858) @[el2_lsu_bus_buffer.scala 269:48] - node _T_860 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:65] - node _T_861 = and(ibuf_merge_en, _T_860) @[el2_lsu_bus_buffer.scala 269:63] - node _T_862 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 269:92] - node _T_863 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 269:114] - node _T_864 = or(_T_862, _T_863) @[el2_lsu_bus_buffer.scala 269:96] - node _T_865 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 269:130] - node _T_866 = mux(_T_861, _T_864, _T_865) @[el2_lsu_bus_buffer.scala 269:48] - node _T_867 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:65] - node _T_868 = and(ibuf_merge_en, _T_867) @[el2_lsu_bus_buffer.scala 269:63] - node _T_869 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 269:92] - node _T_870 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 269:114] - node _T_871 = or(_T_869, _T_870) @[el2_lsu_bus_buffer.scala 269:96] - node _T_872 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 269:130] - node _T_873 = mux(_T_868, _T_871, _T_872) @[el2_lsu_bus_buffer.scala 269:48] + node _T_828 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 266:59] + node _T_829 = bits(_T_828, 0, 0) @[el2_lsu_bus_buffer.scala 266:79] + node _T_830 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 266:93] + node _T_831 = tail(_T_830, 1) @[el2_lsu_bus_buffer.scala 266:93] + node _T_832 = mux(_T_829, _T_831, ibuf_timer) @[el2_lsu_bus_buffer.scala 266:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_832) @[el2_lsu_bus_buffer.scala 266:26] + node _T_833 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 268:36] + node _T_834 = and(_T_833, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 268:54] + node _T_835 = and(_T_834, ibuf_valid) @[el2_lsu_bus_buffer.scala 268:75] + node _T_836 = and(_T_835, ibuf_write) @[el2_lsu_bus_buffer.scala 268:88] + node _T_837 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 268:117] + node _T_838 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 268:137] + node _T_839 = eq(_T_837, _T_838) @[el2_lsu_bus_buffer.scala 268:124] + node _T_840 = and(_T_836, _T_839) @[el2_lsu_bus_buffer.scala 268:101] + node _T_841 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:147] + node _T_842 = and(_T_840, _T_841) @[el2_lsu_bus_buffer.scala 268:145] + node _T_843 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:170] + node _T_844 = and(_T_842, _T_843) @[el2_lsu_bus_buffer.scala 268:168] + ibuf_merge_en <= _T_844 @[el2_lsu_bus_buffer.scala 268:17] + node _T_845 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:20] + ibuf_merge_in <= _T_845 @[el2_lsu_bus_buffer.scala 269:17] + node _T_846 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:65] + node _T_847 = and(ibuf_merge_en, _T_846) @[el2_lsu_bus_buffer.scala 270:63] + node _T_848 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 270:92] + node _T_849 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 270:114] + node _T_850 = or(_T_848, _T_849) @[el2_lsu_bus_buffer.scala 270:96] + node _T_851 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 270:130] + node _T_852 = mux(_T_847, _T_850, _T_851) @[el2_lsu_bus_buffer.scala 270:48] + node _T_853 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:65] + node _T_854 = and(ibuf_merge_en, _T_853) @[el2_lsu_bus_buffer.scala 270:63] + node _T_855 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 270:92] + node _T_856 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 270:114] + node _T_857 = or(_T_855, _T_856) @[el2_lsu_bus_buffer.scala 270:96] + node _T_858 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 270:130] + node _T_859 = mux(_T_854, _T_857, _T_858) @[el2_lsu_bus_buffer.scala 270:48] + node _T_860 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:65] + node _T_861 = and(ibuf_merge_en, _T_860) @[el2_lsu_bus_buffer.scala 270:63] + node _T_862 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 270:92] + node _T_863 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 270:114] + node _T_864 = or(_T_862, _T_863) @[el2_lsu_bus_buffer.scala 270:96] + node _T_865 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 270:130] + node _T_866 = mux(_T_861, _T_864, _T_865) @[el2_lsu_bus_buffer.scala 270:48] + node _T_867 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:65] + node _T_868 = and(ibuf_merge_en, _T_867) @[el2_lsu_bus_buffer.scala 270:63] + node _T_869 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 270:92] + node _T_870 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 270:114] + node _T_871 = or(_T_869, _T_870) @[el2_lsu_bus_buffer.scala 270:96] + node _T_872 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 270:130] + node _T_873 = mux(_T_868, _T_871, _T_872) @[el2_lsu_bus_buffer.scala 270:48] node _T_874 = cat(_T_873, _T_866) @[Cat.scala 29:58] node _T_875 = cat(_T_874, _T_859) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_875, _T_852) @[Cat.scala 29:58] - node _T_876 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:62] - node _T_877 = and(ibuf_merge_en, _T_876) @[el2_lsu_bus_buffer.scala 270:60] - node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 270:98] - node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 270:118] - node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 270:143] - node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 270:81] - node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 270:169] - node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 270:45] - node _T_884 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:62] - node _T_885 = and(ibuf_merge_en, _T_884) @[el2_lsu_bus_buffer.scala 270:60] - node _T_886 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 270:98] - node _T_887 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 270:118] - node _T_888 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 270:143] - node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 270:81] - node _T_890 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 270:169] - node _T_891 = mux(_T_885, _T_889, _T_890) @[el2_lsu_bus_buffer.scala 270:45] - node _T_892 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:62] - node _T_893 = and(ibuf_merge_en, _T_892) @[el2_lsu_bus_buffer.scala 270:60] - node _T_894 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 270:98] - node _T_895 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 270:118] - node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 270:143] - node _T_897 = mux(_T_894, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 270:81] - node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 270:169] - node _T_899 = mux(_T_893, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 270:45] - node _T_900 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:62] - node _T_901 = and(ibuf_merge_en, _T_900) @[el2_lsu_bus_buffer.scala 270:60] - node _T_902 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 270:98] - node _T_903 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 270:118] - node _T_904 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 270:143] - node _T_905 = mux(_T_902, _T_903, _T_904) @[el2_lsu_bus_buffer.scala 270:81] - node _T_906 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 270:169] - node _T_907 = mux(_T_901, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 270:45] + node _T_876 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:62] + node _T_877 = and(ibuf_merge_en, _T_876) @[el2_lsu_bus_buffer.scala 271:60] + node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 271:98] + node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 271:118] + node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 271:143] + node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 271:81] + node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 271:169] + node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 271:45] + node _T_884 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:62] + node _T_885 = and(ibuf_merge_en, _T_884) @[el2_lsu_bus_buffer.scala 271:60] + node _T_886 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 271:98] + node _T_887 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 271:118] + node _T_888 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 271:143] + node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 271:81] + node _T_890 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 271:169] + node _T_891 = mux(_T_885, _T_889, _T_890) @[el2_lsu_bus_buffer.scala 271:45] + node _T_892 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:62] + node _T_893 = and(ibuf_merge_en, _T_892) @[el2_lsu_bus_buffer.scala 271:60] + node _T_894 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 271:98] + node _T_895 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 271:118] + node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 271:143] + node _T_897 = mux(_T_894, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 271:81] + node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 271:169] + node _T_899 = mux(_T_893, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 271:45] + node _T_900 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:62] + node _T_901 = and(ibuf_merge_en, _T_900) @[el2_lsu_bus_buffer.scala 271:60] + node _T_902 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 271:98] + node _T_903 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 271:118] + node _T_904 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 271:143] + node _T_905 = mux(_T_902, _T_903, _T_904) @[el2_lsu_bus_buffer.scala 271:81] + node _T_906 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 271:169] + node _T_907 = mux(_T_901, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 271:45] node _T_908 = cat(_T_907, _T_899) @[Cat.scala 29:58] node _T_909 = cat(_T_908, _T_891) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_909, _T_883) @[Cat.scala 29:58] - node _T_910 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 272:28] - node _T_911 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 272:63] - node _T_912 = and(_T_910, _T_911) @[el2_lsu_bus_buffer.scala 272:61] - reg _T_913 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 272:24] - _T_913 <= _T_912 @[el2_lsu_bus_buffer.scala 272:24] - ibuf_valid <= _T_913 @[el2_lsu_bus_buffer.scala 272:14] - node _T_914 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 273:120] - node _T_915 = bits(_T_914, 0, 0) @[el2_lsu_bus_buffer.scala 273:120] - node _T_916 = and(ibuf_wr_en, _T_915) @[el2_lsu_bus_buffer.scala 273:89] + node _T_910 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 273:28] + node _T_911 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:63] + node _T_912 = and(_T_910, _T_911) @[el2_lsu_bus_buffer.scala 273:61] + reg _T_913 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 273:24] + _T_913 <= _T_912 @[el2_lsu_bus_buffer.scala 273:24] + ibuf_valid <= _T_913 @[el2_lsu_bus_buffer.scala 273:14] + node _T_914 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 274:120] + node _T_915 = bits(_T_914, 0, 0) @[el2_lsu_bus_buffer.scala 274:120] + node _T_916 = and(ibuf_wr_en, _T_915) @[el2_lsu_bus_buffer.scala 274:89] reg _T_917 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_916 : @[Reg.scala 28:19] _T_917 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_917 @[el2_lsu_bus_buffer.scala 273:12] - node _T_918 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 274:131] - node _T_919 = bits(_T_918, 0, 0) @[el2_lsu_bus_buffer.scala 274:131] - node _T_920 = and(ibuf_wr_en, _T_919) @[el2_lsu_bus_buffer.scala 274:100] + ibuf_tag <= _T_917 @[el2_lsu_bus_buffer.scala 274:12] + node _T_918 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 275:131] + node _T_919 = bits(_T_918, 0, 0) @[el2_lsu_bus_buffer.scala 275:131] + node _T_920 = and(ibuf_wr_en, _T_919) @[el2_lsu_bus_buffer.scala 275:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_920 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_921 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 275:127] - node _T_922 = bits(_T_921, 0, 0) @[el2_lsu_bus_buffer.scala 275:127] - node _T_923 = and(ibuf_wr_en, _T_922) @[el2_lsu_bus_buffer.scala 275:96] + node _T_921 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 276:127] + node _T_922 = bits(_T_921, 0, 0) @[el2_lsu_bus_buffer.scala 276:127] + node _T_923 = and(ibuf_wr_en, _T_922) @[el2_lsu_bus_buffer.scala 276:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_923 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_924 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 276:128] - node _T_925 = bits(_T_924, 0, 0) @[el2_lsu_bus_buffer.scala 276:128] - node _T_926 = and(ibuf_wr_en, _T_925) @[el2_lsu_bus_buffer.scala 276:97] + node _T_924 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 277:128] + node _T_925 = bits(_T_924, 0, 0) @[el2_lsu_bus_buffer.scala 277:128] + node _T_926 = and(ibuf_wr_en, _T_925) @[el2_lsu_bus_buffer.scala 277:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_926 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_927 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 277:135] - node _T_928 = bits(_T_927, 0, 0) @[el2_lsu_bus_buffer.scala 277:135] - node _T_929 = and(ibuf_wr_en, _T_928) @[el2_lsu_bus_buffer.scala 277:104] + node _T_927 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 278:135] + node _T_928 = bits(_T_927, 0, 0) @[el2_lsu_bus_buffer.scala 278:135] + node _T_929 = and(ibuf_wr_en, _T_928) @[el2_lsu_bus_buffer.scala 278:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_929 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_930 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 278:135] - node _T_931 = bits(_T_930, 0, 0) @[el2_lsu_bus_buffer.scala 278:135] - node _T_932 = and(ibuf_wr_en, _T_931) @[el2_lsu_bus_buffer.scala 278:104] + node _T_930 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 279:135] + node _T_931 = bits(_T_930, 0, 0) @[el2_lsu_bus_buffer.scala 279:135] + node _T_932 = and(ibuf_wr_en, _T_931) @[el2_lsu_bus_buffer.scala 279:104] reg _T_933 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_932 : @[Reg.scala 28:19] _T_933 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_933 @[el2_lsu_bus_buffer.scala 278:19] - node _T_934 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 279:134] - node _T_935 = bits(_T_934, 0, 0) @[el2_lsu_bus_buffer.scala 279:134] - node _T_936 = and(ibuf_wr_en, _T_935) @[el2_lsu_bus_buffer.scala 279:103] + ibuf_sideeffect <= _T_933 @[el2_lsu_bus_buffer.scala 279:19] + node _T_934 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 280:134] + node _T_935 = bits(_T_934, 0, 0) @[el2_lsu_bus_buffer.scala 280:134] + node _T_936 = and(ibuf_wr_en, _T_935) @[el2_lsu_bus_buffer.scala 280:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_936 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] @@ -1503,7 +1503,7 @@ circuit el2_lsu_bus_buffer : when ibuf_wr_en : @[Reg.scala 28:19] _T_937 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_937 @[el2_lsu_bus_buffer.scala 280:14] + ibuf_write <= _T_937 @[el2_lsu_bus_buffer.scala 281:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1516,12 +1516,12 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_938 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_938 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_938 @[el2_lsu_bus_buffer.scala 282:13] + ibuf_addr <= _T_938 @[el2_lsu_bus_buffer.scala 283:13] reg _T_939 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_939 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_939 @[el2_lsu_bus_buffer.scala 283:15] + ibuf_byteen <= _T_939 @[el2_lsu_bus_buffer.scala 284:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -1530,21 +1530,21 @@ circuit el2_lsu_bus_buffer : rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_940 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_940 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_940 @[el2_lsu_bus_buffer.scala 284:13] - reg _T_941 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 285:59] - _T_941 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 285:59] - ibuf_timer <= _T_941 @[el2_lsu_bus_buffer.scala 285:14] + ibuf_data <= _T_940 @[el2_lsu_bus_buffer.scala 285:13] + reg _T_941 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 286:59] + _T_941 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 286:59] + ibuf_timer <= _T_941 @[el2_lsu_bus_buffer.scala 286:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 289:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 290:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 290:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 290:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 290:15] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 290:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 291:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 291:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 291:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 291:15] wire Cmdptr0 : UInt<2> Cmdptr0 <= UInt<1>("h00") wire buf_sideeffect : UInt<4> @@ -1553,17 +1553,17 @@ circuit el2_lsu_bus_buffer : obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_942 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 295:43] - node _T_943 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 295:72] - node _T_944 = and(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 295:51] - node _T_945 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 295:97] - node _T_946 = and(_T_944, _T_945) @[el2_lsu_bus_buffer.scala 295:80] - node _T_947 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:5] - node _T_948 = and(_T_946, _T_947) @[el2_lsu_bus_buffer.scala 295:114] - node _T_949 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:114] - node _T_950 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 296:114] - node _T_951 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 296:114] - node _T_952 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 296:114] + node _T_942 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 296:43] + node _T_943 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 296:72] + node _T_944 = and(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 296:51] + node _T_945 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 296:97] + node _T_946 = and(_T_944, _T_945) @[el2_lsu_bus_buffer.scala 296:80] + node _T_947 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:5] + node _T_948 = and(_T_946, _T_947) @[el2_lsu_bus_buffer.scala 296:114] + node _T_949 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:114] + node _T_950 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 297:114] + node _T_951 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 297:114] + node _T_952 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 297:114] node _T_953 = mux(_T_949, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_954 = mux(_T_950, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_955 = mux(_T_951, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1573,16 +1573,16 @@ circuit el2_lsu_bus_buffer : node _T_959 = or(_T_958, _T_956) @[Mux.scala 27:72] wire _T_960 : UInt<1> @[Mux.scala 27:72] _T_960 <= _T_959 @[Mux.scala 27:72] - node _T_961 = eq(_T_960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:31] - node _T_962 = and(_T_948, _T_961) @[el2_lsu_bus_buffer.scala 296:29] - node _T_963 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:88] - node _T_964 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 297:111] - node _T_965 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 297:88] - node _T_966 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 297:111] - node _T_967 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 297:88] - node _T_968 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 297:111] - node _T_969 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 297:88] - node _T_970 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 297:111] + node _T_961 = eq(_T_960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:31] + node _T_962 = and(_T_948, _T_961) @[el2_lsu_bus_buffer.scala 297:29] + node _T_963 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 298:88] + node _T_964 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 298:111] + node _T_965 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 298:88] + node _T_966 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 298:111] + node _T_967 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 298:88] + node _T_968 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 298:111] + node _T_969 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 298:88] + node _T_970 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 298:111] node _T_971 = mux(_T_963, _T_964, UInt<1>("h00")) @[Mux.scala 27:72] node _T_972 = mux(_T_965, _T_966, UInt<1>("h00")) @[Mux.scala 27:72] node _T_973 = mux(_T_967, _T_968, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1592,32 +1592,32 @@ circuit el2_lsu_bus_buffer : node _T_977 = or(_T_976, _T_974) @[Mux.scala 27:72] wire _T_978 : UInt<1> @[Mux.scala 27:72] _T_978 <= _T_977 @[Mux.scala 27:72] - node _T_979 = eq(_T_978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:5] - node _T_980 = and(_T_962, _T_979) @[el2_lsu_bus_buffer.scala 296:140] - node _T_981 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:119] - node obuf_wr_wait = and(_T_980, _T_981) @[el2_lsu_bus_buffer.scala 297:117] - node _T_982 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 298:75] - node _T_983 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 298:95] - node _T_984 = and(_T_982, _T_983) @[el2_lsu_bus_buffer.scala 298:79] - node _T_985 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 298:121] - node _T_986 = tail(_T_985, 1) @[el2_lsu_bus_buffer.scala 298:121] - node _T_987 = mux(_T_984, _T_986, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 298:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_987) @[el2_lsu_bus_buffer.scala 298:29] - node _T_988 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 299:41] - node _T_989 = and(io.lsu_busreq_m, _T_988) @[el2_lsu_bus_buffer.scala 299:39] - node _T_990 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 299:60] - node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 299:58] - node _T_992 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 299:93] - node _T_993 = and(_T_991, _T_992) @[el2_lsu_bus_buffer.scala 299:72] - node _T_994 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 299:117] - node _T_995 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 299:208] - node _T_996 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 299:228] - node _T_997 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 299:208] - node _T_998 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 299:228] - node _T_999 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 299:208] - node _T_1000 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 299:228] - node _T_1001 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 299:208] - node _T_1002 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 299:228] + node _T_979 = eq(_T_978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 298:5] + node _T_980 = and(_T_962, _T_979) @[el2_lsu_bus_buffer.scala 297:140] + node _T_981 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 298:119] + node obuf_wr_wait = and(_T_980, _T_981) @[el2_lsu_bus_buffer.scala 298:117] + node _T_982 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 299:75] + node _T_983 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 299:95] + node _T_984 = and(_T_982, _T_983) @[el2_lsu_bus_buffer.scala 299:79] + node _T_985 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 299:121] + node _T_986 = tail(_T_985, 1) @[el2_lsu_bus_buffer.scala 299:121] + node _T_987 = mux(_T_984, _T_986, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 299:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_987) @[el2_lsu_bus_buffer.scala 299:29] + node _T_988 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 300:41] + node _T_989 = and(io.lsu_busreq_m, _T_988) @[el2_lsu_bus_buffer.scala 300:39] + node _T_990 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 300:60] + node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 300:58] + node _T_992 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 300:93] + node _T_993 = and(_T_991, _T_992) @[el2_lsu_bus_buffer.scala 300:72] + node _T_994 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 300:117] + node _T_995 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 300:208] + node _T_996 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 300:228] + node _T_997 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 300:208] + node _T_998 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 300:228] + node _T_999 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 300:208] + node _T_1000 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 300:228] + node _T_1001 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 300:208] + node _T_1002 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 300:228] node _T_1003 = mux(_T_995, _T_996, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1004 = mux(_T_997, _T_998, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1005 = mux(_T_999, _T_1000, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1627,35 +1627,35 @@ circuit el2_lsu_bus_buffer : node _T_1009 = or(_T_1008, _T_1006) @[Mux.scala 27:72] wire _T_1010 : UInt<30> @[Mux.scala 27:72] _T_1010 <= _T_1009 @[Mux.scala 27:72] - node _T_1011 = neq(_T_994, _T_1010) @[el2_lsu_bus_buffer.scala 299:123] - node _T_1012 = and(_T_993, _T_1011) @[el2_lsu_bus_buffer.scala 299:101] - obuf_force_wr_en <= _T_1012 @[el2_lsu_bus_buffer.scala 299:20] + node _T_1011 = neq(_T_994, _T_1010) @[el2_lsu_bus_buffer.scala 300:123] + node _T_1012 = and(_T_993, _T_1011) @[el2_lsu_bus_buffer.scala 300:101] + obuf_force_wr_en <= _T_1012 @[el2_lsu_bus_buffer.scala 300:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1013 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:53] - node _T_1014 = and(ibuf_byp, _T_1013) @[el2_lsu_bus_buffer.scala 301:31] - node _T_1015 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:64] - node _T_1016 = or(_T_1015, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 301:84] - node ibuf_buf_byp = and(_T_1014, _T_1016) @[el2_lsu_bus_buffer.scala 301:61] + node _T_1013 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:53] + node _T_1014 = and(ibuf_byp, _T_1013) @[el2_lsu_bus_buffer.scala 302:31] + node _T_1015 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:64] + node _T_1016 = or(_T_1015, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 302:84] + node ibuf_buf_byp = and(_T_1014, _T_1016) @[el2_lsu_bus_buffer.scala 302:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 304:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 305:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 305:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 305:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 305:24] - wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 306:22] - buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 307:12] - buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 307:12] - buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 307:12] - buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 307:12] - wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 308:24] - buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 309:14] - buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 309:14] - buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 309:14] - buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 309:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 305:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:24] + wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 307:22] + buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:12] + buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:12] + buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:12] + buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:12] + wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 309:24] + buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:14] + buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:14] + buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:14] + buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -1668,14 +1668,14 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1017 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 316:32] - node _T_1018 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 316:74] - node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 316:52] - node _T_1020 = and(_T_1017, _T_1019) @[el2_lsu_bus_buffer.scala 316:50] - node _T_1021 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1022 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1023 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1024 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1017 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 317:32] + node _T_1018 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 317:74] + node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 317:52] + node _T_1020 = and(_T_1017, _T_1019) @[el2_lsu_bus_buffer.scala 317:50] + node _T_1021 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1022 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1023 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1024 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1025 = mux(_T_1021, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1026 = mux(_T_1022, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1027 = mux(_T_1023, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1685,19 +1685,19 @@ circuit el2_lsu_bus_buffer : node _T_1031 = or(_T_1030, _T_1028) @[Mux.scala 27:72] wire _T_1032 : UInt<3> @[Mux.scala 27:72] _T_1032 <= _T_1031 @[Mux.scala 27:72] - node _T_1033 = eq(_T_1032, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 317:36] - node _T_1034 = and(_T_1033, found_cmdptr0) @[el2_lsu_bus_buffer.scala 317:47] + node _T_1033 = eq(_T_1032, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 318:36] + node _T_1034 = and(_T_1033, found_cmdptr0) @[el2_lsu_bus_buffer.scala 318:47] node _T_1035 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1036 = cat(_T_1035, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1037 = cat(_T_1036, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1038 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1039 = bits(_T_1037, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1040 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1041 = bits(_T_1037, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1042 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1043 = bits(_T_1037, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1044 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1045 = bits(_T_1037, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1038 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1039 = bits(_T_1037, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1040 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1041 = bits(_T_1037, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1042 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1043 = bits(_T_1037, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1044 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1045 = bits(_T_1037, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1046 = mux(_T_1038, _T_1039, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1047 = mux(_T_1040, _T_1041, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1048 = mux(_T_1042, _T_1043, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1707,16 +1707,16 @@ circuit el2_lsu_bus_buffer : node _T_1052 = or(_T_1051, _T_1049) @[Mux.scala 27:72] wire _T_1053 : UInt<1> @[Mux.scala 27:72] _T_1053 <= _T_1052 @[Mux.scala 27:72] - node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 318:23] - node _T_1055 = and(_T_1034, _T_1054) @[el2_lsu_bus_buffer.scala 318:21] - node _T_1056 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1057 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1058 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1059 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1060 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1061 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1062 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1063 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:23] + node _T_1055 = and(_T_1034, _T_1054) @[el2_lsu_bus_buffer.scala 319:21] + node _T_1056 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1057 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1058 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1059 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1060 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1061 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1062 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1063 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1064 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1065 = mux(_T_1058, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1066 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1726,20 +1726,20 @@ circuit el2_lsu_bus_buffer : node _T_1070 = or(_T_1069, _T_1067) @[Mux.scala 27:72] wire _T_1071 : UInt<1> @[Mux.scala 27:72] _T_1071 <= _T_1070 @[Mux.scala 27:72] - node _T_1072 = and(_T_1071, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 318:141] - node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 318:105] - node _T_1074 = and(_T_1055, _T_1073) @[el2_lsu_bus_buffer.scala 318:103] + node _T_1072 = and(_T_1071, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 319:141] + node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:105] + node _T_1074 = and(_T_1055, _T_1073) @[el2_lsu_bus_buffer.scala 319:103] node _T_1075 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1076 = cat(_T_1075, buf_dual[1]) @[Cat.scala 29:58] node _T_1077 = cat(_T_1076, buf_dual[0]) @[Cat.scala 29:58] - node _T_1078 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1079 = bits(_T_1077, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1080 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1081 = bits(_T_1077, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1082 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1083 = bits(_T_1077, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1084 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1085 = bits(_T_1077, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1078 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1079 = bits(_T_1077, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1080 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1081 = bits(_T_1077, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1082 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1083 = bits(_T_1077, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1084 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1085 = bits(_T_1077, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1086 = mux(_T_1078, _T_1079, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1087 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1088 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1752,14 +1752,14 @@ circuit el2_lsu_bus_buffer : node _T_1094 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1095 = cat(_T_1094, buf_samedw[1]) @[Cat.scala 29:58] node _T_1096 = cat(_T_1095, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1097 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1098 = bits(_T_1096, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1099 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1100 = bits(_T_1096, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1101 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1102 = bits(_T_1096, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1104 = bits(_T_1096, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1097 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1098 = bits(_T_1096, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1099 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1100 = bits(_T_1096, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1101 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1102 = bits(_T_1096, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1104 = bits(_T_1096, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1105 = mux(_T_1097, _T_1098, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1106 = mux(_T_1099, _T_1100, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1107 = mux(_T_1101, _T_1102, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1769,15 +1769,15 @@ circuit el2_lsu_bus_buffer : node _T_1111 = or(_T_1110, _T_1108) @[Mux.scala 27:72] wire _T_1112 : UInt<1> @[Mux.scala 27:72] _T_1112 <= _T_1111 @[Mux.scala 27:72] - node _T_1113 = and(_T_1093, _T_1112) @[el2_lsu_bus_buffer.scala 319:77] - node _T_1114 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1115 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1116 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1117 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1118 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1119 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1120 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1121 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1113 = and(_T_1093, _T_1112) @[el2_lsu_bus_buffer.scala 320:77] + node _T_1114 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1115 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1116 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1117 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1118 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1119 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1120 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1121 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1122 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1123 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1124 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1787,21 +1787,21 @@ circuit el2_lsu_bus_buffer : node _T_1128 = or(_T_1127, _T_1125) @[Mux.scala 27:72] wire _T_1129 : UInt<1> @[Mux.scala 27:72] _T_1129 <= _T_1128 @[Mux.scala 27:72] - node _T_1130 = eq(_T_1129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:150] - node _T_1131 = and(_T_1113, _T_1130) @[el2_lsu_bus_buffer.scala 319:148] - node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:8] - node _T_1133 = or(_T_1132, found_cmdptr1) @[el2_lsu_bus_buffer.scala 319:181] + node _T_1130 = eq(_T_1129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:150] + node _T_1131 = and(_T_1113, _T_1130) @[el2_lsu_bus_buffer.scala 320:148] + node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:8] + node _T_1133 = or(_T_1132, found_cmdptr1) @[el2_lsu_bus_buffer.scala 320:181] node _T_1134 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1135 = cat(_T_1134, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1136 = cat(_T_1135, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1137 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1138 = bits(_T_1136, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1139 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1140 = bits(_T_1136, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1141 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1142 = bits(_T_1136, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1143 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1144 = bits(_T_1136, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1137 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1138 = bits(_T_1136, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1139 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1140 = bits(_T_1136, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1141 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1142 = bits(_T_1136, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1143 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1144 = bits(_T_1136, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1145 = mux(_T_1137, _T_1138, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1146 = mux(_T_1139, _T_1140, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1147 = mux(_T_1141, _T_1142, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1811,38 +1811,38 @@ circuit el2_lsu_bus_buffer : node _T_1151 = or(_T_1150, _T_1148) @[Mux.scala 27:72] wire _T_1152 : UInt<1> @[Mux.scala 27:72] _T_1152 <= _T_1151 @[Mux.scala 27:72] - node _T_1153 = or(_T_1133, _T_1152) @[el2_lsu_bus_buffer.scala 319:197] - node _T_1154 = or(_T_1153, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 319:269] - node _T_1155 = and(_T_1074, _T_1154) @[el2_lsu_bus_buffer.scala 318:164] - node _T_1156 = or(_T_1020, _T_1155) @[el2_lsu_bus_buffer.scala 316:98] - node _T_1157 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:48] - node _T_1158 = or(bus_cmd_ready, _T_1157) @[el2_lsu_bus_buffer.scala 320:46] - node _T_1159 = or(_T_1158, obuf_nosend) @[el2_lsu_bus_buffer.scala 320:60] - node _T_1160 = and(_T_1156, _T_1159) @[el2_lsu_bus_buffer.scala 320:29] - node _T_1161 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:77] - node _T_1162 = and(_T_1160, _T_1161) @[el2_lsu_bus_buffer.scala 320:75] - node _T_1163 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:93] - node _T_1164 = and(_T_1162, _T_1163) @[el2_lsu_bus_buffer.scala 320:91] - node _T_1165 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:118] - node _T_1166 = and(_T_1164, _T_1165) @[el2_lsu_bus_buffer.scala 320:116] - node _T_1167 = and(_T_1166, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 320:142] - obuf_wr_en <= _T_1167 @[el2_lsu_bus_buffer.scala 316:14] + node _T_1153 = or(_T_1133, _T_1152) @[el2_lsu_bus_buffer.scala 320:197] + node _T_1154 = or(_T_1153, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 320:269] + node _T_1155 = and(_T_1074, _T_1154) @[el2_lsu_bus_buffer.scala 319:164] + node _T_1156 = or(_T_1020, _T_1155) @[el2_lsu_bus_buffer.scala 317:98] + node _T_1157 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:48] + node _T_1158 = or(bus_cmd_ready, _T_1157) @[el2_lsu_bus_buffer.scala 321:46] + node _T_1159 = or(_T_1158, obuf_nosend) @[el2_lsu_bus_buffer.scala 321:60] + node _T_1160 = and(_T_1156, _T_1159) @[el2_lsu_bus_buffer.scala 321:29] + node _T_1161 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:77] + node _T_1162 = and(_T_1160, _T_1161) @[el2_lsu_bus_buffer.scala 321:75] + node _T_1163 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:93] + node _T_1164 = and(_T_1162, _T_1163) @[el2_lsu_bus_buffer.scala 321:91] + node _T_1165 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:118] + node _T_1166 = and(_T_1164, _T_1165) @[el2_lsu_bus_buffer.scala 321:116] + node _T_1167 = and(_T_1166, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 321:142] + obuf_wr_en <= _T_1167 @[el2_lsu_bus_buffer.scala 317:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1168 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 322:47] - node _T_1169 = or(bus_cmd_sent, _T_1168) @[el2_lsu_bus_buffer.scala 322:33] - node _T_1170 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:65] - node _T_1171 = and(_T_1169, _T_1170) @[el2_lsu_bus_buffer.scala 322:63] - node _T_1172 = and(_T_1171, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 322:77] - node obuf_rst = or(_T_1172, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 322:98] - node _T_1173 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1174 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1175 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1176 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1177 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1178 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1179 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1180 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1168 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 323:47] + node _T_1169 = or(bus_cmd_sent, _T_1168) @[el2_lsu_bus_buffer.scala 323:33] + node _T_1170 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:65] + node _T_1171 = and(_T_1169, _T_1170) @[el2_lsu_bus_buffer.scala 323:63] + node _T_1172 = and(_T_1171, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 323:77] + node obuf_rst = or(_T_1172, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 323:98] + node _T_1173 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1174 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1175 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1176 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1177 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1178 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1179 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1180 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1181 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1182 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1183 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1852,15 +1852,15 @@ circuit el2_lsu_bus_buffer : node _T_1187 = or(_T_1186, _T_1184) @[Mux.scala 27:72] wire _T_1188 : UInt<1> @[Mux.scala 27:72] _T_1188 <= _T_1187 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1188) @[el2_lsu_bus_buffer.scala 323:26] - node _T_1189 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1190 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1191 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1192 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1193 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1194 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1195 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1196 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1188) @[el2_lsu_bus_buffer.scala 324:26] + node _T_1189 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1190 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1191 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1192 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1193 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1194 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1195 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1196 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1197 = mux(_T_1189, _T_1190, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1198 = mux(_T_1191, _T_1192, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1199 = mux(_T_1193, _T_1194, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1870,11 +1870,11 @@ circuit el2_lsu_bus_buffer : node _T_1203 = or(_T_1202, _T_1200) @[Mux.scala 27:72] wire _T_1204 : UInt<1> @[Mux.scala 27:72] _T_1204 <= _T_1203 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1204) @[el2_lsu_bus_buffer.scala 324:31] - node _T_1205 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1206 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1207 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1208 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1204) @[el2_lsu_bus_buffer.scala 325:31] + node _T_1205 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1206 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1207 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1208 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1209 = mux(_T_1205, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1210 = mux(_T_1206, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1211 = mux(_T_1207, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1884,17 +1884,17 @@ circuit el2_lsu_bus_buffer : node _T_1215 = or(_T_1214, _T_1212) @[Mux.scala 27:72] wire _T_1216 : UInt<32> @[Mux.scala 27:72] _T_1216 <= _T_1215 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1216) @[el2_lsu_bus_buffer.scala 325:25] - wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 326:20] - buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 327:10] - buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 327:10] - buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 327:10] - buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 327:10] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1216) @[el2_lsu_bus_buffer.scala 326:25] + wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 327:20] + buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:10] + buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:10] + buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:10] + buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:10] node _T_1217 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1218 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1219 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1221 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1218 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1219 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1221 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1222 = mux(_T_1218, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1223 = mux(_T_1219, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1224 = mux(_T_1220, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1904,43 +1904,43 @@ circuit el2_lsu_bus_buffer : node _T_1228 = or(_T_1227, _T_1225) @[Mux.scala 27:72] wire _T_1229 : UInt<2> @[Mux.scala 27:72] _T_1229 <= _T_1228 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1217, _T_1229) @[el2_lsu_bus_buffer.scala 328:23] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1217, _T_1229) @[el2_lsu_bus_buffer.scala 329:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 331:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 332:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 333:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 334:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1230 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 336:39] - node _T_1231 = eq(_T_1230, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 336:26] - node _T_1232 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 336:68] - node obuf_cmd_done_in = and(_T_1231, _T_1232) @[el2_lsu_bus_buffer.scala 336:51] + node _T_1230 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 337:39] + node _T_1231 = eq(_T_1230, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 337:26] + node _T_1232 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 337:68] + node obuf_cmd_done_in = and(_T_1231, _T_1232) @[el2_lsu_bus_buffer.scala 337:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1233 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 339:40] - node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 339:27] - node _T_1235 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 339:70] - node obuf_data_done_in = and(_T_1234, _T_1235) @[el2_lsu_bus_buffer.scala 339:52] - node _T_1236 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 340:67] - node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:72] - node _T_1238 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 340:92] - node _T_1239 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 340:111] - node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:98] - node _T_1241 = and(_T_1238, _T_1240) @[el2_lsu_bus_buffer.scala 340:96] - node _T_1242 = or(_T_1237, _T_1241) @[el2_lsu_bus_buffer.scala 340:79] - node _T_1243 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 340:129] - node _T_1244 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 340:147] - node _T_1245 = orr(_T_1244) @[el2_lsu_bus_buffer.scala 340:153] - node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:134] - node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 340:132] - node _T_1248 = or(_T_1242, _T_1247) @[el2_lsu_bus_buffer.scala 340:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1248) @[el2_lsu_bus_buffer.scala 340:28] + node _T_1233 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 340:40] + node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:27] + node _T_1235 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 340:70] + node obuf_data_done_in = and(_T_1234, _T_1235) @[el2_lsu_bus_buffer.scala 340:52] + node _T_1236 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 341:67] + node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:72] + node _T_1238 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 341:92] + node _T_1239 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 341:111] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:98] + node _T_1241 = and(_T_1238, _T_1240) @[el2_lsu_bus_buffer.scala 341:96] + node _T_1242 = or(_T_1237, _T_1241) @[el2_lsu_bus_buffer.scala 341:79] + node _T_1243 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 341:129] + node _T_1244 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 341:147] + node _T_1245 = orr(_T_1244) @[el2_lsu_bus_buffer.scala 341:153] + node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:134] + node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 341:132] + node _T_1248 = or(_T_1242, _T_1247) @[el2_lsu_bus_buffer.scala 341:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1248) @[el2_lsu_bus_buffer.scala 341:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -1953,57 +1953,57 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1249 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:44] - node _T_1250 = and(obuf_wr_en, _T_1249) @[el2_lsu_bus_buffer.scala 348:42] - node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:29] - node _T_1252 = and(_T_1251, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 348:61] - node _T_1253 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 348:116] - node _T_1254 = and(bus_rsp_read, _T_1253) @[el2_lsu_bus_buffer.scala 348:96] - node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:81] - node _T_1256 = and(_T_1252, _T_1255) @[el2_lsu_bus_buffer.scala 348:79] - node _T_1257 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:22] - node _T_1258 = and(bus_cmd_sent, _T_1257) @[el2_lsu_bus_buffer.scala 349:20] - node _T_1259 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:37] - node _T_1260 = and(_T_1258, _T_1259) @[el2_lsu_bus_buffer.scala 349:35] - node obuf_rdrsp_pend_in = or(_T_1256, _T_1260) @[el2_lsu_bus_buffer.scala 348:138] + node _T_1249 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:44] + node _T_1250 = and(obuf_wr_en, _T_1249) @[el2_lsu_bus_buffer.scala 349:42] + node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:29] + node _T_1252 = and(_T_1251, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 349:61] + node _T_1253 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 349:116] + node _T_1254 = and(bus_rsp_read, _T_1253) @[el2_lsu_bus_buffer.scala 349:96] + node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:81] + node _T_1256 = and(_T_1252, _T_1255) @[el2_lsu_bus_buffer.scala 349:79] + node _T_1257 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 350:22] + node _T_1258 = and(bus_cmd_sent, _T_1257) @[el2_lsu_bus_buffer.scala 350:20] + node _T_1259 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 350:37] + node _T_1260 = and(_T_1258, _T_1259) @[el2_lsu_bus_buffer.scala 350:35] + node obuf_rdrsp_pend_in = or(_T_1256, _T_1260) @[el2_lsu_bus_buffer.scala 349:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1261 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 351:46] - node _T_1262 = or(bus_cmd_sent, _T_1261) @[el2_lsu_bus_buffer.scala 351:44] - node obuf_rdrsp_tag_in = mux(_T_1262, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 351:30] + node _T_1261 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 352:46] + node _T_1262 = or(bus_cmd_sent, _T_1261) @[el2_lsu_bus_buffer.scala 352:44] + node obuf_rdrsp_tag_in = mux(_T_1262, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 352:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1263 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 354:34] - node _T_1264 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 354:52] - node _T_1265 = eq(_T_1263, _T_1264) @[el2_lsu_bus_buffer.scala 354:40] - node _T_1266 = and(_T_1265, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 354:60] - node _T_1267 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:80] - node _T_1268 = and(_T_1266, _T_1267) @[el2_lsu_bus_buffer.scala 354:78] - node _T_1269 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:99] - node _T_1270 = and(_T_1268, _T_1269) @[el2_lsu_bus_buffer.scala 354:97] - node _T_1271 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:113] - node _T_1272 = and(_T_1270, _T_1271) @[el2_lsu_bus_buffer.scala 354:111] - node _T_1273 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:130] - node _T_1274 = and(_T_1272, _T_1273) @[el2_lsu_bus_buffer.scala 354:128] - node _T_1275 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:20] - node _T_1276 = and(obuf_valid, _T_1275) @[el2_lsu_bus_buffer.scala 355:18] - node _T_1277 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 355:90] - node _T_1278 = and(bus_rsp_read, _T_1277) @[el2_lsu_bus_buffer.scala 355:70] - node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:55] - node _T_1280 = and(obuf_rdrsp_pend, _T_1279) @[el2_lsu_bus_buffer.scala 355:53] - node _T_1281 = or(_T_1276, _T_1280) @[el2_lsu_bus_buffer.scala 355:34] - node _T_1282 = and(_T_1274, _T_1281) @[el2_lsu_bus_buffer.scala 354:165] - obuf_nosend_in <= _T_1282 @[el2_lsu_bus_buffer.scala 354:18] - node _T_1283 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 356:60] + node _T_1263 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 355:34] + node _T_1264 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 355:52] + node _T_1265 = eq(_T_1263, _T_1264) @[el2_lsu_bus_buffer.scala 355:40] + node _T_1266 = and(_T_1265, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 355:60] + node _T_1267 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:80] + node _T_1268 = and(_T_1266, _T_1267) @[el2_lsu_bus_buffer.scala 355:78] + node _T_1269 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:99] + node _T_1270 = and(_T_1268, _T_1269) @[el2_lsu_bus_buffer.scala 355:97] + node _T_1271 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:113] + node _T_1272 = and(_T_1270, _T_1271) @[el2_lsu_bus_buffer.scala 355:111] + node _T_1273 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:130] + node _T_1274 = and(_T_1272, _T_1273) @[el2_lsu_bus_buffer.scala 355:128] + node _T_1275 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:20] + node _T_1276 = and(obuf_valid, _T_1275) @[el2_lsu_bus_buffer.scala 356:18] + node _T_1277 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 356:90] + node _T_1278 = and(bus_rsp_read, _T_1277) @[el2_lsu_bus_buffer.scala 356:70] + node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:55] + node _T_1280 = and(obuf_rdrsp_pend, _T_1279) @[el2_lsu_bus_buffer.scala 356:53] + node _T_1281 = or(_T_1276, _T_1280) @[el2_lsu_bus_buffer.scala 356:34] + node _T_1282 = and(_T_1274, _T_1281) @[el2_lsu_bus_buffer.scala 355:165] + obuf_nosend_in <= _T_1282 @[el2_lsu_bus_buffer.scala 355:18] + node _T_1283 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 357:60] node _T_1284 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1285 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1286 = mux(_T_1283, _T_1284, _T_1285) @[el2_lsu_bus_buffer.scala 356:46] - node _T_1287 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1288 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1289 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1290 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1286 = mux(_T_1283, _T_1284, _T_1285) @[el2_lsu_bus_buffer.scala 357:46] + node _T_1287 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1288 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1289 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1290 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1291 = mux(_T_1287, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1292 = mux(_T_1288, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1293 = mux(_T_1289, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2013,12 +2013,12 @@ circuit el2_lsu_bus_buffer : node _T_1297 = or(_T_1296, _T_1294) @[Mux.scala 27:72] wire _T_1298 : UInt<32> @[Mux.scala 27:72] _T_1298 <= _T_1297 @[Mux.scala 27:72] - node _T_1299 = bits(_T_1298, 2, 2) @[el2_lsu_bus_buffer.scala 357:36] - node _T_1300 = bits(_T_1299, 0, 0) @[el2_lsu_bus_buffer.scala 357:46] - node _T_1301 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1302 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1303 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1304 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1299 = bits(_T_1298, 2, 2) @[el2_lsu_bus_buffer.scala 358:36] + node _T_1300 = bits(_T_1299, 0, 0) @[el2_lsu_bus_buffer.scala 358:46] + node _T_1301 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1302 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1303 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1304 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1305 = mux(_T_1301, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1306 = mux(_T_1302, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1307 = mux(_T_1303, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2029,10 +2029,10 @@ circuit el2_lsu_bus_buffer : wire _T_1312 : UInt<4> @[Mux.scala 27:72] _T_1312 <= _T_1311 @[Mux.scala 27:72] node _T_1313 = cat(_T_1312, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1314 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1315 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1316 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1317 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1314 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1315 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1316 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1317 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1318 = mux(_T_1314, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1319 = mux(_T_1315, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1320 = mux(_T_1316, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2043,16 +2043,16 @@ circuit el2_lsu_bus_buffer : wire _T_1325 : UInt<4> @[Mux.scala 27:72] _T_1325 <= _T_1324 @[Mux.scala 27:72] node _T_1326 = cat(UInt<4>("h00"), _T_1325) @[Cat.scala 29:58] - node _T_1327 = mux(_T_1300, _T_1313, _T_1326) @[el2_lsu_bus_buffer.scala 357:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1286, _T_1327) @[el2_lsu_bus_buffer.scala 356:28] - node _T_1328 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 358:60] + node _T_1327 = mux(_T_1300, _T_1313, _T_1326) @[el2_lsu_bus_buffer.scala 358:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1286, _T_1327) @[el2_lsu_bus_buffer.scala 357:28] + node _T_1328 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 359:60] node _T_1329 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1330 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1331 = mux(_T_1328, _T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 358:46] - node _T_1332 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1333 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1334 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1335 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1331 = mux(_T_1328, _T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 359:46] + node _T_1332 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1333 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1334 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1335 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1336 = mux(_T_1332, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1337 = mux(_T_1333, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1338 = mux(_T_1334, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2062,12 +2062,12 @@ circuit el2_lsu_bus_buffer : node _T_1342 = or(_T_1341, _T_1339) @[Mux.scala 27:72] wire _T_1343 : UInt<32> @[Mux.scala 27:72] _T_1343 <= _T_1342 @[Mux.scala 27:72] - node _T_1344 = bits(_T_1343, 2, 2) @[el2_lsu_bus_buffer.scala 359:36] - node _T_1345 = bits(_T_1344, 0, 0) @[el2_lsu_bus_buffer.scala 359:46] - node _T_1346 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1347 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1348 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1349 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1344 = bits(_T_1343, 2, 2) @[el2_lsu_bus_buffer.scala 360:36] + node _T_1345 = bits(_T_1344, 0, 0) @[el2_lsu_bus_buffer.scala 360:46] + node _T_1346 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1347 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1348 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1349 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1350 = mux(_T_1346, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1351 = mux(_T_1347, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1352 = mux(_T_1348, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2078,10 +2078,10 @@ circuit el2_lsu_bus_buffer : wire _T_1357 : UInt<4> @[Mux.scala 27:72] _T_1357 <= _T_1356 @[Mux.scala 27:72] node _T_1358 = cat(_T_1357, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1359 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1360 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1361 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1362 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1359 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1360 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1361 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1362 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1363 = mux(_T_1359, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1364 = mux(_T_1360, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1365 = mux(_T_1361, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2092,16 +2092,16 @@ circuit el2_lsu_bus_buffer : wire _T_1370 : UInt<4> @[Mux.scala 27:72] _T_1370 <= _T_1369 @[Mux.scala 27:72] node _T_1371 = cat(UInt<4>("h00"), _T_1370) @[Cat.scala 29:58] - node _T_1372 = mux(_T_1345, _T_1358, _T_1371) @[el2_lsu_bus_buffer.scala 359:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1331, _T_1372) @[el2_lsu_bus_buffer.scala 358:28] - node _T_1373 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 361:58] + node _T_1372 = mux(_T_1345, _T_1358, _T_1371) @[el2_lsu_bus_buffer.scala 360:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1331, _T_1372) @[el2_lsu_bus_buffer.scala 359:28] + node _T_1373 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 362:58] node _T_1374 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1375 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1376 = mux(_T_1373, _T_1374, _T_1375) @[el2_lsu_bus_buffer.scala 361:44] - node _T_1377 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1378 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1379 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1380 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1376 = mux(_T_1373, _T_1374, _T_1375) @[el2_lsu_bus_buffer.scala 362:44] + node _T_1377 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1378 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1379 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1380 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1381 = mux(_T_1377, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1382 = mux(_T_1378, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1383 = mux(_T_1379, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2111,12 +2111,12 @@ circuit el2_lsu_bus_buffer : node _T_1387 = or(_T_1386, _T_1384) @[Mux.scala 27:72] wire _T_1388 : UInt<32> @[Mux.scala 27:72] _T_1388 <= _T_1387 @[Mux.scala 27:72] - node _T_1389 = bits(_T_1388, 2, 2) @[el2_lsu_bus_buffer.scala 362:36] - node _T_1390 = bits(_T_1389, 0, 0) @[el2_lsu_bus_buffer.scala 362:46] - node _T_1391 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1392 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1393 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1394 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1389 = bits(_T_1388, 2, 2) @[el2_lsu_bus_buffer.scala 363:36] + node _T_1390 = bits(_T_1389, 0, 0) @[el2_lsu_bus_buffer.scala 363:46] + node _T_1391 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1392 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1393 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1394 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1395 = mux(_T_1391, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1396 = mux(_T_1392, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1397 = mux(_T_1393, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2127,10 +2127,10 @@ circuit el2_lsu_bus_buffer : wire _T_1402 : UInt<32> @[Mux.scala 27:72] _T_1402 <= _T_1401 @[Mux.scala 27:72] node _T_1403 = cat(_T_1402, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1404 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1405 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1406 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1407 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1404 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1405 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1406 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1407 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1408 = mux(_T_1404, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1409 = mux(_T_1405, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1410 = mux(_T_1406, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2141,16 +2141,16 @@ circuit el2_lsu_bus_buffer : wire _T_1415 : UInt<32> @[Mux.scala 27:72] _T_1415 <= _T_1414 @[Mux.scala 27:72] node _T_1416 = cat(UInt<32>("h00"), _T_1415) @[Cat.scala 29:58] - node _T_1417 = mux(_T_1390, _T_1403, _T_1416) @[el2_lsu_bus_buffer.scala 362:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1376, _T_1417) @[el2_lsu_bus_buffer.scala 361:26] - node _T_1418 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 363:58] + node _T_1417 = mux(_T_1390, _T_1403, _T_1416) @[el2_lsu_bus_buffer.scala 363:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1376, _T_1417) @[el2_lsu_bus_buffer.scala 362:26] + node _T_1418 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 364:58] node _T_1419 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1420 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1421 = mux(_T_1418, _T_1419, _T_1420) @[el2_lsu_bus_buffer.scala 363:44] - node _T_1422 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1423 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1424 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1425 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1421 = mux(_T_1418, _T_1419, _T_1420) @[el2_lsu_bus_buffer.scala 364:44] + node _T_1422 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1423 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1424 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1425 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1426 = mux(_T_1422, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1427 = mux(_T_1423, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1428 = mux(_T_1424, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2160,12 +2160,12 @@ circuit el2_lsu_bus_buffer : node _T_1432 = or(_T_1431, _T_1429) @[Mux.scala 27:72] wire _T_1433 : UInt<32> @[Mux.scala 27:72] _T_1433 <= _T_1432 @[Mux.scala 27:72] - node _T_1434 = bits(_T_1433, 2, 2) @[el2_lsu_bus_buffer.scala 364:36] - node _T_1435 = bits(_T_1434, 0, 0) @[el2_lsu_bus_buffer.scala 364:46] - node _T_1436 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1437 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1438 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1439 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1434 = bits(_T_1433, 2, 2) @[el2_lsu_bus_buffer.scala 365:36] + node _T_1435 = bits(_T_1434, 0, 0) @[el2_lsu_bus_buffer.scala 365:46] + node _T_1436 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1437 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1438 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1439 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1440 = mux(_T_1436, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1441 = mux(_T_1437, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1442 = mux(_T_1438, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2176,10 +2176,10 @@ circuit el2_lsu_bus_buffer : wire _T_1447 : UInt<32> @[Mux.scala 27:72] _T_1447 <= _T_1446 @[Mux.scala 27:72] node _T_1448 = cat(_T_1447, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1453 = mux(_T_1449, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1454 = mux(_T_1450, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1455 = mux(_T_1451, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2190,40 +2190,40 @@ circuit el2_lsu_bus_buffer : wire _T_1460 : UInt<32> @[Mux.scala 27:72] _T_1460 <= _T_1459 @[Mux.scala 27:72] node _T_1461 = cat(UInt<32>("h00"), _T_1460) @[Cat.scala 29:58] - node _T_1462 = mux(_T_1435, _T_1448, _T_1461) @[el2_lsu_bus_buffer.scala 364:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1421, _T_1462) @[el2_lsu_bus_buffer.scala 363:26] - node _T_1463 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 365:59] - node _T_1464 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 365:97] - node _T_1465 = and(obuf_merge_en, _T_1464) @[el2_lsu_bus_buffer.scala 365:80] - node _T_1466 = or(_T_1463, _T_1465) @[el2_lsu_bus_buffer.scala 365:63] - node _T_1467 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 365:59] - node _T_1468 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 365:97] - node _T_1469 = and(obuf_merge_en, _T_1468) @[el2_lsu_bus_buffer.scala 365:80] - node _T_1470 = or(_T_1467, _T_1469) @[el2_lsu_bus_buffer.scala 365:63] - node _T_1471 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 365:59] - node _T_1472 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 365:97] - node _T_1473 = and(obuf_merge_en, _T_1472) @[el2_lsu_bus_buffer.scala 365:80] - node _T_1474 = or(_T_1471, _T_1473) @[el2_lsu_bus_buffer.scala 365:63] - node _T_1475 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 365:59] - node _T_1476 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 365:97] - node _T_1477 = and(obuf_merge_en, _T_1476) @[el2_lsu_bus_buffer.scala 365:80] - node _T_1478 = or(_T_1475, _T_1477) @[el2_lsu_bus_buffer.scala 365:63] - node _T_1479 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 365:59] - node _T_1480 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 365:97] - node _T_1481 = and(obuf_merge_en, _T_1480) @[el2_lsu_bus_buffer.scala 365:80] - node _T_1482 = or(_T_1479, _T_1481) @[el2_lsu_bus_buffer.scala 365:63] - node _T_1483 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 365:59] - node _T_1484 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 365:97] - node _T_1485 = and(obuf_merge_en, _T_1484) @[el2_lsu_bus_buffer.scala 365:80] - node _T_1486 = or(_T_1483, _T_1485) @[el2_lsu_bus_buffer.scala 365:63] - node _T_1487 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 365:59] - node _T_1488 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 365:97] - node _T_1489 = and(obuf_merge_en, _T_1488) @[el2_lsu_bus_buffer.scala 365:80] - node _T_1490 = or(_T_1487, _T_1489) @[el2_lsu_bus_buffer.scala 365:63] - node _T_1491 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 365:59] - node _T_1492 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 365:97] - node _T_1493 = and(obuf_merge_en, _T_1492) @[el2_lsu_bus_buffer.scala 365:80] - node _T_1494 = or(_T_1491, _T_1493) @[el2_lsu_bus_buffer.scala 365:63] + node _T_1462 = mux(_T_1435, _T_1448, _T_1461) @[el2_lsu_bus_buffer.scala 365:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1421, _T_1462) @[el2_lsu_bus_buffer.scala 364:26] + node _T_1463 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1464 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 366:97] + node _T_1465 = and(obuf_merge_en, _T_1464) @[el2_lsu_bus_buffer.scala 366:80] + node _T_1466 = or(_T_1463, _T_1465) @[el2_lsu_bus_buffer.scala 366:63] + node _T_1467 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1468 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 366:97] + node _T_1469 = and(obuf_merge_en, _T_1468) @[el2_lsu_bus_buffer.scala 366:80] + node _T_1470 = or(_T_1467, _T_1469) @[el2_lsu_bus_buffer.scala 366:63] + node _T_1471 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1472 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 366:97] + node _T_1473 = and(obuf_merge_en, _T_1472) @[el2_lsu_bus_buffer.scala 366:80] + node _T_1474 = or(_T_1471, _T_1473) @[el2_lsu_bus_buffer.scala 366:63] + node _T_1475 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1476 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 366:97] + node _T_1477 = and(obuf_merge_en, _T_1476) @[el2_lsu_bus_buffer.scala 366:80] + node _T_1478 = or(_T_1475, _T_1477) @[el2_lsu_bus_buffer.scala 366:63] + node _T_1479 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1480 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 366:97] + node _T_1481 = and(obuf_merge_en, _T_1480) @[el2_lsu_bus_buffer.scala 366:80] + node _T_1482 = or(_T_1479, _T_1481) @[el2_lsu_bus_buffer.scala 366:63] + node _T_1483 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1484 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 366:97] + node _T_1485 = and(obuf_merge_en, _T_1484) @[el2_lsu_bus_buffer.scala 366:80] + node _T_1486 = or(_T_1483, _T_1485) @[el2_lsu_bus_buffer.scala 366:63] + node _T_1487 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1488 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 366:97] + node _T_1489 = and(obuf_merge_en, _T_1488) @[el2_lsu_bus_buffer.scala 366:80] + node _T_1490 = or(_T_1487, _T_1489) @[el2_lsu_bus_buffer.scala 366:63] + node _T_1491 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1492 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 366:97] + node _T_1493 = and(obuf_merge_en, _T_1492) @[el2_lsu_bus_buffer.scala 366:80] + node _T_1494 = or(_T_1491, _T_1493) @[el2_lsu_bus_buffer.scala 366:63] node _T_1495 = cat(_T_1494, _T_1490) @[Cat.scala 29:58] node _T_1496 = cat(_T_1495, _T_1486) @[Cat.scala 29:58] node _T_1497 = cat(_T_1496, _T_1482) @[Cat.scala 29:58] @@ -2231,46 +2231,46 @@ circuit el2_lsu_bus_buffer : node _T_1499 = cat(_T_1498, _T_1474) @[Cat.scala 29:58] node _T_1500 = cat(_T_1499, _T_1470) @[Cat.scala 29:58] node obuf_byteen_in = cat(_T_1500, _T_1466) @[Cat.scala 29:58] - node _T_1501 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 366:76] - node _T_1502 = and(obuf_merge_en, _T_1501) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1503 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 366:94] - node _T_1504 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 366:123] - node _T_1505 = mux(_T_1502, _T_1503, _T_1504) @[el2_lsu_bus_buffer.scala 366:44] - node _T_1506 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 366:76] - node _T_1507 = and(obuf_merge_en, _T_1506) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1508 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 366:94] - node _T_1509 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 366:123] - node _T_1510 = mux(_T_1507, _T_1508, _T_1509) @[el2_lsu_bus_buffer.scala 366:44] - node _T_1511 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 366:76] - node _T_1512 = and(obuf_merge_en, _T_1511) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1513 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 366:94] - node _T_1514 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 366:123] - node _T_1515 = mux(_T_1512, _T_1513, _T_1514) @[el2_lsu_bus_buffer.scala 366:44] - node _T_1516 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 366:76] - node _T_1517 = and(obuf_merge_en, _T_1516) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1518 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 366:94] - node _T_1519 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 366:123] - node _T_1520 = mux(_T_1517, _T_1518, _T_1519) @[el2_lsu_bus_buffer.scala 366:44] - node _T_1521 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 366:76] - node _T_1522 = and(obuf_merge_en, _T_1521) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1523 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 366:94] - node _T_1524 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 366:123] - node _T_1525 = mux(_T_1522, _T_1523, _T_1524) @[el2_lsu_bus_buffer.scala 366:44] - node _T_1526 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 366:76] - node _T_1527 = and(obuf_merge_en, _T_1526) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1528 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 366:94] - node _T_1529 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 366:123] - node _T_1530 = mux(_T_1527, _T_1528, _T_1529) @[el2_lsu_bus_buffer.scala 366:44] - node _T_1531 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 366:76] - node _T_1532 = and(obuf_merge_en, _T_1531) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1533 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 366:94] - node _T_1534 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 366:123] - node _T_1535 = mux(_T_1532, _T_1533, _T_1534) @[el2_lsu_bus_buffer.scala 366:44] - node _T_1536 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 366:76] - node _T_1537 = and(obuf_merge_en, _T_1536) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1538 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 366:94] - node _T_1539 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 366:123] - node _T_1540 = mux(_T_1537, _T_1538, _T_1539) @[el2_lsu_bus_buffer.scala 366:44] + node _T_1501 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 367:76] + node _T_1502 = and(obuf_merge_en, _T_1501) @[el2_lsu_bus_buffer.scala 367:59] + node _T_1503 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 367:94] + node _T_1504 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 367:123] + node _T_1505 = mux(_T_1502, _T_1503, _T_1504) @[el2_lsu_bus_buffer.scala 367:44] + node _T_1506 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 367:76] + node _T_1507 = and(obuf_merge_en, _T_1506) @[el2_lsu_bus_buffer.scala 367:59] + node _T_1508 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 367:94] + node _T_1509 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 367:123] + node _T_1510 = mux(_T_1507, _T_1508, _T_1509) @[el2_lsu_bus_buffer.scala 367:44] + node _T_1511 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 367:76] + node _T_1512 = and(obuf_merge_en, _T_1511) @[el2_lsu_bus_buffer.scala 367:59] + node _T_1513 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 367:94] + node _T_1514 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 367:123] + node _T_1515 = mux(_T_1512, _T_1513, _T_1514) @[el2_lsu_bus_buffer.scala 367:44] + node _T_1516 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 367:76] + node _T_1517 = and(obuf_merge_en, _T_1516) @[el2_lsu_bus_buffer.scala 367:59] + node _T_1518 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 367:94] + node _T_1519 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 367:123] + node _T_1520 = mux(_T_1517, _T_1518, _T_1519) @[el2_lsu_bus_buffer.scala 367:44] + node _T_1521 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 367:76] + node _T_1522 = and(obuf_merge_en, _T_1521) @[el2_lsu_bus_buffer.scala 367:59] + node _T_1523 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 367:94] + node _T_1524 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 367:123] + node _T_1525 = mux(_T_1522, _T_1523, _T_1524) @[el2_lsu_bus_buffer.scala 367:44] + node _T_1526 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 367:76] + node _T_1527 = and(obuf_merge_en, _T_1526) @[el2_lsu_bus_buffer.scala 367:59] + node _T_1528 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 367:94] + node _T_1529 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 367:123] + node _T_1530 = mux(_T_1527, _T_1528, _T_1529) @[el2_lsu_bus_buffer.scala 367:44] + node _T_1531 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 367:76] + node _T_1532 = and(obuf_merge_en, _T_1531) @[el2_lsu_bus_buffer.scala 367:59] + node _T_1533 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 367:94] + node _T_1534 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 367:123] + node _T_1535 = mux(_T_1532, _T_1533, _T_1534) @[el2_lsu_bus_buffer.scala 367:44] + node _T_1536 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 367:76] + node _T_1537 = and(obuf_merge_en, _T_1536) @[el2_lsu_bus_buffer.scala 367:59] + node _T_1538 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 367:94] + node _T_1539 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 367:123] + node _T_1540 = mux(_T_1537, _T_1538, _T_1539) @[el2_lsu_bus_buffer.scala 367:44] node _T_1541 = cat(_T_1540, _T_1535) @[Cat.scala 29:58] node _T_1542 = cat(_T_1541, _T_1530) @[Cat.scala 29:58] node _T_1543 = cat(_T_1542, _T_1525) @[Cat.scala 29:58] @@ -2278,18 +2278,18 @@ circuit el2_lsu_bus_buffer : node _T_1545 = cat(_T_1544, _T_1515) @[Cat.scala 29:58] node _T_1546 = cat(_T_1545, _T_1510) @[Cat.scala 29:58] node obuf_data_in = cat(_T_1546, _T_1505) @[Cat.scala 29:58] - wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 367:24] - buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 368:14] - buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 368:14] - buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 368:14] - buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 368:14] - node _T_1547 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 369:30] - node _T_1548 = and(_T_1547, found_cmdptr0) @[el2_lsu_bus_buffer.scala 369:43] - node _T_1549 = and(_T_1548, found_cmdptr1) @[el2_lsu_bus_buffer.scala 369:59] - node _T_1550 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1551 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1552 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1553 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 368:24] + buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 369:14] + buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 369:14] + buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 369:14] + buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 369:14] + node _T_1547 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 370:30] + node _T_1548 = and(_T_1547, found_cmdptr0) @[el2_lsu_bus_buffer.scala 370:43] + node _T_1549 = and(_T_1548, found_cmdptr1) @[el2_lsu_bus_buffer.scala 370:59] + node _T_1550 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1551 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1552 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1553 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1554 = mux(_T_1550, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1555 = mux(_T_1551, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1556 = mux(_T_1552, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2299,12 +2299,12 @@ circuit el2_lsu_bus_buffer : node _T_1560 = or(_T_1559, _T_1557) @[Mux.scala 27:72] wire _T_1561 : UInt<3> @[Mux.scala 27:72] _T_1561 <= _T_1560 @[Mux.scala 27:72] - node _T_1562 = eq(_T_1561, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 369:107] - node _T_1563 = and(_T_1549, _T_1562) @[el2_lsu_bus_buffer.scala 369:75] - node _T_1564 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1565 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1566 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1567 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1562 = eq(_T_1561, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 370:107] + node _T_1563 = and(_T_1549, _T_1562) @[el2_lsu_bus_buffer.scala 370:75] + node _T_1564 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1565 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1566 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1567 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1568 = mux(_T_1564, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1569 = mux(_T_1565, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1570 = mux(_T_1566, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2314,19 +2314,19 @@ circuit el2_lsu_bus_buffer : node _T_1574 = or(_T_1573, _T_1571) @[Mux.scala 27:72] wire _T_1575 : UInt<3> @[Mux.scala 27:72] _T_1575 <= _T_1574 @[Mux.scala 27:72] - node _T_1576 = eq(_T_1575, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 369:150] - node _T_1577 = and(_T_1563, _T_1576) @[el2_lsu_bus_buffer.scala 369:118] + node _T_1576 = eq(_T_1575, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 370:150] + node _T_1577 = and(_T_1563, _T_1576) @[el2_lsu_bus_buffer.scala 370:118] node _T_1578 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1579 = cat(_T_1578, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1580 = cat(_T_1579, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1581 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1582 = bits(_T_1580, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1583 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1584 = bits(_T_1580, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1585 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1586 = bits(_T_1580, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1587 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1588 = bits(_T_1580, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1581 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1582 = bits(_T_1580, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1583 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1584 = bits(_T_1580, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1585 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1586 = bits(_T_1580, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1587 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1588 = bits(_T_1580, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1589 = mux(_T_1581, _T_1582, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1590 = mux(_T_1583, _T_1584, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1591 = mux(_T_1585, _T_1586, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2336,16 +2336,16 @@ circuit el2_lsu_bus_buffer : node _T_1595 = or(_T_1594, _T_1592) @[Mux.scala 27:72] wire _T_1596 : UInt<1> @[Mux.scala 27:72] _T_1596 <= _T_1595 @[Mux.scala 27:72] - node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 370:3] - node _T_1598 = and(_T_1577, _T_1597) @[el2_lsu_bus_buffer.scala 369:161] - node _T_1599 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1600 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1601 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1602 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1603 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1604 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1605 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1606 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:3] + node _T_1598 = and(_T_1577, _T_1597) @[el2_lsu_bus_buffer.scala 370:161] + node _T_1599 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1600 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1601 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1602 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1603 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1604 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1605 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1606 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1607 = mux(_T_1599, _T_1600, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1608 = mux(_T_1601, _T_1602, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1609 = mux(_T_1603, _T_1604, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2355,16 +2355,16 @@ circuit el2_lsu_bus_buffer : node _T_1613 = or(_T_1612, _T_1610) @[Mux.scala 27:72] wire _T_1614 : UInt<1> @[Mux.scala 27:72] _T_1614 <= _T_1613 @[Mux.scala 27:72] - node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 370:85] - node _T_1616 = and(_T_1598, _T_1615) @[el2_lsu_bus_buffer.scala 370:83] - node _T_1617 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1618 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1619 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1620 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1621 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1622 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1623 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1624 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:85] + node _T_1616 = and(_T_1598, _T_1615) @[el2_lsu_bus_buffer.scala 371:83] + node _T_1617 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1618 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1619 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1620 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1621 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1622 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1623 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1624 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1625 = mux(_T_1617, _T_1618, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1626 = mux(_T_1619, _T_1620, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1627 = mux(_T_1621, _T_1622, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2374,14 +2374,14 @@ circuit el2_lsu_bus_buffer : node _T_1631 = or(_T_1630, _T_1628) @[Mux.scala 27:72] wire _T_1632 : UInt<1> @[Mux.scala 27:72] _T_1632 <= _T_1631 @[Mux.scala 27:72] - node _T_1633 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1634 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1635 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1636 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1637 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1639 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1640 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1633 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1634 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1635 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1636 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1637 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1639 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1640 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1641 = mux(_T_1633, _T_1634, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1642 = mux(_T_1635, _T_1636, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1643 = mux(_T_1637, _T_1638, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2391,11 +2391,11 @@ circuit el2_lsu_bus_buffer : node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] wire _T_1648 : UInt<1> @[Mux.scala 27:72] _T_1648 <= _T_1647 @[Mux.scala 27:72] - node _T_1649 = and(_T_1632, _T_1648) @[el2_lsu_bus_buffer.scala 371:36] - node _T_1650 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1651 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1652 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1653 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1649 = and(_T_1632, _T_1648) @[el2_lsu_bus_buffer.scala 372:36] + node _T_1650 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1651 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1652 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1653 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1654 = mux(_T_1650, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1655 = mux(_T_1651, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1656 = mux(_T_1652, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2405,11 +2405,11 @@ circuit el2_lsu_bus_buffer : node _T_1660 = or(_T_1659, _T_1657) @[Mux.scala 27:72] wire _T_1661 : UInt<32> @[Mux.scala 27:72] _T_1661 <= _T_1660 @[Mux.scala 27:72] - node _T_1662 = bits(_T_1661, 31, 3) @[el2_lsu_bus_buffer.scala 372:33] - node _T_1663 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1664 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1665 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_1666 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1662 = bits(_T_1661, 31, 3) @[el2_lsu_bus_buffer.scala 373:33] + node _T_1663 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1664 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1665 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1666 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] node _T_1667 = mux(_T_1663, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1668 = mux(_T_1664, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1669 = mux(_T_1665, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2419,21 +2419,21 @@ circuit el2_lsu_bus_buffer : node _T_1673 = or(_T_1672, _T_1670) @[Mux.scala 27:72] wire _T_1674 : UInt<32> @[Mux.scala 27:72] _T_1674 <= _T_1673 @[Mux.scala 27:72] - node _T_1675 = bits(_T_1674, 31, 3) @[el2_lsu_bus_buffer.scala 372:69] - node _T_1676 = eq(_T_1662, _T_1675) @[el2_lsu_bus_buffer.scala 372:39] - node _T_1677 = and(_T_1649, _T_1676) @[el2_lsu_bus_buffer.scala 371:67] - node _T_1678 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:79] - node _T_1679 = and(_T_1677, _T_1678) @[el2_lsu_bus_buffer.scala 372:77] - node _T_1680 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:105] - node _T_1681 = and(_T_1679, _T_1680) @[el2_lsu_bus_buffer.scala 372:103] - node _T_1682 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1683 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1684 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1686 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1687 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1688 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1689 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1675 = bits(_T_1674, 31, 3) @[el2_lsu_bus_buffer.scala 373:69] + node _T_1676 = eq(_T_1662, _T_1675) @[el2_lsu_bus_buffer.scala 373:39] + node _T_1677 = and(_T_1649, _T_1676) @[el2_lsu_bus_buffer.scala 372:67] + node _T_1678 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:79] + node _T_1679 = and(_T_1677, _T_1678) @[el2_lsu_bus_buffer.scala 373:77] + node _T_1680 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:105] + node _T_1681 = and(_T_1679, _T_1680) @[el2_lsu_bus_buffer.scala 373:103] + node _T_1682 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1683 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1684 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1686 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1687 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1688 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1689 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1690 = mux(_T_1682, _T_1683, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1691 = mux(_T_1684, _T_1685, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1692 = mux(_T_1686, _T_1687, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2443,18 +2443,18 @@ circuit el2_lsu_bus_buffer : node _T_1696 = or(_T_1695, _T_1693) @[Mux.scala 27:72] wire _T_1697 : UInt<1> @[Mux.scala 27:72] _T_1697 <= _T_1696 @[Mux.scala 27:72] - node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:6] + node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:6] node _T_1699 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1700 = cat(_T_1699, buf_dual[1]) @[Cat.scala 29:58] node _T_1701 = cat(_T_1700, buf_dual[0]) @[Cat.scala 29:58] - node _T_1702 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1703 = bits(_T_1701, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1704 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1705 = bits(_T_1701, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1706 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1707 = bits(_T_1701, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1708 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1709 = bits(_T_1701, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1702 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1703 = bits(_T_1701, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1704 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1705 = bits(_T_1701, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1706 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1707 = bits(_T_1701, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1708 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1709 = bits(_T_1701, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1710 = mux(_T_1702, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1711 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1712 = mux(_T_1706, _T_1707, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2464,18 +2464,18 @@ circuit el2_lsu_bus_buffer : node _T_1716 = or(_T_1715, _T_1713) @[Mux.scala 27:72] wire _T_1717 : UInt<1> @[Mux.scala 27:72] _T_1717 <= _T_1716 @[Mux.scala 27:72] - node _T_1718 = and(_T_1698, _T_1717) @[el2_lsu_bus_buffer.scala 373:36] + node _T_1718 = and(_T_1698, _T_1717) @[el2_lsu_bus_buffer.scala 374:36] node _T_1719 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1720 = cat(_T_1719, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1721 = cat(_T_1720, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1722 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1723 = bits(_T_1721, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1724 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1725 = bits(_T_1721, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1726 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1727 = bits(_T_1721, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1728 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1729 = bits(_T_1721, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1722 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1723 = bits(_T_1721, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1724 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1725 = bits(_T_1721, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1726 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1727 = bits(_T_1721, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1728 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1729 = bits(_T_1721, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1730 = mux(_T_1722, _T_1723, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1731 = mux(_T_1724, _T_1725, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1732 = mux(_T_1726, _T_1727, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2485,19 +2485,19 @@ circuit el2_lsu_bus_buffer : node _T_1736 = or(_T_1735, _T_1733) @[Mux.scala 27:72] wire _T_1737 : UInt<1> @[Mux.scala 27:72] _T_1737 <= _T_1736 @[Mux.scala 27:72] - node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:107] - node _T_1739 = and(_T_1718, _T_1738) @[el2_lsu_bus_buffer.scala 373:105] + node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:107] + node _T_1739 = and(_T_1718, _T_1738) @[el2_lsu_bus_buffer.scala 374:105] node _T_1740 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1741 = cat(_T_1740, buf_samedw[1]) @[Cat.scala 29:58] node _T_1742 = cat(_T_1741, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1743 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1744 = bits(_T_1742, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1745 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1746 = bits(_T_1742, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1747 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1748 = bits(_T_1742, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_1749 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_1750 = bits(_T_1742, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1743 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1744 = bits(_T_1742, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1745 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1746 = bits(_T_1742, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1747 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1748 = bits(_T_1742, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1749 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_1750 = bits(_T_1742, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_1751 = mux(_T_1743, _T_1744, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1752 = mux(_T_1745, _T_1746, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1753 = mux(_T_1747, _T_1748, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2507,43 +2507,43 @@ circuit el2_lsu_bus_buffer : node _T_1757 = or(_T_1756, _T_1754) @[Mux.scala 27:72] wire _T_1758 : UInt<1> @[Mux.scala 27:72] _T_1758 <= _T_1757 @[Mux.scala 27:72] - node _T_1759 = and(_T_1739, _T_1758) @[el2_lsu_bus_buffer.scala 373:177] - node _T_1760 = or(_T_1681, _T_1759) @[el2_lsu_bus_buffer.scala 372:126] - node _T_1761 = and(_T_1616, _T_1760) @[el2_lsu_bus_buffer.scala 370:120] - node _T_1762 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 374:19] - node _T_1763 = and(_T_1762, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 374:35] - node _T_1764 = or(_T_1761, _T_1763) @[el2_lsu_bus_buffer.scala 373:251] - obuf_merge_en <= _T_1764 @[el2_lsu_bus_buffer.scala 369:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 376:55] - obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 376:55] - node _T_1765 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 377:58] - node _T_1766 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 377:93] - node _T_1767 = and(_T_1765, _T_1766) @[el2_lsu_bus_buffer.scala 377:91] - reg _T_1768 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 377:54] - _T_1768 <= _T_1767 @[el2_lsu_bus_buffer.scala 377:54] - obuf_valid <= _T_1768 @[el2_lsu_bus_buffer.scala 377:14] + node _T_1759 = and(_T_1739, _T_1758) @[el2_lsu_bus_buffer.scala 374:177] + node _T_1760 = or(_T_1681, _T_1759) @[el2_lsu_bus_buffer.scala 373:126] + node _T_1761 = and(_T_1616, _T_1760) @[el2_lsu_bus_buffer.scala 371:120] + node _T_1762 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 375:19] + node _T_1763 = and(_T_1762, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 375:35] + node _T_1764 = or(_T_1761, _T_1763) @[el2_lsu_bus_buffer.scala 374:251] + obuf_merge_en <= _T_1764 @[el2_lsu_bus_buffer.scala 370:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 377:55] + obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 377:55] + node _T_1765 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 378:58] + node _T_1766 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:93] + node _T_1767 = and(_T_1765, _T_1766) @[el2_lsu_bus_buffer.scala 378:91] + reg _T_1768 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 378:54] + _T_1768 <= _T_1767 @[el2_lsu_bus_buffer.scala 378:54] + obuf_valid <= _T_1768 @[el2_lsu_bus_buffer.scala 378:14] reg _T_1769 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1769 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1769 @[el2_lsu_bus_buffer.scala 378:15] - reg _T_1770 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 379:54] - _T_1770 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 379:54] - obuf_cmd_done <= _T_1770 @[el2_lsu_bus_buffer.scala 379:17] - reg _T_1771 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 380:55] - _T_1771 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 380:55] - obuf_data_done <= _T_1771 @[el2_lsu_bus_buffer.scala 380:18] - reg _T_1772 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 381:56] - _T_1772 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 381:56] - obuf_rdrsp_pend <= _T_1772 @[el2_lsu_bus_buffer.scala 381:19] - reg _T_1773 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 382:55] - _T_1773 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 382:55] - obuf_rdrsp_tag <= _T_1773 @[el2_lsu_bus_buffer.scala 382:18] + obuf_nosend <= _T_1769 @[el2_lsu_bus_buffer.scala 379:15] + reg _T_1770 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 380:54] + _T_1770 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 380:54] + obuf_cmd_done <= _T_1770 @[el2_lsu_bus_buffer.scala 380:17] + reg _T_1771 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 381:55] + _T_1771 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 381:55] + obuf_data_done <= _T_1771 @[el2_lsu_bus_buffer.scala 381:18] + reg _T_1772 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 382:56] + _T_1772 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 382:56] + obuf_rdrsp_pend <= _T_1772 @[el2_lsu_bus_buffer.scala 382:19] + reg _T_1773 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 383:55] + _T_1773 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 383:55] + obuf_rdrsp_tag <= _T_1773 @[el2_lsu_bus_buffer.scala 383:18] reg _T_1774 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1774 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1774 @[el2_lsu_bus_buffer.scala 383:13] + obuf_tag0 <= _T_1774 @[el2_lsu_bus_buffer.scala 384:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2556,12 +2556,12 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] _T_1775 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1775 @[el2_lsu_bus_buffer.scala 386:14] + obuf_write <= _T_1775 @[el2_lsu_bus_buffer.scala 387:14] reg _T_1776 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1776 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1776 @[el2_lsu_bus_buffer.scala 387:19] + obuf_sideeffect <= _T_1776 @[el2_lsu_bus_buffer.scala 388:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2574,7 +2574,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1777 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1777 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1777 @[el2_lsu_bus_buffer.scala 389:13] + obuf_addr <= _T_1777 @[el2_lsu_bus_buffer.scala 390:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2587,227 +2587,227 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1778 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 392:54] - _T_1778 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 392:54] - obuf_wr_timer <= _T_1778 @[el2_lsu_bus_buffer.scala 392:17] + reg _T_1778 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 393:54] + _T_1778 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 393:54] + obuf_wr_timer <= _T_1778 @[el2_lsu_bus_buffer.scala 393:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1779 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1780 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 394:97] - node _T_1781 = and(ibuf_valid, _T_1780) @[el2_lsu_bus_buffer.scala 394:86] - node _T_1782 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:33] - node _T_1783 = and(io.lsu_busreq_r, _T_1782) @[el2_lsu_bus_buffer.scala 395:22] - node _T_1784 = or(_T_1781, _T_1783) @[el2_lsu_bus_buffer.scala 394:106] - node _T_1785 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1786 = and(io.ldst_dual_r, _T_1785) @[el2_lsu_bus_buffer.scala 395:60] - node _T_1787 = or(_T_1784, _T_1786) @[el2_lsu_bus_buffer.scala 395:42] - node _T_1788 = eq(_T_1787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 394:72] - node _T_1789 = and(_T_1779, _T_1788) @[el2_lsu_bus_buffer.scala 394:70] - node _T_1790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1791 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 394:97] - node _T_1792 = and(ibuf_valid, _T_1791) @[el2_lsu_bus_buffer.scala 394:86] - node _T_1793 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 395:33] - node _T_1794 = and(io.lsu_busreq_r, _T_1793) @[el2_lsu_bus_buffer.scala 395:22] - node _T_1795 = or(_T_1792, _T_1794) @[el2_lsu_bus_buffer.scala 394:106] - node _T_1796 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1797 = and(io.ldst_dual_r, _T_1796) @[el2_lsu_bus_buffer.scala 395:60] - node _T_1798 = or(_T_1795, _T_1797) @[el2_lsu_bus_buffer.scala 395:42] - node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 394:72] - node _T_1800 = and(_T_1790, _T_1799) @[el2_lsu_bus_buffer.scala 394:70] - node _T_1801 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1802 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 394:97] - node _T_1803 = and(ibuf_valid, _T_1802) @[el2_lsu_bus_buffer.scala 394:86] - node _T_1804 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 395:33] - node _T_1805 = and(io.lsu_busreq_r, _T_1804) @[el2_lsu_bus_buffer.scala 395:22] - node _T_1806 = or(_T_1803, _T_1805) @[el2_lsu_bus_buffer.scala 394:106] - node _T_1807 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1808 = and(io.ldst_dual_r, _T_1807) @[el2_lsu_bus_buffer.scala 395:60] - node _T_1809 = or(_T_1806, _T_1808) @[el2_lsu_bus_buffer.scala 395:42] - node _T_1810 = eq(_T_1809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 394:72] - node _T_1811 = and(_T_1801, _T_1810) @[el2_lsu_bus_buffer.scala 394:70] - node _T_1812 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1813 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 394:97] - node _T_1814 = and(ibuf_valid, _T_1813) @[el2_lsu_bus_buffer.scala 394:86] - node _T_1815 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 395:33] - node _T_1816 = and(io.lsu_busreq_r, _T_1815) @[el2_lsu_bus_buffer.scala 395:22] - node _T_1817 = or(_T_1814, _T_1816) @[el2_lsu_bus_buffer.scala 394:106] - node _T_1818 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1819 = and(io.ldst_dual_r, _T_1818) @[el2_lsu_bus_buffer.scala 395:60] - node _T_1820 = or(_T_1817, _T_1819) @[el2_lsu_bus_buffer.scala 395:42] - node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 394:72] - node _T_1822 = and(_T_1812, _T_1821) @[el2_lsu_bus_buffer.scala 394:70] + node _T_1779 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] + node _T_1780 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:97] + node _T_1781 = and(ibuf_valid, _T_1780) @[el2_lsu_bus_buffer.scala 395:86] + node _T_1782 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:33] + node _T_1783 = and(io.lsu_busreq_r, _T_1782) @[el2_lsu_bus_buffer.scala 396:22] + node _T_1784 = or(_T_1781, _T_1783) @[el2_lsu_bus_buffer.scala 395:106] + node _T_1785 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:72] + node _T_1786 = and(io.ldst_dual_r, _T_1785) @[el2_lsu_bus_buffer.scala 396:60] + node _T_1787 = or(_T_1784, _T_1786) @[el2_lsu_bus_buffer.scala 396:42] + node _T_1788 = eq(_T_1787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] + node _T_1789 = and(_T_1779, _T_1788) @[el2_lsu_bus_buffer.scala 395:70] + node _T_1790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] + node _T_1791 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 395:97] + node _T_1792 = and(ibuf_valid, _T_1791) @[el2_lsu_bus_buffer.scala 395:86] + node _T_1793 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:33] + node _T_1794 = and(io.lsu_busreq_r, _T_1793) @[el2_lsu_bus_buffer.scala 396:22] + node _T_1795 = or(_T_1792, _T_1794) @[el2_lsu_bus_buffer.scala 395:106] + node _T_1796 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:72] + node _T_1797 = and(io.ldst_dual_r, _T_1796) @[el2_lsu_bus_buffer.scala 396:60] + node _T_1798 = or(_T_1795, _T_1797) @[el2_lsu_bus_buffer.scala 396:42] + node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] + node _T_1800 = and(_T_1790, _T_1799) @[el2_lsu_bus_buffer.scala 395:70] + node _T_1801 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] + node _T_1802 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 395:97] + node _T_1803 = and(ibuf_valid, _T_1802) @[el2_lsu_bus_buffer.scala 395:86] + node _T_1804 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:33] + node _T_1805 = and(io.lsu_busreq_r, _T_1804) @[el2_lsu_bus_buffer.scala 396:22] + node _T_1806 = or(_T_1803, _T_1805) @[el2_lsu_bus_buffer.scala 395:106] + node _T_1807 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:72] + node _T_1808 = and(io.ldst_dual_r, _T_1807) @[el2_lsu_bus_buffer.scala 396:60] + node _T_1809 = or(_T_1806, _T_1808) @[el2_lsu_bus_buffer.scala 396:42] + node _T_1810 = eq(_T_1809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] + node _T_1811 = and(_T_1801, _T_1810) @[el2_lsu_bus_buffer.scala 395:70] + node _T_1812 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] + node _T_1813 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 395:97] + node _T_1814 = and(ibuf_valid, _T_1813) @[el2_lsu_bus_buffer.scala 395:86] + node _T_1815 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:33] + node _T_1816 = and(io.lsu_busreq_r, _T_1815) @[el2_lsu_bus_buffer.scala 396:22] + node _T_1817 = or(_T_1814, _T_1816) @[el2_lsu_bus_buffer.scala 395:106] + node _T_1818 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:72] + node _T_1819 = and(io.ldst_dual_r, _T_1818) @[el2_lsu_bus_buffer.scala 396:60] + node _T_1820 = or(_T_1817, _T_1819) @[el2_lsu_bus_buffer.scala 396:42] + node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] + node _T_1822 = and(_T_1812, _T_1821) @[el2_lsu_bus_buffer.scala 395:70] node _T_1823 = mux(_T_1822, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1824 = mux(_T_1811, UInt<2>("h02"), _T_1823) @[Mux.scala 98:16] node _T_1825 = mux(_T_1800, UInt<1>("h01"), _T_1824) @[Mux.scala 98:16] node _T_1826 = mux(_T_1789, UInt<1>("h00"), _T_1825) @[Mux.scala 98:16] - WrPtr0_m <= _T_1826 @[el2_lsu_bus_buffer.scala 396:12] - node _T_1827 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 397:59] - node _T_1828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:97] - node _T_1829 = and(ibuf_valid, _T_1828) @[el2_lsu_bus_buffer.scala 397:86] - node _T_1830 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:33] - node _T_1831 = and(io.lsu_busreq_m, _T_1830) @[el2_lsu_bus_buffer.scala 398:22] - node _T_1832 = or(_T_1829, _T_1831) @[el2_lsu_bus_buffer.scala 397:106] - node _T_1833 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:73] - node _T_1834 = and(io.lsu_busreq_r, _T_1833) @[el2_lsu_bus_buffer.scala 398:61] - node _T_1835 = or(_T_1832, _T_1834) @[el2_lsu_bus_buffer.scala 398:42] - node _T_1836 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:112] - node _T_1837 = and(io.ldst_dual_r, _T_1836) @[el2_lsu_bus_buffer.scala 398:101] - node _T_1838 = or(_T_1835, _T_1837) @[el2_lsu_bus_buffer.scala 398:83] - node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:72] - node _T_1840 = and(_T_1827, _T_1839) @[el2_lsu_bus_buffer.scala 397:70] - node _T_1841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 397:59] - node _T_1842 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 397:97] - node _T_1843 = and(ibuf_valid, _T_1842) @[el2_lsu_bus_buffer.scala 397:86] - node _T_1844 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 398:33] - node _T_1845 = and(io.lsu_busreq_m, _T_1844) @[el2_lsu_bus_buffer.scala 398:22] - node _T_1846 = or(_T_1843, _T_1845) @[el2_lsu_bus_buffer.scala 397:106] - node _T_1847 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 398:73] - node _T_1848 = and(io.lsu_busreq_r, _T_1847) @[el2_lsu_bus_buffer.scala 398:61] - node _T_1849 = or(_T_1846, _T_1848) @[el2_lsu_bus_buffer.scala 398:42] - node _T_1850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 398:112] - node _T_1851 = and(io.ldst_dual_r, _T_1850) @[el2_lsu_bus_buffer.scala 398:101] - node _T_1852 = or(_T_1849, _T_1851) @[el2_lsu_bus_buffer.scala 398:83] - node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:72] - node _T_1854 = and(_T_1841, _T_1853) @[el2_lsu_bus_buffer.scala 397:70] - node _T_1855 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 397:59] - node _T_1856 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 397:97] - node _T_1857 = and(ibuf_valid, _T_1856) @[el2_lsu_bus_buffer.scala 397:86] - node _T_1858 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 398:33] - node _T_1859 = and(io.lsu_busreq_m, _T_1858) @[el2_lsu_bus_buffer.scala 398:22] - node _T_1860 = or(_T_1857, _T_1859) @[el2_lsu_bus_buffer.scala 397:106] - node _T_1861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 398:73] - node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 398:61] - node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 398:42] - node _T_1864 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 398:112] - node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 398:101] - node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 398:83] - node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:72] - node _T_1868 = and(_T_1855, _T_1867) @[el2_lsu_bus_buffer.scala 397:70] - node _T_1869 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 397:59] - node _T_1870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 397:97] - node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 397:86] - node _T_1872 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 398:33] - node _T_1873 = and(io.lsu_busreq_m, _T_1872) @[el2_lsu_bus_buffer.scala 398:22] - node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 397:106] - node _T_1875 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 398:73] - node _T_1876 = and(io.lsu_busreq_r, _T_1875) @[el2_lsu_bus_buffer.scala 398:61] - node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 398:42] - node _T_1878 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 398:112] - node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 398:101] - node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 398:83] - node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:72] - node _T_1882 = and(_T_1869, _T_1881) @[el2_lsu_bus_buffer.scala 397:70] + WrPtr0_m <= _T_1826 @[el2_lsu_bus_buffer.scala 397:12] + node _T_1827 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 398:59] + node _T_1828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:97] + node _T_1829 = and(ibuf_valid, _T_1828) @[el2_lsu_bus_buffer.scala 398:86] + node _T_1830 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:33] + node _T_1831 = and(io.lsu_busreq_m, _T_1830) @[el2_lsu_bus_buffer.scala 399:22] + node _T_1832 = or(_T_1829, _T_1831) @[el2_lsu_bus_buffer.scala 398:106] + node _T_1833 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:73] + node _T_1834 = and(io.lsu_busreq_r, _T_1833) @[el2_lsu_bus_buffer.scala 399:61] + node _T_1835 = or(_T_1832, _T_1834) @[el2_lsu_bus_buffer.scala 399:42] + node _T_1836 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:112] + node _T_1837 = and(io.ldst_dual_r, _T_1836) @[el2_lsu_bus_buffer.scala 399:101] + node _T_1838 = or(_T_1835, _T_1837) @[el2_lsu_bus_buffer.scala 399:83] + node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:72] + node _T_1840 = and(_T_1827, _T_1839) @[el2_lsu_bus_buffer.scala 398:70] + node _T_1841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 398:59] + node _T_1842 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 398:97] + node _T_1843 = and(ibuf_valid, _T_1842) @[el2_lsu_bus_buffer.scala 398:86] + node _T_1844 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 399:33] + node _T_1845 = and(io.lsu_busreq_m, _T_1844) @[el2_lsu_bus_buffer.scala 399:22] + node _T_1846 = or(_T_1843, _T_1845) @[el2_lsu_bus_buffer.scala 398:106] + node _T_1847 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 399:73] + node _T_1848 = and(io.lsu_busreq_r, _T_1847) @[el2_lsu_bus_buffer.scala 399:61] + node _T_1849 = or(_T_1846, _T_1848) @[el2_lsu_bus_buffer.scala 399:42] + node _T_1850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 399:112] + node _T_1851 = and(io.ldst_dual_r, _T_1850) @[el2_lsu_bus_buffer.scala 399:101] + node _T_1852 = or(_T_1849, _T_1851) @[el2_lsu_bus_buffer.scala 399:83] + node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:72] + node _T_1854 = and(_T_1841, _T_1853) @[el2_lsu_bus_buffer.scala 398:70] + node _T_1855 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 398:59] + node _T_1856 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 398:97] + node _T_1857 = and(ibuf_valid, _T_1856) @[el2_lsu_bus_buffer.scala 398:86] + node _T_1858 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 399:33] + node _T_1859 = and(io.lsu_busreq_m, _T_1858) @[el2_lsu_bus_buffer.scala 399:22] + node _T_1860 = or(_T_1857, _T_1859) @[el2_lsu_bus_buffer.scala 398:106] + node _T_1861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 399:73] + node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 399:61] + node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 399:42] + node _T_1864 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 399:112] + node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 399:101] + node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 399:83] + node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:72] + node _T_1868 = and(_T_1855, _T_1867) @[el2_lsu_bus_buffer.scala 398:70] + node _T_1869 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 398:59] + node _T_1870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 398:97] + node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 398:86] + node _T_1872 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 399:33] + node _T_1873 = and(io.lsu_busreq_m, _T_1872) @[el2_lsu_bus_buffer.scala 399:22] + node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 398:106] + node _T_1875 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 399:73] + node _T_1876 = and(io.lsu_busreq_r, _T_1875) @[el2_lsu_bus_buffer.scala 399:61] + node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 399:42] + node _T_1878 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 399:112] + node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 399:101] + node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 399:83] + node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:72] + node _T_1882 = and(_T_1869, _T_1881) @[el2_lsu_bus_buffer.scala 398:70] node _T_1883 = mux(_T_1882, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1884 = mux(_T_1868, UInt<2>("h02"), _T_1883) @[Mux.scala 98:16] node _T_1885 = mux(_T_1854, UInt<1>("h01"), _T_1884) @[Mux.scala 98:16] node WrPtr1_m = mux(_T_1840, UInt<1>("h00"), _T_1885) @[Mux.scala 98:16] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 401:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 402:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 402:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 402:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 402:11] - node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 404:58] - node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:45] - node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:78] - node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 404:63] - node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:90] - node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 404:88] - node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 404:58] - node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:45] - node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:78] - node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 404:63] - node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:90] - node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 404:88] - node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 404:58] - node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:45] - node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:78] - node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 404:63] - node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:90] - node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 404:88] - node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 404:58] - node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:45] - node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:78] - node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 404:63] - node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:90] - node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 404:88] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 402:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:11] + node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 405:58] + node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] + node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:78] + node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 405:63] + node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:90] + node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 405:88] + node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 405:58] + node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] + node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:78] + node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 405:63] + node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:90] + node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 405:88] + node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 405:58] + node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] + node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:78] + node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 405:63] + node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:90] + node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 405:88] + node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 405:58] + node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] + node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:78] + node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 405:63] + node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:90] + node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 405:88] node _T_1910 = cat(_T_1909, _T_1903) @[Cat.scala 29:58] node _T_1911 = cat(_T_1910, _T_1897) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_1911, _T_1891) @[Cat.scala 29:58] - node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 405:62] - node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 405:59] - node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 405:76] - node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] - node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 405:94] - node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:83] - node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 405:81] - node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:113] - node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 405:98] - node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:125] - node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 405:123] - node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 405:62] - node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 405:59] - node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 405:76] - node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] - node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 405:94] - node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:83] - node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 405:81] - node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:113] - node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 405:98] - node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:125] - node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 405:123] - node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 405:62] - node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 405:59] - node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 405:76] - node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] - node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 405:94] - node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:83] - node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 405:81] - node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:113] - node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 405:98] - node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:125] - node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 405:123] - node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 405:62] - node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 405:59] - node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 405:76] - node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] - node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 405:94] - node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:83] - node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 405:81] - node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:113] - node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 405:98] - node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:125] - node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 405:123] + node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:62] + node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 406:59] + node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 406:76] + node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:45] + node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 406:94] + node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:83] + node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 406:81] + node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 406:113] + node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 406:98] + node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:125] + node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 406:123] + node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:62] + node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 406:59] + node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 406:76] + node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:45] + node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 406:94] + node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:83] + node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 406:81] + node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 406:113] + node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 406:98] + node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:125] + node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 406:123] + node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:62] + node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 406:59] + node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 406:76] + node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:45] + node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 406:94] + node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:83] + node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 406:81] + node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 406:113] + node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 406:98] + node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:125] + node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 406:123] + node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:62] + node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 406:59] + node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 406:76] + node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:45] + node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 406:94] + node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:83] + node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 406:81] + node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 406:113] + node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 406:98] + node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:125] + node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 406:123] node _T_1956 = cat(_T_1955, _T_1944) @[Cat.scala 29:58] node _T_1957 = cat(_T_1956, _T_1933) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_1957, _T_1922) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 406:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 407:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 407:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 407:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 407:19] - node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 408:65] - node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:44] - node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:85] - node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 408:70] - node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 408:65] - node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:44] - node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:85] - node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 408:70] - node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 408:65] - node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:44] - node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:85] - node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 408:70] - node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 408:65] - node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:44] - node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:85] - node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 408:70] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 407:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:19] + node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 409:65] + node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 409:44] + node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 409:85] + node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 409:70] + node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 409:65] + node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 409:44] + node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 409:85] + node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 409:70] + node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 409:65] + node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 409:44] + node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 409:85] + node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 409:70] + node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 409:65] + node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 409:44] + node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 409:85] + node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 409:70] node _T_1974 = cat(_T_1973, _T_1969) @[Cat.scala 29:58] node _T_1975 = cat(_T_1974, _T_1965) @[Cat.scala 29:58] node RspPtrDec = cat(_T_1975, _T_1961) @[Cat.scala 29:58] - node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 409:31] - found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 409:17] - node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 410:31] - found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 410:17] + node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 410:31] + found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 410:17] + node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 411:31] + found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 411:17] wire CmdPtr0 : UInt<2> CmdPtr0 <= UInt<1>("h00") wire CmdPtr1 : UInt<2> @@ -2816,1666 +2816,1666 @@ circuit el2_lsu_bus_buffer : RspPtr <= UInt<1>("h00") node _T_1978 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_1979 = cat(_T_1978, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 412:39] - node _T_1981 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 412:45] - node _T_1982 = or(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 412:42] - node _T_1983 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 412:51] - node _T_1984 = or(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 412:48] - node _T_1985 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 412:57] - node _T_1986 = or(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 412:54] - node _T_1987 = bits(_T_1979, 2, 2) @[el2_lsu_bus_buffer.scala 412:64] - node _T_1988 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 412:70] - node _T_1989 = or(_T_1987, _T_1988) @[el2_lsu_bus_buffer.scala 412:67] - node _T_1990 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 412:76] - node _T_1991 = or(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 412:73] - node _T_1992 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 412:82] - node _T_1993 = or(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 412:79] - node _T_1994 = bits(_T_1979, 1, 1) @[el2_lsu_bus_buffer.scala 412:89] - node _T_1995 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 412:95] - node _T_1996 = or(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 412:92] - node _T_1997 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 412:101] - node _T_1998 = or(_T_1996, _T_1997) @[el2_lsu_bus_buffer.scala 412:98] - node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 412:107] - node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 412:104] + node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 413:39] + node _T_1981 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 413:45] + node _T_1982 = or(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 413:42] + node _T_1983 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 413:51] + node _T_1984 = or(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 413:48] + node _T_1985 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 413:57] + node _T_1986 = or(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 413:54] + node _T_1987 = bits(_T_1979, 2, 2) @[el2_lsu_bus_buffer.scala 413:64] + node _T_1988 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 413:70] + node _T_1989 = or(_T_1987, _T_1988) @[el2_lsu_bus_buffer.scala 413:67] + node _T_1990 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 413:76] + node _T_1991 = or(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 413:73] + node _T_1992 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 413:82] + node _T_1993 = or(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 413:79] + node _T_1994 = bits(_T_1979, 1, 1) @[el2_lsu_bus_buffer.scala 413:89] + node _T_1995 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 413:95] + node _T_1996 = or(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 413:92] + node _T_1997 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 413:101] + node _T_1998 = or(_T_1996, _T_1997) @[el2_lsu_bus_buffer.scala 413:98] + node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 413:107] + node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 413:104] node _T_2001 = cat(_T_1986, _T_1993) @[Cat.scala 29:58] node _T_2002 = cat(_T_2001, _T_2000) @[Cat.scala 29:58] - CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 417:11] - io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 418:11] + CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 418:11] + io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 419:11] node _T_2003 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2004 = cat(_T_2003, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 412:39] - node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 412:45] - node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 412:42] - node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 412:51] - node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 412:48] - node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 412:57] - node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 412:54] - node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 412:64] - node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 412:70] - node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 412:67] - node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 412:76] - node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 412:73] - node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 412:82] - node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 412:79] - node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 412:89] - node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 412:95] - node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 412:92] - node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 412:101] - node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 412:98] - node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 412:107] - node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 412:104] + node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 413:39] + node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 413:45] + node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 413:42] + node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 413:51] + node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 413:48] + node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 413:57] + node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 413:54] + node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 413:64] + node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 413:70] + node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 413:67] + node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 413:76] + node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 413:73] + node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 413:82] + node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 413:79] + node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 413:89] + node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 413:95] + node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 413:92] + node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 413:101] + node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 413:98] + node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 413:107] + node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 413:104] node _T_2026 = cat(_T_2011, _T_2018) @[Cat.scala 29:58] node _T_2027 = cat(_T_2026, _T_2025) @[Cat.scala 29:58] - CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 419:11] + CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 420:11] node _T_2028 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2029 = cat(_T_2028, RspPtrDec) @[Cat.scala 29:58] - node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 412:39] - node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 412:45] - node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 412:42] - node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 412:51] - node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 412:48] - node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 412:57] - node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 412:54] - node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 412:64] - node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 412:70] - node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 412:67] - node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 412:76] - node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 412:73] - node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 412:82] - node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 412:79] - node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 412:89] - node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 412:95] - node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 412:92] - node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 412:101] - node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 412:98] - node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 412:107] - node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 412:104] + node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 413:39] + node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 413:45] + node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 413:42] + node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 413:51] + node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 413:48] + node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 413:57] + node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 413:54] + node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 413:64] + node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 413:70] + node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 413:67] + node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 413:76] + node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 413:73] + node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 413:82] + node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 413:79] + node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 413:89] + node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 413:95] + node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 413:92] + node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 413:101] + node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 413:98] + node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 413:107] + node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 413:104] node _T_2051 = cat(_T_2036, _T_2043) @[Cat.scala 29:58] node _T_2052 = cat(_T_2051, _T_2050) @[Cat.scala 29:58] - RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 420:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 421:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 423:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 425:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 427:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 429:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:14] - node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 435:97] - node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 435:97] - node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 435:97] - node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 435:97] + RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 421:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 422:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 424:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 426:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 428:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 430:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:14] + node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 436:97] node _T_2153 = cat(_T_2152, _T_2127) @[Cat.scala 29:58] node _T_2154 = cat(_T_2153, _T_2102) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2154, _T_2077) @[Cat.scala 29:58] - node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 435:97] - node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 435:97] - node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 435:97] - node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 436:97] node _T_2255 = cat(_T_2254, _T_2229) @[Cat.scala 29:58] node _T_2256 = cat(_T_2255, _T_2204) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2256, _T_2179) @[Cat.scala 29:58] - node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 435:97] - node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 435:97] - node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 435:97] - node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 436:97] node _T_2357 = cat(_T_2356, _T_2331) @[Cat.scala 29:58] node _T_2358 = cat(_T_2357, _T_2306) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2358, _T_2281) @[Cat.scala 29:58] - node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 435:97] - node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 435:97] - node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 435:97] - node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 432:94] - node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] - node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] - node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] - node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 433:57] - node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 433:31] - node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] - node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 434:41] - node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:83] - node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 434:71] - node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:104] - node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 433:86] - node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] - node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] - node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 435:52] - node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:85] - node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 434:114] - node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 432:113] - node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 435:109] - node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:94] + node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] + node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] + node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 434:57] + node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 434:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] + node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 435:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:83] + node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 435:71] + node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 434:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:64] + node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 436:52] + node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:85] + node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 435:114] + node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 433:113] + node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 436:109] + node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 436:97] node _T_2459 = cat(_T_2458, _T_2433) @[Cat.scala 29:58] node _T_2460 = cat(_T_2459, _T_2408) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2460, _T_2383) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 436:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:12] - node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 438:78] - node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 438:78] - node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 438:78] - node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 438:78] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 437:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 438:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 438:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 438:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 438:12] + node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 439:78] node _T_2477 = cat(_T_2476, _T_2472) @[Cat.scala 29:58] node _T_2478 = cat(_T_2477, _T_2468) @[Cat.scala 29:58] node _T_2479 = cat(_T_2478, _T_2464) @[Cat.scala 29:58] - node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 438:78] - node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 438:78] - node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 438:78] - node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 439:78] node _T_2496 = cat(_T_2495, _T_2491) @[Cat.scala 29:58] node _T_2497 = cat(_T_2496, _T_2487) @[Cat.scala 29:58] node _T_2498 = cat(_T_2497, _T_2483) @[Cat.scala 29:58] - node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 438:78] - node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 438:78] - node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 438:78] - node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 439:78] node _T_2515 = cat(_T_2514, _T_2510) @[Cat.scala 29:58] node _T_2516 = cat(_T_2515, _T_2506) @[Cat.scala 29:58] node _T_2517 = cat(_T_2516, _T_2502) @[Cat.scala 29:58] - node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 438:78] - node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 438:78] - node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 438:78] - node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:74] - node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 439:104] + node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 439:78] node _T_2534 = cat(_T_2533, _T_2529) @[Cat.scala 29:58] node _T_2535 = cat(_T_2534, _T_2525) @[Cat.scala 29:58] node _T_2536 = cat(_T_2535, _T_2521) @[Cat.scala 29:58] - buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 438:13] - buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 438:13] - buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 438:13] - buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 438:13] - node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 439:74] + buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 439:13] + buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 439:13] + buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 439:13] + buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 439:13] + node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 440:74] node _T_2561 = cat(_T_2560, _T_2554) @[Cat.scala 29:58] node _T_2562 = cat(_T_2561, _T_2548) @[Cat.scala 29:58] node _T_2563 = cat(_T_2562, _T_2542) @[Cat.scala 29:58] - node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 440:74] node _T_2588 = cat(_T_2587, _T_2581) @[Cat.scala 29:58] node _T_2589 = cat(_T_2588, _T_2575) @[Cat.scala 29:58] node _T_2590 = cat(_T_2589, _T_2569) @[Cat.scala 29:58] - node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 440:74] node _T_2615 = cat(_T_2614, _T_2608) @[Cat.scala 29:58] node _T_2616 = cat(_T_2615, _T_2602) @[Cat.scala 29:58] node _T_2617 = cat(_T_2616, _T_2596) @[Cat.scala 29:58] - node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 439:102] - node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] - node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] - node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 439:106] - node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:78] + node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 440:102] + node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] + node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] + node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 440:106] + node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 440:74] node _T_2642 = cat(_T_2641, _T_2635) @[Cat.scala 29:58] node _T_2643 = cat(_T_2642, _T_2629) @[Cat.scala 29:58] node _T_2644 = cat(_T_2643, _T_2623) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 439:21] - buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 439:21] - buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 439:21] - buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 439:21] - node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 440:89] - node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 440:89] - node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 440:89] - node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 440:89] + buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 440:21] + buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 440:21] + buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 440:21] + buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 440:21] + node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 441:89] node _T_2657 = cat(_T_2656, _T_2653) @[Cat.scala 29:58] node _T_2658 = cat(_T_2657, _T_2650) @[Cat.scala 29:58] node _T_2659 = cat(_T_2658, _T_2647) @[Cat.scala 29:58] - node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 440:89] - node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 440:89] - node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 440:89] - node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 441:89] node _T_2672 = cat(_T_2671, _T_2668) @[Cat.scala 29:58] node _T_2673 = cat(_T_2672, _T_2665) @[Cat.scala 29:58] node _T_2674 = cat(_T_2673, _T_2662) @[Cat.scala 29:58] - node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 440:89] - node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 440:89] - node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 440:89] - node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 441:89] node _T_2687 = cat(_T_2686, _T_2683) @[Cat.scala 29:58] node _T_2688 = cat(_T_2687, _T_2680) @[Cat.scala 29:58] node _T_2689 = cat(_T_2688, _T_2677) @[Cat.scala 29:58] - node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 440:89] - node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 440:89] - node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 440:89] - node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] + node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 441:89] node _T_2702 = cat(_T_2701, _T_2698) @[Cat.scala 29:58] node _T_2703 = cat(_T_2702, _T_2695) @[Cat.scala 29:58] node _T_2704 = cat(_T_2703, _T_2692) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 440:21] - buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 440:21] - buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 440:21] - buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 440:21] - node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 442:114] - node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 442:114] - node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 442:114] - node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 442:114] + buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 441:21] + buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 441:21] + buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 441:21] + buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 441:21] + node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 443:114] node _T_2793 = cat(_T_2792, _T_2770) @[Cat.scala 29:58] node _T_2794 = cat(_T_2793, _T_2748) @[Cat.scala 29:58] node _T_2795 = cat(_T_2794, _T_2726) @[Cat.scala 29:58] - node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 442:114] - node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 442:114] - node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 442:114] - node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 442:114] + node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 443:114] node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] - node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 442:114] - node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 442:114] - node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 442:114] - node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 442:114] + node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 443:114] node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] - node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 443:34] - node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 444:43] - node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 443:61] - node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 445:54] - node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 444:112] - node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 442:114] - node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 443:34] - node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 444:43] - node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 444:73] - node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 444:92] - node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 443:61] - node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 445:54] - node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 445:73] - node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 444:112] - node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 442:114] - node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 443:34] - node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 444:43] - node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 444:73] - node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 444:92] - node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 443:61] - node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 445:54] - node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 445:73] - node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 444:112] - node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 442:114] - node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 442:95] - node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] - node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] - node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 443:34] - node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] - node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] - node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] - node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 444:43] - node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:84] - node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 444:73] - node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:103] - node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 444:92] - node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 443:61] - node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] - node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] - node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:65] - node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 445:54] - node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 445:73] - node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 444:112] - node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 442:114] + node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 444:34] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 445:43] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 444:61] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 445:112] + node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 443:114] + node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 444:34] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 445:43] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 445:73] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 445:92] + node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 444:61] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 446:54] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 446:73] + node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 443:114] + node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 444:34] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 445:43] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 445:73] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 445:92] + node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 444:61] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 446:54] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 446:73] + node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 443:114] + node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] + node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 443:95] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] + node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 444:34] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] + node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 445:43] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 445:73] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:103] + node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 445:92] + node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 444:61] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:65] + node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 446:54] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:84] + node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 446:73] + node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 445:112] + node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 443:114] node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 442:20] - buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 442:20] - buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 442:20] - buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 442:20] - node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 446:90] - node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 446:90] - node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 446:90] - node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 446:90] + buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 443:20] + buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 443:20] + buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 443:20] + buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 443:20] + node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 447:90] node _T_3081 = cat(_T_3080, _T_3077) @[Cat.scala 29:58] node _T_3082 = cat(_T_3081, _T_3074) @[Cat.scala 29:58] node _T_3083 = cat(_T_3082, _T_3071) @[Cat.scala 29:58] - node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 446:90] - node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 446:90] - node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 446:90] - node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 447:90] node _T_3096 = cat(_T_3095, _T_3092) @[Cat.scala 29:58] node _T_3097 = cat(_T_3096, _T_3089) @[Cat.scala 29:58] node _T_3098 = cat(_T_3097, _T_3086) @[Cat.scala 29:58] - node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 446:90] - node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 446:90] - node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 446:90] - node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 447:90] node _T_3111 = cat(_T_3110, _T_3107) @[Cat.scala 29:58] node _T_3112 = cat(_T_3111, _T_3104) @[Cat.scala 29:58] node _T_3113 = cat(_T_3112, _T_3101) @[Cat.scala 29:58] - node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 446:90] - node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 446:90] - node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 446:90] - node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 446:86] - node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 446:105] - node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 447:105] + node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 447:90] node _T_3126 = cat(_T_3125, _T_3122) @[Cat.scala 29:58] node _T_3127 = cat(_T_3126, _T_3119) @[Cat.scala 29:58] node _T_3128 = cat(_T_3127, _T_3116) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 446:19] - buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 446:19] - buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 446:19] - buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 446:19] - node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 447:84] - node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 447:84] - node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 447:84] - node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 447:84] + buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 447:19] + buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 447:19] + buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 447:19] + buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 447:19] + node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 448:84] node _T_3153 = cat(_T_3152, _T_3146) @[Cat.scala 29:58] node _T_3154 = cat(_T_3153, _T_3140) @[Cat.scala 29:58] node _T_3155 = cat(_T_3154, _T_3134) @[Cat.scala 29:58] - node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 447:84] - node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 447:84] - node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 447:84] - node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 448:84] node _T_3180 = cat(_T_3179, _T_3173) @[Cat.scala 29:58] node _T_3181 = cat(_T_3180, _T_3167) @[Cat.scala 29:58] node _T_3182 = cat(_T_3181, _T_3161) @[Cat.scala 29:58] - node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 447:84] - node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 447:84] - node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 447:84] - node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 448:84] node _T_3207 = cat(_T_3206, _T_3200) @[Cat.scala 29:58] node _T_3208 = cat(_T_3207, _T_3194) @[Cat.scala 29:58] node _T_3209 = cat(_T_3208, _T_3188) @[Cat.scala 29:58] - node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 447:84] - node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 447:84] - node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 447:84] - node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 447:80] - node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] - node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] - node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 447:112] - node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 448:80] + node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] + node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 448:112] + node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 448:84] node _T_3234 = cat(_T_3233, _T_3227) @[Cat.scala 29:58] node _T_3235 = cat(_T_3234, _T_3221) @[Cat.scala 29:58] node _T_3236 = cat(_T_3235, _T_3215) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 447:16] - buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 447:16] - buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 447:16] - buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 447:16] - node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:77] - node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 452:65] - node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 452:77] - node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 452:65] - node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 452:77] - node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 452:65] - node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 452:77] - node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 452:65] + buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 448:16] + buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 448:16] + buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 448:16] + buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 448:16] + node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:77] + node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 453:65] + node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:77] + node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 453:65] + node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:77] + node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 453:65] + node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:77] + node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 453:65] node _T_3245 = cat(_T_3244, _T_3242) @[Cat.scala 29:58] node _T_3246 = cat(_T_3245, _T_3240) @[Cat.scala 29:58] node _T_3247 = cat(_T_3246, _T_3238) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 452:23] - node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:66] - node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:106] - node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:134] - node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 453:123] - node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:159] - node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:182] - node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 453:96] - node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 453:48] - node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:66] - node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:106] - node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:134] - node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 453:123] - node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:159] - node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:182] - node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 453:96] - node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 453:48] - node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:66] - node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:106] - node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:134] - node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 453:123] - node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:159] - node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:182] - node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 453:96] - node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 453:48] - node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:66] - node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:106] - node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:134] - node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 453:123] - node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:159] - node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:182] - node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 453:96] - node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 453:48] - buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 453:19] - buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 453:19] - buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 453:19] - buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 453:19] - node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:64] - node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:93] - node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:121] - node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 454:110] - node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 454:83] - node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 454:46] - node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:64] - node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:93] - node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:121] - node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 454:110] - node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 454:83] - node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 454:46] - node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:64] - node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:93] - node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:121] - node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 454:110] - node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 454:83] - node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 454:46] - node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:64] - node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:93] - node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:121] - node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 454:110] - node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 454:83] - node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 454:46] - buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 454:17] - buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 454:17] - buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 454:17] - buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 454:17] - node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:65] - node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:47] - node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:65] - node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:47] - node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:65] - node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:47] - node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:65] - node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:47] + ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 453:23] + node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:66] + node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 454:86] + node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:106] + node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:134] + node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 454:123] + node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:159] + node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:182] + node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 454:96] + node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 454:48] + node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:66] + node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 454:86] + node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:106] + node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:134] + node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 454:123] + node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:159] + node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:182] + node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 454:96] + node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 454:48] + node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:66] + node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 454:86] + node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:106] + node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:134] + node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 454:123] + node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:159] + node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:182] + node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 454:96] + node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 454:48] + node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:66] + node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 454:86] + node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:106] + node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:134] + node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 454:123] + node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:159] + node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:182] + node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 454:96] + node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 454:48] + buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 454:19] + buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 454:19] + buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 454:19] + buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 454:19] + node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:64] + node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:93] + node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 455:121] + node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 455:110] + node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 455:83] + node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 455:46] + node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:64] + node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:93] + node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 455:121] + node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 455:110] + node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 455:83] + node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 455:46] + node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:64] + node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:93] + node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 455:121] + node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 455:110] + node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 455:83] + node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 455:46] + node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:64] + node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:93] + node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 455:121] + node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 455:110] + node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 455:83] + node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 455:46] + buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 455:17] + buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 455:17] + buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 455:17] + buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 455:17] + node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 456:65] + node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:47] + node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 456:65] + node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:47] + node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 456:65] + node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:47] + node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 456:65] + node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:47] node _T_3316 = cat(_T_3315, _T_3313) @[Cat.scala 29:58] node _T_3317 = cat(_T_3316, _T_3311) @[Cat.scala 29:58] node _T_3318 = cat(_T_3317, _T_3309) @[Cat.scala 29:58] - buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 455:17] - node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 456:49] + buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 456:17] + node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 457:67] + node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 457:49] + node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 457:67] + node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 457:49] + node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 457:67] + node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 457:49] + node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 457:67] + node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 457:49] node _T_3327 = cat(_T_3326, _T_3324) @[Cat.scala 29:58] node _T_3328 = cat(_T_3327, _T_3322) @[Cat.scala 29:58] node _T_3329 = cat(_T_3328, _T_3320) @[Cat.scala 29:58] - buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 456:19] - node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 457:68] - node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 457:86] - node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 457:50] - node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 457:68] - node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 457:86] - node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 457:50] - node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 457:68] - node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 457:86] - node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 457:50] - node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 457:68] - node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 457:86] - node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 457:50] + buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 457:19] + node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 458:68] + node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 458:86] + node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 458:50] + node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 458:68] + node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 458:86] + node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 458:50] + node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 458:68] + node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 458:86] + node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 458:50] + node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 458:68] + node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 458:86] + node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 458:50] node _T_3342 = cat(_T_3341, _T_3338) @[Cat.scala 29:58] node _T_3343 = cat(_T_3342, _T_3335) @[Cat.scala 29:58] node _T_3344 = cat(_T_3343, _T_3332) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 457:20] - node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 458:67] - node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:92] - node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:120] - node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 458:109] - node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 458:49] - node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 458:67] - node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:92] - node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:120] - node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 458:109] - node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 458:49] - node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 458:67] - node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:92] - node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:120] - node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 458:109] - node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 458:49] - node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 458:67] - node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:92] - node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:120] - node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 458:109] - node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 458:49] + buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 458:20] + node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:92] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:120] + node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 459:109] + node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:92] + node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:120] + node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 459:109] + node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:92] + node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:120] + node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 459:109] + node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:92] + node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:120] + node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 459:109] + node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 459:49] node _T_3365 = cat(_T_3364, _T_3359) @[Cat.scala 29:58] node _T_3366 = cat(_T_3365, _T_3354) @[Cat.scala 29:58] node _T_3367 = cat(_T_3366, _T_3349) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 458:19] - node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:99] - node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:127] - node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 459:116] - node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 459:89] - node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 459:49] - node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:99] - node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:127] - node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 459:116] - node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 459:89] - node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 459:49] - node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:99] - node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:127] - node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 459:116] - node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 459:89] - node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 459:49] - node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:99] - node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:127] - node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 459:116] - node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 459:89] - node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 459:49] - buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 459:20] - buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 459:20] - buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 459:20] - buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 459:20] - node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:71] - node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:71] - node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:71] - node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:71] - node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 460:53] + buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 459:19] + node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:67] + node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:99] + node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:127] + node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 460:116] + node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:89] + node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 460:49] + node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:67] + node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:99] + node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:127] + node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 460:116] + node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:89] + node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 460:49] + node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:67] + node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:99] + node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:127] + node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 460:116] + node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:89] + node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 460:49] + node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:67] + node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:99] + node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:127] + node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 460:116] + node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:89] + node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 460:49] + buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 460:20] + buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 460:20] + buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 460:20] + buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 460:20] + node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 461:71] + node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 461:71] + node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 461:71] + node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 461:71] + node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 461:53] node _T_3400 = cat(_T_3399, _T_3397) @[Cat.scala 29:58] node _T_3401 = cat(_T_3400, _T_3395) @[Cat.scala 29:58] node _T_3402 = cat(_T_3401, _T_3393) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 460:23] - node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 461:67] - node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 461:49] - node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 461:67] - node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 461:49] - node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 461:67] - node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 461:49] - node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 461:67] - node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 461:49] + buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 461:23] + node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 462:67] + node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 462:49] + node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 462:67] + node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 462:49] + node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 462:67] + node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 462:49] + node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 462:67] + node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 462:49] node _T_3411 = cat(_T_3410, _T_3408) @[Cat.scala 29:58] node _T_3412 = cat(_T_3411, _T_3406) @[Cat.scala 29:58] node _T_3413 = cat(_T_3412, _T_3404) @[Cat.scala 29:58] - buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 461:19] - node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 462:62] + buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 462:19] + node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 463:62] node _T_3415 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 462:44] - node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 462:62] + node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 463:44] + node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 463:62] node _T_3418 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 462:44] - node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 462:62] + node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 463:44] + node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 463:62] node _T_3421 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 462:44] - node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 462:62] + node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 463:44] + node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 463:62] node _T_3424 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 462:44] - buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 462:15] - buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 462:15] - buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 462:15] - buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 462:15] - node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 463:66] - node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 463:48] - node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 463:66] - node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 463:48] - node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 463:66] - node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 463:48] - node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 463:66] - node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 463:48] + node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 463:44] + buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 463:15] + buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 463:15] + buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 463:15] + buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 463:15] + node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 464:66] + node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 464:48] + node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 464:66] + node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 464:48] + node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 464:66] + node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 464:48] + node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 464:66] + node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 464:48] node _T_3434 = cat(_T_3433, _T_3431) @[Cat.scala 29:58] node _T_3435 = cat(_T_3434, _T_3429) @[Cat.scala 29:58] node _T_3436 = cat(_T_3435, _T_3427) @[Cat.scala 29:58] - buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 463:18] + buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 464:18] node _T_3437 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3437 : @[Conditional.scala 40:58] - node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 468:56] - node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:31] - buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 468:25] - node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 469:45] - node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:77] - node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:97] - node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 469:95] - node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 469:117] - node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:144] - node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 469:166] - node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 469:161] - node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 469:132] - node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 469:63] - node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:206] - node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 469:201] - node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 469:183] - buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 469:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 470:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 471:24] - node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 472:52] - node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 472:47] - node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 472:73] - node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 472:114] - node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 472:30] - buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 472:24] + node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 469:56] + node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:31] + buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 469:25] + node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 470:45] + node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:77] + node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:97] + node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 470:95] + node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 470:117] + node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:144] + node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 470:166] + node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 470:161] + node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 470:132] + node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 470:63] + node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:206] + node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 470:201] + node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 470:183] + buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 470:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 471:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 472:24] + node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 473:52] + node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 473:47] + node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 473:73] + node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 473:114] + node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 473:30] + buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 473:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3460 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3460 : @[Conditional.scala 39:67] - node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 475:60] - node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 475:31] - buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 475:25] - node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 476:46] - buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 476:31] + buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:46] + buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 477:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3464 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3464 : @[Conditional.scala 39:67] - node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 479:60] - node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 479:89] - node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 479:124] - node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 479:104] - node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 479:75] - node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 479:31] - buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:104] - node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 480:91] - node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 480:77] - node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 480:135] - node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 480:148] - buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 480:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 481:29] - node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:49] - node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:70] - buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 482:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 483:25] - node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 484:56] - node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:46] - node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 484:44] - node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:60] - node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:76] - node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 484:74] - buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 484:25] - node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 485:46] - buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 485:28] - node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 486:47] - node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 486:81] - buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 486:24] - node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:68] - node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 487:82] - buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 487:25] - node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:61] - node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 488:85] - node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 488:103] - node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:126] - node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 488:73] - node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 488:30] - buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 488:24] + node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 480:60] + node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 480:89] + node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 480:124] + node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 480:104] + node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:75] + node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 480:31] + buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 480:25] + node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:48] + node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:104] + node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 481:91] + node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 481:77] + node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 481:135] + node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 481:148] + buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 481:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 482:29] + node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 483:70] + buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 483:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 484:25] + node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 485:56] + node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:46] + node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 485:44] + node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:60] + node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:76] + node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 485:74] + buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 486:46] + buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 486:28] + node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:47] + node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 487:81] + buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 487:24] + node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 488:68] + node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 488:82] + buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 488:25] + node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:61] + node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 489:85] + node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 489:103] + node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:126] + node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 489:30] + buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 489:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3498 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3498 : @[Conditional.scala 39:67] - node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 491:67] - node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 491:94] - node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:73] - node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 491:71] - node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 491:55] - node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 491:125] - node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:30] - node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 492:28] - node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 492:57] - node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 492:45] - node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 492:90] - node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 492:61] - node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 493:27] - node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:31] - node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:70] - node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 493:97] - node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:87] - node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 493:85] - node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 492:94] + node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:73] + node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 492:71] + node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 492:55] + node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 492:125] + node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:30] + node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 493:28] + node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 493:57] + node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:47] + node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 493:45] + node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:90] + node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 493:61] + node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 494:27] + node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:31] + node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:70] + node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 494:68] + node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 494:97] + node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:87] + node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 494:85] + node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_3527 = mux(_T_3519, _T_3520, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3528 = mux(_T_3521, _T_3522, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3529 = mux(_T_3523, _T_3524, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4485,273 +4485,273 @@ circuit el2_lsu_bus_buffer : node _T_3533 = or(_T_3532, _T_3530) @[Mux.scala 27:72] wire _T_3534 : UInt<1> @[Mux.scala 27:72] _T_3534 <= _T_3533 @[Mux.scala 27:72] - node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 493:101] - node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:167] - node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 493:138] - node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:187] - node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 493:53] - node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 493:16] - node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 492:14] - node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 491:31] - buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 491:25] - node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 494:73] - node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 494:52] - node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 495:46] - node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 496:23] - node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 496:47] - node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 496:27] - node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 495:77] - node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 497:26] - node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 497:54] - node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 497:44] - node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 497:42] - node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 497:58] - node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 497:94] - node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 497:74] - node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 496:71] - node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 495:25] - node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 494:105] - buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 494:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 498:29] - node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:49] - node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 499:70] - buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 500:47] - node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:62] - buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 500:24] - node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:48] - node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 501:111] - node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 501:91] - node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 502:42] - node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 502:31] - node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 502:66] - node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 502:46] - node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 501:143] - node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 503:32] - node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 503:74] - node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 503:53] - node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 502:88] - node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 501:68] - buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 501:25] - node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:50] - node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 504:48] - node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 504:84] - node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 504:102] - node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:125] - node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 504:72] - node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:148] - node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 504:30] - buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 504:24] + node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 494:101] + node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 494:167] + node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 494:138] + node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:187] + node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 494:16] + node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 493:14] + node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 492:31] + buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 492:25] + node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 495:73] + node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 495:52] + node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 496:46] + node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 497:23] + node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 497:47] + node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 497:27] + node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 496:77] + node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 498:26] + node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 498:54] + node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 498:44] + node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 498:42] + node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 498:58] + node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 498:94] + node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 498:74] + node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 497:71] + node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 496:25] + node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 495:105] + buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 495:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 499:29] + node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] + node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] + buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 501:47] + node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:62] + buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 501:24] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:48] + node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 502:111] + node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 502:91] + node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 503:42] + node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 503:31] + node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 503:66] + node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 503:46] + node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 502:143] + node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 504:32] + node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 504:74] + node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 504:53] + node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 503:88] + node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 502:68] + buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:50] + node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 505:48] + node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 505:84] + node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 505:102] + node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:125] + node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 505:72] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:148] + node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 505:30] + buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 505:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3585 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3585 : @[Conditional.scala 39:67] - node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] - node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 507:86] - node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 507:101] - node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 507:101] - node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 507:90] - node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 507:118] - node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 507:75] - node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 507:31] - buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 507:25] - node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 508:66] - node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 509:21] - node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 509:21] - node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 509:58] - node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 509:38] - node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 508:95] - node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 508:45] - buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 508:29] - node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] - node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] - buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] + node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 508:86] + node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 508:101] + node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 508:101] + node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 508:90] + node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 508:118] + node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:75] + node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 508:31] + buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 508:25] + node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 509:66] + node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 510:21] + node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 510:21] + node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 510:58] + node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 510:38] + node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 509:95] + node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 509:45] + buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 509:29] + node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:49] + node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:70] + buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3603 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3603 : @[Conditional.scala 39:67] - node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] - node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:31] - buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 513:25] - node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 514:37] - node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 514:98] - node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 514:80] - node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 514:65] - node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 514:112] - buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] + node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 514:31] + buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 515:37] + node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 515:98] + node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 515:80] + node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 515:65] + node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 515:112] + buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 515:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3611 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3611 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 517:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 520:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 521:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 518:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 521:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 522:25] skip @[Conditional.scala 39:67] - node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 524:108] + node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:108] reg _T_3613 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3612 : @[Reg.scala 28:19] _T_3613 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 524:18] - reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 525:60] - _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 525:60] - buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 525:17] - reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:63] - _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 526:63] - buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 526:20] - node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:109] + buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 525:18] + reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:60] + _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 526:60] + buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 526:17] + reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 527:63] + _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 527:63] + buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 527:20] + node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:109] reg _T_3617 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3616 : @[Reg.scala 28:19] _T_3617 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 527:20] - node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:74] - node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:107] + buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 528:20] + node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:74] + node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:107] reg _T_3620 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3619 : @[Reg.scala 28:19] _T_3620 <= _T_3618 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 528:17] - node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:78] - node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 529:17] + node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 530:78] + node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:111] reg _T_3623 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3622 : @[Reg.scala 28:19] _T_3623 <= _T_3621 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 529:19] - node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 530:80] - node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:113] + buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 530:19] + node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 531:80] + node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:113] reg _T_3626 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3625 : @[Reg.scala 28:19] _T_3626 <= _T_3624 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 530:20] - node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 531:78] - node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:111] + buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 531:20] + node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 532:78] + node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:111] reg _T_3629 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3628 : @[Reg.scala 28:19] _T_3629 <= _T_3627 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 531:19] + buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 532:19] node _T_3630 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3630 : @[Conditional.scala 40:58] - node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 468:56] - node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:31] - buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 468:25] - node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 469:45] - node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:77] - node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:97] - node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 469:95] - node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 469:117] - node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:144] - node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 469:166] - node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 469:161] - node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 469:132] - node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 469:63] - node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:206] - node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 469:201] - node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 469:183] - buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 469:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 470:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 471:24] - node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 472:52] - node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 472:47] - node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 472:73] - node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 472:114] - node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 472:30] - buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 472:24] + node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 469:56] + node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:31] + buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 469:25] + node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 470:45] + node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:77] + node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:97] + node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 470:95] + node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 470:117] + node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:144] + node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 470:166] + node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 470:161] + node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 470:132] + node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 470:63] + node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:206] + node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 470:201] + node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 470:183] + buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 470:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 471:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 472:24] + node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 473:52] + node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 473:47] + node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 473:73] + node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 473:114] + node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 473:30] + buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 473:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3653 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3653 : @[Conditional.scala 39:67] - node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 475:60] - node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 475:31] - buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 475:25] - node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 476:46] - buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 476:31] + buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:46] + buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 477:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3657 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3657 : @[Conditional.scala 39:67] - node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 479:60] - node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 479:89] - node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 479:124] - node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 479:104] - node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 479:75] - node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 479:31] - buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 480:104] - node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 480:91] - node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 480:77] - node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 480:135] - node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 480:148] - buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 480:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 481:29] - node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:49] - node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:70] - buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 482:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 483:25] - node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 484:56] - node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:46] - node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 484:44] - node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:60] - node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:76] - node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 484:74] - buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 484:25] - node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 485:46] - buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 485:28] - node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 486:47] - node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 486:81] - buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 486:24] - node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:68] - node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 487:82] - buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 487:25] - node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:61] - node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 488:85] - node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 488:103] - node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:126] - node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 488:73] - node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 488:30] - buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 488:24] + node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 480:60] + node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 480:89] + node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 480:124] + node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 480:104] + node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:75] + node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 480:31] + buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 480:25] + node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 481:48] + node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 481:104] + node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 481:91] + node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 481:77] + node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 481:135] + node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 481:148] + buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 481:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 482:29] + node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 483:70] + buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 483:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 484:25] + node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 485:56] + node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:46] + node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 485:44] + node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:60] + node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:76] + node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 485:74] + buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 486:46] + buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 486:28] + node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:47] + node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 487:81] + buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 487:24] + node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 488:68] + node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 488:82] + buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 488:25] + node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:61] + node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 489:85] + node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 489:103] + node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:126] + node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 489:30] + buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 489:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3691 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3691 : @[Conditional.scala 39:67] - node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 491:67] - node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 491:94] - node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:73] - node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 491:71] - node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 491:55] - node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 491:125] - node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:30] - node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 492:28] - node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 492:57] - node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 492:45] - node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 492:90] - node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 492:61] - node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 493:27] - node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:31] - node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:70] - node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 493:97] - node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:87] - node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 493:85] - node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 492:94] + node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:73] + node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 492:71] + node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 492:55] + node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 492:125] + node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:30] + node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 493:28] + node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 493:57] + node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:47] + node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 493:45] + node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:90] + node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 493:61] + node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 494:27] + node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:31] + node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:70] + node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 494:68] + node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 494:97] + node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:87] + node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 494:85] + node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_3720 = mux(_T_3712, _T_3713, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3721 = mux(_T_3714, _T_3715, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3722 = mux(_T_3716, _T_3717, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4761,273 +4761,273 @@ circuit el2_lsu_bus_buffer : node _T_3726 = or(_T_3725, _T_3723) @[Mux.scala 27:72] wire _T_3727 : UInt<1> @[Mux.scala 27:72] _T_3727 <= _T_3726 @[Mux.scala 27:72] - node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 493:101] - node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:167] - node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 493:138] - node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:187] - node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 493:53] - node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 493:16] - node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 492:14] - node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 491:31] - buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 491:25] - node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:73] - node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 494:52] - node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:46] - node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 496:23] - node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 496:47] - node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 496:27] - node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 495:77] - node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 497:26] - node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 497:54] - node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 497:44] - node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 497:42] - node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 497:58] - node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 497:94] - node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 497:74] - node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 496:71] - node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 495:25] - node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 494:105] - buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 494:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 498:29] - node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:49] - node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 499:70] - buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 500:47] - node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:62] - buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 500:24] - node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:48] - node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 501:111] - node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 501:91] - node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 502:42] - node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 502:31] - node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 502:66] - node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 502:46] - node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 501:143] - node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 503:32] - node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:74] - node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 503:53] - node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 502:88] - node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 501:68] - buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 501:25] - node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:50] - node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 504:48] - node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 504:84] - node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 504:102] - node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:125] - node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 504:72] - node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:148] - node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 504:30] - buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 504:24] + node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 494:101] + node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 494:167] + node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 494:138] + node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:187] + node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 494:16] + node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 493:14] + node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 492:31] + buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 492:25] + node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:73] + node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 495:52] + node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:46] + node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 497:23] + node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 497:47] + node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 497:27] + node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 496:77] + node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 498:26] + node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 498:54] + node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 498:44] + node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 498:42] + node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 498:58] + node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 498:94] + node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 498:74] + node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 497:71] + node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 496:25] + node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 495:105] + buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 495:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 499:29] + node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] + node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] + buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 501:47] + node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:62] + buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 501:24] + node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:48] + node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:111] + node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 502:91] + node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 503:42] + node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 503:31] + node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 503:66] + node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 503:46] + node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 502:143] + node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 504:32] + node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:74] + node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 504:53] + node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 503:88] + node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 502:68] + buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:50] + node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 505:48] + node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 505:84] + node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 505:102] + node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:125] + node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 505:72] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:148] + node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 505:30] + buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 505:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3778 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3778 : @[Conditional.scala 39:67] - node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] - node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 507:86] - node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 507:101] - node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 507:101] - node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 507:90] - node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 507:118] - node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 507:75] - node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 507:31] - buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 507:25] - node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 508:66] - node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 509:21] - node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 509:21] - node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 509:58] - node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 509:38] - node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 508:95] - node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 508:45] - buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 508:29] - node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] - node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] - buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] + node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 508:86] + node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 508:101] + node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 508:101] + node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 508:90] + node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 508:118] + node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:75] + node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 508:31] + buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 508:25] + node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 509:66] + node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 510:21] + node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 510:21] + node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 510:58] + node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 510:38] + node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 509:95] + node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 509:45] + buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 509:29] + node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:49] + node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:70] + buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3796 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3796 : @[Conditional.scala 39:67] - node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] - node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:31] - buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 513:25] - node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 514:37] - node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 514:98] - node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 514:80] - node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 514:65] - node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 514:112] - buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] + node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 514:31] + buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 515:37] + node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 515:98] + node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 515:80] + node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 515:65] + node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 515:112] + buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 515:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3804 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3804 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 517:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 520:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 521:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 518:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 521:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 522:25] skip @[Conditional.scala 39:67] - node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 524:108] + node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:108] reg _T_3806 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3805 : @[Reg.scala 28:19] _T_3806 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 524:18] - reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 525:60] - _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 525:60] - buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 525:17] - reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:63] - _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 526:63] - buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 526:20] - node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:109] + buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 525:18] + reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:60] + _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 526:60] + buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 526:17] + reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 527:63] + _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 527:63] + buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 527:20] + node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:109] reg _T_3810 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3809 : @[Reg.scala 28:19] _T_3810 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 527:20] - node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:74] - node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:107] + buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 528:20] + node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:74] + node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:107] reg _T_3813 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3812 : @[Reg.scala 28:19] _T_3813 <= _T_3811 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 528:17] - node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:78] - node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 529:17] + node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 530:78] + node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:111] reg _T_3816 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3815 : @[Reg.scala 28:19] _T_3816 <= _T_3814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 529:19] - node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 530:80] - node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:113] + buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 530:19] + node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 531:80] + node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:113] reg _T_3819 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3818 : @[Reg.scala 28:19] _T_3819 <= _T_3817 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 530:20] - node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 531:78] - node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:111] + buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 531:20] + node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 532:78] + node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:111] reg _T_3822 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3821 : @[Reg.scala 28:19] _T_3822 <= _T_3820 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 531:19] + buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 532:19] node _T_3823 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3823 : @[Conditional.scala 40:58] - node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 468:56] - node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:31] - buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 468:25] - node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 469:45] - node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:77] - node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:97] - node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 469:95] - node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 469:117] - node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:144] - node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 469:166] - node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 469:161] - node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 469:132] - node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 469:63] - node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:206] - node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 469:201] - node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 469:183] - buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 469:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 470:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 471:24] - node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 472:52] - node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 472:47] - node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 472:73] - node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 472:114] - node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 472:30] - buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 472:24] + node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 469:56] + node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:31] + buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 469:25] + node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 470:45] + node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:77] + node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:97] + node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 470:95] + node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 470:117] + node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:144] + node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 470:166] + node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 470:161] + node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 470:132] + node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 470:63] + node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:206] + node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 470:201] + node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 470:183] + buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 470:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 471:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 472:24] + node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 473:52] + node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 473:47] + node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 473:73] + node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 473:114] + node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 473:30] + buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 473:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3846 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3846 : @[Conditional.scala 39:67] - node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 475:60] - node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 475:31] - buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 475:25] - node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 476:46] - buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 476:31] + buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:46] + buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 477:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3850 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3850 : @[Conditional.scala 39:67] - node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 479:60] - node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 479:89] - node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 479:124] - node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 479:104] - node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 479:75] - node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 479:31] - buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 479:25] - node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 480:104] - node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 480:91] - node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 480:77] - node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 480:135] - node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 480:148] - buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 480:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 481:29] - node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:49] - node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:70] - buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 482:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 483:25] - node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 484:56] - node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:46] - node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 484:44] - node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:60] - node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:76] - node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 484:74] - buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 484:25] - node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 485:46] - buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 485:28] - node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 486:47] - node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 486:81] - buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 486:24] - node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:68] - node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 487:82] - buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 487:25] - node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:61] - node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 488:85] - node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 488:103] - node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:126] - node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 488:73] - node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 488:30] - buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 488:24] + node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 480:60] + node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 480:89] + node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 480:124] + node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 480:104] + node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:75] + node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 480:31] + buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 480:25] + node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:48] + node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:104] + node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 481:91] + node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 481:77] + node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 481:135] + node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 481:148] + buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 481:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 482:29] + node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 483:70] + buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 483:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 484:25] + node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 485:56] + node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:46] + node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 485:44] + node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:60] + node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:76] + node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 485:74] + buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 486:46] + buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 486:28] + node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:47] + node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 487:81] + buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 487:24] + node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 488:68] + node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 488:82] + buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 488:25] + node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:61] + node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 489:85] + node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 489:103] + node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:126] + node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 489:30] + buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 489:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3884 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3884 : @[Conditional.scala 39:67] - node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 491:67] - node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 491:94] - node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:73] - node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 491:71] - node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 491:55] - node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 491:125] - node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:30] - node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 492:28] - node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 492:57] - node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 492:45] - node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 492:90] - node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 492:61] - node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 493:27] - node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:31] - node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:70] - node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 493:97] - node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:87] - node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 493:85] - node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 492:94] + node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:73] + node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 492:71] + node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 492:55] + node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 492:125] + node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:30] + node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 493:28] + node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 493:57] + node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:47] + node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 493:45] + node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:90] + node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 493:61] + node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 494:27] + node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:31] + node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:70] + node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 494:68] + node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 494:97] + node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:87] + node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 494:85] + node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_3913 = mux(_T_3905, _T_3906, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3914 = mux(_T_3907, _T_3908, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3915 = mux(_T_3909, _T_3910, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5037,273 +5037,273 @@ circuit el2_lsu_bus_buffer : node _T_3919 = or(_T_3918, _T_3916) @[Mux.scala 27:72] wire _T_3920 : UInt<1> @[Mux.scala 27:72] _T_3920 <= _T_3919 @[Mux.scala 27:72] - node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 493:101] - node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:167] - node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 493:138] - node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:187] - node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 493:53] - node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 493:16] - node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 492:14] - node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 491:31] - buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 491:25] - node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 494:73] - node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 494:52] - node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 495:46] - node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 496:23] - node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 496:47] - node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 496:27] - node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 495:77] - node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 497:26] - node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 497:54] - node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 497:44] - node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 497:42] - node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 497:58] - node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 497:94] - node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 497:74] - node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 496:71] - node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 495:25] - node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 494:105] - buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 494:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 498:29] - node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:49] - node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 499:70] - buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 500:47] - node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:62] - buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 500:24] - node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:48] - node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:111] - node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 501:91] - node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 502:42] - node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 502:31] - node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 502:66] - node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 502:46] - node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 501:143] - node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 503:32] - node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 503:74] - node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 503:53] - node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 502:88] - node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 501:68] - buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 501:25] - node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:50] - node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 504:48] - node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 504:84] - node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 504:102] - node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:125] - node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 504:72] - node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:148] - node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 504:30] - buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 504:24] + node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 494:101] + node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 494:167] + node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 494:138] + node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:187] + node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 494:16] + node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 493:14] + node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 492:31] + buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 492:25] + node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 495:73] + node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 495:52] + node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 496:46] + node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 497:23] + node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 497:47] + node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 497:27] + node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 496:77] + node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 498:26] + node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 498:54] + node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 498:44] + node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 498:42] + node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 498:58] + node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 498:94] + node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 498:74] + node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 497:71] + node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 496:25] + node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 495:105] + buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 495:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 499:29] + node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] + node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] + buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 501:47] + node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:62] + buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 501:24] + node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:48] + node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:111] + node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 502:91] + node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 503:42] + node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 503:31] + node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 503:66] + node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 503:46] + node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 502:143] + node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 504:32] + node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 504:74] + node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 504:53] + node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 503:88] + node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 502:68] + buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:50] + node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 505:48] + node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 505:84] + node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 505:102] + node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:125] + node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 505:72] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:148] + node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 505:30] + buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 505:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3971 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_3971 : @[Conditional.scala 39:67] - node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] - node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 507:86] - node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 507:101] - node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 507:101] - node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 507:90] - node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 507:118] - node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 507:75] - node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 507:31] - buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 507:25] - node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 508:66] - node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 509:21] - node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 509:21] - node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 509:58] - node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 509:38] - node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 508:95] - node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 508:45] - buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 508:29] - node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] - node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] - buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] + node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 508:86] + node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 508:101] + node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 508:101] + node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 508:90] + node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 508:118] + node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:75] + node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 508:31] + buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 508:25] + node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 509:66] + node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 510:21] + node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 510:21] + node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 510:58] + node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 510:38] + node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 509:95] + node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 509:45] + buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 509:29] + node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:49] + node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:70] + buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3989 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_3989 : @[Conditional.scala 39:67] - node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] - node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:31] - buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 513:25] - node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 514:37] - node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 514:98] - node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 514:80] - node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 514:65] - node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 514:112] - buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] + node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 514:31] + buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 515:37] + node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 515:98] + node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 515:80] + node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 515:65] + node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 515:112] + buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 515:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3997 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_3997 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 517:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 520:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 521:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 518:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 521:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 522:25] skip @[Conditional.scala 39:67] - node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 524:108] + node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:108] reg _T_3999 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3998 : @[Reg.scala 28:19] _T_3999 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 524:18] - reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 525:60] - _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 525:60] - buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 525:17] - reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:63] - _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 526:63] - buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 526:20] - node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:109] + buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 525:18] + reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:60] + _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 526:60] + buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 526:17] + reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 527:63] + _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 527:63] + buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 527:20] + node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:109] reg _T_4003 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4002 : @[Reg.scala 28:19] _T_4003 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 527:20] - node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:74] - node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:107] + buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 528:20] + node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:74] + node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:107] reg _T_4006 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4005 : @[Reg.scala 28:19] _T_4006 <= _T_4004 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 528:17] - node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:78] - node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 529:17] + node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 530:78] + node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:111] reg _T_4009 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4008 : @[Reg.scala 28:19] _T_4009 <= _T_4007 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 529:19] - node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 530:80] - node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:113] + buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 530:19] + node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 531:80] + node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:113] reg _T_4012 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4011 : @[Reg.scala 28:19] _T_4012 <= _T_4010 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 530:20] - node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 531:78] - node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:111] + buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 531:20] + node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 532:78] + node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:111] reg _T_4015 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4014 : @[Reg.scala 28:19] _T_4015 <= _T_4013 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 531:19] + buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 532:19] node _T_4016 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4016 : @[Conditional.scala 40:58] - node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 468:56] - node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:31] - buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 468:25] - node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 469:45] - node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:77] - node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:97] - node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 469:95] - node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 469:117] - node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 469:112] - node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:144] - node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 469:166] - node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 469:161] - node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 469:132] - node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 469:63] - node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:206] - node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 469:201] - node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 469:183] - buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 469:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 470:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 471:24] - node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 472:52] - node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 472:47] - node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 472:73] - node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 472:90] - node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 472:114] - node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 472:30] - buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 472:24] + node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 469:56] + node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:31] + buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 469:25] + node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 470:45] + node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:77] + node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:97] + node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 470:95] + node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 470:117] + node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 470:112] + node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:144] + node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 470:166] + node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 470:161] + node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 470:132] + node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 470:63] + node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:206] + node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 470:201] + node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 470:183] + buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 470:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 471:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 472:24] + node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 473:52] + node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 473:47] + node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 473:73] + node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 473:90] + node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 473:114] + node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 473:30] + buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 473:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4039 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4039 : @[Conditional.scala 39:67] - node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 475:60] - node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 475:31] - buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 475:25] - node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 476:46] - buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 476:25] + node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] + node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 476:31] + buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 476:25] + node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:46] + buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 477:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4043 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4043 : @[Conditional.scala 39:67] - node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 479:60] - node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 479:89] - node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 479:124] - node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 479:104] - node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 479:75] - node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 479:31] - buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 479:25] - node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:48] - node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:104] - node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 480:91] - node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 480:77] - node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 480:135] - node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 480:148] - buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 480:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 481:29] - node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:49] - node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:70] - buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 482:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 483:25] - node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 484:56] - node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:46] - node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 484:44] - node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:60] - node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:76] - node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 484:74] - buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 484:25] - node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 485:46] - buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 485:28] - node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 486:47] - node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 486:67] - node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 486:81] - buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 486:24] - node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:48] - node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:68] - node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 487:82] - buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 487:25] - node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:61] - node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 488:85] - node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 488:103] - node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:126] - node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 488:73] - node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 488:30] - buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 488:24] + node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 480:60] + node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 480:89] + node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 480:124] + node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 480:104] + node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:75] + node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 480:31] + buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 480:25] + node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 481:48] + node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 481:104] + node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 481:91] + node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 481:77] + node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 481:135] + node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 481:148] + buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 481:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 482:29] + node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:49] + node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 483:70] + buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 483:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 484:25] + node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 485:56] + node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:46] + node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 485:44] + node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:60] + node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:76] + node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 485:74] + buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 485:25] + node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 486:46] + buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 486:28] + node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:47] + node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:67] + node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 487:81] + buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 487:24] + node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:48] + node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 488:68] + node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 488:82] + buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 488:25] + node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:61] + node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 489:85] + node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 489:103] + node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:126] + node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 489:73] + node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 489:30] + buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 489:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4077 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 491:67] - node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 491:94] - node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:73] - node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 491:71] - node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 491:55] - node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 491:125] - node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:30] - node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 492:28] - node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 492:57] - node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:47] - node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 492:45] - node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 492:90] - node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 492:61] - node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 493:27] - node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:31] - node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:70] - node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 493:68] - node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 493:97] - node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:87] - node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 493:85] - node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] + node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 492:67] + node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 492:94] + node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:73] + node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 492:71] + node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 492:55] + node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 492:125] + node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:30] + node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 493:28] + node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 493:57] + node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:47] + node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 493:45] + node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:90] + node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 493:61] + node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 494:27] + node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:31] + node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:70] + node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 494:68] + node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 494:97] + node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:87] + node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 494:85] + node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] node _T_4106 = mux(_T_4098, _T_4099, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4107 = mux(_T_4100, _T_4101, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4108 = mux(_T_4102, _T_4103, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5313,172 +5313,172 @@ circuit el2_lsu_bus_buffer : node _T_4112 = or(_T_4111, _T_4109) @[Mux.scala 27:72] wire _T_4113 : UInt<1> @[Mux.scala 27:72] _T_4113 <= _T_4112 @[Mux.scala 27:72] - node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 493:101] - node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:167] - node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 493:138] - node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:187] - node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 493:53] - node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 493:16] - node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 492:14] - node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 491:31] - buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 491:25] - node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 494:73] - node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 494:52] - node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 495:46] - node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 496:23] - node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 496:47] - node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 496:27] - node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 495:77] - node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 497:26] - node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 497:54] - node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 497:44] - node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 497:42] - node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 497:58] - node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 497:94] - node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 497:74] - node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 496:71] - node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 495:25] - node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 494:105] - buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 494:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 498:29] - node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:49] - node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 499:70] - buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 499:25] - node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 500:47] - node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:62] - buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 500:24] - node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:48] - node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 501:111] - node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 501:91] - node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 502:42] - node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 502:31] - node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 502:66] - node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 502:46] - node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 501:143] - node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 503:32] - node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 503:74] - node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 503:53] - node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 502:88] - node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 501:68] - buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 501:25] - node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:50] - node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 504:48] - node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 504:84] - node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 504:102] - node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:125] - node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 504:72] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:148] - node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 504:30] - buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 504:24] + node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 494:101] + node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 494:167] + node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 494:138] + node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:187] + node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 494:53] + node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 494:16] + node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 493:14] + node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 492:31] + buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 492:25] + node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 495:73] + node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 495:52] + node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 496:46] + node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 497:23] + node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 497:47] + node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 497:27] + node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 496:77] + node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 498:26] + node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 498:54] + node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 498:44] + node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 498:42] + node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 498:58] + node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 498:94] + node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 498:74] + node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 497:71] + node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 496:25] + node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 495:105] + buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 495:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 499:29] + node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] + node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] + buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 500:25] + node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 501:47] + node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:62] + buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 501:24] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:48] + node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 502:111] + node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 502:91] + node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 503:42] + node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 503:31] + node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 503:66] + node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 503:46] + node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 502:143] + node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 504:32] + node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:74] + node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 504:53] + node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 503:88] + node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 502:68] + buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 502:25] + node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:50] + node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 505:48] + node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 505:84] + node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 505:102] + node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:125] + node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 505:72] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:148] + node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 505:30] + buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 505:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4164 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4164 : @[Conditional.scala 39:67] - node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] - node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 507:86] - node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 507:101] - node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 507:101] - node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 507:90] - node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 507:118] - node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 507:75] - node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 507:31] - buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 507:25] - node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 508:66] - node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 509:21] - node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 509:21] - node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 509:58] - node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 509:38] - node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 508:95] - node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 508:45] - buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 508:29] - node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] - node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] - buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 510:25] + node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] + node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 508:86] + node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 508:101] + node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 508:101] + node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 508:90] + node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 508:118] + node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:75] + node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 508:31] + buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 508:25] + node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 509:66] + node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 510:21] + node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 510:21] + node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 510:58] + node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 510:38] + node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 509:95] + node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 509:45] + buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 509:29] + node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:49] + node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:70] + buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4182 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4182 : @[Conditional.scala 39:67] - node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] - node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:31] - buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 513:25] - node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 514:37] - node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 514:98] - node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 514:80] - node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 514:65] - node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 514:112] - buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 514:25] + node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] + node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 514:31] + buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 514:25] + node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 515:37] + node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 515:98] + node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 515:80] + node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 515:65] + node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 515:112] + buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 515:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4190 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4190 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 517:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 520:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 521:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 518:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 521:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 522:25] skip @[Conditional.scala 39:67] - node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 524:108] + node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:108] reg _T_4192 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4191 : @[Reg.scala 28:19] _T_4192 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 524:18] - reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 525:60] - _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 525:60] - buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 525:17] - reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:63] - _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 526:63] - buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 526:20] - node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:109] + buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 525:18] + reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:60] + _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 526:60] + buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 526:17] + reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 527:63] + _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 527:63] + buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 527:20] + node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:109] reg _T_4196 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4195 : @[Reg.scala 28:19] _T_4196 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 527:20] - node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:74] - node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:107] + buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 528:20] + node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:74] + node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:107] reg _T_4199 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4198 : @[Reg.scala 28:19] _T_4199 <= _T_4197 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 528:17] - node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:78] - node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 529:17] + node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 530:78] + node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:111] reg _T_4202 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4201 : @[Reg.scala 28:19] _T_4202 <= _T_4200 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 529:19] - node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 530:80] - node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:113] + buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 530:19] + node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 531:80] + node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:113] reg _T_4205 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4204 : @[Reg.scala 28:19] _T_4205 <= _T_4203 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 530:20] - node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 531:78] - node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:111] + buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 531:20] + node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 532:78] + node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:111] reg _T_4208 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4207 : @[Reg.scala 28:19] _T_4208 <= _T_4206 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 531:19] - node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 534:133] + buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 532:19] + node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:133] reg _T_4210 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4209 : @[Reg.scala 28:19] _T_4210 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 534:133] + node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:133] reg _T_4212 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4211 : @[Reg.scala 28:19] _T_4212 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 534:133] + node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:133] reg _T_4214 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4213 : @[Reg.scala 28:19] _T_4214 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 534:133] + node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:133] reg _T_4216 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4215 : @[Reg.scala 28:19] _T_4216 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5486,51 +5486,51 @@ circuit el2_lsu_bus_buffer : node _T_4217 = cat(_T_4216, _T_4214) @[Cat.scala 29:58] node _T_4218 = cat(_T_4217, _T_4212) @[Cat.scala 29:58] node _T_4219 = cat(_T_4218, _T_4210) @[Cat.scala 29:58] - buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 534:15] - node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:134] + buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 535:15] + node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:134] reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4220 : @[Reg.scala 28:19] _T_4221 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:134] + node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:134] reg _T_4223 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4222 : @[Reg.scala 28:19] _T_4223 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:134] + node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:134] reg _T_4225 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4224 : @[Reg.scala 28:19] _T_4225 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:134] + node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:134] reg _T_4227 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4226 : @[Reg.scala 28:19] _T_4227 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 535:18] - buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 535:18] - buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 535:18] - buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 535:18] - node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 536:107] - node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:140] + buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 536:18] + buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 536:18] + buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 536:18] + buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 536:18] + node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 537:107] + node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:140] reg _T_4230 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4229 : @[Reg.scala 28:19] _T_4230 <= _T_4228 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 536:107] - node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:140] + node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 537:107] + node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:140] reg _T_4233 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4232 : @[Reg.scala 28:19] _T_4233 <= _T_4231 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 536:107] - node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:140] + node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 537:107] + node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:140] reg _T_4236 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4235 : @[Reg.scala 28:19] _T_4236 <= _T_4234 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 536:107] - node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:140] + node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 537:107] + node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:140] reg _T_4239 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4238 : @[Reg.scala 28:19] _T_4239 <= _T_4237 @[Reg.scala 28:23] @@ -5538,27 +5538,27 @@ circuit el2_lsu_bus_buffer : node _T_4240 = cat(_T_4239, _T_4236) @[Cat.scala 29:58] node _T_4241 = cat(_T_4240, _T_4233) @[Cat.scala 29:58] node _T_4242 = cat(_T_4241, _T_4230) @[Cat.scala 29:58] - buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 536:20] - node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 537:99] - node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:132] + buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 537:20] + node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 538:99] + node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 538:132] reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4244 : @[Reg.scala 28:19] _T_4245 <= _T_4243 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 537:99] - node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:132] + node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 538:99] + node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 538:132] reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4247 : @[Reg.scala 28:19] _T_4248 <= _T_4246 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 537:99] - node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:132] + node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 538:99] + node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 538:132] reg _T_4251 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4250 : @[Reg.scala 28:19] _T_4251 <= _T_4249 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 537:99] - node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:132] + node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 538:99] + node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 538:132] reg _T_4254 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4253 : @[Reg.scala 28:19] _T_4254 <= _T_4252 @[Reg.scala 28:23] @@ -5566,27 +5566,27 @@ circuit el2_lsu_bus_buffer : node _T_4255 = cat(_T_4254, _T_4251) @[Cat.scala 29:58] node _T_4256 = cat(_T_4255, _T_4248) @[Cat.scala 29:58] node _T_4257 = cat(_T_4256, _T_4245) @[Cat.scala 29:58] - buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 537:16] - node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 538:97] - node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 538:130] + buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 538:16] + node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 539:97] + node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 539:130] reg _T_4260 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4259 : @[Reg.scala 28:19] _T_4260 <= _T_4258 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 538:97] - node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 538:130] + node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 539:97] + node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 539:130] reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4262 : @[Reg.scala 28:19] _T_4263 <= _T_4261 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 538:97] - node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 538:130] + node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 539:97] + node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 539:130] reg _T_4266 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4265 : @[Reg.scala 28:19] _T_4266 <= _T_4264 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 538:97] - node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 538:130] + node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 539:97] + node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 539:130] reg _T_4269 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4268 : @[Reg.scala 28:19] _T_4269 <= _T_4267 @[Reg.scala 28:23] @@ -5594,32 +5594,32 @@ circuit el2_lsu_bus_buffer : node _T_4270 = cat(_T_4269, _T_4266) @[Cat.scala 29:58] node _T_4271 = cat(_T_4270, _T_4263) @[Cat.scala 29:58] node _T_4272 = cat(_T_4271, _T_4260) @[Cat.scala 29:58] - buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 538:15] - node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 539:119] + buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 539:15] + node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 540:119] reg _T_4274 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4273 : @[Reg.scala 28:19] _T_4274 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 539:119] + node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 540:119] reg _T_4276 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4275 : @[Reg.scala 28:19] _T_4276 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 539:119] + node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 540:119] reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 539:119] + node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 540:119] reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4279 : @[Reg.scala 28:19] _T_4280 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 539:12] - buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 539:12] - buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 539:12] - buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 539:12] - node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 540:82] + buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 540:12] + buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 540:12] + buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 540:12] + buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 540:12] + node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 541:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5628,7 +5628,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4282 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4282 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 540:82] + node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 541:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5637,7 +5637,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4284 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4284 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 540:82] + node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 541:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5646,7 +5646,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4286 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4286 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 540:82] + node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 541:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5655,34 +5655,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4288 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4288 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 540:14] - buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 540:14] - buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 540:14] - buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 540:14] - node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 541:127] + buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 541:14] + buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 541:14] + buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 541:14] + buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 541:14] + node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 542:127] reg _T_4290 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4289 : @[Reg.scala 28:19] _T_4290 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 541:127] + node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 542:127] reg _T_4292 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4291 : @[Reg.scala 28:19] _T_4292 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 541:127] + node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 542:127] reg _T_4294 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4293 : @[Reg.scala 28:19] _T_4294 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 541:127] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 542:127] reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 541:16] - buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 541:16] - buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 541:16] - buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 541:16] + buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 542:16] + buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 542:16] + buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 542:16] + buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 542:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5715,705 +5715,709 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4300 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4300 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 542:14] - buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 542:14] - buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 542:14] - buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 542:14] - node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 543:121] - node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 543:86] - node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:128] - node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 543:126] - reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 543:82] - _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 543:82] - node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 543:121] - node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 543:86] - node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:128] - node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 543:126] - reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 543:82] - _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 543:82] - node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 543:121] - node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 543:86] - node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:128] - node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 543:126] - reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 543:82] - _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 543:82] - node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 543:121] - node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 543:86] - node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:128] - node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 543:126] - reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 543:82] - _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 543:82] + buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 543:14] + buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 543:14] + buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 543:14] + buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 543:14] + node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 544:121] + node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 544:86] + node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:128] + node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 544:126] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 544:82] + _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 544:82] + node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 544:121] + node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 544:86] + node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:128] + node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 544:126] + reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 544:82] + _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 544:82] + node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 544:121] + node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 544:86] + node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:128] + node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 544:126] + reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 544:82] + _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 544:82] + node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 544:121] + node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 544:86] + node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:128] + node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 544:126] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 544:82] + _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 544:82] node _T_4321 = cat(_T_4320, _T_4315) @[Cat.scala 29:58] node _T_4322 = cat(_T_4321, _T_4310) @[Cat.scala 29:58] node _T_4323 = cat(_T_4322, _T_4305) @[Cat.scala 29:58] - buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 543:15] - node _T_4324 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 545:60] - node _T_4325 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 545:60] - node _T_4326 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 545:60] - node _T_4327 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 545:60] - node _T_4328 = add(_T_4327, _T_4326) @[el2_lsu_bus_buffer.scala 545:96] - node _T_4329 = add(_T_4328, _T_4325) @[el2_lsu_bus_buffer.scala 545:96] - node buf_numvld_any = add(_T_4329, _T_4324) @[el2_lsu_bus_buffer.scala 545:96] - node _T_4330 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 546:60] - node _T_4331 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:79] - node _T_4332 = and(_T_4330, _T_4331) @[el2_lsu_bus_buffer.scala 546:64] - node _T_4333 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:91] - node _T_4334 = and(_T_4332, _T_4333) @[el2_lsu_bus_buffer.scala 546:89] - node _T_4335 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 546:60] - node _T_4336 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:79] - node _T_4337 = and(_T_4335, _T_4336) @[el2_lsu_bus_buffer.scala 546:64] - node _T_4338 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:91] - node _T_4339 = and(_T_4337, _T_4338) @[el2_lsu_bus_buffer.scala 546:89] - node _T_4340 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 546:60] - node _T_4341 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:79] - node _T_4342 = and(_T_4340, _T_4341) @[el2_lsu_bus_buffer.scala 546:64] - node _T_4343 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:91] - node _T_4344 = and(_T_4342, _T_4343) @[el2_lsu_bus_buffer.scala 546:89] - node _T_4345 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 546:60] - node _T_4346 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:79] - node _T_4347 = and(_T_4345, _T_4346) @[el2_lsu_bus_buffer.scala 546:64] - node _T_4348 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:91] - node _T_4349 = and(_T_4347, _T_4348) @[el2_lsu_bus_buffer.scala 546:89] - node _T_4350 = add(_T_4349, _T_4344) @[el2_lsu_bus_buffer.scala 546:142] - node _T_4351 = add(_T_4350, _T_4339) @[el2_lsu_bus_buffer.scala 546:142] - node _T_4352 = add(_T_4351, _T_4334) @[el2_lsu_bus_buffer.scala 546:142] - buf_numvld_wrcmd_any <= _T_4352 @[el2_lsu_bus_buffer.scala 546:24] - node _T_4353 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:63] - node _T_4354 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:75] - node _T_4355 = and(_T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 547:73] - node _T_4356 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:63] - node _T_4357 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:75] - node _T_4358 = and(_T_4356, _T_4357) @[el2_lsu_bus_buffer.scala 547:73] - node _T_4359 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:63] - node _T_4360 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:75] - node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 547:73] - node _T_4362 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:63] - node _T_4363 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:75] - node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 547:73] - node _T_4365 = add(_T_4364, _T_4361) @[el2_lsu_bus_buffer.scala 547:126] - node _T_4366 = add(_T_4365, _T_4358) @[el2_lsu_bus_buffer.scala 547:126] - node _T_4367 = add(_T_4366, _T_4355) @[el2_lsu_bus_buffer.scala 547:126] - buf_numvld_cmd_any <= _T_4367 @[el2_lsu_bus_buffer.scala 547:22] - node _T_4368 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 548:63] - node _T_4369 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:90] - node _T_4370 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:102] - node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 548:100] - node _T_4372 = or(_T_4368, _T_4371) @[el2_lsu_bus_buffer.scala 548:74] - node _T_4373 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 548:63] - node _T_4374 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:90] - node _T_4375 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:102] - node _T_4376 = and(_T_4374, _T_4375) @[el2_lsu_bus_buffer.scala 548:100] - node _T_4377 = or(_T_4373, _T_4376) @[el2_lsu_bus_buffer.scala 548:74] - node _T_4378 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 548:63] - node _T_4379 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:90] - node _T_4380 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:102] - node _T_4381 = and(_T_4379, _T_4380) @[el2_lsu_bus_buffer.scala 548:100] - node _T_4382 = or(_T_4378, _T_4381) @[el2_lsu_bus_buffer.scala 548:74] - node _T_4383 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 548:63] - node _T_4384 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:90] - node _T_4385 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:102] - node _T_4386 = and(_T_4384, _T_4385) @[el2_lsu_bus_buffer.scala 548:100] - node _T_4387 = or(_T_4383, _T_4386) @[el2_lsu_bus_buffer.scala 548:74] - node _T_4388 = add(_T_4387, _T_4382) @[el2_lsu_bus_buffer.scala 548:154] - node _T_4389 = add(_T_4388, _T_4377) @[el2_lsu_bus_buffer.scala 548:154] - node _T_4390 = add(_T_4389, _T_4372) @[el2_lsu_bus_buffer.scala 548:154] - buf_numvld_pend_any <= _T_4390 @[el2_lsu_bus_buffer.scala 548:23] - node _T_4391 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 549:61] - node _T_4392 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 549:61] - node _T_4393 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 549:61] - node _T_4394 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 549:61] - node _T_4395 = or(_T_4394, _T_4393) @[el2_lsu_bus_buffer.scala 549:93] - node _T_4396 = or(_T_4395, _T_4392) @[el2_lsu_bus_buffer.scala 549:93] - node _T_4397 = or(_T_4396, _T_4391) @[el2_lsu_bus_buffer.scala 549:93] - any_done_wait_state <= _T_4397 @[el2_lsu_bus_buffer.scala 549:23] - node _T_4398 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 550:53] - io.lsu_bus_buffer_pend_any <= _T_4398 @[el2_lsu_bus_buffer.scala 550:30] - node _T_4399 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 551:52] - node _T_4400 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 551:92] - node _T_4401 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 551:119] - node _T_4402 = mux(_T_4399, _T_4400, _T_4401) @[el2_lsu_bus_buffer.scala 551:36] - io.lsu_bus_buffer_full_any <= _T_4402 @[el2_lsu_bus_buffer.scala 551:30] - node _T_4403 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 552:52] - node _T_4404 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 552:52] - node _T_4405 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 552:52] - node _T_4406 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 552:52] - node _T_4407 = or(_T_4403, _T_4404) @[el2_lsu_bus_buffer.scala 552:65] - node _T_4408 = or(_T_4407, _T_4405) @[el2_lsu_bus_buffer.scala 552:65] - node _T_4409 = or(_T_4408, _T_4406) @[el2_lsu_bus_buffer.scala 552:65] - node _T_4410 = eq(_T_4409, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:34] - node _T_4411 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:72] - node _T_4412 = and(_T_4410, _T_4411) @[el2_lsu_bus_buffer.scala 552:70] - node _T_4413 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:86] - node _T_4414 = and(_T_4412, _T_4413) @[el2_lsu_bus_buffer.scala 552:84] - io.lsu_bus_buffer_empty_any <= _T_4414 @[el2_lsu_bus_buffer.scala 552:31] - node _T_4415 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 554:51] - node _T_4416 = and(_T_4415, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 554:72] - node _T_4417 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:94] - node _T_4418 = and(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 554:92] - node _T_4419 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:111] - node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 554:109] - io.lsu_nonblock_load_valid_m <= _T_4420 @[el2_lsu_bus_buffer.scala 554:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 555:30] + buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 544:15] + node _T_4324 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] + node _T_4325 = cat(_T_4324, buf_data_en[1]) @[Cat.scala 29:58] + node _T_4326 = cat(_T_4325, buf_data_en[0]) @[Cat.scala 29:58] + io.data_en <= _T_4326 @[el2_lsu_bus_buffer.scala 545:14] + node _T_4327 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 546:60] + node _T_4328 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 546:60] + node _T_4329 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 546:60] + node _T_4330 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 546:60] + node _T_4331 = add(_T_4330, _T_4329) @[el2_lsu_bus_buffer.scala 546:96] + node _T_4332 = add(_T_4331, _T_4328) @[el2_lsu_bus_buffer.scala 546:96] + node buf_numvld_any = add(_T_4332, _T_4327) @[el2_lsu_bus_buffer.scala 546:96] + node _T_4333 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] + node _T_4334 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:79] + node _T_4335 = and(_T_4333, _T_4334) @[el2_lsu_bus_buffer.scala 547:64] + node _T_4336 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:91] + node _T_4337 = and(_T_4335, _T_4336) @[el2_lsu_bus_buffer.scala 547:89] + node _T_4338 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 547:60] + node _T_4339 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:79] + node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 547:64] + node _T_4341 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:91] + node _T_4342 = and(_T_4340, _T_4341) @[el2_lsu_bus_buffer.scala 547:89] + node _T_4343 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 547:60] + node _T_4344 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:79] + node _T_4345 = and(_T_4343, _T_4344) @[el2_lsu_bus_buffer.scala 547:64] + node _T_4346 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:91] + node _T_4347 = and(_T_4345, _T_4346) @[el2_lsu_bus_buffer.scala 547:89] + node _T_4348 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 547:60] + node _T_4349 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:79] + node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 547:64] + node _T_4351 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:91] + node _T_4352 = and(_T_4350, _T_4351) @[el2_lsu_bus_buffer.scala 547:89] + node _T_4353 = add(_T_4352, _T_4347) @[el2_lsu_bus_buffer.scala 547:142] + node _T_4354 = add(_T_4353, _T_4342) @[el2_lsu_bus_buffer.scala 547:142] + node _T_4355 = add(_T_4354, _T_4337) @[el2_lsu_bus_buffer.scala 547:142] + buf_numvld_wrcmd_any <= _T_4355 @[el2_lsu_bus_buffer.scala 547:24] + node _T_4356 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:63] + node _T_4357 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:75] + node _T_4358 = and(_T_4356, _T_4357) @[el2_lsu_bus_buffer.scala 548:73] + node _T_4359 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:63] + node _T_4360 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:75] + node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 548:73] + node _T_4362 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:63] + node _T_4363 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:75] + node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 548:73] + node _T_4365 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:63] + node _T_4366 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:75] + node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 548:73] + node _T_4368 = add(_T_4367, _T_4364) @[el2_lsu_bus_buffer.scala 548:126] + node _T_4369 = add(_T_4368, _T_4361) @[el2_lsu_bus_buffer.scala 548:126] + node _T_4370 = add(_T_4369, _T_4358) @[el2_lsu_bus_buffer.scala 548:126] + buf_numvld_cmd_any <= _T_4370 @[el2_lsu_bus_buffer.scala 548:22] + node _T_4371 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 549:63] + node _T_4372 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:90] + node _T_4373 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:102] + node _T_4374 = and(_T_4372, _T_4373) @[el2_lsu_bus_buffer.scala 549:100] + node _T_4375 = or(_T_4371, _T_4374) @[el2_lsu_bus_buffer.scala 549:74] + node _T_4376 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 549:63] + node _T_4377 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:90] + node _T_4378 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:102] + node _T_4379 = and(_T_4377, _T_4378) @[el2_lsu_bus_buffer.scala 549:100] + node _T_4380 = or(_T_4376, _T_4379) @[el2_lsu_bus_buffer.scala 549:74] + node _T_4381 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 549:63] + node _T_4382 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:90] + node _T_4383 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:102] + node _T_4384 = and(_T_4382, _T_4383) @[el2_lsu_bus_buffer.scala 549:100] + node _T_4385 = or(_T_4381, _T_4384) @[el2_lsu_bus_buffer.scala 549:74] + node _T_4386 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 549:63] + node _T_4387 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:90] + node _T_4388 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:102] + node _T_4389 = and(_T_4387, _T_4388) @[el2_lsu_bus_buffer.scala 549:100] + node _T_4390 = or(_T_4386, _T_4389) @[el2_lsu_bus_buffer.scala 549:74] + node _T_4391 = add(_T_4390, _T_4385) @[el2_lsu_bus_buffer.scala 549:154] + node _T_4392 = add(_T_4391, _T_4380) @[el2_lsu_bus_buffer.scala 549:154] + node _T_4393 = add(_T_4392, _T_4375) @[el2_lsu_bus_buffer.scala 549:154] + buf_numvld_pend_any <= _T_4393 @[el2_lsu_bus_buffer.scala 549:23] + node _T_4394 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 550:61] + node _T_4395 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 550:61] + node _T_4396 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 550:61] + node _T_4397 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 550:61] + node _T_4398 = or(_T_4397, _T_4396) @[el2_lsu_bus_buffer.scala 550:93] + node _T_4399 = or(_T_4398, _T_4395) @[el2_lsu_bus_buffer.scala 550:93] + node _T_4400 = or(_T_4399, _T_4394) @[el2_lsu_bus_buffer.scala 550:93] + any_done_wait_state <= _T_4400 @[el2_lsu_bus_buffer.scala 550:23] + node _T_4401 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 551:53] + io.lsu_bus_buffer_pend_any <= _T_4401 @[el2_lsu_bus_buffer.scala 551:30] + node _T_4402 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 552:52] + node _T_4403 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 552:92] + node _T_4404 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 552:119] + node _T_4405 = mux(_T_4402, _T_4403, _T_4404) @[el2_lsu_bus_buffer.scala 552:36] + io.lsu_bus_buffer_full_any <= _T_4405 @[el2_lsu_bus_buffer.scala 552:30] + node _T_4406 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 553:52] + node _T_4407 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 553:52] + node _T_4408 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 553:52] + node _T_4409 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 553:52] + node _T_4410 = or(_T_4406, _T_4407) @[el2_lsu_bus_buffer.scala 553:65] + node _T_4411 = or(_T_4410, _T_4408) @[el2_lsu_bus_buffer.scala 553:65] + node _T_4412 = or(_T_4411, _T_4409) @[el2_lsu_bus_buffer.scala 553:65] + node _T_4413 = eq(_T_4412, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:34] + node _T_4414 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:72] + node _T_4415 = and(_T_4413, _T_4414) @[el2_lsu_bus_buffer.scala 553:70] + node _T_4416 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:86] + node _T_4417 = and(_T_4415, _T_4416) @[el2_lsu_bus_buffer.scala 553:84] + io.lsu_bus_buffer_empty_any <= _T_4417 @[el2_lsu_bus_buffer.scala 553:31] + node _T_4418 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 555:51] + node _T_4419 = and(_T_4418, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 555:72] + node _T_4420 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:94] + node _T_4421 = and(_T_4419, _T_4420) @[el2_lsu_bus_buffer.scala 555:92] + node _T_4422 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:111] + node _T_4423 = and(_T_4421, _T_4422) @[el2_lsu_bus_buffer.scala 555:109] + io.lsu_nonblock_load_valid_m <= _T_4423 @[el2_lsu_bus_buffer.scala 555:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 556:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4421 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:61] - node _T_4422 = and(lsu_nonblock_load_valid_r, _T_4421) @[el2_lsu_bus_buffer.scala 557:59] - io.lsu_nonblock_load_inv_r <= _T_4422 @[el2_lsu_bus_buffer.scala 557:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 558:34] - node _T_4423 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:80] - node _T_4424 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 559:127] - node _T_4425 = and(UInt<1>("h01"), _T_4424) @[el2_lsu_bus_buffer.scala 559:116] - node _T_4426 = eq(_T_4425, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:95] - node _T_4427 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:80] - node _T_4428 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 559:127] - node _T_4429 = and(UInt<1>("h01"), _T_4428) @[el2_lsu_bus_buffer.scala 559:116] - node _T_4430 = eq(_T_4429, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:95] - node _T_4431 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:80] - node _T_4432 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 559:127] - node _T_4433 = and(UInt<1>("h01"), _T_4432) @[el2_lsu_bus_buffer.scala 559:116] - node _T_4434 = eq(_T_4433, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:95] - node _T_4435 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:80] - node _T_4436 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 559:127] - node _T_4437 = and(UInt<1>("h01"), _T_4436) @[el2_lsu_bus_buffer.scala 559:116] - node _T_4438 = eq(_T_4437, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:95] - node _T_4439 = mux(_T_4423, _T_4426, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4440 = mux(_T_4427, _T_4430, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4441 = mux(_T_4431, _T_4434, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4442 = mux(_T_4435, _T_4438, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4443 = or(_T_4439, _T_4440) @[Mux.scala 27:72] - node _T_4444 = or(_T_4443, _T_4441) @[Mux.scala 27:72] - node _T_4445 = or(_T_4444, _T_4442) @[Mux.scala 27:72] + node _T_4424 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:61] + node _T_4425 = and(lsu_nonblock_load_valid_r, _T_4424) @[el2_lsu_bus_buffer.scala 558:59] + io.lsu_nonblock_load_inv_r <= _T_4425 @[el2_lsu_bus_buffer.scala 558:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 559:34] + node _T_4426 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] + node _T_4427 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 560:127] + node _T_4428 = and(UInt<1>("h01"), _T_4427) @[el2_lsu_bus_buffer.scala 560:116] + node _T_4429 = eq(_T_4428, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:95] + node _T_4430 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] + node _T_4431 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 560:127] + node _T_4432 = and(UInt<1>("h01"), _T_4431) @[el2_lsu_bus_buffer.scala 560:116] + node _T_4433 = eq(_T_4432, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:95] + node _T_4434 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] + node _T_4435 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 560:127] + node _T_4436 = and(UInt<1>("h01"), _T_4435) @[el2_lsu_bus_buffer.scala 560:116] + node _T_4437 = eq(_T_4436, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:95] + node _T_4438 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] + node _T_4439 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 560:127] + node _T_4440 = and(UInt<1>("h01"), _T_4439) @[el2_lsu_bus_buffer.scala 560:116] + node _T_4441 = eq(_T_4440, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:95] + node _T_4442 = mux(_T_4426, _T_4429, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4443 = mux(_T_4430, _T_4433, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4444 = mux(_T_4434, _T_4437, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4445 = mux(_T_4438, _T_4441, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4446 = or(_T_4442, _T_4443) @[Mux.scala 27:72] + node _T_4447 = or(_T_4446, _T_4444) @[Mux.scala 27:72] + node _T_4448 = or(_T_4447, _T_4445) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4445 @[Mux.scala 27:72] - node _T_4446 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] - node _T_4447 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 560:104] - node _T_4448 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 560:120] - node _T_4449 = eq(_T_4448, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:110] - node _T_4450 = and(_T_4447, _T_4449) @[el2_lsu_bus_buffer.scala 560:108] - node _T_4451 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] - node _T_4452 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 560:104] - node _T_4453 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 560:120] - node _T_4454 = eq(_T_4453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:110] - node _T_4455 = and(_T_4452, _T_4454) @[el2_lsu_bus_buffer.scala 560:108] - node _T_4456 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] - node _T_4457 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 560:104] - node _T_4458 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 560:120] - node _T_4459 = eq(_T_4458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:110] - node _T_4460 = and(_T_4457, _T_4459) @[el2_lsu_bus_buffer.scala 560:108] - node _T_4461 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] - node _T_4462 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 560:104] - node _T_4463 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 560:120] - node _T_4464 = eq(_T_4463, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:110] - node _T_4465 = and(_T_4462, _T_4464) @[el2_lsu_bus_buffer.scala 560:108] - node _T_4466 = mux(_T_4446, _T_4450, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4467 = mux(_T_4451, _T_4455, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4468 = mux(_T_4456, _T_4460, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4469 = mux(_T_4461, _T_4465, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4470 = or(_T_4466, _T_4467) @[Mux.scala 27:72] - node _T_4471 = or(_T_4470, _T_4468) @[Mux.scala 27:72] - node _T_4472 = or(_T_4471, _T_4469) @[Mux.scala 27:72] - wire _T_4473 : UInt<1> @[Mux.scala 27:72] - _T_4473 <= _T_4472 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4473 @[el2_lsu_bus_buffer.scala 560:35] - node _T_4474 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:79] - node _T_4475 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 561:102] - node _T_4476 = eq(_T_4475, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:92] - node _T_4477 = and(_T_4474, _T_4476) @[el2_lsu_bus_buffer.scala 561:90] - node _T_4478 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:109] - node _T_4479 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:124] - node _T_4480 = or(_T_4478, _T_4479) @[el2_lsu_bus_buffer.scala 561:122] - node _T_4481 = and(_T_4477, _T_4480) @[el2_lsu_bus_buffer.scala 561:106] - node _T_4482 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:79] - node _T_4483 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 561:102] - node _T_4484 = eq(_T_4483, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:92] - node _T_4485 = and(_T_4482, _T_4484) @[el2_lsu_bus_buffer.scala 561:90] - node _T_4486 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:109] - node _T_4487 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:124] - node _T_4488 = or(_T_4486, _T_4487) @[el2_lsu_bus_buffer.scala 561:122] - node _T_4489 = and(_T_4485, _T_4488) @[el2_lsu_bus_buffer.scala 561:106] - node _T_4490 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:79] - node _T_4491 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 561:102] - node _T_4492 = eq(_T_4491, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:92] - node _T_4493 = and(_T_4490, _T_4492) @[el2_lsu_bus_buffer.scala 561:90] - node _T_4494 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:109] - node _T_4495 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:124] - node _T_4496 = or(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 561:122] - node _T_4497 = and(_T_4493, _T_4496) @[el2_lsu_bus_buffer.scala 561:106] - node _T_4498 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:79] - node _T_4499 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 561:102] - node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:92] - node _T_4501 = and(_T_4498, _T_4500) @[el2_lsu_bus_buffer.scala 561:90] - node _T_4502 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:109] - node _T_4503 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:124] - node _T_4504 = or(_T_4502, _T_4503) @[el2_lsu_bus_buffer.scala 561:122] - node _T_4505 = and(_T_4501, _T_4504) @[el2_lsu_bus_buffer.scala 561:106] - node _T_4506 = mux(_T_4481, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4507 = mux(_T_4489, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4508 = mux(_T_4497, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4509 = mux(_T_4505, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4510 = or(_T_4506, _T_4507) @[Mux.scala 27:72] - node _T_4511 = or(_T_4510, _T_4508) @[Mux.scala 27:72] - node _T_4512 = or(_T_4511, _T_4509) @[Mux.scala 27:72] - wire _T_4513 : UInt<2> @[Mux.scala 27:72] - _T_4513 <= _T_4512 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4513 @[el2_lsu_bus_buffer.scala 561:33] - node _T_4514 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:78] - node _T_4515 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 562:101] - node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:91] - node _T_4517 = and(_T_4514, _T_4516) @[el2_lsu_bus_buffer.scala 562:89] - node _T_4518 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:108] - node _T_4519 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:123] - node _T_4520 = or(_T_4518, _T_4519) @[el2_lsu_bus_buffer.scala 562:121] - node _T_4521 = and(_T_4517, _T_4520) @[el2_lsu_bus_buffer.scala 562:105] - node _T_4522 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:78] - node _T_4523 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 562:101] - node _T_4524 = eq(_T_4523, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:91] - node _T_4525 = and(_T_4522, _T_4524) @[el2_lsu_bus_buffer.scala 562:89] - node _T_4526 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:108] - node _T_4527 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:123] - node _T_4528 = or(_T_4526, _T_4527) @[el2_lsu_bus_buffer.scala 562:121] - node _T_4529 = and(_T_4525, _T_4528) @[el2_lsu_bus_buffer.scala 562:105] - node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:78] - node _T_4531 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 562:101] - node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:91] - node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 562:89] - node _T_4534 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:108] - node _T_4535 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:123] - node _T_4536 = or(_T_4534, _T_4535) @[el2_lsu_bus_buffer.scala 562:121] - node _T_4537 = and(_T_4533, _T_4536) @[el2_lsu_bus_buffer.scala 562:105] - node _T_4538 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:78] - node _T_4539 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 562:101] - node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:91] - node _T_4541 = and(_T_4538, _T_4540) @[el2_lsu_bus_buffer.scala 562:89] - node _T_4542 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:108] - node _T_4543 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:123] - node _T_4544 = or(_T_4542, _T_4543) @[el2_lsu_bus_buffer.scala 562:121] - node _T_4545 = and(_T_4541, _T_4544) @[el2_lsu_bus_buffer.scala 562:105] - node _T_4546 = mux(_T_4521, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4547 = mux(_T_4529, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4548 = mux(_T_4537, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4549 = mux(_T_4545, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4550 = or(_T_4546, _T_4547) @[Mux.scala 27:72] - node _T_4551 = or(_T_4550, _T_4548) @[Mux.scala 27:72] - node _T_4552 = or(_T_4551, _T_4549) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4448 @[Mux.scala 27:72] + node _T_4449 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] + node _T_4450 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 561:104] + node _T_4451 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4452 = eq(_T_4451, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:110] + node _T_4453 = and(_T_4450, _T_4452) @[el2_lsu_bus_buffer.scala 561:108] + node _T_4454 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] + node _T_4455 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 561:104] + node _T_4456 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4457 = eq(_T_4456, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:110] + node _T_4458 = and(_T_4455, _T_4457) @[el2_lsu_bus_buffer.scala 561:108] + node _T_4459 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] + node _T_4460 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 561:104] + node _T_4461 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4462 = eq(_T_4461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:110] + node _T_4463 = and(_T_4460, _T_4462) @[el2_lsu_bus_buffer.scala 561:108] + node _T_4464 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] + node _T_4465 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 561:104] + node _T_4466 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 561:120] + node _T_4467 = eq(_T_4466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:110] + node _T_4468 = and(_T_4465, _T_4467) @[el2_lsu_bus_buffer.scala 561:108] + node _T_4469 = mux(_T_4449, _T_4453, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4470 = mux(_T_4454, _T_4458, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4471 = mux(_T_4459, _T_4463, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4472 = mux(_T_4464, _T_4468, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4473 = or(_T_4469, _T_4470) @[Mux.scala 27:72] + node _T_4474 = or(_T_4473, _T_4471) @[Mux.scala 27:72] + node _T_4475 = or(_T_4474, _T_4472) @[Mux.scala 27:72] + wire _T_4476 : UInt<1> @[Mux.scala 27:72] + _T_4476 <= _T_4475 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4476 @[el2_lsu_bus_buffer.scala 561:35] + node _T_4477 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:79] + node _T_4478 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 562:102] + node _T_4479 = eq(_T_4478, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:92] + node _T_4480 = and(_T_4477, _T_4479) @[el2_lsu_bus_buffer.scala 562:90] + node _T_4481 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:109] + node _T_4482 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:124] + node _T_4483 = or(_T_4481, _T_4482) @[el2_lsu_bus_buffer.scala 562:122] + node _T_4484 = and(_T_4480, _T_4483) @[el2_lsu_bus_buffer.scala 562:106] + node _T_4485 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:79] + node _T_4486 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 562:102] + node _T_4487 = eq(_T_4486, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:92] + node _T_4488 = and(_T_4485, _T_4487) @[el2_lsu_bus_buffer.scala 562:90] + node _T_4489 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:109] + node _T_4490 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:124] + node _T_4491 = or(_T_4489, _T_4490) @[el2_lsu_bus_buffer.scala 562:122] + node _T_4492 = and(_T_4488, _T_4491) @[el2_lsu_bus_buffer.scala 562:106] + node _T_4493 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:79] + node _T_4494 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 562:102] + node _T_4495 = eq(_T_4494, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:92] + node _T_4496 = and(_T_4493, _T_4495) @[el2_lsu_bus_buffer.scala 562:90] + node _T_4497 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:109] + node _T_4498 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:124] + node _T_4499 = or(_T_4497, _T_4498) @[el2_lsu_bus_buffer.scala 562:122] + node _T_4500 = and(_T_4496, _T_4499) @[el2_lsu_bus_buffer.scala 562:106] + node _T_4501 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:79] + node _T_4502 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 562:102] + node _T_4503 = eq(_T_4502, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:92] + node _T_4504 = and(_T_4501, _T_4503) @[el2_lsu_bus_buffer.scala 562:90] + node _T_4505 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:109] + node _T_4506 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:124] + node _T_4507 = or(_T_4505, _T_4506) @[el2_lsu_bus_buffer.scala 562:122] + node _T_4508 = and(_T_4504, _T_4507) @[el2_lsu_bus_buffer.scala 562:106] + node _T_4509 = mux(_T_4484, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4510 = mux(_T_4492, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4511 = mux(_T_4500, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4512 = mux(_T_4508, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4513 = or(_T_4509, _T_4510) @[Mux.scala 27:72] + node _T_4514 = or(_T_4513, _T_4511) @[Mux.scala 27:72] + node _T_4515 = or(_T_4514, _T_4512) @[Mux.scala 27:72] + wire _T_4516 : UInt<2> @[Mux.scala 27:72] + _T_4516 <= _T_4515 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4516 @[el2_lsu_bus_buffer.scala 562:33] + node _T_4517 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] + node _T_4518 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 563:101] + node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] + node _T_4520 = and(_T_4517, _T_4519) @[el2_lsu_bus_buffer.scala 563:89] + node _T_4521 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:108] + node _T_4522 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:123] + node _T_4523 = or(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 563:121] + node _T_4524 = and(_T_4520, _T_4523) @[el2_lsu_bus_buffer.scala 563:105] + node _T_4525 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] + node _T_4526 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 563:101] + node _T_4527 = eq(_T_4526, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] + node _T_4528 = and(_T_4525, _T_4527) @[el2_lsu_bus_buffer.scala 563:89] + node _T_4529 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:108] + node _T_4530 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:123] + node _T_4531 = or(_T_4529, _T_4530) @[el2_lsu_bus_buffer.scala 563:121] + node _T_4532 = and(_T_4528, _T_4531) @[el2_lsu_bus_buffer.scala 563:105] + node _T_4533 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] + node _T_4534 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 563:101] + node _T_4535 = eq(_T_4534, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] + node _T_4536 = and(_T_4533, _T_4535) @[el2_lsu_bus_buffer.scala 563:89] + node _T_4537 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:108] + node _T_4538 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:123] + node _T_4539 = or(_T_4537, _T_4538) @[el2_lsu_bus_buffer.scala 563:121] + node _T_4540 = and(_T_4536, _T_4539) @[el2_lsu_bus_buffer.scala 563:105] + node _T_4541 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] + node _T_4542 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 563:101] + node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] + node _T_4544 = and(_T_4541, _T_4543) @[el2_lsu_bus_buffer.scala 563:89] + node _T_4545 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:108] + node _T_4546 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:123] + node _T_4547 = or(_T_4545, _T_4546) @[el2_lsu_bus_buffer.scala 563:121] + node _T_4548 = and(_T_4544, _T_4547) @[el2_lsu_bus_buffer.scala 563:105] + node _T_4549 = mux(_T_4524, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4550 = mux(_T_4532, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4551 = mux(_T_4540, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4552 = mux(_T_4548, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4553 = or(_T_4549, _T_4550) @[Mux.scala 27:72] + node _T_4554 = or(_T_4553, _T_4551) @[Mux.scala 27:72] + node _T_4555 = or(_T_4554, _T_4552) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4552 @[Mux.scala 27:72] - node _T_4553 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] - node _T_4554 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 563:101] - node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] - node _T_4556 = and(_T_4553, _T_4555) @[el2_lsu_bus_buffer.scala 563:89] - node _T_4557 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 563:120] - node _T_4558 = and(_T_4556, _T_4557) @[el2_lsu_bus_buffer.scala 563:105] - node _T_4559 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] - node _T_4560 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 563:101] - node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] - node _T_4562 = and(_T_4559, _T_4561) @[el2_lsu_bus_buffer.scala 563:89] - node _T_4563 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 563:120] - node _T_4564 = and(_T_4562, _T_4563) @[el2_lsu_bus_buffer.scala 563:105] - node _T_4565 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] - node _T_4566 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 563:101] - node _T_4567 = eq(_T_4566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] - node _T_4568 = and(_T_4565, _T_4567) @[el2_lsu_bus_buffer.scala 563:89] - node _T_4569 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 563:120] - node _T_4570 = and(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 563:105] - node _T_4571 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] - node _T_4572 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 563:101] - node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] - node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 563:89] - node _T_4575 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 563:120] - node _T_4576 = and(_T_4574, _T_4575) @[el2_lsu_bus_buffer.scala 563:105] - node _T_4577 = mux(_T_4558, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4578 = mux(_T_4564, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4579 = mux(_T_4570, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4580 = mux(_T_4576, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4581 = or(_T_4577, _T_4578) @[Mux.scala 27:72] - node _T_4582 = or(_T_4581, _T_4579) @[Mux.scala 27:72] - node _T_4583 = or(_T_4582, _T_4580) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4555 @[Mux.scala 27:72] + node _T_4556 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] + node _T_4557 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 564:101] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] + node _T_4559 = and(_T_4556, _T_4558) @[el2_lsu_bus_buffer.scala 564:89] + node _T_4560 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 564:120] + node _T_4561 = and(_T_4559, _T_4560) @[el2_lsu_bus_buffer.scala 564:105] + node _T_4562 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] + node _T_4563 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 564:101] + node _T_4564 = eq(_T_4563, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] + node _T_4565 = and(_T_4562, _T_4564) @[el2_lsu_bus_buffer.scala 564:89] + node _T_4566 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 564:120] + node _T_4567 = and(_T_4565, _T_4566) @[el2_lsu_bus_buffer.scala 564:105] + node _T_4568 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] + node _T_4569 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 564:101] + node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] + node _T_4571 = and(_T_4568, _T_4570) @[el2_lsu_bus_buffer.scala 564:89] + node _T_4572 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 564:120] + node _T_4573 = and(_T_4571, _T_4572) @[el2_lsu_bus_buffer.scala 564:105] + node _T_4574 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] + node _T_4575 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 564:101] + node _T_4576 = eq(_T_4575, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] + node _T_4577 = and(_T_4574, _T_4576) @[el2_lsu_bus_buffer.scala 564:89] + node _T_4578 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 564:120] + node _T_4579 = and(_T_4577, _T_4578) @[el2_lsu_bus_buffer.scala 564:105] + node _T_4580 = mux(_T_4561, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4581 = mux(_T_4567, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4582 = mux(_T_4573, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4583 = mux(_T_4579, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4584 = or(_T_4580, _T_4581) @[Mux.scala 27:72] + node _T_4585 = or(_T_4584, _T_4582) @[Mux.scala 27:72] + node _T_4586 = or(_T_4585, _T_4583) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4583 @[Mux.scala 27:72] - node _T_4584 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4585 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4586 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4587 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4588 = mux(_T_4584, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4589 = mux(_T_4585, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4590 = mux(_T_4586, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4591 = mux(_T_4587, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4592 = or(_T_4588, _T_4589) @[Mux.scala 27:72] - node _T_4593 = or(_T_4592, _T_4590) @[Mux.scala 27:72] - node _T_4594 = or(_T_4593, _T_4591) @[Mux.scala 27:72] - wire _T_4595 : UInt<32> @[Mux.scala 27:72] - _T_4595 <= _T_4594 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4595, 1, 0) @[el2_lsu_bus_buffer.scala 564:83] - node _T_4596 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4597 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4598 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4599 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4600 = mux(_T_4596, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4601 = mux(_T_4597, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4602 = mux(_T_4598, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4603 = mux(_T_4599, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4604 = or(_T_4600, _T_4601) @[Mux.scala 27:72] - node _T_4605 = or(_T_4604, _T_4602) @[Mux.scala 27:72] - node _T_4606 = or(_T_4605, _T_4603) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4586 @[Mux.scala 27:72] + node _T_4587 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4588 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4589 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4590 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4591 = mux(_T_4587, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4592 = mux(_T_4588, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4593 = mux(_T_4589, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4594 = mux(_T_4590, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4595 = or(_T_4591, _T_4592) @[Mux.scala 27:72] + node _T_4596 = or(_T_4595, _T_4593) @[Mux.scala 27:72] + node _T_4597 = or(_T_4596, _T_4594) @[Mux.scala 27:72] + wire _T_4598 : UInt<32> @[Mux.scala 27:72] + _T_4598 <= _T_4597 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4598, 1, 0) @[el2_lsu_bus_buffer.scala 565:83] + node _T_4599 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4600 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4601 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4603 = mux(_T_4599, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4604 = mux(_T_4600, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4605 = mux(_T_4601, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4606 = mux(_T_4602, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4607 = or(_T_4603, _T_4604) @[Mux.scala 27:72] + node _T_4608 = or(_T_4607, _T_4605) @[Mux.scala 27:72] + node _T_4609 = or(_T_4608, _T_4606) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4606 @[Mux.scala 27:72] - node _T_4607 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_4608 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_4609 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_4610 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_4611 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_4612 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_4614 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] - node _T_4615 = mux(_T_4607, _T_4608, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4616 = mux(_T_4609, _T_4610, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4617 = mux(_T_4611, _T_4612, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4618 = mux(_T_4613, _T_4614, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4619 = or(_T_4615, _T_4616) @[Mux.scala 27:72] - node _T_4620 = or(_T_4619, _T_4617) @[Mux.scala 27:72] - node _T_4621 = or(_T_4620, _T_4618) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4609 @[Mux.scala 27:72] + node _T_4610 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4611 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4612 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4613 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4614 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4615 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4616 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4617 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4618 = mux(_T_4610, _T_4611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4619 = mux(_T_4612, _T_4613, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4620 = mux(_T_4614, _T_4615, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4621 = mux(_T_4616, _T_4617, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4622 = or(_T_4618, _T_4619) @[Mux.scala 27:72] + node _T_4623 = or(_T_4622, _T_4620) @[Mux.scala 27:72] + node _T_4624 = or(_T_4623, _T_4621) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4621 @[Mux.scala 27:72] - node _T_4622 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4623 = cat(_T_4622, buf_dual[1]) @[Cat.scala 29:58] - node _T_4624 = cat(_T_4623, buf_dual[0]) @[Cat.scala 29:58] - node _T_4625 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_4626 = bits(_T_4624, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] - node _T_4627 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_4628 = bits(_T_4624, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] - node _T_4629 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_4630 = bits(_T_4624, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] - node _T_4631 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] - node _T_4632 = bits(_T_4624, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] - node _T_4633 = mux(_T_4625, _T_4626, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4634 = mux(_T_4627, _T_4628, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4635 = mux(_T_4629, _T_4630, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4636 = mux(_T_4631, _T_4632, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4637 = or(_T_4633, _T_4634) @[Mux.scala 27:72] - node _T_4638 = or(_T_4637, _T_4635) @[Mux.scala 27:72] - node _T_4639 = or(_T_4638, _T_4636) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4624 @[Mux.scala 27:72] + node _T_4625 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4626 = cat(_T_4625, buf_dual[1]) @[Cat.scala 29:58] + node _T_4627 = cat(_T_4626, buf_dual[0]) @[Cat.scala 29:58] + node _T_4628 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4629 = bits(_T_4627, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4630 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4631 = bits(_T_4627, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4632 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4633 = bits(_T_4627, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4634 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4635 = bits(_T_4627, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4636 = mux(_T_4628, _T_4629, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4637 = mux(_T_4630, _T_4631, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4638 = mux(_T_4632, _T_4633, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4639 = mux(_T_4634, _T_4635, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4640 = or(_T_4636, _T_4637) @[Mux.scala 27:72] + node _T_4641 = or(_T_4640, _T_4638) @[Mux.scala 27:72] + node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4639 @[Mux.scala 27:72] - node _T_4640 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4641 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 568:121] - node lsu_nonblock_data_unalgn = dshr(_T_4640, _T_4641) @[el2_lsu_bus_buffer.scala 568:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 569:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 570:14] - node _T_4642 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:69] - node _T_4643 = and(lsu_nonblock_load_data_ready, _T_4642) @[el2_lsu_bus_buffer.scala 571:67] - io.lsu_nonblock_load_data_valid <= _T_4643 @[el2_lsu_bus_buffer.scala 571:35] - node _T_4644 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:81] - node _T_4645 = and(lsu_nonblock_unsign, _T_4644) @[el2_lsu_bus_buffer.scala 572:63] - node _T_4646 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 572:131] - node _T_4647 = cat(UInt<24>("h00"), _T_4646) @[Cat.scala 29:58] - node _T_4648 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 573:45] - node _T_4649 = and(lsu_nonblock_unsign, _T_4648) @[el2_lsu_bus_buffer.scala 573:26] - node _T_4650 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 573:95] - node _T_4651 = cat(UInt<16>("h00"), _T_4650) @[Cat.scala 29:58] - node _T_4652 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:6] - node _T_4653 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:45] - node _T_4654 = and(_T_4652, _T_4653) @[el2_lsu_bus_buffer.scala 574:27] - node _T_4655 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 574:93] - node _T_4656 = bits(_T_4655, 0, 0) @[Bitwise.scala 72:15] - node _T_4657 = mux(_T_4656, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4658 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 574:123] - node _T_4659 = cat(_T_4657, _T_4658) @[Cat.scala 29:58] - node _T_4660 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:6] - node _T_4661 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:45] - node _T_4662 = and(_T_4660, _T_4661) @[el2_lsu_bus_buffer.scala 575:27] - node _T_4663 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 575:93] - node _T_4664 = bits(_T_4663, 0, 0) @[Bitwise.scala 72:15] - node _T_4665 = mux(_T_4664, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4666 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 575:124] - node _T_4667 = cat(_T_4665, _T_4666) @[Cat.scala 29:58] - node _T_4668 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 576:21] - node _T_4669 = mux(_T_4645, _T_4647, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4670 = mux(_T_4649, _T_4651, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4671 = mux(_T_4654, _T_4659, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4672 = mux(_T_4662, _T_4667, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4673 = mux(_T_4668, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4674 = or(_T_4669, _T_4670) @[Mux.scala 27:72] - node _T_4675 = or(_T_4674, _T_4671) @[Mux.scala 27:72] - node _T_4676 = or(_T_4675, _T_4672) @[Mux.scala 27:72] - node _T_4677 = or(_T_4676, _T_4673) @[Mux.scala 27:72] - wire _T_4678 : UInt<64> @[Mux.scala 27:72] - _T_4678 <= _T_4677 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4678 @[el2_lsu_bus_buffer.scala 572:29] - node _T_4679 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 577:62] - node _T_4680 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 577:89] - node _T_4681 = and(_T_4679, _T_4680) @[el2_lsu_bus_buffer.scala 577:73] - node _T_4682 = and(_T_4681, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 577:93] - node _T_4683 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 577:62] - node _T_4684 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 577:89] - node _T_4685 = and(_T_4683, _T_4684) @[el2_lsu_bus_buffer.scala 577:73] - node _T_4686 = and(_T_4685, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 577:93] - node _T_4687 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 577:62] - node _T_4688 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 577:89] - node _T_4689 = and(_T_4687, _T_4688) @[el2_lsu_bus_buffer.scala 577:73] - node _T_4690 = and(_T_4689, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 577:93] - node _T_4691 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 577:62] - node _T_4692 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 577:89] - node _T_4693 = and(_T_4691, _T_4692) @[el2_lsu_bus_buffer.scala 577:73] - node _T_4694 = and(_T_4693, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 577:93] - node _T_4695 = or(_T_4682, _T_4686) @[el2_lsu_bus_buffer.scala 577:141] - node _T_4696 = or(_T_4695, _T_4690) @[el2_lsu_bus_buffer.scala 577:141] - node _T_4697 = or(_T_4696, _T_4694) @[el2_lsu_bus_buffer.scala 577:141] - bus_sideeffect_pend <= _T_4697 @[el2_lsu_bus_buffer.scala 577:23] - node _T_4698 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:71] - node _T_4699 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 579:25] - node _T_4700 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 579:50] - node _T_4701 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 579:70] - node _T_4702 = eq(_T_4700, _T_4701) @[el2_lsu_bus_buffer.scala 579:56] - node _T_4703 = and(_T_4699, _T_4702) @[el2_lsu_bus_buffer.scala 579:38] - node _T_4704 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:92] - node _T_4705 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:126] - node _T_4706 = and(obuf_merge, _T_4705) @[el2_lsu_bus_buffer.scala 579:114] - node _T_4707 = or(_T_4704, _T_4706) @[el2_lsu_bus_buffer.scala 579:100] - node _T_4708 = eq(_T_4707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:80] - node _T_4709 = and(_T_4703, _T_4708) @[el2_lsu_bus_buffer.scala 579:78] - node _T_4710 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:71] - node _T_4711 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 579:25] - node _T_4712 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 579:50] - node _T_4713 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 579:70] - node _T_4714 = eq(_T_4712, _T_4713) @[el2_lsu_bus_buffer.scala 579:56] - node _T_4715 = and(_T_4711, _T_4714) @[el2_lsu_bus_buffer.scala 579:38] - node _T_4716 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 579:92] - node _T_4717 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 579:126] - node _T_4718 = and(obuf_merge, _T_4717) @[el2_lsu_bus_buffer.scala 579:114] - node _T_4719 = or(_T_4716, _T_4718) @[el2_lsu_bus_buffer.scala 579:100] - node _T_4720 = eq(_T_4719, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:80] - node _T_4721 = and(_T_4715, _T_4720) @[el2_lsu_bus_buffer.scala 579:78] - node _T_4722 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:71] - node _T_4723 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 579:25] - node _T_4724 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 579:50] - node _T_4725 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 579:70] - node _T_4726 = eq(_T_4724, _T_4725) @[el2_lsu_bus_buffer.scala 579:56] - node _T_4727 = and(_T_4723, _T_4726) @[el2_lsu_bus_buffer.scala 579:38] - node _T_4728 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 579:92] - node _T_4729 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 579:126] - node _T_4730 = and(obuf_merge, _T_4729) @[el2_lsu_bus_buffer.scala 579:114] - node _T_4731 = or(_T_4728, _T_4730) @[el2_lsu_bus_buffer.scala 579:100] - node _T_4732 = eq(_T_4731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:80] - node _T_4733 = and(_T_4727, _T_4732) @[el2_lsu_bus_buffer.scala 579:78] - node _T_4734 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:71] - node _T_4735 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 579:25] - node _T_4736 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 579:50] - node _T_4737 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 579:70] - node _T_4738 = eq(_T_4736, _T_4737) @[el2_lsu_bus_buffer.scala 579:56] - node _T_4739 = and(_T_4735, _T_4738) @[el2_lsu_bus_buffer.scala 579:38] - node _T_4740 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 579:92] - node _T_4741 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 579:126] - node _T_4742 = and(obuf_merge, _T_4741) @[el2_lsu_bus_buffer.scala 579:114] - node _T_4743 = or(_T_4740, _T_4742) @[el2_lsu_bus_buffer.scala 579:100] - node _T_4744 = eq(_T_4743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:80] - node _T_4745 = and(_T_4739, _T_4744) @[el2_lsu_bus_buffer.scala 579:78] - node _T_4746 = mux(_T_4698, _T_4709, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4747 = mux(_T_4710, _T_4721, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4748 = mux(_T_4722, _T_4733, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4749 = mux(_T_4734, _T_4745, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4750 = or(_T_4746, _T_4747) @[Mux.scala 27:72] - node _T_4751 = or(_T_4750, _T_4748) @[Mux.scala 27:72] - node _T_4752 = or(_T_4751, _T_4749) @[Mux.scala 27:72] - wire _T_4753 : UInt<1> @[Mux.scala 27:72] - _T_4753 <= _T_4752 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4753 @[el2_lsu_bus_buffer.scala 578:26] - node _T_4754 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 581:54] - node _T_4755 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 581:75] - node _T_4756 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 581:150] - node _T_4757 = mux(_T_4754, _T_4755, _T_4756) @[el2_lsu_bus_buffer.scala 581:39] - node _T_4758 = mux(obuf_write, _T_4757, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 581:23] - bus_cmd_ready <= _T_4758 @[el2_lsu_bus_buffer.scala 581:17] - node _T_4759 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 582:39] - bus_wcmd_sent <= _T_4759 @[el2_lsu_bus_buffer.scala 582:17] - node _T_4760 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 583:39] - bus_wdata_sent <= _T_4760 @[el2_lsu_bus_buffer.scala 583:18] - node _T_4761 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 584:35] - node _T_4762 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 584:70] - node _T_4763 = and(_T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 584:52] - node _T_4764 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 584:111] - node _T_4765 = or(_T_4763, _T_4764) @[el2_lsu_bus_buffer.scala 584:89] - bus_cmd_sent <= _T_4765 @[el2_lsu_bus_buffer.scala 584:16] - node _T_4766 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 585:37] - bus_rsp_read <= _T_4766 @[el2_lsu_bus_buffer.scala 585:16] - node _T_4767 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 586:38] - bus_rsp_write <= _T_4767 @[el2_lsu_bus_buffer.scala 586:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 587:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 588:21] - node _T_4768 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:60] - node _T_4769 = and(bus_rsp_write, _T_4768) @[el2_lsu_bus_buffer.scala 589:40] - bus_rsp_write_error <= _T_4769 @[el2_lsu_bus_buffer.scala 589:23] - node _T_4770 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:58] - node _T_4771 = and(bus_rsp_read, _T_4770) @[el2_lsu_bus_buffer.scala 590:38] - bus_rsp_read_error <= _T_4771 @[el2_lsu_bus_buffer.scala 590:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 591:17] - node _T_4772 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 594:36] - node _T_4773 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:51] - node _T_4774 = and(_T_4772, _T_4773) @[el2_lsu_bus_buffer.scala 594:49] - node _T_4775 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:68] - node _T_4776 = and(_T_4774, _T_4775) @[el2_lsu_bus_buffer.scala 594:66] - io.lsu_axi_awvalid <= _T_4776 @[el2_lsu_bus_buffer.scala 594:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 595:19] - node _T_4777 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 596:69] - node _T_4778 = cat(_T_4777, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4779 = mux(obuf_sideeffect, obuf_addr, _T_4778) @[el2_lsu_bus_buffer.scala 596:27] - io.lsu_axi_awaddr <= _T_4779 @[el2_lsu_bus_buffer.scala 596:21] - node _T_4780 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4781 = mux(obuf_sideeffect, _T_4780, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 597:27] - io.lsu_axi_awsize <= _T_4781 @[el2_lsu_bus_buffer.scala 597:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 598:21] - node _T_4782 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 599:28] - io.lsu_axi_awcache <= _T_4782 @[el2_lsu_bus_buffer.scala 599:22] - node _T_4783 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 600:35] - io.lsu_axi_awregion <= _T_4783 @[el2_lsu_bus_buffer.scala 600:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 601:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 602:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 603:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 604:21] - node _T_4784 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 606:35] - node _T_4785 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:50] - node _T_4786 = and(_T_4784, _T_4785) @[el2_lsu_bus_buffer.scala 606:48] - node _T_4787 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:68] - node _T_4788 = and(_T_4786, _T_4787) @[el2_lsu_bus_buffer.scala 606:66] - io.lsu_axi_wvalid <= _T_4788 @[el2_lsu_bus_buffer.scala 606:21] - node _T_4789 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4790 = mux(_T_4789, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4791 = and(obuf_byteen, _T_4790) @[el2_lsu_bus_buffer.scala 607:35] - io.lsu_axi_wstrb <= _T_4791 @[el2_lsu_bus_buffer.scala 607:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 608:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 609:20] - node _T_4792 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:38] - node _T_4793 = and(obuf_valid, _T_4792) @[el2_lsu_bus_buffer.scala 611:36] - node _T_4794 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:52] - node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 611:50] - node _T_4796 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:67] - node _T_4797 = and(_T_4795, _T_4796) @[el2_lsu_bus_buffer.scala 611:65] - io.lsu_axi_arvalid <= _T_4797 @[el2_lsu_bus_buffer.scala 611:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 612:19] - node _T_4798 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 613:69] - node _T_4799 = cat(_T_4798, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4800 = mux(obuf_sideeffect, obuf_addr, _T_4799) @[el2_lsu_bus_buffer.scala 613:27] - io.lsu_axi_araddr <= _T_4800 @[el2_lsu_bus_buffer.scala 613:21] - node _T_4801 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4802 = mux(obuf_sideeffect, _T_4801, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:27] - io.lsu_axi_arsize <= _T_4802 @[el2_lsu_bus_buffer.scala 614:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 615:21] - node _T_4803 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 616:28] - io.lsu_axi_arcache <= _T_4803 @[el2_lsu_bus_buffer.scala 616:22] - node _T_4804 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 617:35] - io.lsu_axi_arregion <= _T_4804 @[el2_lsu_bus_buffer.scala 617:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 618:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 619:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 620:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 621:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 622:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 623:21] - node _T_4805 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 624:81] - node _T_4806 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 624:125] - node _T_4807 = and(io.lsu_bus_clk_en_q, _T_4806) @[el2_lsu_bus_buffer.scala 624:114] - node _T_4808 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 624:140] - node _T_4809 = and(_T_4807, _T_4808) @[el2_lsu_bus_buffer.scala 624:129] - node _T_4810 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 624:81] - node _T_4811 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 624:125] - node _T_4812 = and(io.lsu_bus_clk_en_q, _T_4811) @[el2_lsu_bus_buffer.scala 624:114] - node _T_4813 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 624:140] - node _T_4814 = and(_T_4812, _T_4813) @[el2_lsu_bus_buffer.scala 624:129] - node _T_4815 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 624:81] - node _T_4816 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 624:125] - node _T_4817 = and(io.lsu_bus_clk_en_q, _T_4816) @[el2_lsu_bus_buffer.scala 624:114] - node _T_4818 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 624:140] - node _T_4819 = and(_T_4817, _T_4818) @[el2_lsu_bus_buffer.scala 624:129] - node _T_4820 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 624:81] - node _T_4821 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 624:125] - node _T_4822 = and(io.lsu_bus_clk_en_q, _T_4821) @[el2_lsu_bus_buffer.scala 624:114] - node _T_4823 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 624:140] - node _T_4824 = and(_T_4822, _T_4823) @[el2_lsu_bus_buffer.scala 624:129] - node _T_4825 = mux(_T_4805, _T_4809, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4826 = mux(_T_4810, _T_4814, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4827 = mux(_T_4815, _T_4819, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4828 = mux(_T_4820, _T_4824, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4829 = or(_T_4825, _T_4826) @[Mux.scala 27:72] - node _T_4830 = or(_T_4829, _T_4827) @[Mux.scala 27:72] - node _T_4831 = or(_T_4830, _T_4828) @[Mux.scala 27:72] - wire _T_4832 : UInt<1> @[Mux.scala 27:72] - _T_4832 <= _T_4831 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4832 @[el2_lsu_bus_buffer.scala 624:36] - node _T_4833 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 625:87] - node _T_4834 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 625:109] - node _T_4835 = and(_T_4833, _T_4834) @[el2_lsu_bus_buffer.scala 625:98] - node _T_4836 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 625:124] - node _T_4837 = and(_T_4835, _T_4836) @[el2_lsu_bus_buffer.scala 625:113] - node _T_4838 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 625:87] - node _T_4839 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 625:109] - node _T_4840 = and(_T_4838, _T_4839) @[el2_lsu_bus_buffer.scala 625:98] - node _T_4841 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 625:124] - node _T_4842 = and(_T_4840, _T_4841) @[el2_lsu_bus_buffer.scala 625:113] - node _T_4843 = mux(_T_4837, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4844 = mux(_T_4842, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4845 = or(_T_4843, _T_4844) @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4642 @[Mux.scala 27:72] + node _T_4643 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4644 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 569:121] + node lsu_nonblock_data_unalgn = dshr(_T_4643, _T_4644) @[el2_lsu_bus_buffer.scala 569:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 570:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 571:14] + node _T_4645 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:69] + node _T_4646 = and(lsu_nonblock_load_data_ready, _T_4645) @[el2_lsu_bus_buffer.scala 572:67] + io.lsu_nonblock_load_data_valid <= _T_4646 @[el2_lsu_bus_buffer.scala 572:35] + node _T_4647 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:81] + node _T_4648 = and(lsu_nonblock_unsign, _T_4647) @[el2_lsu_bus_buffer.scala 573:63] + node _T_4649 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 573:131] + node _T_4650 = cat(UInt<24>("h00"), _T_4649) @[Cat.scala 29:58] + node _T_4651 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 574:45] + node _T_4652 = and(lsu_nonblock_unsign, _T_4651) @[el2_lsu_bus_buffer.scala 574:26] + node _T_4653 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 574:95] + node _T_4654 = cat(UInt<16>("h00"), _T_4653) @[Cat.scala 29:58] + node _T_4655 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:6] + node _T_4656 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:45] + node _T_4657 = and(_T_4655, _T_4656) @[el2_lsu_bus_buffer.scala 575:27] + node _T_4658 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 575:93] + node _T_4659 = bits(_T_4658, 0, 0) @[Bitwise.scala 72:15] + node _T_4660 = mux(_T_4659, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 575:123] + node _T_4662 = cat(_T_4660, _T_4661) @[Cat.scala 29:58] + node _T_4663 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:6] + node _T_4664 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 576:45] + node _T_4665 = and(_T_4663, _T_4664) @[el2_lsu_bus_buffer.scala 576:27] + node _T_4666 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 576:93] + node _T_4667 = bits(_T_4666, 0, 0) @[Bitwise.scala 72:15] + node _T_4668 = mux(_T_4667, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4669 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 576:124] + node _T_4670 = cat(_T_4668, _T_4669) @[Cat.scala 29:58] + node _T_4671 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 577:21] + node _T_4672 = mux(_T_4648, _T_4650, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4673 = mux(_T_4652, _T_4654, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4674 = mux(_T_4657, _T_4662, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4675 = mux(_T_4665, _T_4670, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4676 = mux(_T_4671, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4677 = or(_T_4672, _T_4673) @[Mux.scala 27:72] + node _T_4678 = or(_T_4677, _T_4674) @[Mux.scala 27:72] + node _T_4679 = or(_T_4678, _T_4675) @[Mux.scala 27:72] + node _T_4680 = or(_T_4679, _T_4676) @[Mux.scala 27:72] + wire _T_4681 : UInt<64> @[Mux.scala 27:72] + _T_4681 <= _T_4680 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4681 @[el2_lsu_bus_buffer.scala 573:29] + node _T_4682 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:62] + node _T_4683 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 578:89] + node _T_4684 = and(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 578:73] + node _T_4685 = and(_T_4684, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 578:93] + node _T_4686 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:62] + node _T_4687 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 578:89] + node _T_4688 = and(_T_4686, _T_4687) @[el2_lsu_bus_buffer.scala 578:73] + node _T_4689 = and(_T_4688, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 578:93] + node _T_4690 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:62] + node _T_4691 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 578:89] + node _T_4692 = and(_T_4690, _T_4691) @[el2_lsu_bus_buffer.scala 578:73] + node _T_4693 = and(_T_4692, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 578:93] + node _T_4694 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:62] + node _T_4695 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 578:89] + node _T_4696 = and(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 578:73] + node _T_4697 = and(_T_4696, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 578:93] + node _T_4698 = or(_T_4685, _T_4689) @[el2_lsu_bus_buffer.scala 578:141] + node _T_4699 = or(_T_4698, _T_4693) @[el2_lsu_bus_buffer.scala 578:141] + node _T_4700 = or(_T_4699, _T_4697) @[el2_lsu_bus_buffer.scala 578:141] + bus_sideeffect_pend <= _T_4700 @[el2_lsu_bus_buffer.scala 578:23] + node _T_4701 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:71] + node _T_4702 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 580:25] + node _T_4703 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 580:50] + node _T_4704 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 580:70] + node _T_4705 = eq(_T_4703, _T_4704) @[el2_lsu_bus_buffer.scala 580:56] + node _T_4706 = and(_T_4702, _T_4705) @[el2_lsu_bus_buffer.scala 580:38] + node _T_4707 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:92] + node _T_4708 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:126] + node _T_4709 = and(obuf_merge, _T_4708) @[el2_lsu_bus_buffer.scala 580:114] + node _T_4710 = or(_T_4707, _T_4709) @[el2_lsu_bus_buffer.scala 580:100] + node _T_4711 = eq(_T_4710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:80] + node _T_4712 = and(_T_4706, _T_4711) @[el2_lsu_bus_buffer.scala 580:78] + node _T_4713 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:71] + node _T_4714 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 580:25] + node _T_4715 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 580:50] + node _T_4716 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 580:70] + node _T_4717 = eq(_T_4715, _T_4716) @[el2_lsu_bus_buffer.scala 580:56] + node _T_4718 = and(_T_4714, _T_4717) @[el2_lsu_bus_buffer.scala 580:38] + node _T_4719 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 580:92] + node _T_4720 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 580:126] + node _T_4721 = and(obuf_merge, _T_4720) @[el2_lsu_bus_buffer.scala 580:114] + node _T_4722 = or(_T_4719, _T_4721) @[el2_lsu_bus_buffer.scala 580:100] + node _T_4723 = eq(_T_4722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:80] + node _T_4724 = and(_T_4718, _T_4723) @[el2_lsu_bus_buffer.scala 580:78] + node _T_4725 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:71] + node _T_4726 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 580:25] + node _T_4727 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 580:50] + node _T_4728 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 580:70] + node _T_4729 = eq(_T_4727, _T_4728) @[el2_lsu_bus_buffer.scala 580:56] + node _T_4730 = and(_T_4726, _T_4729) @[el2_lsu_bus_buffer.scala 580:38] + node _T_4731 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 580:92] + node _T_4732 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 580:126] + node _T_4733 = and(obuf_merge, _T_4732) @[el2_lsu_bus_buffer.scala 580:114] + node _T_4734 = or(_T_4731, _T_4733) @[el2_lsu_bus_buffer.scala 580:100] + node _T_4735 = eq(_T_4734, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:80] + node _T_4736 = and(_T_4730, _T_4735) @[el2_lsu_bus_buffer.scala 580:78] + node _T_4737 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:71] + node _T_4738 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 580:25] + node _T_4739 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 580:50] + node _T_4740 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 580:70] + node _T_4741 = eq(_T_4739, _T_4740) @[el2_lsu_bus_buffer.scala 580:56] + node _T_4742 = and(_T_4738, _T_4741) @[el2_lsu_bus_buffer.scala 580:38] + node _T_4743 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 580:92] + node _T_4744 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 580:126] + node _T_4745 = and(obuf_merge, _T_4744) @[el2_lsu_bus_buffer.scala 580:114] + node _T_4746 = or(_T_4743, _T_4745) @[el2_lsu_bus_buffer.scala 580:100] + node _T_4747 = eq(_T_4746, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:80] + node _T_4748 = and(_T_4742, _T_4747) @[el2_lsu_bus_buffer.scala 580:78] + node _T_4749 = mux(_T_4701, _T_4712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4750 = mux(_T_4713, _T_4724, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4751 = mux(_T_4725, _T_4736, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4752 = mux(_T_4737, _T_4748, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4753 = or(_T_4749, _T_4750) @[Mux.scala 27:72] + node _T_4754 = or(_T_4753, _T_4751) @[Mux.scala 27:72] + node _T_4755 = or(_T_4754, _T_4752) @[Mux.scala 27:72] + wire _T_4756 : UInt<1> @[Mux.scala 27:72] + _T_4756 <= _T_4755 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4756 @[el2_lsu_bus_buffer.scala 579:26] + node _T_4757 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 582:54] + node _T_4758 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 582:75] + node _T_4759 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 582:150] + node _T_4760 = mux(_T_4757, _T_4758, _T_4759) @[el2_lsu_bus_buffer.scala 582:39] + node _T_4761 = mux(obuf_write, _T_4760, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 582:23] + bus_cmd_ready <= _T_4761 @[el2_lsu_bus_buffer.scala 582:17] + node _T_4762 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 583:39] + bus_wcmd_sent <= _T_4762 @[el2_lsu_bus_buffer.scala 583:17] + node _T_4763 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 584:39] + bus_wdata_sent <= _T_4763 @[el2_lsu_bus_buffer.scala 584:18] + node _T_4764 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 585:35] + node _T_4765 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 585:70] + node _T_4766 = and(_T_4764, _T_4765) @[el2_lsu_bus_buffer.scala 585:52] + node _T_4767 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 585:111] + node _T_4768 = or(_T_4766, _T_4767) @[el2_lsu_bus_buffer.scala 585:89] + bus_cmd_sent <= _T_4768 @[el2_lsu_bus_buffer.scala 585:16] + node _T_4769 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 586:37] + bus_rsp_read <= _T_4769 @[el2_lsu_bus_buffer.scala 586:16] + node _T_4770 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 587:38] + bus_rsp_write <= _T_4770 @[el2_lsu_bus_buffer.scala 587:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 588:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 589:21] + node _T_4771 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:60] + node _T_4772 = and(bus_rsp_write, _T_4771) @[el2_lsu_bus_buffer.scala 590:40] + bus_rsp_write_error <= _T_4772 @[el2_lsu_bus_buffer.scala 590:23] + node _T_4773 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:58] + node _T_4774 = and(bus_rsp_read, _T_4773) @[el2_lsu_bus_buffer.scala 591:38] + bus_rsp_read_error <= _T_4774 @[el2_lsu_bus_buffer.scala 591:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 592:17] + node _T_4775 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 595:36] + node _T_4776 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:51] + node _T_4777 = and(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 595:49] + node _T_4778 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:68] + node _T_4779 = and(_T_4777, _T_4778) @[el2_lsu_bus_buffer.scala 595:66] + io.lsu_axi_awvalid <= _T_4779 @[el2_lsu_bus_buffer.scala 595:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 596:19] + node _T_4780 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 597:69] + node _T_4781 = cat(_T_4780, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4782 = mux(obuf_sideeffect, obuf_addr, _T_4781) @[el2_lsu_bus_buffer.scala 597:27] + io.lsu_axi_awaddr <= _T_4782 @[el2_lsu_bus_buffer.scala 597:21] + node _T_4783 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4784 = mux(obuf_sideeffect, _T_4783, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 598:27] + io.lsu_axi_awsize <= _T_4784 @[el2_lsu_bus_buffer.scala 598:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 599:21] + node _T_4785 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 600:28] + io.lsu_axi_awcache <= _T_4785 @[el2_lsu_bus_buffer.scala 600:22] + node _T_4786 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 601:35] + io.lsu_axi_awregion <= _T_4786 @[el2_lsu_bus_buffer.scala 601:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 602:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 603:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 604:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 605:21] + node _T_4787 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 607:35] + node _T_4788 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:50] + node _T_4789 = and(_T_4787, _T_4788) @[el2_lsu_bus_buffer.scala 607:48] + node _T_4790 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:68] + node _T_4791 = and(_T_4789, _T_4790) @[el2_lsu_bus_buffer.scala 607:66] + io.lsu_axi_wvalid <= _T_4791 @[el2_lsu_bus_buffer.scala 607:21] + node _T_4792 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4793 = mux(_T_4792, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4794 = and(obuf_byteen, _T_4793) @[el2_lsu_bus_buffer.scala 608:35] + io.lsu_axi_wstrb <= _T_4794 @[el2_lsu_bus_buffer.scala 608:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 609:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 610:20] + node _T_4795 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 612:38] + node _T_4796 = and(obuf_valid, _T_4795) @[el2_lsu_bus_buffer.scala 612:36] + node _T_4797 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 612:52] + node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 612:50] + node _T_4799 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 612:67] + node _T_4800 = and(_T_4798, _T_4799) @[el2_lsu_bus_buffer.scala 612:65] + io.lsu_axi_arvalid <= _T_4800 @[el2_lsu_bus_buffer.scala 612:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 613:19] + node _T_4801 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:69] + node _T_4802 = cat(_T_4801, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4803 = mux(obuf_sideeffect, obuf_addr, _T_4802) @[el2_lsu_bus_buffer.scala 614:27] + io.lsu_axi_araddr <= _T_4803 @[el2_lsu_bus_buffer.scala 614:21] + node _T_4804 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4805 = mux(obuf_sideeffect, _T_4804, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:27] + io.lsu_axi_arsize <= _T_4805 @[el2_lsu_bus_buffer.scala 615:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 616:21] + node _T_4806 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 617:28] + io.lsu_axi_arcache <= _T_4806 @[el2_lsu_bus_buffer.scala 617:22] + node _T_4807 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 618:35] + io.lsu_axi_arregion <= _T_4807 @[el2_lsu_bus_buffer.scala 618:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 619:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 620:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 621:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 622:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 623:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 624:21] + node _T_4808 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 625:81] + node _T_4809 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 625:125] + node _T_4810 = and(io.lsu_bus_clk_en_q, _T_4809) @[el2_lsu_bus_buffer.scala 625:114] + node _T_4811 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 625:140] + node _T_4812 = and(_T_4810, _T_4811) @[el2_lsu_bus_buffer.scala 625:129] + node _T_4813 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 625:81] + node _T_4814 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 625:125] + node _T_4815 = and(io.lsu_bus_clk_en_q, _T_4814) @[el2_lsu_bus_buffer.scala 625:114] + node _T_4816 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 625:140] + node _T_4817 = and(_T_4815, _T_4816) @[el2_lsu_bus_buffer.scala 625:129] + node _T_4818 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 625:81] + node _T_4819 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 625:125] + node _T_4820 = and(io.lsu_bus_clk_en_q, _T_4819) @[el2_lsu_bus_buffer.scala 625:114] + node _T_4821 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 625:140] + node _T_4822 = and(_T_4820, _T_4821) @[el2_lsu_bus_buffer.scala 625:129] + node _T_4823 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 625:81] + node _T_4824 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 625:125] + node _T_4825 = and(io.lsu_bus_clk_en_q, _T_4824) @[el2_lsu_bus_buffer.scala 625:114] + node _T_4826 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 625:140] + node _T_4827 = and(_T_4825, _T_4826) @[el2_lsu_bus_buffer.scala 625:129] + node _T_4828 = mux(_T_4808, _T_4812, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4829 = mux(_T_4813, _T_4817, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4830 = mux(_T_4818, _T_4822, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4831 = mux(_T_4823, _T_4827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4832 = or(_T_4828, _T_4829) @[Mux.scala 27:72] + node _T_4833 = or(_T_4832, _T_4830) @[Mux.scala 27:72] + node _T_4834 = or(_T_4833, _T_4831) @[Mux.scala 27:72] + wire _T_4835 : UInt<1> @[Mux.scala 27:72] + _T_4835 <= _T_4834 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4835 @[el2_lsu_bus_buffer.scala 625:36] + node _T_4836 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:87] + node _T_4837 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 626:109] + node _T_4838 = and(_T_4836, _T_4837) @[el2_lsu_bus_buffer.scala 626:98] + node _T_4839 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 626:124] + node _T_4840 = and(_T_4838, _T_4839) @[el2_lsu_bus_buffer.scala 626:113] + node _T_4841 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:87] + node _T_4842 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 626:109] + node _T_4843 = and(_T_4841, _T_4842) @[el2_lsu_bus_buffer.scala 626:98] + node _T_4844 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 626:124] + node _T_4845 = and(_T_4843, _T_4844) @[el2_lsu_bus_buffer.scala 626:113] + node _T_4846 = mux(_T_4840, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4847 = mux(_T_4845, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4848 = or(_T_4846, _T_4847) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4845 @[Mux.scala 27:72] - node _T_4846 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:72] - node _T_4847 = and(io.lsu_nonblock_load_data_error, _T_4846) @[el2_lsu_bus_buffer.scala 627:70] - io.lsu_imprecise_error_load_any <= _T_4847 @[el2_lsu_bus_buffer.scala 627:35] - node _T_4848 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4849 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4850 = mux(_T_4848, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4851 = mux(_T_4849, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4852 = or(_T_4850, _T_4851) @[Mux.scala 27:72] - wire _T_4853 : UInt<32> @[Mux.scala 27:72] - _T_4853 <= _T_4852 @[Mux.scala 27:72] - node _T_4854 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4855 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4856 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4857 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] - node _T_4858 = mux(_T_4854, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4859 = mux(_T_4855, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4860 = mux(_T_4856, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4861 = mux(_T_4857, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4862 = or(_T_4858, _T_4859) @[Mux.scala 27:72] - node _T_4863 = or(_T_4862, _T_4860) @[Mux.scala 27:72] - node _T_4864 = or(_T_4863, _T_4861) @[Mux.scala 27:72] - wire _T_4865 : UInt<32> @[Mux.scala 27:72] - _T_4865 <= _T_4864 @[Mux.scala 27:72] - node _T_4866 = mux(io.lsu_imprecise_error_store_any, _T_4853, _T_4865) @[el2_lsu_bus_buffer.scala 628:41] - io.lsu_imprecise_error_addr_any <= _T_4866 @[el2_lsu_bus_buffer.scala 628:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 629:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 631:23] - node _T_4867 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 634:46] - node _T_4868 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 634:89] - node _T_4869 = or(_T_4867, _T_4868) @[el2_lsu_bus_buffer.scala 634:68] - node _T_4870 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 634:132] - node _T_4871 = or(_T_4869, _T_4870) @[el2_lsu_bus_buffer.scala 634:110] - io.lsu_pmu_bus_trxn <= _T_4871 @[el2_lsu_bus_buffer.scala 634:23] - node _T_4872 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 635:48] - node _T_4873 = and(_T_4872, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 635:65] - io.lsu_pmu_bus_misaligned <= _T_4873 @[el2_lsu_bus_buffer.scala 635:29] - node _T_4874 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 636:59] - io.lsu_pmu_bus_error <= _T_4874 @[el2_lsu_bus_buffer.scala 636:24] - node _T_4875 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:48] - node _T_4876 = and(io.lsu_axi_awvalid, _T_4875) @[el2_lsu_bus_buffer.scala 638:46] - node _T_4877 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:92] - node _T_4878 = and(io.lsu_axi_wvalid, _T_4877) @[el2_lsu_bus_buffer.scala 638:90] - node _T_4879 = or(_T_4876, _T_4878) @[el2_lsu_bus_buffer.scala 638:69] - node _T_4880 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:136] - node _T_4881 = and(io.lsu_axi_arvalid, _T_4880) @[el2_lsu_bus_buffer.scala 638:134] - node _T_4882 = or(_T_4879, _T_4881) @[el2_lsu_bus_buffer.scala 638:112] - io.lsu_pmu_bus_busy <= _T_4882 @[el2_lsu_bus_buffer.scala 638:23] - reg _T_4883 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 640:49] - _T_4883 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 640:49] - WrPtr0_r <= _T_4883 @[el2_lsu_bus_buffer.scala 640:12] - reg _T_4884 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 641:49] - _T_4884 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 641:49] - WrPtr1_r <= _T_4884 @[el2_lsu_bus_buffer.scala 641:12] - node _T_4885 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 642:75] - node _T_4886 = and(io.lsu_busreq_m, _T_4885) @[el2_lsu_bus_buffer.scala 642:73] - node _T_4887 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 642:89] - node _T_4888 = and(_T_4886, _T_4887) @[el2_lsu_bus_buffer.scala 642:87] - reg _T_4889 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 642:56] - _T_4889 <= _T_4888 @[el2_lsu_bus_buffer.scala 642:56] - io.lsu_busreq_r <= _T_4889 @[el2_lsu_bus_buffer.scala 642:19] - reg _T_4890 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 643:66] - _T_4890 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 643:66] - lsu_nonblock_load_valid_r <= _T_4890 @[el2_lsu_bus_buffer.scala 643:29] + lsu_imprecise_error_store_tag <= _T_4848 @[Mux.scala 27:72] + node _T_4849 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:72] + node _T_4850 = and(io.lsu_nonblock_load_data_error, _T_4849) @[el2_lsu_bus_buffer.scala 628:70] + io.lsu_imprecise_error_load_any <= _T_4850 @[el2_lsu_bus_buffer.scala 628:35] + node _T_4851 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4852 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4853 = mux(_T_4851, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4854 = mux(_T_4852, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4855 = or(_T_4853, _T_4854) @[Mux.scala 27:72] + wire _T_4856 : UInt<32> @[Mux.scala 27:72] + _T_4856 <= _T_4855 @[Mux.scala 27:72] + node _T_4857 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4858 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4859 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4860 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4861 = mux(_T_4857, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4862 = mux(_T_4858, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4863 = mux(_T_4859, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4864 = mux(_T_4860, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4865 = or(_T_4861, _T_4862) @[Mux.scala 27:72] + node _T_4866 = or(_T_4865, _T_4863) @[Mux.scala 27:72] + node _T_4867 = or(_T_4866, _T_4864) @[Mux.scala 27:72] + wire _T_4868 : UInt<32> @[Mux.scala 27:72] + _T_4868 <= _T_4867 @[Mux.scala 27:72] + node _T_4869 = mux(io.lsu_imprecise_error_store_any, _T_4856, _T_4868) @[el2_lsu_bus_buffer.scala 629:41] + io.lsu_imprecise_error_addr_any <= _T_4869 @[el2_lsu_bus_buffer.scala 629:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 632:23] + node _T_4870 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 635:46] + node _T_4871 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 635:89] + node _T_4872 = or(_T_4870, _T_4871) @[el2_lsu_bus_buffer.scala 635:68] + node _T_4873 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 635:132] + node _T_4874 = or(_T_4872, _T_4873) @[el2_lsu_bus_buffer.scala 635:110] + io.lsu_pmu_bus_trxn <= _T_4874 @[el2_lsu_bus_buffer.scala 635:23] + node _T_4875 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 636:48] + node _T_4876 = and(_T_4875, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 636:65] + io.lsu_pmu_bus_misaligned <= _T_4876 @[el2_lsu_bus_buffer.scala 636:29] + node _T_4877 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 637:59] + io.lsu_pmu_bus_error <= _T_4877 @[el2_lsu_bus_buffer.scala 637:24] + node _T_4878 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:48] + node _T_4879 = and(io.lsu_axi_awvalid, _T_4878) @[el2_lsu_bus_buffer.scala 639:46] + node _T_4880 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:92] + node _T_4881 = and(io.lsu_axi_wvalid, _T_4880) @[el2_lsu_bus_buffer.scala 639:90] + node _T_4882 = or(_T_4879, _T_4881) @[el2_lsu_bus_buffer.scala 639:69] + node _T_4883 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:136] + node _T_4884 = and(io.lsu_axi_arvalid, _T_4883) @[el2_lsu_bus_buffer.scala 639:134] + node _T_4885 = or(_T_4882, _T_4884) @[el2_lsu_bus_buffer.scala 639:112] + io.lsu_pmu_bus_busy <= _T_4885 @[el2_lsu_bus_buffer.scala 639:23] + reg _T_4886 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 641:49] + _T_4886 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 641:49] + WrPtr0_r <= _T_4886 @[el2_lsu_bus_buffer.scala 641:12] + reg _T_4887 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 642:49] + _T_4887 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 642:49] + WrPtr1_r <= _T_4887 @[el2_lsu_bus_buffer.scala 642:12] + node _T_4888 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 643:75] + node _T_4889 = and(io.lsu_busreq_m, _T_4888) @[el2_lsu_bus_buffer.scala 643:73] + node _T_4890 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 643:89] + node _T_4891 = and(_T_4889, _T_4890) @[el2_lsu_bus_buffer.scala 643:87] + reg _T_4892 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 643:56] + _T_4892 <= _T_4891 @[el2_lsu_bus_buffer.scala 643:56] + io.lsu_busreq_r <= _T_4892 @[el2_lsu_bus_buffer.scala 643:19] + reg _T_4893 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 644:66] + _T_4893 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 644:66] + lsu_nonblock_load_valid_r <= _T_4893 @[el2_lsu_bus_buffer.scala 644:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index a9a81f2c..ad0e32f0 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -143,7 +143,8 @@ module el2_lsu_bus_buffer( output io_lsu_axi_rready, output [1:0] io_test, output [31:0] io_data_hi, - output [31:0] io_data_lo + output [31:0] io_data_lo, + output [3:0] io_data_en ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -302,569 +303,569 @@ module el2_lsu_bus_buffer( wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] - wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 127:46] - wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 128:46] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 128:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 129:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] - wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 130:74] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] reg _T_4269; // @[Reg.scala 27:20] reg _T_4266; // @[Reg.scala 27:20] reg _T_4263; // @[Reg.scala 27:20] reg _T_4260; // @[Reg.scala 27:20] wire [3:0] buf_write = {_T_4269,_T_4266,_T_4263,_T_4260}; // @[Cat.scala 29:58] - wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 130:98] + wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 131:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] - wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 130:129] - wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 130:113] - wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 130:141] + wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 131:129] + wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 131:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] reg [31:0] buf_addr_1; // @[el2_lib.scala 491:16] - wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 130:74] - wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 130:98] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] + wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 131:98] reg [2:0] buf_state_1; // @[Reg.scala 27:20] - wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 130:129] - wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 130:113] - wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 130:141] + wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 131:129] + wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 131:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] reg [31:0] buf_addr_2; // @[el2_lib.scala 491:16] - wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 130:74] - wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 130:98] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] + wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 131:98] reg [2:0] buf_state_2; // @[Reg.scala 27:20] - wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 130:129] - wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 130:113] - wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 130:141] + wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 131:129] + wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 131:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] reg [31:0] buf_addr_3; // @[el2_lib.scala 491:16] - wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 130:74] - wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 130:98] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] + wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 131:98] reg [2:0] buf_state_3; // @[Reg.scala 27:20] - wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 130:129] - wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 130:113] - wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 130:141] - wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] - wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 131:98] - wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 131:113] - wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] - wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] - wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 131:98] - wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 131:113] - wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] - wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] - wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 131:98] - wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 131:113] - wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] - wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] - wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 131:98] - wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 131:113] - wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] + wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 131:129] + wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 131:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 132:74] + wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 132:98] + wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 132:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 132:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 132:74] + wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 132:98] + wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 132:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 132:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 132:74] + wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 132:98] + wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 132:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 132:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 132:74] + wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 132:98] + wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 132:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 132:141] reg [2:0] _T_4296; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 136:24 el2_lsu_bus_buffer.scala 137:14 el2_lsu_bus_buffer.scala 541:16] - wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 193:114] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 137:24 el2_lsu_bus_buffer.scala 138:14 el2_lsu_bus_buffer.scala 542:16] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] reg [2:0] _T_4294; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 136:24 el2_lsu_bus_buffer.scala 137:14 el2_lsu_bus_buffer.scala 541:16] - wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 193:114] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 137:24 el2_lsu_bus_buffer.scala 138:14 el2_lsu_bus_buffer.scala 542:16] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] reg [2:0] _T_4292; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 136:24 el2_lsu_bus_buffer.scala 137:14 el2_lsu_bus_buffer.scala 541:16] - wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 193:114] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 137:24 el2_lsu_bus_buffer.scala 138:14 el2_lsu_bus_buffer.scala 542:16] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] reg [2:0] _T_4290; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 136:24 el2_lsu_bus_buffer.scala 137:14 el2_lsu_bus_buffer.scala 541:16] - wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 193:114] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 137:24 el2_lsu_bus_buffer.scala 138:14 el2_lsu_bus_buffer.scala 542:16] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 525:60] - wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 438:94] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 526:60] + wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 439:94] wire _T_4016 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4039 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4043 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1774; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 383:13] - wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 480:48] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 384:13] + wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 481:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 480:104] - wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 480:104] - wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 480:91] - wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 480:77] - reg obuf_valid; // @[el2_lsu_bus_buffer.scala 377:54] - wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 480:135] - reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 376:55] - wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 480:148] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 481:104] + wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 481:104] + wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 481:91] + wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 481:77] + reg obuf_valid; // @[el2_lsu_bus_buffer.scala 378:54] + wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 481:135] + reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 377:55] + wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 481:148] wire _GEN_280 = _T_4043 & _T_4055; // @[Conditional.scala 39:67] wire _GEN_293 = _T_4039 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 438:104] - wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 438:78] - wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 438:94] + wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 439:104] + wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 439:94] wire _T_3823 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3846 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3850 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 480:48] - wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 480:104] - wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 480:91] - wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 480:77] - wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 480:135] - wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 480:148] + wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 481:48] + wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 481:104] + wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 481:91] + wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 481:77] + wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 481:135] + wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 481:148] wire _GEN_204 = _T_3850 & _T_3862; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3846 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 438:104] - wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 438:78] - wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 438:94] + wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 439:104] + wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 439:94] wire _T_3630 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3653 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3657 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 480:48] - wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 480:104] - wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 480:91] - wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 480:77] - wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 480:135] - wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 480:148] + wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 481:48] + wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 481:104] + wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 481:91] + wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 481:77] + wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 481:135] + wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 481:148] wire _GEN_128 = _T_3657 & _T_3669; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3653 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 438:104] - wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 438:78] - wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 438:94] + wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 439:104] + wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 439:94] wire _T_3437 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3460 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3464 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 480:48] - wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 480:104] - wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 480:91] - wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 480:77] - wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 480:135] - wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 480:148] + wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 481:48] + wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 481:104] + wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 481:91] + wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 481:77] + wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 481:135] + wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 481:148] wire _GEN_52 = _T_3464 & _T_3476; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3460 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 438:104] - wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 439:104] + wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 439:78] wire [3:0] buf_age_3 = {_T_2533,_T_2529,_T_2525,_T_2521}; // @[Cat.scala 29:58] - wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 439:91] - wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 439:106] - wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 439:91] - wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 439:106] - wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 439:91] - wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 439:106] + wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 440:91] + wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 440:91] + wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 440:91] + wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 440:106] wire [3:0] buf_age_younger_3 = {1'h0,_T_2634,_T_2628,_T_2622}; // @[Cat.scala 29:58] - wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 198:97] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 199:97] reg [31:0] ibuf_addr; // @[el2_lib.scala 491:16] - wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 204:51] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 205:51] reg ibuf_write; // @[Reg.scala 27:20] - wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 204:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 272:24] - wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 204:86] - wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 205:73] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 273:24] + wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 205:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 205:99] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] - wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 209:48] - wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 209:65] - wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 209:25 el2_lsu_bus_buffer.scala 209:25 el2_lsu_bus_buffer.scala 209:25 el2_lsu_bus_buffer.scala 209:25] - wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 198:150] - wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 198:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 525:60] - wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 438:78] - wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 438:78] - wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 438:78] - wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 210:48] + wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 210:65] + wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 199:150] + wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 199:148] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 526:60] + wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 439:78] wire [3:0] buf_age_2 = {_T_2514,_T_2510,_T_2506,_T_2502}; // @[Cat.scala 29:58] - wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 439:91] - wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 439:106] - wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 439:91] - wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 439:106] - wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 439:91] - wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 439:106] + wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 440:91] + wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 440:91] + wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 440:91] + wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 440:106] wire [3:0] buf_age_younger_2 = {_T_2613,1'h0,_T_2601,_T_2595}; // @[Cat.scala 29:58] - wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 198:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 525:60] - wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 438:78] - wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 438:78] - wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 438:78] - wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 438:78] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 199:148] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 526:60] + wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 439:78] wire [3:0] buf_age_1 = {_T_2495,_T_2491,_T_2487,_T_2483}; // @[Cat.scala 29:58] - wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 439:91] - wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 439:106] - wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 439:91] - wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 439:106] - wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 439:91] - wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 439:106] + wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 440:91] + wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 440:91] + wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 440:91] + wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 440:106] wire [3:0] buf_age_younger_1 = {_T_2586,_T_2580,1'h0,_T_2568}; // @[Cat.scala 29:58] - wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 198:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 525:60] - wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 438:78] - wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 438:78] - wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 438:78] - wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 438:78] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 199:148] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 526:60] + wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 439:78] wire [3:0] buf_age_0 = {_T_2476,_T_2472,_T_2468,_T_2464}; // @[Cat.scala 29:58] - wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 439:91] - wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 439:106] - wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 439:91] - wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 439:106] - wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 439:91] - wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 439:106] + wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 440:91] + wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 440:91] + wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 440:91] + wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 440:106] wire [3:0] buf_age_younger_0 = {_T_2559,_T_2553,_T_2547,1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 199:148] wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] - wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 190:73] - wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 190:77] - wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 193:114] - wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 193:114] - wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 193:114] - wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 191:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 191:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] - wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 198:150] - wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 198:148] - wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 198:148] - wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 198:148] - wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 199:150] + wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 199:148] wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] - wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 190:73] - wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 190:77] - wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 193:114] - wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 193:114] - wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 193:114] - wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 191:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 191:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] - wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 198:150] - wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 198:148] - wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 198:148] - wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 198:148] - wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 199:150] + wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 199:148] wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] - wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 190:73] - wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 190:77] - wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 193:114] - wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 193:114] - wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 193:114] - wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 193:95] - wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 191:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 191:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] - wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 198:150] - wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 198:148] - wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 198:148] - wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 198:148] - wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 198:122] - wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 198:144] - wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 198:99] - wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 198:97] - wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 199:150] + wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 199:148] wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] - wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 190:73] - wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 190:77] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 191:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 191:77] wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] - wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 195:114] wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] - wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 205:51] - wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 205:73] - wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 205:86] - wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 210:48] - wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 210:65] - wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25] - wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 199:150] - wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 206:51] + wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 206:73] + wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 206:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 211:48] + wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 211:65] + wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 211:25 el2_lsu_bus_buffer.scala 211:25 el2_lsu_bus_buffer.scala 211:25 el2_lsu_bus_buffer.scala 211:25] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 200:150] + wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 200:148] wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] - wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 191:73] - wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 191:77] - wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 192:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 192:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 195:114] wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] - wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 199:150] - wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 200:150] + wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 200:148] wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] - wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 191:73] - wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 191:77] - wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 192:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 192:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 195:114] wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] - wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 199:150] - wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 200:150] + wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 200:148] wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] - wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 191:73] - wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 191:77] - wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 192:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 192:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 195:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 195:114] wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] - wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 199:150] - wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 200:150] + wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 200:122] + wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 200:144] + wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 200:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 200:97] + wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 200:148] wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] - wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 191:73] - wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 191:77] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 192:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 192:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4297; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_0 = {{28'd0}, _T_4297}; // @[el2_lsu_bus_buffer.scala 212:22 el2_lsu_bus_buffer.scala 213:12 el2_lsu_bus_buffer.scala 542:14] - wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 216:91] - wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] + wire [31:0] buf_data_0 = {{28'd0}, _T_4297}; // @[el2_lsu_bus_buffer.scala 213:22 el2_lsu_bus_buffer.scala 214:12 el2_lsu_bus_buffer.scala 543:14] + wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 217:91] + wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 217:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4298; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_1 = {{28'd0}, _T_4298}; // @[el2_lsu_bus_buffer.scala 212:22 el2_lsu_bus_buffer.scala 213:12 el2_lsu_bus_buffer.scala 542:14] - wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 216:91] - wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] + wire [31:0] buf_data_1 = {{28'd0}, _T_4298}; // @[el2_lsu_bus_buffer.scala 213:22 el2_lsu_bus_buffer.scala 214:12 el2_lsu_bus_buffer.scala 543:14] + wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 217:91] + wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 217:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4299; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_2 = {{28'd0}, _T_4299}; // @[el2_lsu_bus_buffer.scala 212:22 el2_lsu_bus_buffer.scala 213:12 el2_lsu_bus_buffer.scala 542:14] - wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 216:91] - wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] + wire [31:0] buf_data_2 = {{28'd0}, _T_4299}; // @[el2_lsu_bus_buffer.scala 213:22 el2_lsu_bus_buffer.scala 214:12 el2_lsu_bus_buffer.scala 543:14] + wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 217:91] + wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 217:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4300; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_3 = {{28'd0}, _T_4300}; // @[el2_lsu_bus_buffer.scala 212:22 el2_lsu_bus_buffer.scala 213:12 el2_lsu_bus_buffer.scala 542:14] - wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 216:91] - wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] - wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 216:123] - wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 216:123] - wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 216:123] + wire [31:0] buf_data_3 = {{28'd0}, _T_4300}; // @[el2_lsu_bus_buffer.scala 213:22 el2_lsu_bus_buffer.scala 214:12 el2_lsu_bus_buffer.scala 543:14] + wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 217:91] + wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 217:91] + wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 217:123] + wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 217:123] + wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 217:123] wire [7:0] _T_577 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 218:65] wire [7:0] _T_582 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 218:65] wire [7:0] _T_587 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 218:65] wire [7:0] _T_592 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] - wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 217:97] - wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 217:97] - wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 217:97] + wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 218:97] + wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 218:97] + wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 218:97] wire [7:0] _T_600 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 219:65] wire [7:0] _T_605 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 219:65] wire [7:0] _T_610 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 219:65] wire [7:0] _T_615 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] - wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 218:96] - wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 218:96] - wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 218:96] + wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 219:96] + wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 219:96] + wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 219:96] wire [7:0] _T_623 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 220:65] wire [7:0] _T_628 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 220:65] wire [7:0] _T_633 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 220:65] wire [7:0] _T_638 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] - wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 219:95] - wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 219:95] - wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 219:95] + wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 220:65] + wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 220:95] + wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 220:95] + wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 220:95] wire [32:0] _T_646 = {_T_574,_T_597,_T_620,_T_643}; // @[Cat.scala 29:58] wire [7:0] _T_649 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 221:91] - wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] wire [7:0] _T_654 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 221:91] - wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] wire [7:0] _T_659 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 221:91] - wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] wire [7:0] _T_664 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 221:91] - wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] - wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 221:123] - wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 221:123] - wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 221:123] + wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 222:123] + wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 222:123] + wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 222:123] wire [7:0] _T_672 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_677 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_682 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_687 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] - wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 222:97] - wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 222:97] - wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 222:97] + wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 223:97] + wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 223:97] + wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 223:97] wire [7:0] _T_695 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_700 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_705 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_710 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] - wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 223:96] - wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 223:96] - wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 223:96] + wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 224:96] + wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 224:96] + wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 224:96] wire [7:0] _T_718 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] wire [7:0] _T_723 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] wire [7:0] _T_728 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] wire [7:0] _T_733 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] - wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 224:95] - wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 224:95] - wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 224:95] + wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 225:95] + wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 225:95] + wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 225:95] wire [32:0] _T_741 = {_T_669,_T_692,_T_715,_T_738}; // @[Cat.scala 29:58] wire [3:0] _T_742 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_743 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] @@ -872,224 +873,224 @@ module el2_lsu_bus_buffer( wire [3:0] _T_745 = _T_742 | _T_743; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_745 | _T_744; // @[Mux.scala 27:72] wire [7:0] _T_747 = {4'h0,ldst_byteen_r}; // @[Cat.scala 29:58] - wire [10:0] _GEN_362 = {{3'd0}, _T_747}; // @[el2_lsu_bus_buffer.scala 230:45] - wire [10:0] byteen = _GEN_362 << io_lsu_addr_r[1:0]; // @[el2_lsu_bus_buffer.scala 230:45] - wire [3:0] ldst_byteen_hi_r = byteen[7:4]; // @[el2_lsu_bus_buffer.scala 231:32] - wire [3:0] ldst_byteen_lo_r = byteen[3:0]; // @[el2_lsu_bus_buffer.scala 232:32] + wire [10:0] _GEN_362 = {{3'd0}, _T_747}; // @[el2_lsu_bus_buffer.scala 231:45] + wire [10:0] byteen = _GEN_362 << io_lsu_addr_r[1:0]; // @[el2_lsu_bus_buffer.scala 231:45] + wire [3:0] ldst_byteen_hi_r = byteen[7:4]; // @[el2_lsu_bus_buffer.scala 232:32] + wire [3:0] ldst_byteen_lo_r = byteen[3:0]; // @[el2_lsu_bus_buffer.scala 233:32] wire [63:0] _T_749 = {32'h0,io_store_data_r}; // @[Cat.scala 29:58] - wire [3:0] _GEN_363 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[el2_lsu_bus_buffer.scala 233:58] - wire [5:0] _T_751 = 4'h8 * _GEN_363; // @[el2_lsu_bus_buffer.scala 233:58] - wire [126:0] _GEN_364 = {{63'd0}, _T_749}; // @[el2_lsu_bus_buffer.scala 233:52] - wire [126:0] store_data = _GEN_364 << _T_751; // @[el2_lsu_bus_buffer.scala 233:52] - wire [31:0] store_data_hi_r = store_data[63:32]; // @[el2_lsu_bus_buffer.scala 234:35] - wire [31:0] store_data_lo_r = store_data[31:0]; // @[el2_lsu_bus_buffer.scala 235:35] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 236:40] - wire _T_755 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 237:74] - wire _T_757 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 238:26] + wire [3:0] _GEN_363 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[el2_lsu_bus_buffer.scala 234:58] + wire [5:0] _T_751 = 4'h8 * _GEN_363; // @[el2_lsu_bus_buffer.scala 234:58] + wire [126:0] _GEN_364 = {{63'd0}, _T_749}; // @[el2_lsu_bus_buffer.scala 234:52] + wire [126:0] store_data = _GEN_364 << _T_751; // @[el2_lsu_bus_buffer.scala 234:52] + wire [31:0] store_data_hi_r = store_data[63:32]; // @[el2_lsu_bus_buffer.scala 235:35] + wire [31:0] store_data_lo_r = store_data[31:0]; // @[el2_lsu_bus_buffer.scala 236:35] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 237:40] + wire _T_755 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 238:74] + wire _T_757 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 239:26] wire _T_758 = io_lsu_pkt_r_word & _T_755; // @[Mux.scala 27:72] wire _T_759 = io_lsu_pkt_r_half & _T_757; // @[Mux.scala 27:72] wire _T_761 = _T_758 | _T_759; // @[Mux.scala 27:72] wire is_aligned_r = _T_761 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] - wire _T_763 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 240:55] - wire _T_764 = io_lsu_busreq_r & _T_763; // @[el2_lsu_bus_buffer.scala 240:34] - wire _T_765 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 240:79] - wire ibuf_byp = _T_764 & _T_765; // @[el2_lsu_bus_buffer.scala 240:77] - wire _T_766 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 241:36] - wire _T_767 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 241:56] - wire ibuf_wr_en = _T_766 & _T_767; // @[el2_lsu_bus_buffer.scala 241:54] - wire _T_768 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 243:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 285:59] - wire _T_777 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 249:62] - wire _T_778 = ibuf_wr_en | _T_777; // @[el2_lsu_bus_buffer.scala 249:48] - wire _T_834 = _T_766 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 267:54] - wire _T_835 = _T_834 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 267:75] - wire _T_836 = _T_835 & ibuf_write; // @[el2_lsu_bus_buffer.scala 267:88] - wire _T_839 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 267:124] - wire _T_840 = _T_836 & _T_839; // @[el2_lsu_bus_buffer.scala 267:101] - wire _T_841 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 267:147] - wire _T_842 = _T_840 & _T_841; // @[el2_lsu_bus_buffer.scala 267:145] - wire _T_843 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 267:170] - wire ibuf_merge_en = _T_842 & _T_843; // @[el2_lsu_bus_buffer.scala 267:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 268:20] - wire _T_779 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 249:98] - wire _T_780 = ~_T_779; // @[el2_lsu_bus_buffer.scala 249:82] - wire _T_781 = _T_778 & _T_780; // @[el2_lsu_bus_buffer.scala 249:80] - wire _T_782 = _T_781 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 250:5] - wire _T_770 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 244:44] - wire _T_771 = io_lsu_busreq_m & _T_770; // @[el2_lsu_bus_buffer.scala 244:42] - wire _T_772 = _T_771 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 244:61] - wire _T_775 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 244:115] - wire _T_776 = io_lsu_pkt_m_load | _T_775; // @[el2_lsu_bus_buffer.scala 244:95] - wire ibuf_force_drain = _T_772 & _T_776; // @[el2_lsu_bus_buffer.scala 244:74] - wire _T_783 = _T_782 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 250:16] + wire _T_763 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 241:55] + wire _T_764 = io_lsu_busreq_r & _T_763; // @[el2_lsu_bus_buffer.scala 241:34] + wire _T_765 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 241:79] + wire ibuf_byp = _T_764 & _T_765; // @[el2_lsu_bus_buffer.scala 241:77] + wire _T_766 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 242:36] + wire _T_767 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 242:56] + wire ibuf_wr_en = _T_766 & _T_767; // @[el2_lsu_bus_buffer.scala 242:54] + wire _T_768 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 244:36] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 286:59] + wire _T_777 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 250:62] + wire _T_778 = ibuf_wr_en | _T_777; // @[el2_lsu_bus_buffer.scala 250:48] + wire _T_834 = _T_766 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 268:54] + wire _T_835 = _T_834 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 268:75] + wire _T_836 = _T_835 & ibuf_write; // @[el2_lsu_bus_buffer.scala 268:88] + wire _T_839 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 268:124] + wire _T_840 = _T_836 & _T_839; // @[el2_lsu_bus_buffer.scala 268:101] + wire _T_841 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 268:147] + wire _T_842 = _T_840 & _T_841; // @[el2_lsu_bus_buffer.scala 268:145] + wire _T_843 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 268:170] + wire ibuf_merge_en = _T_842 & _T_843; // @[el2_lsu_bus_buffer.scala 268:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 269:20] + wire _T_779 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 250:98] + wire _T_780 = ~_T_779; // @[el2_lsu_bus_buffer.scala 250:82] + wire _T_781 = _T_778 & _T_780; // @[el2_lsu_bus_buffer.scala 250:80] + wire _T_782 = _T_781 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 251:5] + wire _T_770 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 245:44] + wire _T_771 = io_lsu_busreq_m & _T_770; // @[el2_lsu_bus_buffer.scala 245:42] + wire _T_772 = _T_771 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 245:61] + wire _T_775 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 245:115] + wire _T_776 = io_lsu_pkt_m_load | _T_775; // @[el2_lsu_bus_buffer.scala 245:95] + wire ibuf_force_drain = _T_772 & _T_776; // @[el2_lsu_bus_buffer.scala 245:74] + wire _T_783 = _T_782 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 251:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_784 = _T_783 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 250:35] - wire _T_785 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 250:55] - wire _T_786 = _T_784 | _T_785; // @[el2_lsu_bus_buffer.scala 250:53] - wire _T_787 = _T_786 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 250:67] - wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 249:32] - wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 243:34] - wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 243:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 641:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 640:49] + wire _T_784 = _T_783 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 251:35] + wire _T_785 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 251:55] + wire _T_786 = _T_784 | _T_785; // @[el2_lsu_bus_buffer.scala 251:53] + wire _T_787 = _T_786 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 251:67] + wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 250:32] + wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 244:34] + wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 244:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 642:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 641:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] - wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 259:77] + wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 260:77] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] - wire [7:0] _T_802 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 264:8] - wire [7:0] _T_804 = _T_779 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 263:46] - wire [7:0] _T_809 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 264:8] - wire [7:0] _T_811 = _T_779 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 263:46] - wire [7:0] _T_816 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 264:8] - wire [7:0] _T_818 = _T_779 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 263:46] - wire [7:0] _T_823 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 264:8] - wire [7:0] _T_825 = _T_779 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 263:46] + wire [7:0] _T_802 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 265:8] + wire [7:0] _T_804 = _T_779 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 264:46] + wire [7:0] _T_809 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 265:8] + wire [7:0] _T_811 = _T_779 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 264:46] + wire [7:0] _T_816 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 265:8] + wire [7:0] _T_818 = _T_779 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 264:46] + wire [7:0] _T_823 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 265:8] + wire [7:0] _T_825 = _T_779 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 264:46] wire [23:0] _T_827 = {_T_825,_T_818,_T_811}; // @[Cat.scala 29:58] - wire _T_828 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 265:59] - wire [2:0] _T_831 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 265:93] - wire _T_846 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 269:65] - wire _T_847 = ibuf_merge_en & _T_846; // @[el2_lsu_bus_buffer.scala 269:63] - wire _T_850 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 269:96] - wire _T_852 = _T_847 ? _T_850 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 269:48] - wire _T_857 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 269:96] - wire _T_859 = _T_847 ? _T_857 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 269:48] - wire _T_864 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 269:96] - wire _T_866 = _T_847 ? _T_864 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 269:48] - wire _T_871 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 269:96] - wire _T_873 = _T_847 ? _T_871 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 269:48] + wire _T_828 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 266:59] + wire [2:0] _T_831 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 266:93] + wire _T_846 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 270:65] + wire _T_847 = ibuf_merge_en & _T_846; // @[el2_lsu_bus_buffer.scala 270:63] + wire _T_850 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 270:96] + wire _T_852 = _T_847 ? _T_850 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 270:48] + wire _T_857 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 270:96] + wire _T_859 = _T_847 ? _T_857 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 270:48] + wire _T_864 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 270:96] + wire _T_866 = _T_847 ? _T_864 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 270:48] + wire _T_871 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 270:96] + wire _T_873 = _T_847 ? _T_871 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 270:48] wire [3:0] ibuf_byteen_out = {_T_873,_T_866,_T_859,_T_852}; // @[Cat.scala 29:58] - wire [7:0] _T_883 = _T_847 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 270:45] - wire [7:0] _T_891 = _T_847 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 270:45] - wire [7:0] _T_899 = _T_847 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 270:45] - wire [7:0] _T_907 = _T_847 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 270:45] + wire [7:0] _T_883 = _T_847 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 271:45] + wire [7:0] _T_891 = _T_847 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 271:45] + wire [7:0] _T_899 = _T_847 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 271:45] + wire [7:0] _T_907 = _T_847 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 271:45] wire [31:0] ibuf_data_out = {_T_907,_T_899,_T_891,_T_883}; // @[Cat.scala 29:58] - wire _T_910 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 272:28] - wire _T_911 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 272:63] - wire _T_916 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 273:89] + wire _T_910 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 273:28] + wire _T_911 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 273:63] + wire _T_916 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 274:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4347 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 546:64] - wire _T_4348 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 546:91] - wire _T_4349 = _T_4347 & _T_4348; // @[el2_lsu_bus_buffer.scala 546:89] - wire _T_4342 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 546:64] - wire _T_4343 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 546:91] - wire _T_4344 = _T_4342 & _T_4343; // @[el2_lsu_bus_buffer.scala 546:89] - wire [1:0] _T_4350 = _T_4349 + _T_4344; // @[el2_lsu_bus_buffer.scala 546:142] - wire _T_4337 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 546:64] - wire _T_4338 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 546:91] - wire _T_4339 = _T_4337 & _T_4338; // @[el2_lsu_bus_buffer.scala 546:89] - wire [1:0] _GEN_365 = {{1'd0}, _T_4339}; // @[el2_lsu_bus_buffer.scala 546:142] - wire [2:0] _T_4351 = _T_4350 + _GEN_365; // @[el2_lsu_bus_buffer.scala 546:142] - wire _T_4332 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 546:64] - wire _T_4333 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 546:91] - wire _T_4334 = _T_4332 & _T_4333; // @[el2_lsu_bus_buffer.scala 546:89] - wire [2:0] _GEN_366 = {{2'd0}, _T_4334}; // @[el2_lsu_bus_buffer.scala 546:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4351 + _GEN_366; // @[el2_lsu_bus_buffer.scala 546:142] - wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 295:43] - wire _T_4364 = _T_2531 & _T_4348; // @[el2_lsu_bus_buffer.scala 547:73] - wire _T_4361 = _T_2527 & _T_4343; // @[el2_lsu_bus_buffer.scala 547:73] - wire [1:0] _T_4365 = _T_4364 + _T_4361; // @[el2_lsu_bus_buffer.scala 547:126] - wire _T_4358 = _T_2523 & _T_4338; // @[el2_lsu_bus_buffer.scala 547:73] - wire [1:0] _GEN_367 = {{1'd0}, _T_4358}; // @[el2_lsu_bus_buffer.scala 547:126] - wire [2:0] _T_4366 = _T_4365 + _GEN_367; // @[el2_lsu_bus_buffer.scala 547:126] - wire _T_4355 = _T_2519 & _T_4333; // @[el2_lsu_bus_buffer.scala 547:73] - wire [2:0] _GEN_368 = {{2'd0}, _T_4355}; // @[el2_lsu_bus_buffer.scala 547:126] - wire [3:0] buf_numvld_cmd_any = _T_4366 + _GEN_368; // @[el2_lsu_bus_buffer.scala 547:126] - wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 295:72] - wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 295:51] - reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 392:54] - wire _T_945 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 295:97] - wire _T_946 = _T_944 & _T_945; // @[el2_lsu_bus_buffer.scala 295:80] - wire _T_948 = _T_946 & _T_843; // @[el2_lsu_bus_buffer.scala 295:114] + wire _T_4350 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 547:64] + wire _T_4351 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 547:91] + wire _T_4352 = _T_4350 & _T_4351; // @[el2_lsu_bus_buffer.scala 547:89] + wire _T_4345 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 547:64] + wire _T_4346 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 547:91] + wire _T_4347 = _T_4345 & _T_4346; // @[el2_lsu_bus_buffer.scala 547:89] + wire [1:0] _T_4353 = _T_4352 + _T_4347; // @[el2_lsu_bus_buffer.scala 547:142] + wire _T_4340 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 547:64] + wire _T_4341 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 547:91] + wire _T_4342 = _T_4340 & _T_4341; // @[el2_lsu_bus_buffer.scala 547:89] + wire [1:0] _GEN_365 = {{1'd0}, _T_4342}; // @[el2_lsu_bus_buffer.scala 547:142] + wire [2:0] _T_4354 = _T_4353 + _GEN_365; // @[el2_lsu_bus_buffer.scala 547:142] + wire _T_4335 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 547:64] + wire _T_4336 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 547:91] + wire _T_4337 = _T_4335 & _T_4336; // @[el2_lsu_bus_buffer.scala 547:89] + wire [2:0] _GEN_366 = {{2'd0}, _T_4337}; // @[el2_lsu_bus_buffer.scala 547:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4354 + _GEN_366; // @[el2_lsu_bus_buffer.scala 547:142] + wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 296:43] + wire _T_4367 = _T_2531 & _T_4351; // @[el2_lsu_bus_buffer.scala 548:73] + wire _T_4364 = _T_2527 & _T_4346; // @[el2_lsu_bus_buffer.scala 548:73] + wire [1:0] _T_4368 = _T_4367 + _T_4364; // @[el2_lsu_bus_buffer.scala 548:126] + wire _T_4361 = _T_2523 & _T_4341; // @[el2_lsu_bus_buffer.scala 548:73] + wire [1:0] _GEN_367 = {{1'd0}, _T_4361}; // @[el2_lsu_bus_buffer.scala 548:126] + wire [2:0] _T_4369 = _T_4368 + _GEN_367; // @[el2_lsu_bus_buffer.scala 548:126] + wire _T_4358 = _T_2519 & _T_4336; // @[el2_lsu_bus_buffer.scala 548:73] + wire [2:0] _GEN_368 = {{2'd0}, _T_4358}; // @[el2_lsu_bus_buffer.scala 548:126] + wire [3:0] buf_numvld_cmd_any = _T_4369 + _GEN_368; // @[el2_lsu_bus_buffer.scala 548:126] + wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 296:72] + wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 296:51] + reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 393:54] + wire _T_945 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 296:97] + wire _T_946 = _T_944 & _T_945; // @[el2_lsu_bus_buffer.scala 296:80] + wire _T_948 = _T_946 & _T_843; // @[el2_lsu_bus_buffer.scala 296:114] reg buf_nomerge_0; // @[Reg.scala 27:20] reg buf_nomerge_1; // @[Reg.scala 27:20] reg buf_nomerge_2; // @[Reg.scala 27:20] reg buf_nomerge_3; // @[Reg.scala 27:20] - wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 296:31] - wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 296:29] + wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 297:31] + wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 297:29] reg _T_4239; // @[Reg.scala 27:20] reg _T_4236; // @[Reg.scala 27:20] reg _T_4233; // @[Reg.scala 27:20] reg _T_4230; // @[Reg.scala 27:20] wire [3:0] buf_sideeffect = {_T_4239,_T_4236,_T_4233,_T_4230}; // @[Cat.scala 29:58] - wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 297:5] - wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 296:140] - wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 299:58] - wire _T_993 = _T_991 & _T_943; // @[el2_lsu_bus_buffer.scala 299:72] - wire _T_1011 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 299:123] - wire obuf_force_wr_en = _T_993 & _T_1011; // @[el2_lsu_bus_buffer.scala 299:101] - wire _T_981 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 297:119] - wire obuf_wr_wait = _T_980 & _T_981; // @[el2_lsu_bus_buffer.scala 297:117] - wire _T_982 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 298:75] - wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 298:95] - wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 298:79] - wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 298:121] - wire _T_4383 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 548:63] - wire _T_4387 = _T_4383 | _T_4364; // @[el2_lsu_bus_buffer.scala 548:74] - wire _T_4378 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 548:63] - wire _T_4382 = _T_4378 | _T_4361; // @[el2_lsu_bus_buffer.scala 548:74] - wire [1:0] _T_4388 = _T_4387 + _T_4382; // @[el2_lsu_bus_buffer.scala 548:154] - wire _T_4373 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 548:63] - wire _T_4377 = _T_4373 | _T_4358; // @[el2_lsu_bus_buffer.scala 548:74] - wire [1:0] _GEN_369 = {{1'd0}, _T_4377}; // @[el2_lsu_bus_buffer.scala 548:154] - wire [2:0] _T_4389 = _T_4388 + _GEN_369; // @[el2_lsu_bus_buffer.scala 548:154] - wire _T_4368 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 548:63] - wire _T_4372 = _T_4368 | _T_4355; // @[el2_lsu_bus_buffer.scala 548:74] - wire [2:0] _GEN_370 = {{2'd0}, _T_4372}; // @[el2_lsu_bus_buffer.scala 548:154] - wire [3:0] buf_numvld_pend_any = _T_4389 + _GEN_370; // @[el2_lsu_bus_buffer.scala 548:154] - wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 301:53] - wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 301:31] - wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 301:64] - wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 301:84] - wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 301:61] - wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 316:32] - wire _T_4679 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 577:62] - wire _T_4681 = _T_4679 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 577:73] - wire _T_4682 = _T_4681 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 577:93] - wire _T_4683 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 577:62] - wire _T_4685 = _T_4683 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 577:73] - wire _T_4686 = _T_4685 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 577:93] - wire _T_4695 = _T_4682 | _T_4686; // @[el2_lsu_bus_buffer.scala 577:141] - wire _T_4687 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 577:62] - wire _T_4689 = _T_4687 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 577:73] - wire _T_4690 = _T_4689 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 577:93] - wire _T_4696 = _T_4695 | _T_4690; // @[el2_lsu_bus_buffer.scala 577:141] - wire _T_4691 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 577:62] - wire _T_4693 = _T_4691 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 577:73] - wire _T_4694 = _T_4693 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 577:93] - wire bus_sideeffect_pend = _T_4696 | _T_4694; // @[el2_lsu_bus_buffer.scala 577:141] - wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 316:74] - wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 316:52] - wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 316:50] - wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 404:58] - wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 404:45] - wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 404:63] - wire _T_1909 = _T_1907 & _T_4348; // @[el2_lsu_bus_buffer.scala 404:88] - wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 404:58] - wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 404:45] - wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 404:63] - wire _T_1903 = _T_1901 & _T_4343; // @[el2_lsu_bus_buffer.scala 404:88] - wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 404:58] - wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 404:45] - wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 404:63] - wire _T_1897 = _T_1895 & _T_4338; // @[el2_lsu_bus_buffer.scala 404:88] - wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 404:58] - wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 404:45] - wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 404:63] - wire _T_1891 = _T_1889 & _T_4333; // @[el2_lsu_bus_buffer.scala 404:88] + wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 298:5] + wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 297:140] + wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 300:58] + wire _T_993 = _T_991 & _T_943; // @[el2_lsu_bus_buffer.scala 300:72] + wire _T_1011 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 300:123] + wire obuf_force_wr_en = _T_993 & _T_1011; // @[el2_lsu_bus_buffer.scala 300:101] + wire _T_981 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 298:119] + wire obuf_wr_wait = _T_980 & _T_981; // @[el2_lsu_bus_buffer.scala 298:117] + wire _T_982 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 299:75] + wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 299:95] + wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 299:79] + wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 299:121] + wire _T_4386 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 549:63] + wire _T_4390 = _T_4386 | _T_4367; // @[el2_lsu_bus_buffer.scala 549:74] + wire _T_4381 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 549:63] + wire _T_4385 = _T_4381 | _T_4364; // @[el2_lsu_bus_buffer.scala 549:74] + wire [1:0] _T_4391 = _T_4390 + _T_4385; // @[el2_lsu_bus_buffer.scala 549:154] + wire _T_4376 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 549:63] + wire _T_4380 = _T_4376 | _T_4361; // @[el2_lsu_bus_buffer.scala 549:74] + wire [1:0] _GEN_369 = {{1'd0}, _T_4380}; // @[el2_lsu_bus_buffer.scala 549:154] + wire [2:0] _T_4392 = _T_4391 + _GEN_369; // @[el2_lsu_bus_buffer.scala 549:154] + wire _T_4371 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 549:63] + wire _T_4375 = _T_4371 | _T_4358; // @[el2_lsu_bus_buffer.scala 549:74] + wire [2:0] _GEN_370 = {{2'd0}, _T_4375}; // @[el2_lsu_bus_buffer.scala 549:154] + wire [3:0] buf_numvld_pend_any = _T_4392 + _GEN_370; // @[el2_lsu_bus_buffer.scala 549:154] + wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 302:53] + wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 302:31] + wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 302:64] + wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 302:84] + wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 302:61] + wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 317:32] + wire _T_4682 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 578:62] + wire _T_4684 = _T_4682 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 578:73] + wire _T_4685 = _T_4684 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 578:93] + wire _T_4686 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 578:62] + wire _T_4688 = _T_4686 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 578:73] + wire _T_4689 = _T_4688 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 578:93] + wire _T_4698 = _T_4685 | _T_4689; // @[el2_lsu_bus_buffer.scala 578:141] + wire _T_4690 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 578:62] + wire _T_4692 = _T_4690 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 578:73] + wire _T_4693 = _T_4692 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 578:93] + wire _T_4699 = _T_4698 | _T_4693; // @[el2_lsu_bus_buffer.scala 578:141] + wire _T_4694 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 578:62] + wire _T_4696 = _T_4694 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 578:73] + wire _T_4697 = _T_4696 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 578:93] + wire bus_sideeffect_pend = _T_4699 | _T_4697; // @[el2_lsu_bus_buffer.scala 578:141] + wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 317:74] + wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 317:52] + wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 317:50] + wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 405:58] + wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 405:45] + wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 405:63] + wire _T_1909 = _T_1907 & _T_4351; // @[el2_lsu_bus_buffer.scala 405:88] + wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 405:58] + wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 405:45] + wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 405:63] + wire _T_1903 = _T_1901 & _T_4346; // @[el2_lsu_bus_buffer.scala 405:88] + wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 405:58] + wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 405:45] + wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 405:63] + wire _T_1897 = _T_1895 & _T_4341; // @[el2_lsu_bus_buffer.scala 405:88] + wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 405:58] + wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 405:45] + wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 405:63] + wire _T_1891 = _T_1889 & _T_4336; // @[el2_lsu_bus_buffer.scala 405:88] wire [3:0] CmdPtr0Dec = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 409:31] - wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 317:47] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 410:31] + wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 318:47] wire [3:0] _T_1037 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] - wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 318:23] - wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 318:21] - wire _T_1072 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 318:141] - wire _T_1073 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 318:105] - wire _T_1074 = _T_1055 & _T_1073; // @[el2_lsu_bus_buffer.scala 318:103] + wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 319:23] + wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 319:21] + wire _T_1072 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 319:141] + wire _T_1073 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 319:105] + wire _T_1074 = _T_1055 & _T_1073; // @[el2_lsu_bus_buffer.scala 319:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] @@ -1100,425 +1101,425 @@ module el2_lsu_bus_buffer( reg buf_samedw_1; // @[Reg.scala 27:20] reg buf_samedw_0; // @[Reg.scala 27:20] wire [3:0] _T_1096 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] - wire _T_1113 = _T_1077[0] & _T_1096[0]; // @[el2_lsu_bus_buffer.scala 319:77] - wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 319:150] - wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 319:148] - wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 319:8] - wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 405:62] - wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 405:59] - wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 405:76] - wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 405:45] - wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 405:83] - wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 405:81] - wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 405:98] - wire _T_1955 = _T_1953 & _T_4348; // @[el2_lsu_bus_buffer.scala 405:123] - wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 405:59] - wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 405:76] - wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 405:45] - wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 405:83] - wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 405:81] - wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 405:98] - wire _T_1944 = _T_1942 & _T_4343; // @[el2_lsu_bus_buffer.scala 405:123] - wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 405:59] - wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 405:76] - wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 405:45] - wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 405:83] - wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 405:81] - wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 405:98] - wire _T_1933 = _T_1931 & _T_4338; // @[el2_lsu_bus_buffer.scala 405:123] - wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 405:59] - wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 405:76] - wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 405:45] - wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 405:83] - wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 405:81] - wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 405:98] - wire _T_1922 = _T_1920 & _T_4333; // @[el2_lsu_bus_buffer.scala 405:123] + wire _T_1113 = _T_1077[0] & _T_1096[0]; // @[el2_lsu_bus_buffer.scala 320:77] + wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 320:150] + wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 320:148] + wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 320:8] + wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 406:62] + wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] + wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 406:76] + wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 406:45] + wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 406:83] + wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 406:81] + wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 406:98] + wire _T_1955 = _T_1953 & _T_4351; // @[el2_lsu_bus_buffer.scala 406:123] + wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] + wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 406:76] + wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 406:45] + wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 406:83] + wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 406:81] + wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 406:98] + wire _T_1944 = _T_1942 & _T_4346; // @[el2_lsu_bus_buffer.scala 406:123] + wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] + wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 406:76] + wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 406:45] + wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 406:83] + wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 406:81] + wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 406:98] + wire _T_1933 = _T_1931 & _T_4341; // @[el2_lsu_bus_buffer.scala 406:123] + wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] + wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 406:76] + wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 406:45] + wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 406:83] + wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 406:81] + wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 406:98] + wire _T_1922 = _T_1920 & _T_4336; // @[el2_lsu_bus_buffer.scala 406:123] wire [3:0] CmdPtr1Dec = {_T_1955,_T_1944,_T_1933,_T_1922}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 410:31] - wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 319:181] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 411:31] + wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 320:181] wire [3:0] _T_1136 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] - wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 319:197] - wire _T_1154 = _T_1153 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 319:269] - wire _T_1155 = _T_1074 & _T_1154; // @[el2_lsu_bus_buffer.scala 318:164] - wire _T_1156 = _T_1020 | _T_1155; // @[el2_lsu_bus_buffer.scala 316:98] + wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 320:197] + wire _T_1154 = _T_1153 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 320:269] + wire _T_1155 = _T_1074 & _T_1154; // @[el2_lsu_bus_buffer.scala 319:164] + wire _T_1156 = _T_1020 | _T_1155; // @[el2_lsu_bus_buffer.scala 317:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 379:54] - reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 380:55] - wire _T_4754 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 581:54] - wire _T_4755 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 581:75] - wire _T_4757 = _T_4754 ? _T_4755 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 581:39] - wire bus_cmd_ready = obuf_write ? _T_4757 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 581:23] - wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 320:48] - wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 320:46] + reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 380:54] + reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 381:55] + wire _T_4757 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 582:54] + wire _T_4758 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 582:75] + wire _T_4760 = _T_4757 ? _T_4758 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 582:39] + wire bus_cmd_ready = obuf_write ? _T_4760 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 582:23] + wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 321:48] + wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 321:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1159 = _T_1158 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 320:60] - wire _T_1160 = _T_1156 & _T_1159; // @[el2_lsu_bus_buffer.scala 320:29] - wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 320:77] - wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 320:75] + wire _T_1159 = _T_1158 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 321:60] + wire _T_1160 = _T_1156 & _T_1159; // @[el2_lsu_bus_buffer.scala 321:29] + wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 321:77] + wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 321:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4702 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 579:56] - wire _T_4703 = obuf_valid & _T_4702; // @[el2_lsu_bus_buffer.scala 579:38] - wire _T_4705 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 579:126] - wire _T_4706 = obuf_merge & _T_4705; // @[el2_lsu_bus_buffer.scala 579:114] - wire _T_4707 = _T_3471 | _T_4706; // @[el2_lsu_bus_buffer.scala 579:100] - wire _T_4708 = ~_T_4707; // @[el2_lsu_bus_buffer.scala 579:80] - wire _T_4709 = _T_4703 & _T_4708; // @[el2_lsu_bus_buffer.scala 579:78] - wire _T_4746 = _T_4679 & _T_4709; // @[Mux.scala 27:72] - wire _T_4714 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 579:56] - wire _T_4715 = obuf_valid & _T_4714; // @[el2_lsu_bus_buffer.scala 579:38] - wire _T_4717 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 579:126] - wire _T_4718 = obuf_merge & _T_4717; // @[el2_lsu_bus_buffer.scala 579:114] - wire _T_4719 = _T_3664 | _T_4718; // @[el2_lsu_bus_buffer.scala 579:100] - wire _T_4720 = ~_T_4719; // @[el2_lsu_bus_buffer.scala 579:80] - wire _T_4721 = _T_4715 & _T_4720; // @[el2_lsu_bus_buffer.scala 579:78] - wire _T_4747 = _T_4683 & _T_4721; // @[Mux.scala 27:72] - wire _T_4750 = _T_4746 | _T_4747; // @[Mux.scala 27:72] - wire _T_4726 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 579:56] - wire _T_4727 = obuf_valid & _T_4726; // @[el2_lsu_bus_buffer.scala 579:38] - wire _T_4729 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 579:126] - wire _T_4730 = obuf_merge & _T_4729; // @[el2_lsu_bus_buffer.scala 579:114] - wire _T_4731 = _T_3857 | _T_4730; // @[el2_lsu_bus_buffer.scala 579:100] - wire _T_4732 = ~_T_4731; // @[el2_lsu_bus_buffer.scala 579:80] - wire _T_4733 = _T_4727 & _T_4732; // @[el2_lsu_bus_buffer.scala 579:78] - wire _T_4748 = _T_4687 & _T_4733; // @[Mux.scala 27:72] - wire _T_4751 = _T_4750 | _T_4748; // @[Mux.scala 27:72] - wire _T_4738 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 579:56] - wire _T_4739 = obuf_valid & _T_4738; // @[el2_lsu_bus_buffer.scala 579:38] - wire _T_4741 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 579:126] - wire _T_4742 = obuf_merge & _T_4741; // @[el2_lsu_bus_buffer.scala 579:114] - wire _T_4743 = _T_4050 | _T_4742; // @[el2_lsu_bus_buffer.scala 579:100] - wire _T_4744 = ~_T_4743; // @[el2_lsu_bus_buffer.scala 579:80] - wire _T_4745 = _T_4739 & _T_4744; // @[el2_lsu_bus_buffer.scala 579:78] - wire _T_4749 = _T_4691 & _T_4745; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4751 | _T_4749; // @[Mux.scala 27:72] - wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 320:118] - wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 320:116] - wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 320:142] - wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 322:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 582:39] - wire _T_4761 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 584:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 583:39] - wire _T_4762 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 584:70] - wire _T_4763 = _T_4761 & _T_4762; // @[el2_lsu_bus_buffer.scala 584:52] - wire _T_4764 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 584:111] - wire bus_cmd_sent = _T_4763 | _T_4764; // @[el2_lsu_bus_buffer.scala 584:89] - wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 322:33] - wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 322:65] - wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 322:63] - wire _T_1172 = _T_1171 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 322:77] - wire obuf_rst = _T_1172 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 322:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 323:26] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 325:25] + wire _T_4705 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 580:56] + wire _T_4706 = obuf_valid & _T_4705; // @[el2_lsu_bus_buffer.scala 580:38] + wire _T_4708 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 580:126] + wire _T_4709 = obuf_merge & _T_4708; // @[el2_lsu_bus_buffer.scala 580:114] + wire _T_4710 = _T_3471 | _T_4709; // @[el2_lsu_bus_buffer.scala 580:100] + wire _T_4711 = ~_T_4710; // @[el2_lsu_bus_buffer.scala 580:80] + wire _T_4712 = _T_4706 & _T_4711; // @[el2_lsu_bus_buffer.scala 580:78] + wire _T_4749 = _T_4682 & _T_4712; // @[Mux.scala 27:72] + wire _T_4717 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 580:56] + wire _T_4718 = obuf_valid & _T_4717; // @[el2_lsu_bus_buffer.scala 580:38] + wire _T_4720 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 580:126] + wire _T_4721 = obuf_merge & _T_4720; // @[el2_lsu_bus_buffer.scala 580:114] + wire _T_4722 = _T_3664 | _T_4721; // @[el2_lsu_bus_buffer.scala 580:100] + wire _T_4723 = ~_T_4722; // @[el2_lsu_bus_buffer.scala 580:80] + wire _T_4724 = _T_4718 & _T_4723; // @[el2_lsu_bus_buffer.scala 580:78] + wire _T_4750 = _T_4686 & _T_4724; // @[Mux.scala 27:72] + wire _T_4753 = _T_4749 | _T_4750; // @[Mux.scala 27:72] + wire _T_4729 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 580:56] + wire _T_4730 = obuf_valid & _T_4729; // @[el2_lsu_bus_buffer.scala 580:38] + wire _T_4732 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 580:126] + wire _T_4733 = obuf_merge & _T_4732; // @[el2_lsu_bus_buffer.scala 580:114] + wire _T_4734 = _T_3857 | _T_4733; // @[el2_lsu_bus_buffer.scala 580:100] + wire _T_4735 = ~_T_4734; // @[el2_lsu_bus_buffer.scala 580:80] + wire _T_4736 = _T_4730 & _T_4735; // @[el2_lsu_bus_buffer.scala 580:78] + wire _T_4751 = _T_4690 & _T_4736; // @[Mux.scala 27:72] + wire _T_4754 = _T_4753 | _T_4751; // @[Mux.scala 27:72] + wire _T_4741 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 580:56] + wire _T_4742 = obuf_valid & _T_4741; // @[el2_lsu_bus_buffer.scala 580:38] + wire _T_4744 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 580:126] + wire _T_4745 = obuf_merge & _T_4744; // @[el2_lsu_bus_buffer.scala 580:114] + wire _T_4746 = _T_4050 | _T_4745; // @[el2_lsu_bus_buffer.scala 580:100] + wire _T_4747 = ~_T_4746; // @[el2_lsu_bus_buffer.scala 580:80] + wire _T_4748 = _T_4742 & _T_4747; // @[el2_lsu_bus_buffer.scala 580:78] + wire _T_4752 = _T_4694 & _T_4748; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4754 | _T_4752; // @[Mux.scala 27:72] + wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 321:118] + wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 321:116] + wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 321:142] + wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 323:47] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 583:39] + wire _T_4764 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 585:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 584:39] + wire _T_4765 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 585:70] + wire _T_4766 = _T_4764 & _T_4765; // @[el2_lsu_bus_buffer.scala 585:52] + wire _T_4767 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 585:111] + wire bus_cmd_sent = _T_4766 | _T_4767; // @[el2_lsu_bus_buffer.scala 585:89] + wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 323:33] + wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 323:65] + wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 323:63] + wire _T_1172 = _T_1171 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 323:77] + wire obuf_rst = _T_1172 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 323:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 324:26] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 326:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] reg [1:0] buf_sz_2; // @[Reg.scala 27:20] reg [1:0] buf_sz_3; // @[Reg.scala 27:20] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 328:23] - wire _T_1230 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 336:39] - wire _T_1231 = ~_T_1230; // @[el2_lsu_bus_buffer.scala 336:26] - wire _T_1237 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 340:72] - wire _T_1240 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 340:98] - wire _T_1241 = obuf_sz_in[0] & _T_1240; // @[el2_lsu_bus_buffer.scala 340:96] - wire _T_1242 = _T_1237 | _T_1241; // @[el2_lsu_bus_buffer.scala 340:79] - wire _T_1245 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 340:153] - wire _T_1246 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 340:134] - wire _T_1247 = obuf_sz_in[1] & _T_1246; // @[el2_lsu_bus_buffer.scala 340:132] - wire _T_1248 = _T_1242 | _T_1247; // @[el2_lsu_bus_buffer.scala 340:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1248; // @[el2_lsu_bus_buffer.scala 340:28] - wire _T_1265 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 354:40] - wire _T_1266 = _T_1265 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 354:60] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 329:23] + wire _T_1230 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 337:39] + wire _T_1231 = ~_T_1230; // @[el2_lsu_bus_buffer.scala 337:26] + wire _T_1237 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 341:72] + wire _T_1240 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 341:98] + wire _T_1241 = obuf_sz_in[0] & _T_1240; // @[el2_lsu_bus_buffer.scala 341:96] + wire _T_1242 = _T_1237 | _T_1241; // @[el2_lsu_bus_buffer.scala 341:79] + wire _T_1245 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 341:153] + wire _T_1246 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 341:134] + wire _T_1247 = obuf_sz_in[1] & _T_1246; // @[el2_lsu_bus_buffer.scala 341:132] + wire _T_1248 = _T_1242 | _T_1247; // @[el2_lsu_bus_buffer.scala 341:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1248; // @[el2_lsu_bus_buffer.scala 341:28] + wire _T_1265 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 355:40] + wire _T_1266 = _T_1265 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 355:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1267 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 354:80] - wire _T_1268 = _T_1266 & _T_1267; // @[el2_lsu_bus_buffer.scala 354:78] - wire _T_1269 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 354:99] - wire _T_1270 = _T_1268 & _T_1269; // @[el2_lsu_bus_buffer.scala 354:97] - wire _T_1271 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 354:113] - wire _T_1272 = _T_1270 & _T_1271; // @[el2_lsu_bus_buffer.scala 354:111] - wire _T_1273 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 354:130] - wire _T_1274 = _T_1272 & _T_1273; // @[el2_lsu_bus_buffer.scala 354:128] - wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 355:20] - wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 355:18] - reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 381:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 585:37] - reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 382:55] - wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 355:90] - wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 355:70] - wire _T_1279 = ~_T_1278; // @[el2_lsu_bus_buffer.scala 355:55] - wire _T_1280 = obuf_rdrsp_pend & _T_1279; // @[el2_lsu_bus_buffer.scala 355:53] - wire _T_1281 = _T_1276 | _T_1280; // @[el2_lsu_bus_buffer.scala 355:34] - wire obuf_nosend_in = _T_1274 & _T_1281; // @[el2_lsu_bus_buffer.scala 354:165] - wire _T_1249 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 348:44] - wire _T_1250 = obuf_wr_en & _T_1249; // @[el2_lsu_bus_buffer.scala 348:42] - wire _T_1251 = ~_T_1250; // @[el2_lsu_bus_buffer.scala 348:29] - wire _T_1252 = _T_1251 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 348:61] - wire _T_1256 = _T_1252 & _T_1279; // @[el2_lsu_bus_buffer.scala 348:79] - wire _T_1258 = bus_cmd_sent & _T_1269; // @[el2_lsu_bus_buffer.scala 349:20] - wire _T_1259 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 349:37] - wire _T_1260 = _T_1258 & _T_1259; // @[el2_lsu_bus_buffer.scala 349:35] - wire _T_1262 = bus_cmd_sent | _T_1269; // @[el2_lsu_bus_buffer.scala 351:44] + wire _T_1267 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 355:80] + wire _T_1268 = _T_1266 & _T_1267; // @[el2_lsu_bus_buffer.scala 355:78] + wire _T_1269 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 355:99] + wire _T_1270 = _T_1268 & _T_1269; // @[el2_lsu_bus_buffer.scala 355:97] + wire _T_1271 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 355:113] + wire _T_1272 = _T_1270 & _T_1271; // @[el2_lsu_bus_buffer.scala 355:111] + wire _T_1273 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 355:130] + wire _T_1274 = _T_1272 & _T_1273; // @[el2_lsu_bus_buffer.scala 355:128] + wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 356:20] + wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 356:18] + reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 382:56] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 586:37] + reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 383:55] + wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 356:90] + wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 356:70] + wire _T_1279 = ~_T_1278; // @[el2_lsu_bus_buffer.scala 356:55] + wire _T_1280 = obuf_rdrsp_pend & _T_1279; // @[el2_lsu_bus_buffer.scala 356:53] + wire _T_1281 = _T_1276 | _T_1280; // @[el2_lsu_bus_buffer.scala 356:34] + wire obuf_nosend_in = _T_1274 & _T_1281; // @[el2_lsu_bus_buffer.scala 355:165] + wire _T_1249 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 349:44] + wire _T_1250 = obuf_wr_en & _T_1249; // @[el2_lsu_bus_buffer.scala 349:42] + wire _T_1251 = ~_T_1250; // @[el2_lsu_bus_buffer.scala 349:29] + wire _T_1252 = _T_1251 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 349:61] + wire _T_1256 = _T_1252 & _T_1279; // @[el2_lsu_bus_buffer.scala 349:79] + wire _T_1258 = bus_cmd_sent & _T_1269; // @[el2_lsu_bus_buffer.scala 350:20] + wire _T_1259 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 350:37] + wire _T_1260 = _T_1258 & _T_1259; // @[el2_lsu_bus_buffer.scala 350:35] + wire _T_1262 = bus_cmd_sent | _T_1269; // @[el2_lsu_bus_buffer.scala 352:44] wire [7:0] _T_1284 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1285 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1286 = io_lsu_addr_r[2] ? _T_1284 : _T_1285; // @[el2_lsu_bus_buffer.scala 356:46] + wire [7:0] _T_1286 = io_lsu_addr_r[2] ? _T_1284 : _T_1285; // @[el2_lsu_bus_buffer.scala 357:46] wire [7:0] _T_1313 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1326 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1327 = buf_addr_0[2] ? _T_1313 : _T_1326; // @[el2_lsu_bus_buffer.scala 357:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1286 : _T_1327; // @[el2_lsu_bus_buffer.scala 356:28] + wire [7:0] _T_1327 = buf_addr_0[2] ? _T_1313 : _T_1326; // @[el2_lsu_bus_buffer.scala 358:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1286 : _T_1327; // @[el2_lsu_bus_buffer.scala 357:28] wire [7:0] _T_1329 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1330 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1331 = io_end_addr_r[2] ? _T_1329 : _T_1330; // @[el2_lsu_bus_buffer.scala 358:46] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1331 : _T_1327; // @[el2_lsu_bus_buffer.scala 358:28] + wire [7:0] _T_1331 = io_end_addr_r[2] ? _T_1329 : _T_1330; // @[el2_lsu_bus_buffer.scala 359:46] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1331 : _T_1327; // @[el2_lsu_bus_buffer.scala 359:28] wire [63:0] _T_1403 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1416 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1417 = buf_addr_0[2] ? _T_1403 : _T_1416; // @[el2_lsu_bus_buffer.scala 362:8] + wire [63:0] _T_1417 = buf_addr_0[2] ? _T_1403 : _T_1416; // @[el2_lsu_bus_buffer.scala 363:8] wire [63:0] _T_1419 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1420 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1421 = io_lsu_addr_r[2] ? _T_1419 : _T_1420; // @[el2_lsu_bus_buffer.scala 363:44] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1421 : _T_1417; // @[el2_lsu_bus_buffer.scala 363:26] + wire [63:0] _T_1421 = io_lsu_addr_r[2] ? _T_1419 : _T_1420; // @[el2_lsu_bus_buffer.scala 364:44] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1421 : _T_1417; // @[el2_lsu_bus_buffer.scala 364:26] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] reg buf_dualhi_0; // @[Reg.scala 27:20] - wire _T_1762 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 374:19] - wire obuf_merge_en = _T_1762 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 374:35] - wire _T_1465 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 365:80] - wire _T_1466 = obuf_byteen0_in[0] | _T_1465; // @[el2_lsu_bus_buffer.scala 365:63] - wire _T_1469 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 365:80] - wire _T_1470 = obuf_byteen0_in[1] | _T_1469; // @[el2_lsu_bus_buffer.scala 365:63] - wire _T_1473 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 365:80] - wire _T_1474 = obuf_byteen0_in[2] | _T_1473; // @[el2_lsu_bus_buffer.scala 365:63] - wire _T_1477 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 365:80] - wire _T_1478 = obuf_byteen0_in[3] | _T_1477; // @[el2_lsu_bus_buffer.scala 365:63] - wire _T_1481 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 365:80] - wire _T_1482 = obuf_byteen0_in[4] | _T_1481; // @[el2_lsu_bus_buffer.scala 365:63] - wire _T_1485 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 365:80] - wire _T_1486 = obuf_byteen0_in[5] | _T_1485; // @[el2_lsu_bus_buffer.scala 365:63] - wire _T_1489 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 365:80] - wire _T_1490 = obuf_byteen0_in[6] | _T_1489; // @[el2_lsu_bus_buffer.scala 365:63] - wire _T_1493 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 365:80] - wire _T_1494 = obuf_byteen0_in[7] | _T_1493; // @[el2_lsu_bus_buffer.scala 365:63] + wire _T_1762 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 375:19] + wire obuf_merge_en = _T_1762 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 375:35] + wire _T_1465 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 366:80] + wire _T_1466 = obuf_byteen0_in[0] | _T_1465; // @[el2_lsu_bus_buffer.scala 366:63] + wire _T_1469 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 366:80] + wire _T_1470 = obuf_byteen0_in[1] | _T_1469; // @[el2_lsu_bus_buffer.scala 366:63] + wire _T_1473 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 366:80] + wire _T_1474 = obuf_byteen0_in[2] | _T_1473; // @[el2_lsu_bus_buffer.scala 366:63] + wire _T_1477 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 366:80] + wire _T_1478 = obuf_byteen0_in[3] | _T_1477; // @[el2_lsu_bus_buffer.scala 366:63] + wire _T_1481 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 366:80] + wire _T_1482 = obuf_byteen0_in[4] | _T_1481; // @[el2_lsu_bus_buffer.scala 366:63] + wire _T_1485 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 366:80] + wire _T_1486 = obuf_byteen0_in[5] | _T_1485; // @[el2_lsu_bus_buffer.scala 366:63] + wire _T_1489 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 366:80] + wire _T_1490 = obuf_byteen0_in[6] | _T_1489; // @[el2_lsu_bus_buffer.scala 366:63] + wire _T_1493 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 366:80] + wire _T_1494 = obuf_byteen0_in[7] | _T_1493; // @[el2_lsu_bus_buffer.scala 366:63] wire [7:0] obuf_byteen_in = {_T_1494,_T_1490,_T_1486,_T_1482,_T_1478,_T_1474,_T_1470,_T_1466}; // @[Cat.scala 29:58] - wire [7:0] _T_1505 = _T_1465 ? obuf_data1_in[7:0] : obuf_data1_in[7:0]; // @[el2_lsu_bus_buffer.scala 366:44] - wire [7:0] _T_1510 = _T_1469 ? obuf_data1_in[15:8] : obuf_data1_in[15:8]; // @[el2_lsu_bus_buffer.scala 366:44] - wire [7:0] _T_1515 = _T_1473 ? obuf_data1_in[23:16] : obuf_data1_in[23:16]; // @[el2_lsu_bus_buffer.scala 366:44] - wire [7:0] _T_1520 = _T_1477 ? obuf_data1_in[31:24] : obuf_data1_in[31:24]; // @[el2_lsu_bus_buffer.scala 366:44] - wire [7:0] _T_1525 = _T_1481 ? obuf_data1_in[39:32] : obuf_data1_in[39:32]; // @[el2_lsu_bus_buffer.scala 366:44] - wire [7:0] _T_1530 = _T_1485 ? obuf_data1_in[47:40] : obuf_data1_in[47:40]; // @[el2_lsu_bus_buffer.scala 366:44] - wire [7:0] _T_1535 = _T_1489 ? obuf_data1_in[55:48] : obuf_data1_in[55:48]; // @[el2_lsu_bus_buffer.scala 366:44] - wire [7:0] _T_1540 = _T_1493 ? obuf_data1_in[63:56] : obuf_data1_in[63:56]; // @[el2_lsu_bus_buffer.scala 366:44] + wire [7:0] _T_1505 = _T_1465 ? obuf_data1_in[7:0] : obuf_data1_in[7:0]; // @[el2_lsu_bus_buffer.scala 367:44] + wire [7:0] _T_1510 = _T_1469 ? obuf_data1_in[15:8] : obuf_data1_in[15:8]; // @[el2_lsu_bus_buffer.scala 367:44] + wire [7:0] _T_1515 = _T_1473 ? obuf_data1_in[23:16] : obuf_data1_in[23:16]; // @[el2_lsu_bus_buffer.scala 367:44] + wire [7:0] _T_1520 = _T_1477 ? obuf_data1_in[31:24] : obuf_data1_in[31:24]; // @[el2_lsu_bus_buffer.scala 367:44] + wire [7:0] _T_1525 = _T_1481 ? obuf_data1_in[39:32] : obuf_data1_in[39:32]; // @[el2_lsu_bus_buffer.scala 367:44] + wire [7:0] _T_1530 = _T_1485 ? obuf_data1_in[47:40] : obuf_data1_in[47:40]; // @[el2_lsu_bus_buffer.scala 367:44] + wire [7:0] _T_1535 = _T_1489 ? obuf_data1_in[55:48] : obuf_data1_in[55:48]; // @[el2_lsu_bus_buffer.scala 367:44] + wire [7:0] _T_1540 = _T_1493 ? obuf_data1_in[63:56] : obuf_data1_in[63:56]; // @[el2_lsu_bus_buffer.scala 367:44] wire [55:0] _T_1546 = {_T_1540,_T_1535,_T_1530,_T_1525,_T_1520,_T_1515,_T_1510}; // @[Cat.scala 29:58] - wire _T_1765 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 377:58] - wire _T_1766 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 377:93] + wire _T_1765 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 378:58] + wire _T_1766 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 378:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1779 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 394:59] - wire _T_1780 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 394:97] - wire _T_1781 = ibuf_valid & _T_1780; // @[el2_lsu_bus_buffer.scala 394:86] - wire _T_1782 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 395:33] - wire _T_1783 = io_lsu_busreq_r & _T_1782; // @[el2_lsu_bus_buffer.scala 395:22] - wire _T_1784 = _T_1781 | _T_1783; // @[el2_lsu_bus_buffer.scala 394:106] - wire _T_1785 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1786 = io_ldst_dual_r & _T_1785; // @[el2_lsu_bus_buffer.scala 395:60] - wire _T_1787 = _T_1784 | _T_1786; // @[el2_lsu_bus_buffer.scala 395:42] - wire _T_1788 = ~_T_1787; // @[el2_lsu_bus_buffer.scala 394:72] - wire _T_1789 = _T_1779 & _T_1788; // @[el2_lsu_bus_buffer.scala 394:70] - wire _T_1790 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 394:59] - wire _T_1791 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 394:97] - wire _T_1792 = ibuf_valid & _T_1791; // @[el2_lsu_bus_buffer.scala 394:86] - wire _T_1793 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 395:33] - wire _T_1794 = io_lsu_busreq_r & _T_1793; // @[el2_lsu_bus_buffer.scala 395:22] - wire _T_1795 = _T_1792 | _T_1794; // @[el2_lsu_bus_buffer.scala 394:106] - wire _T_1796 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1797 = io_ldst_dual_r & _T_1796; // @[el2_lsu_bus_buffer.scala 395:60] - wire _T_1798 = _T_1795 | _T_1797; // @[el2_lsu_bus_buffer.scala 395:42] - wire _T_1799 = ~_T_1798; // @[el2_lsu_bus_buffer.scala 394:72] - wire _T_1800 = _T_1790 & _T_1799; // @[el2_lsu_bus_buffer.scala 394:70] - wire _T_1801 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 394:59] - wire _T_1802 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 394:97] - wire _T_1803 = ibuf_valid & _T_1802; // @[el2_lsu_bus_buffer.scala 394:86] - wire _T_1804 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 395:33] - wire _T_1805 = io_lsu_busreq_r & _T_1804; // @[el2_lsu_bus_buffer.scala 395:22] - wire _T_1806 = _T_1803 | _T_1805; // @[el2_lsu_bus_buffer.scala 394:106] - wire _T_1807 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1808 = io_ldst_dual_r & _T_1807; // @[el2_lsu_bus_buffer.scala 395:60] - wire _T_1809 = _T_1806 | _T_1808; // @[el2_lsu_bus_buffer.scala 395:42] - wire _T_1810 = ~_T_1809; // @[el2_lsu_bus_buffer.scala 394:72] - wire _T_1811 = _T_1801 & _T_1810; // @[el2_lsu_bus_buffer.scala 394:70] - wire _T_1812 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 394:59] - wire _T_1813 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 394:97] - wire _T_1814 = ibuf_valid & _T_1813; // @[el2_lsu_bus_buffer.scala 394:86] - wire _T_1815 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 395:33] - wire _T_1816 = io_lsu_busreq_r & _T_1815; // @[el2_lsu_bus_buffer.scala 395:22] - wire _T_1817 = _T_1814 | _T_1816; // @[el2_lsu_bus_buffer.scala 394:106] - wire _T_1818 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1819 = io_ldst_dual_r & _T_1818; // @[el2_lsu_bus_buffer.scala 395:60] - wire _T_1820 = _T_1817 | _T_1819; // @[el2_lsu_bus_buffer.scala 395:42] - wire _T_1821 = ~_T_1820; // @[el2_lsu_bus_buffer.scala 394:72] - wire _T_1822 = _T_1812 & _T_1821; // @[el2_lsu_bus_buffer.scala 394:70] + wire _T_1779 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 395:59] + wire _T_1780 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 395:97] + wire _T_1781 = ibuf_valid & _T_1780; // @[el2_lsu_bus_buffer.scala 395:86] + wire _T_1782 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 396:33] + wire _T_1783 = io_lsu_busreq_r & _T_1782; // @[el2_lsu_bus_buffer.scala 396:22] + wire _T_1784 = _T_1781 | _T_1783; // @[el2_lsu_bus_buffer.scala 395:106] + wire _T_1785 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 396:72] + wire _T_1786 = io_ldst_dual_r & _T_1785; // @[el2_lsu_bus_buffer.scala 396:60] + wire _T_1787 = _T_1784 | _T_1786; // @[el2_lsu_bus_buffer.scala 396:42] + wire _T_1788 = ~_T_1787; // @[el2_lsu_bus_buffer.scala 395:72] + wire _T_1789 = _T_1779 & _T_1788; // @[el2_lsu_bus_buffer.scala 395:70] + wire _T_1790 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 395:59] + wire _T_1791 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 395:97] + wire _T_1792 = ibuf_valid & _T_1791; // @[el2_lsu_bus_buffer.scala 395:86] + wire _T_1793 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 396:33] + wire _T_1794 = io_lsu_busreq_r & _T_1793; // @[el2_lsu_bus_buffer.scala 396:22] + wire _T_1795 = _T_1792 | _T_1794; // @[el2_lsu_bus_buffer.scala 395:106] + wire _T_1796 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 396:72] + wire _T_1797 = io_ldst_dual_r & _T_1796; // @[el2_lsu_bus_buffer.scala 396:60] + wire _T_1798 = _T_1795 | _T_1797; // @[el2_lsu_bus_buffer.scala 396:42] + wire _T_1799 = ~_T_1798; // @[el2_lsu_bus_buffer.scala 395:72] + wire _T_1800 = _T_1790 & _T_1799; // @[el2_lsu_bus_buffer.scala 395:70] + wire _T_1801 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 395:59] + wire _T_1802 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 395:97] + wire _T_1803 = ibuf_valid & _T_1802; // @[el2_lsu_bus_buffer.scala 395:86] + wire _T_1804 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 396:33] + wire _T_1805 = io_lsu_busreq_r & _T_1804; // @[el2_lsu_bus_buffer.scala 396:22] + wire _T_1806 = _T_1803 | _T_1805; // @[el2_lsu_bus_buffer.scala 395:106] + wire _T_1807 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 396:72] + wire _T_1808 = io_ldst_dual_r & _T_1807; // @[el2_lsu_bus_buffer.scala 396:60] + wire _T_1809 = _T_1806 | _T_1808; // @[el2_lsu_bus_buffer.scala 396:42] + wire _T_1810 = ~_T_1809; // @[el2_lsu_bus_buffer.scala 395:72] + wire _T_1811 = _T_1801 & _T_1810; // @[el2_lsu_bus_buffer.scala 395:70] + wire _T_1812 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 395:59] + wire _T_1813 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 395:97] + wire _T_1814 = ibuf_valid & _T_1813; // @[el2_lsu_bus_buffer.scala 395:86] + wire _T_1815 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 396:33] + wire _T_1816 = io_lsu_busreq_r & _T_1815; // @[el2_lsu_bus_buffer.scala 396:22] + wire _T_1817 = _T_1814 | _T_1816; // @[el2_lsu_bus_buffer.scala 395:106] + wire _T_1818 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 396:72] + wire _T_1819 = io_ldst_dual_r & _T_1818; // @[el2_lsu_bus_buffer.scala 396:60] + wire _T_1820 = _T_1817 | _T_1819; // @[el2_lsu_bus_buffer.scala 396:42] + wire _T_1821 = ~_T_1820; // @[el2_lsu_bus_buffer.scala 395:72] + wire _T_1822 = _T_1812 & _T_1821; // @[el2_lsu_bus_buffer.scala 395:70] wire [1:0] _T_1823 = _T_1822 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] wire [1:0] _T_1824 = _T_1811 ? 2'h2 : _T_1823; // @[Mux.scala 98:16] wire [1:0] _T_1825 = _T_1800 ? 2'h1 : _T_1824; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1789 ? 2'h0 : _T_1825; // @[Mux.scala 98:16] - wire _T_1830 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 398:33] - wire _T_1831 = io_lsu_busreq_m & _T_1830; // @[el2_lsu_bus_buffer.scala 398:22] - wire _T_1832 = _T_1781 | _T_1831; // @[el2_lsu_bus_buffer.scala 397:106] - wire _T_1835 = _T_1832 | _T_1783; // @[el2_lsu_bus_buffer.scala 398:42] - wire _T_1838 = _T_1835 | _T_1786; // @[el2_lsu_bus_buffer.scala 398:83] - wire _T_1839 = ~_T_1838; // @[el2_lsu_bus_buffer.scala 397:72] - wire _T_1840 = _T_1779 & _T_1839; // @[el2_lsu_bus_buffer.scala 397:70] - wire _T_1844 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 398:33] - wire _T_1845 = io_lsu_busreq_m & _T_1844; // @[el2_lsu_bus_buffer.scala 398:22] - wire _T_1846 = _T_1792 | _T_1845; // @[el2_lsu_bus_buffer.scala 397:106] - wire _T_1849 = _T_1846 | _T_1794; // @[el2_lsu_bus_buffer.scala 398:42] - wire _T_1852 = _T_1849 | _T_1797; // @[el2_lsu_bus_buffer.scala 398:83] - wire _T_1853 = ~_T_1852; // @[el2_lsu_bus_buffer.scala 397:72] - wire _T_1854 = _T_1790 & _T_1853; // @[el2_lsu_bus_buffer.scala 397:70] - wire _T_1858 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 398:33] - wire _T_1859 = io_lsu_busreq_m & _T_1858; // @[el2_lsu_bus_buffer.scala 398:22] - wire _T_1860 = _T_1803 | _T_1859; // @[el2_lsu_bus_buffer.scala 397:106] - wire _T_1863 = _T_1860 | _T_1805; // @[el2_lsu_bus_buffer.scala 398:42] - wire _T_1866 = _T_1863 | _T_1808; // @[el2_lsu_bus_buffer.scala 398:83] - wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 397:72] - wire _T_1868 = _T_1801 & _T_1867; // @[el2_lsu_bus_buffer.scala 397:70] - wire _T_1872 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 398:33] - wire _T_1873 = io_lsu_busreq_m & _T_1872; // @[el2_lsu_bus_buffer.scala 398:22] - wire _T_1874 = _T_1814 | _T_1873; // @[el2_lsu_bus_buffer.scala 397:106] - wire _T_1877 = _T_1874 | _T_1816; // @[el2_lsu_bus_buffer.scala 398:42] - wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 398:83] - wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 397:72] - wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 397:70] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 526:63] - wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 440:104] - wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 440:89] - wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 440:104] - wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 440:89] - wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 440:104] - wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 440:89] - wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 440:104] - wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_1830 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 399:33] + wire _T_1831 = io_lsu_busreq_m & _T_1830; // @[el2_lsu_bus_buffer.scala 399:22] + wire _T_1832 = _T_1781 | _T_1831; // @[el2_lsu_bus_buffer.scala 398:106] + wire _T_1835 = _T_1832 | _T_1783; // @[el2_lsu_bus_buffer.scala 399:42] + wire _T_1838 = _T_1835 | _T_1786; // @[el2_lsu_bus_buffer.scala 399:83] + wire _T_1839 = ~_T_1838; // @[el2_lsu_bus_buffer.scala 398:72] + wire _T_1840 = _T_1779 & _T_1839; // @[el2_lsu_bus_buffer.scala 398:70] + wire _T_1844 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 399:33] + wire _T_1845 = io_lsu_busreq_m & _T_1844; // @[el2_lsu_bus_buffer.scala 399:22] + wire _T_1846 = _T_1792 | _T_1845; // @[el2_lsu_bus_buffer.scala 398:106] + wire _T_1849 = _T_1846 | _T_1794; // @[el2_lsu_bus_buffer.scala 399:42] + wire _T_1852 = _T_1849 | _T_1797; // @[el2_lsu_bus_buffer.scala 399:83] + wire _T_1853 = ~_T_1852; // @[el2_lsu_bus_buffer.scala 398:72] + wire _T_1854 = _T_1790 & _T_1853; // @[el2_lsu_bus_buffer.scala 398:70] + wire _T_1858 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 399:33] + wire _T_1859 = io_lsu_busreq_m & _T_1858; // @[el2_lsu_bus_buffer.scala 399:22] + wire _T_1860 = _T_1803 | _T_1859; // @[el2_lsu_bus_buffer.scala 398:106] + wire _T_1863 = _T_1860 | _T_1805; // @[el2_lsu_bus_buffer.scala 399:42] + wire _T_1866 = _T_1863 | _T_1808; // @[el2_lsu_bus_buffer.scala 399:83] + wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 398:72] + wire _T_1868 = _T_1801 & _T_1867; // @[el2_lsu_bus_buffer.scala 398:70] + wire _T_1872 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 399:33] + wire _T_1873 = io_lsu_busreq_m & _T_1872; // @[el2_lsu_bus_buffer.scala 399:22] + wire _T_1874 = _T_1814 | _T_1873; // @[el2_lsu_bus_buffer.scala 398:106] + wire _T_1877 = _T_1874 | _T_1816; // @[el2_lsu_bus_buffer.scala 399:42] + wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 399:83] + wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 398:72] + wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 398:70] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 527:63] + wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 441:104] + wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 441:104] + wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 441:104] + wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 441:104] + wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 441:89] wire [3:0] buf_rsp_pickage_0 = {_T_2656,_T_2653,_T_2650,_T_2647}; // @[Cat.scala 29:58] - wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 408:65] - wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 408:44] - wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 408:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 526:63] - wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 440:89] - wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 440:89] - wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 440:89] - wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 409:65] + wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 409:44] + wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 409:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 527:63] + wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 441:89] wire [3:0] buf_rsp_pickage_1 = {_T_2671,_T_2668,_T_2665,_T_2662}; // @[Cat.scala 29:58] - wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 408:65] - wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 408:44] - wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 408:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 526:63] - wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 440:89] - wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 440:89] - wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 440:89] - wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 409:65] + wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 409:44] + wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 409:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 527:63] + wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 441:89] wire [3:0] buf_rsp_pickage_2 = {_T_2686,_T_2683,_T_2680,_T_2677}; // @[Cat.scala 29:58] - wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 408:65] - wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 408:44] - wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 408:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 526:63] - wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 440:89] - wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 440:89] - wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 440:89] - wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 409:65] + wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 409:44] + wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 409:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 527:63] + wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 441:89] wire [3:0] buf_rsp_pickage_3 = {_T_2701,_T_2698,_T_2695,_T_2692}; // @[Cat.scala 29:58] - wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 408:65] - wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 408:44] - wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 408:70] + wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 409:65] + wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 409:44] + wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 409:70] wire [7:0] _T_1979 = {4'h0,_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] - wire _T_1982 = _T_1979[4] | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 412:42] - wire _T_1984 = _T_1982 | _T_1979[6]; // @[el2_lsu_bus_buffer.scala 412:48] - wire _T_1986 = _T_1984 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 412:54] - wire _T_1989 = _T_1979[2] | _T_1979[3]; // @[el2_lsu_bus_buffer.scala 412:67] - wire _T_1991 = _T_1989 | _T_1979[6]; // @[el2_lsu_bus_buffer.scala 412:73] - wire _T_1993 = _T_1991 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 412:79] - wire _T_1996 = _T_1979[1] | _T_1979[3]; // @[el2_lsu_bus_buffer.scala 412:92] - wire _T_1998 = _T_1996 | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 412:98] - wire _T_2000 = _T_1998 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 412:104] + wire _T_1982 = _T_1979[4] | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 413:42] + wire _T_1984 = _T_1982 | _T_1979[6]; // @[el2_lsu_bus_buffer.scala 413:48] + wire _T_1986 = _T_1984 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 413:54] + wire _T_1989 = _T_1979[2] | _T_1979[3]; // @[el2_lsu_bus_buffer.scala 413:67] + wire _T_1991 = _T_1989 | _T_1979[6]; // @[el2_lsu_bus_buffer.scala 413:73] + wire _T_1993 = _T_1991 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 413:79] + wire _T_1996 = _T_1979[1] | _T_1979[3]; // @[el2_lsu_bus_buffer.scala 413:92] + wire _T_1998 = _T_1996 | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 413:98] + wire _T_2000 = _T_1998 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 413:104] wire [2:0] _T_2002 = {_T_1986,_T_1993,_T_2000}; // @[Cat.scala 29:58] wire [7:0] _T_2029 = {4'h0,_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] - wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 412:42] - wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 412:48] - wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 412:54] - wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 412:67] - wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 412:73] - wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 412:79] - wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 412:92] - wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 412:98] - wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 412:104] + wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 413:42] + wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 413:48] + wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 413:54] + wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 413:67] + wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 413:73] + wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 413:79] + wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 413:92] + wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 413:98] + wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 413:104] wire [2:0] _T_2052 = {_T_2036,_T_2043,_T_2050}; // @[Cat.scala 29:58] - wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 469:77] - wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 469:97] - wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 469:95] - wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 469:117] - wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 469:144] - wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 469:166] - wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 469:161] - wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 469:132] - wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 469:63] - wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 469:206] - wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 469:201] - wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 469:183] - wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 476:46] + wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 470:77] + wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 470:95] + wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 470:117] + wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 470:144] + wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 470:166] + wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 470:161] + wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 470:132] + wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 470:63] + wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 470:206] + wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 470:201] + wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 470:183] + wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 477:46] wire _T_3498 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 586:38] - wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 494:73] - wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 494:52] - wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 495:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 587:38] + wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 495:73] + wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 495:52] + wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 496:46] reg _T_4216; // @[Reg.scala 27:20] reg _T_4214; // @[Reg.scala 27:20] reg _T_4212; // @[Reg.scala 27:20] reg _T_4210; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4216,_T_4214,_T_4212,_T_4210}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_371 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 496:47] - wire _T_3547 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 496:47] - wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 496:27] - wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 495:77] - wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 497:26] - wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 497:42] - wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 497:58] + wire [2:0] _GEN_371 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_3547 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 497:27] + wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 496:77] + wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 498:26] + wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 498:42] + wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 498:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_372 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 497:94] - wire _T_3555 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 497:94] - wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 497:74] - wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 496:71] - wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 495:25] - wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 494:105] + wire [2:0] _GEN_372 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 498:94] + wire _T_3555 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 498:94] + wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 498:74] + wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 497:71] + wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 496:25] + wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 495:105] wire _GEN_42 = _T_3498 & _T_3559; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3464 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3460 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3585 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 509:21] + wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 510:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 509:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 509:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 509:58] - wire [2:0] _GEN_374 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 509:58] - wire _T_3597 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 509:58] - wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 509:38] - wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 508:95] - wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 508:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 510:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 510:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 510:58] + wire [2:0] _GEN_374 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 510:58] + wire _T_3597 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 510:58] + wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 510:38] + wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 509:95] + wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 509:45] wire _GEN_36 = _T_3585 & _T_3600; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3498 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3464 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3460 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 482:49] - wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 482:70] + wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 483:70] wire _T_3603 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 420:10] - wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 514:37] - wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 514:98] - wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 514:80] - wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 514:65] - wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 514:112] + wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 421:10] + wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 515:37] + wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 515:98] + wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 515:80] + wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 515:65] + wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 515:112] wire _T_3611 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3603 ? _T_3610 : _T_3611; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3585 ? _T_3478 : _GEN_31; // @[Conditional.scala 39:67] @@ -1526,93 +1527,93 @@ module el2_lsu_bus_buffer( wire _GEN_54 = _T_3464 ? _T_3478 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3460 ? _T_3463 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3437 ? _T_3453 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 432:94] - wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 434:23] - wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 434:41] - wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 434:71] - wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2067 = _T_4372 | _T_2066; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 435:17] - wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 435:35] - wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 435:52] - wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 435:97] - wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2092 = _T_4377 | _T_2091; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 435:97] - wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2117 = _T_4382 | _T_2116; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 435:97] - wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2142 = _T_4387 | _T_2141; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 433:94] + wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 435:23] + wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 435:41] + wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 435:71] + wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2067 = _T_4375 | _T_2066; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 436:17] + wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 436:35] + wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 436:52] + wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2092 = _T_4380 | _T_2091; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2117 = _T_4385 | _T_2116; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2142 = _T_4390 | _T_2141; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 436:97] wire [2:0] _T_2154 = {_T_2152,_T_2127,_T_2102}; // @[Cat.scala 29:58] - wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 469:117] - wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 469:166] - wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 469:161] - wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 469:132] - wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 469:63] - wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 469:206] - wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 469:201] - wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 469:183] + wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 470:117] + wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 470:166] + wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 470:161] + wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 470:132] + wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 470:63] + wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 470:206] + wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 470:201] + wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 470:183] wire _T_3691 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 494:73] - wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 494:52] - wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 495:46] - wire [2:0] _GEN_375 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 496:47] - wire _T_3740 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 496:47] - wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 496:27] - wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 495:77] - wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 497:26] - wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 497:44] - wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 497:42] - wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 497:58] + wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 495:73] + wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 495:52] + wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 496:46] + wire [2:0] _GEN_375 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_3740 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 497:27] + wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 496:77] + wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 498:26] + wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 498:44] + wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 498:42] + wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 498:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_376 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 497:94] - wire _T_3748 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 497:94] - wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 497:74] - wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 496:71] - wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 495:25] - wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 494:105] + wire [2:0] _GEN_376 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 498:94] + wire _T_3748 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 498:94] + wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 498:74] + wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 497:71] + wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 496:25] + wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 495:105] wire _GEN_118 = _T_3691 & _T_3752; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3657 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3653 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3778 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 509:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 509:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 509:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 509:58] - wire [2:0] _GEN_378 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 509:58] - wire _T_3790 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 509:58] - wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 509:38] - wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 508:95] - wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 508:45] + wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 510:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 510:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 510:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 510:58] + wire [2:0] _GEN_378 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 510:58] + wire _T_3790 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 510:58] + wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 510:38] + wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 509:95] + wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 509:45] wire _GEN_112 = _T_3778 & _T_3793; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3691 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3657 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3653 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 482:49] - wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 482:70] + wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 483:70] wire _T_3796 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 514:37] - wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 514:98] - wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 514:80] - wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 514:65] - wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 514:112] + wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 515:37] + wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 515:98] + wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 515:80] + wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 515:65] + wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 515:112] wire _T_3804 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3796 ? _T_3803 : _T_3804; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3778 ? _T_3671 : _GEN_107; // @[Conditional.scala 39:67] @@ -1620,89 +1621,89 @@ module el2_lsu_bus_buffer( wire _GEN_130 = _T_3657 ? _T_3671 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3653 ? _T_3463 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3630 ? _T_3646 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 432:94] - wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 434:71] - wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2169 = _T_4372 | _T_2168; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 435:52] - wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 435:97] - wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2194 = _T_4377 | _T_2193; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 435:97] - wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2219 = _T_4382 | _T_2218; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 435:97] - wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2244 = _T_4387 | _T_2243; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 433:94] + wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 435:71] + wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2169 = _T_4375 | _T_2168; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 436:52] + wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2194 = _T_4380 | _T_2193; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2219 = _T_4385 | _T_2218; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2244 = _T_4390 | _T_2243; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 436:97] wire [2:0] _T_2256 = {_T_2254,_T_2229,_T_2204}; // @[Cat.scala 29:58] - wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 469:117] - wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 469:166] - wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 469:161] - wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 469:132] - wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 469:63] - wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 469:206] - wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 469:201] - wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 469:183] + wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 470:117] + wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 470:166] + wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 470:161] + wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 470:132] + wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 470:63] + wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 470:206] + wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 470:201] + wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 470:183] wire _T_3884 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 494:73] - wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 494:52] - wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 495:46] - wire [2:0] _GEN_379 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 496:47] - wire _T_3933 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 496:47] - wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 496:27] - wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 495:77] - wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 497:26] - wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 497:44] - wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 497:42] - wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 497:58] + wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 495:73] + wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 495:52] + wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 496:46] + wire [2:0] _GEN_379 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_3933 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 497:27] + wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 496:77] + wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 498:26] + wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 498:44] + wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 498:42] + wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 498:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_380 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 497:94] - wire _T_3941 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 497:94] - wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 497:74] - wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 496:71] - wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 495:25] - wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 494:105] + wire [2:0] _GEN_380 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 498:94] + wire _T_3941 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 498:94] + wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 498:74] + wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 497:71] + wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 496:25] + wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 495:105] wire _GEN_194 = _T_3884 & _T_3945; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3850 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3846 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_3971 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 509:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 509:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 509:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 509:58] - wire [2:0] _GEN_382 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 509:58] - wire _T_3983 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 509:58] - wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 509:38] - wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 508:95] - wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 508:45] + wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 510:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 510:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 510:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 510:58] + wire [2:0] _GEN_382 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 510:58] + wire _T_3983 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 510:58] + wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 510:38] + wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 509:95] + wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 509:45] wire _GEN_188 = _T_3971 & _T_3986; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3884 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3850 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3846 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 482:49] - wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 482:70] + wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 483:70] wire _T_3989 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 514:37] - wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 514:98] - wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 514:80] - wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 514:65] - wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 514:112] + wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 515:37] + wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 515:98] + wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 515:80] + wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 515:65] + wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 515:112] wire _T_3997 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_3989 ? _T_3996 : _T_3997; // @[Conditional.scala 39:67] wire _GEN_189 = _T_3971 ? _T_3864 : _GEN_183; // @[Conditional.scala 39:67] @@ -1710,89 +1711,89 @@ module el2_lsu_bus_buffer( wire _GEN_206 = _T_3850 ? _T_3864 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3846 ? _T_3463 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3823 ? _T_3839 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 432:94] - wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 434:71] - wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2271 = _T_4372 | _T_2270; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 435:52] - wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 435:97] - wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2296 = _T_4377 | _T_2295; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 435:97] - wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2321 = _T_4382 | _T_2320; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 435:97] - wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2346 = _T_4387 | _T_2345; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 433:94] + wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 435:71] + wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2271 = _T_4375 | _T_2270; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 436:52] + wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2296 = _T_4380 | _T_2295; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2321 = _T_4385 | _T_2320; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2346 = _T_4390 | _T_2345; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 436:97] wire [2:0] _T_2358 = {_T_2356,_T_2331,_T_2306}; // @[Cat.scala 29:58] - wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 469:117] - wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 469:166] - wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 469:161] - wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 469:132] - wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 469:63] - wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 469:206] - wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 469:201] - wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 469:183] + wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 470:117] + wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 470:166] + wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 470:161] + wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 470:132] + wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 470:63] + wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 470:206] + wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 470:201] + wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 470:183] wire _T_4077 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 494:73] - wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 494:52] - wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 495:46] - wire [2:0] _GEN_383 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 496:47] - wire _T_4126 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 496:47] - wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 496:27] - wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 495:77] - wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 497:26] - wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 497:44] - wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 497:42] - wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 497:58] + wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 495:73] + wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 495:52] + wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 496:46] + wire [2:0] _GEN_383 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_4126 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 497:47] + wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 497:27] + wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 496:77] + wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 498:26] + wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 498:44] + wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 498:42] + wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 498:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 497:94] - wire _T_4134 = io_lsu_axi_rid == _GEN_384; // @[el2_lsu_bus_buffer.scala 497:94] - wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 497:74] - wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 496:71] - wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 495:25] - wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 494:105] + wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 498:94] + wire _T_4134 = io_lsu_axi_rid == _GEN_384; // @[el2_lsu_bus_buffer.scala 498:94] + wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 498:74] + wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 497:71] + wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 496:25] + wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 495:105] wire _GEN_270 = _T_4077 & _T_4138; // @[Conditional.scala 39:67] wire _GEN_289 = _T_4043 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_4039 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4164 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 509:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 509:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 509:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 509:58] - wire [2:0] _GEN_386 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 509:58] - wire _T_4176 = io_lsu_axi_rid == _GEN_386; // @[el2_lsu_bus_buffer.scala 509:58] - wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 509:38] - wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 508:95] - wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 508:45] + wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 510:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 510:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 510:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 510:58] + wire [2:0] _GEN_386 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 510:58] + wire _T_4176 = io_lsu_axi_rid == _GEN_386; // @[el2_lsu_bus_buffer.scala 510:58] + wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 510:38] + wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 509:95] + wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 509:45] wire _GEN_264 = _T_4164 & _T_4179; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4077 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4043 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4039 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 482:49] - wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 482:70] + wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 483:70] wire _T_4182 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 514:37] - wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 514:98] - wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 514:80] - wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 514:65] - wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 514:112] + wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 515:37] + wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 515:98] + wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 515:80] + wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 515:65] + wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 515:112] wire _T_4190 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4182 ? _T_4189 : _T_4190; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4164 ? _T_4057 : _GEN_259; // @[Conditional.scala 39:67] @@ -1800,243 +1801,243 @@ module el2_lsu_bus_buffer( wire _GEN_282 = _T_4043 ? _T_4057 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4039 ? _T_3463 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4016 ? _T_4032 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 432:94] - wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 434:71] - wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2373 = _T_4372 | _T_2372; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 435:52] - wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 435:97] - wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2398 = _T_4377 | _T_2397; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 435:97] - wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2423 = _T_4382 | _T_2422; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 435:97] - wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 434:92] - wire _T_2448 = _T_4387 | _T_2447; // @[el2_lsu_bus_buffer.scala 433:86] - wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 434:114] - wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 432:113] - wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 433:94] + wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 435:71] + wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2373 = _T_4375 | _T_2372; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 436:52] + wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2398 = _T_4380 | _T_2397; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2423 = _T_4385 | _T_2422; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2448 = _T_4390 | _T_2447; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] + wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 435:114] + wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 433:113] + wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 436:97] wire [2:0] _T_2460 = {_T_2458,_T_2433,_T_2408}; // @[Cat.scala 29:58] - wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 443:49] - wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 443:34] - wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 443:8] - wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 442:114] - wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 443:49] - wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 443:34] - wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 443:8] - wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 442:114] - wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 443:49] - wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 443:34] - wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 443:8] - wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 442:114] - wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 443:49] - wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 443:34] - wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 443:8] - wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 444:49] + wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 444:34] + wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 444:8] + wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 444:49] + wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 444:34] + wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 444:8] + wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 444:49] + wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 444:34] + wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 444:8] + wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 444:49] + wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 444:34] + wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 444:8] + wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 443:114] wire [3:0] buf_rspage_set_0 = {_T_2792,_T_2770,_T_2748,_T_2726}; // @[Cat.scala 29:58] - wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 442:114] - wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 442:114] - wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 442:114] - wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 443:114] wire [3:0] buf_rspage_set_1 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] - wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 442:114] - wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 442:114] - wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 442:114] - wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 443:114] wire [3:0] buf_rspage_set_2 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] - wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 442:114] - wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 442:114] - wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 442:114] - wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 443:61] - wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 444:112] - wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 444:61] + wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 445:112] + wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 443:114] wire [3:0] buf_rspage_set_3 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] - wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 447:112] - wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 447:86] - wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 447:84] - wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 447:112] - wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 447:86] - wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 447:84] - wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 447:112] - wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 447:86] - wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 447:84] - wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 447:112] - wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 447:86] - wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 448:112] + wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 448:86] + wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 448:112] + wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 448:86] + wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 448:112] + wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 448:86] + wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 448:112] + wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 448:86] + wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 448:84] wire [3:0] buf_rspage_0 = {_T_3152,_T_3146,_T_3140,_T_3134}; // @[Cat.scala 29:58] - wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 446:90] - wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 446:90] - wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 446:90] - wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 447:90] wire [2:0] _T_3082 = {_T_3080,_T_3077,_T_3074}; // @[Cat.scala 29:58] - wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 447:84] - wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 447:84] - wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 447:84] - wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 448:84] wire [3:0] buf_rspage_1 = {_T_3179,_T_3173,_T_3167,_T_3161}; // @[Cat.scala 29:58] - wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 446:90] - wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 446:90] - wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 446:90] - wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 447:90] wire [2:0] _T_3097 = {_T_3095,_T_3092,_T_3089}; // @[Cat.scala 29:58] - wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 447:84] - wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 447:84] - wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 447:84] - wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 448:84] wire [3:0] buf_rspage_2 = {_T_3206,_T_3200,_T_3194,_T_3188}; // @[Cat.scala 29:58] - wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 446:90] - wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 446:90] - wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 446:90] - wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 447:90] wire [2:0] _T_3112 = {_T_3110,_T_3107,_T_3104}; // @[Cat.scala 29:58] - wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 447:84] - wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 447:84] - wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 447:84] - wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 448:84] wire [3:0] buf_rspage_3 = {_T_3233,_T_3227,_T_3221,_T_3215}; // @[Cat.scala 29:58] - wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 446:90] - wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 446:90] - wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 446:90] - wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 447:90] wire [2:0] _T_3127 = {_T_3125,_T_3122,_T_3119}; // @[Cat.scala 29:58] - wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 452:65] - wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 452:65] - wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 452:65] - wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 452:65] + wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 453:65] + wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 453:65] + wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 453:65] + wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 453:65] wire [3:0] ibuf_drainvec_vld = {_T_3244,_T_3242,_T_3240,_T_3238}; // @[Cat.scala 29:58] - wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 453:123] - wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 453:96] - wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 453:48] - wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 453:123] - wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 453:96] - wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 453:48] - wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 453:123] - wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 453:96] - wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 453:48] - wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 453:123] - wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 453:96] - wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 453:48] - wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 455:47] - wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 455:47] - wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 455:47] - wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 455:47] + wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 454:123] + wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 454:96] + wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 454:48] + wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 454:123] + wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 454:96] + wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 454:48] + wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 454:123] + wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 454:96] + wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 454:48] + wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 454:123] + wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 454:96] + wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 454:48] + wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 456:47] + wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 456:47] + wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 456:47] + wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 456:47] wire [3:0] buf_dual_in = {_T_3315,_T_3313,_T_3311,_T_3309}; // @[Cat.scala 29:58] - wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 456:49] - wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 456:49] - wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 456:49] - wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 456:49] + wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 457:49] + wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 457:49] + wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 457:49] + wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 457:49] wire [3:0] buf_samedw_in = {_T_3326,_T_3324,_T_3322,_T_3320}; // @[Cat.scala 29:58] - wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 457:86] - wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 457:50] - wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 457:50] - wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 457:50] - wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 457:50] + wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 458:50] + wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 458:50] + wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 458:50] + wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 458:50] wire [3:0] buf_nomerge_in = {_T_3341,_T_3338,_T_3335,_T_3332}; // @[Cat.scala 29:58] - wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 458:49] - wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 458:49] - wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 458:49] - wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 458:49] + wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 459:49] + wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 459:49] + wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 459:49] + wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 459:49] wire [3:0] buf_dualhi_in = {_T_3364,_T_3359,_T_3354,_T_3349}; // @[Cat.scala 29:58] - wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 460:53] - wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 460:53] - wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 460:53] - wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 460:53] + wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 461:53] + wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 461:53] + wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 461:53] + wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 461:53] wire [3:0] buf_sideeffect_in = {_T_3399,_T_3397,_T_3395,_T_3393}; // @[Cat.scala 29:58] - wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 461:49] - wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 461:49] - wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 461:49] - wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 461:49] + wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 462:49] + wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 462:49] + wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 462:49] + wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 462:49] wire [3:0] buf_unsign_in = {_T_3410,_T_3408,_T_3406,_T_3404}; // @[Cat.scala 29:58] - wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 463:48] - wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 463:48] - wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 463:48] - wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 463:48] + wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 464:48] + wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 464:48] + wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 464:48] + wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 464:48] wire [3:0] buf_write_in = {_T_3433,_T_3431,_T_3429,_T_3427}; // @[Cat.scala 29:58] - wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 472:30] - wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 479:89] - wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 479:104] - wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 484:44] - wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:60] - wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 484:74] - wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 486:67] - wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 486:81] - wire _T_4770 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 590:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4770; // @[el2_lsu_bus_buffer.scala 590:38] - wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 487:82] - wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 488:73] - wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 501:91] - wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 502:31] - wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 502:46] - wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 501:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4770; // @[el2_lsu_bus_buffer.scala 589:40] - wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 503:53] - wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 502:88] - wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 501:68] + wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 473:30] + wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 480:89] + wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 480:104] + wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 485:44] + wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 485:60] + wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] + wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] + wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] + wire _T_4773 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 591:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4773; // @[el2_lsu_bus_buffer.scala 591:38] + wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] + wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] + wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 502:91] + wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 503:31] + wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 503:46] + wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 502:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4773; // @[el2_lsu_bus_buffer.scala 590:40] + wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 504:53] + wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 503:88] + wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 502:68] wire _GEN_46 = _T_3498 & _T_3576; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3464 ? _T_3491 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3460 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3437 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 488:30] - wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 491:73] - wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 491:71] - wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 491:55] - wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 492:30] - wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 492:28] - wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 492:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 492:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 492:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 492:90] - wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 492:90] - wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 492:61] - wire _T_4395 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 549:93] - wire _T_4396 = _T_4395 | _T_2649; // @[el2_lsu_bus_buffer.scala 549:93] - wire any_done_wait_state = _T_4396 | _T_2646; // @[el2_lsu_bus_buffer.scala 549:93] - wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 112:118] - wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 112:118] - wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 112:118] - wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 112:118] + wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 489:30] + wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 492:73] + wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 492:71] + wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 492:55] + wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 493:30] + wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 493:28] + wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 493:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 493:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 493:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 493:90] + wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] + wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 493:61] + wire _T_4398 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 550:93] + wire _T_4399 = _T_4398 | _T_2649; // @[el2_lsu_bus_buffer.scala 550:93] + wire any_done_wait_state = _T_4399 | _T_2646; // @[el2_lsu_bus_buffer.scala 550:93] + wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] + wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] + wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] + wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 113:118] + wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 113:118] wire _T_3527 = _T_3519 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3528 = _T_3521 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3529 = _T_3523 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2044,18 +2045,18 @@ module el2_lsu_bus_buffer( wire _T_3531 = _T_3527 | _T_3528; // @[Mux.scala 27:72] wire _T_3532 = _T_3531 | _T_3529; // @[Mux.scala 27:72] wire _T_3533 = _T_3532 | _T_3530; // @[Mux.scala 27:72] - wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 493:101] - wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 493:167] - wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 493:138] - wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:187] - wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 493:53] - wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 500:47] - wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 500:62] - wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 504:50] - wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 504:48] - wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 504:30] - wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 507:90] - wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 507:118] + wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 494:101] + wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 494:167] + wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 494:138] + wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:187] + wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 501:47] + wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 501:62] + wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 505:50] + wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 505:48] + wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 505:30] + wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 508:90] + wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 508:118] wire _GEN_29 = _T_3611 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3603 ? 1'h0 : _T_3611; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3603 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2074,44 +2075,45 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_72 = _T_3460 ? 32'h0 : _GEN_60; // @[Conditional.scala 39:67] wire _GEN_74 = _T_3460 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] wire buf_wr_en_0 = _T_3437 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_data_en_0 = _T_3437 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] wire [31:0] _GEN_79 = _T_3437 ? _T_3459 : _GEN_72; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3437 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3437 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 472:30] - wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 484:44] - wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:60] - wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 484:74] - wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 486:67] - wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 486:81] - wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 487:82] - wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 488:73] - wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 501:91] - wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 502:31] - wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 502:46] - wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 501:143] - wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 503:53] - wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 502:88] - wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 501:68] + wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 473:30] + wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 485:44] + wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 485:60] + wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] + wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] + wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] + wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] + wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] + wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 502:91] + wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 503:31] + wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 503:46] + wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 502:143] + wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 504:53] + wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 503:88] + wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 502:68] wire _GEN_122 = _T_3691 & _T_3769; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3657 ? _T_3684 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3653 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3630 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 488:30] - wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 491:71] - wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 491:55] - wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 492:30] - wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 492:28] - wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 492:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 492:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 492:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 492:90] - wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 492:90] - wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 492:61] - wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 112:118] - wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 112:118] - wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 112:118] - wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 112:118] + wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 489:30] + wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 492:71] + wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 492:55] + wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 493:30] + wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 493:28] + wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 493:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 493:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 493:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 493:90] + wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] + wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 493:61] + wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] + wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] + wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] + wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 113:118] + wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 113:118] wire _T_3720 = _T_3712 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3721 = _T_3714 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3722 = _T_3716 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2119,18 +2121,18 @@ module el2_lsu_bus_buffer( wire _T_3724 = _T_3720 | _T_3721; // @[Mux.scala 27:72] wire _T_3725 = _T_3724 | _T_3722; // @[Mux.scala 27:72] wire _T_3726 = _T_3725 | _T_3723; // @[Mux.scala 27:72] - wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 493:101] - wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 493:167] - wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 493:138] - wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:187] - wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 493:53] - wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 500:47] - wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 500:62] - wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 504:50] - wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 504:48] - wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 504:30] - wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 507:90] - wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 507:118] + wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 494:101] + wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 494:167] + wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 494:138] + wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:187] + wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 501:47] + wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 501:62] + wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 505:50] + wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 505:48] + wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 505:30] + wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 508:90] + wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 508:118] wire _GEN_105 = _T_3804 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3796 ? 1'h0 : _T_3804; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3796 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2149,44 +2151,45 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_148 = _T_3653 ? 32'h0 : _GEN_136; // @[Conditional.scala 39:67] wire _GEN_150 = _T_3653 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] wire buf_wr_en_1 = _T_3630 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_data_en_1 = _T_3630 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] wire [31:0] _GEN_155 = _T_3630 ? _T_3652 : _GEN_148; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3630 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3630 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 472:30] - wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 484:44] - wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:60] - wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 484:74] - wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 486:67] - wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 486:81] - wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 487:82] - wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 488:73] - wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 501:91] - wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 502:31] - wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 502:46] - wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 501:143] - wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 503:53] - wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 502:88] - wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 501:68] + wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 473:30] + wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 485:44] + wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 485:60] + wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] + wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] + wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] + wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] + wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] + wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 502:91] + wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 503:31] + wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 503:46] + wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 502:143] + wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 504:53] + wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 503:88] + wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 502:68] wire _GEN_198 = _T_3884 & _T_3962; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3850 ? _T_3877 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3846 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3823 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 488:30] - wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 491:71] - wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 491:55] - wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 492:30] - wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 492:28] - wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 492:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 492:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 492:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 492:90] - wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 492:90] - wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 492:61] - wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 112:118] - wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 112:118] - wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 112:118] - wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 112:118] + wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 489:30] + wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 492:71] + wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 492:55] + wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 493:30] + wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 493:28] + wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 493:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 493:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 493:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 493:90] + wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] + wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 493:61] + wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] + wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] + wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] + wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 113:118] + wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 113:118] wire _T_3913 = _T_3905 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3914 = _T_3907 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3915 = _T_3909 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2194,18 +2197,18 @@ module el2_lsu_bus_buffer( wire _T_3917 = _T_3913 | _T_3914; // @[Mux.scala 27:72] wire _T_3918 = _T_3917 | _T_3915; // @[Mux.scala 27:72] wire _T_3919 = _T_3918 | _T_3916; // @[Mux.scala 27:72] - wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 493:101] - wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 493:167] - wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 493:138] - wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:187] - wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 493:53] - wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 500:47] - wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 500:62] - wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 504:50] - wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 504:48] - wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 504:30] - wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 507:90] - wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 507:118] + wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 494:101] + wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 494:167] + wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 494:138] + wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:187] + wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 501:47] + wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 501:62] + wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 505:50] + wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 505:48] + wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 505:30] + wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 508:90] + wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 508:118] wire _GEN_181 = _T_3997 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_3989 ? 1'h0 : _T_3997; // @[Conditional.scala 39:67] wire _GEN_186 = _T_3989 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2224,44 +2227,45 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_224 = _T_3846 ? 32'h0 : _GEN_212; // @[Conditional.scala 39:67] wire _GEN_226 = _T_3846 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] wire buf_wr_en_2 = _T_3823 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_data_en_2 = _T_3823 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] wire [31:0] _GEN_231 = _T_3823 ? _T_3845 : _GEN_224; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3823 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3823 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 472:30] - wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 484:44] - wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:60] - wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 484:74] - wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 486:67] - wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 486:81] - wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 487:82] - wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 488:73] - wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 501:91] - wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 502:31] - wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 502:46] - wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 501:143] - wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 503:53] - wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 502:88] - wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 501:68] + wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 473:30] + wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 485:44] + wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 485:60] + wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] + wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] + wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] + wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] + wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] + wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 502:91] + wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 503:31] + wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 503:46] + wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 502:143] + wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 504:53] + wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 503:88] + wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 502:68] wire _GEN_274 = _T_4077 & _T_4155; // @[Conditional.scala 39:67] wire _GEN_287 = _T_4043 ? _T_4070 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4039 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4016 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 488:30] - wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 491:71] - wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 491:55] - wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 492:30] - wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 492:28] - wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 492:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 492:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 492:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 492:90] - wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 492:90] - wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 492:61] - wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 112:118] - wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 112:118] - wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 112:118] - wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 112:118] + wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 489:30] + wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 492:71] + wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 492:55] + wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 493:30] + wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 493:28] + wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 493:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 493:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 493:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 493:90] + wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] + wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 493:61] + wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] + wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] + wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] + wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 113:118] + wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 113:118] wire _T_4106 = _T_4098 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_4107 = _T_4100 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_4108 = _T_4102 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2269,18 +2273,18 @@ module el2_lsu_bus_buffer( wire _T_4110 = _T_4106 | _T_4107; // @[Mux.scala 27:72] wire _T_4111 = _T_4110 | _T_4108; // @[Mux.scala 27:72] wire _T_4112 = _T_4111 | _T_4109; // @[Mux.scala 27:72] - wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 493:101] - wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 493:167] - wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 493:138] - wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:187] - wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 493:53] - wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 500:47] - wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 500:62] - wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 504:50] - wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 504:48] - wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 504:30] - wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 507:90] - wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 507:118] + wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 494:101] + wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 494:167] + wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 494:138] + wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:187] + wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 501:47] + wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 501:62] + wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 505:50] + wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 505:48] + wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 505:30] + wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 508:90] + wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 508:118] wire _GEN_257 = _T_4190 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4182 ? 1'h0 : _T_4190; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4182 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2299,6 +2303,7 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_300 = _T_4039 ? 32'h0 : _GEN_288; // @[Conditional.scala 39:67] wire _GEN_302 = _T_4039 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] wire buf_wr_en_3 = _T_4016 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_data_en_3 = _T_4016 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] wire [31:0] _GEN_307 = _T_4016 ? _T_4038 : _GEN_300; // @[Conditional.scala 40:58] wire buf_ldfwd_en_3 = _T_4016 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] wire buf_rst_3 = _T_4016 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] @@ -2307,204 +2312,205 @@ module el2_lsu_bus_buffer( reg _T_4251; // @[Reg.scala 27:20] reg _T_4254; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4254,_T_4251,_T_4248,_T_4245}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 172:27 el2_lsu_bus_buffer.scala 173:17 el2_lsu_bus_buffer.scala 453:19] - wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 172:27 el2_lsu_bus_buffer.scala 173:17 el2_lsu_bus_buffer.scala 453:19] - wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 172:27 el2_lsu_bus_buffer.scala 173:17 el2_lsu_bus_buffer.scala 453:19] - wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 172:27 el2_lsu_bus_buffer.scala 173:17 el2_lsu_bus_buffer.scala 453:19] - reg _T_4320; // @[el2_lsu_bus_buffer.scala 543:82] - reg _T_4315; // @[el2_lsu_bus_buffer.scala 543:82] - reg _T_4310; // @[el2_lsu_bus_buffer.scala 543:82] - reg _T_4305; // @[el2_lsu_bus_buffer.scala 543:82] + wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 173:27 el2_lsu_bus_buffer.scala 174:17 el2_lsu_bus_buffer.scala 454:19] + wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 173:27 el2_lsu_bus_buffer.scala 174:17 el2_lsu_bus_buffer.scala 454:19] + wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 173:27 el2_lsu_bus_buffer.scala 174:17 el2_lsu_bus_buffer.scala 454:19] + wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 173:27 el2_lsu_bus_buffer.scala 174:17 el2_lsu_bus_buffer.scala 454:19] + reg _T_4320; // @[el2_lsu_bus_buffer.scala 544:82] + reg _T_4315; // @[el2_lsu_bus_buffer.scala 544:82] + reg _T_4310; // @[el2_lsu_bus_buffer.scala 544:82] + reg _T_4305; // @[el2_lsu_bus_buffer.scala 544:82] wire [3:0] buf_error = {_T_4320,_T_4315,_T_4310,_T_4305}; // @[Cat.scala 29:58] - wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 543:86] - wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 543:128] - wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 543:86] - wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 543:128] - wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 543:86] - wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 543:128] - wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 543:86] - wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 543:128] - wire [1:0] _T_4328 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 545:96] - wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 545:96] - wire [2:0] _T_4329 = _T_4328 + _GEN_391; // @[el2_lsu_bus_buffer.scala 545:96] - wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 545:96] - wire [3:0] buf_numvld_any = _T_4329 + _GEN_392; // @[el2_lsu_bus_buffer.scala 545:96] - wire _T_4399 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 551:52] - wire _T_4400 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 551:92] - wire _T_4401 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 551:119] - wire _T_4403 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 552:52] - wire _T_4404 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 552:52] - wire _T_4405 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 552:52] - wire _T_4406 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 552:52] - wire _T_4407 = _T_4403 | _T_4404; // @[el2_lsu_bus_buffer.scala 552:65] - wire _T_4408 = _T_4407 | _T_4405; // @[el2_lsu_bus_buffer.scala 552:65] - wire _T_4409 = _T_4408 | _T_4406; // @[el2_lsu_bus_buffer.scala 552:65] - wire _T_4410 = ~_T_4409; // @[el2_lsu_bus_buffer.scala 552:34] - wire _T_4412 = _T_4410 & _T_765; // @[el2_lsu_bus_buffer.scala 552:70] - wire _T_4415 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 554:51] - wire _T_4416 = _T_4415 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 554:72] - wire _T_4417 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 554:94] - wire _T_4418 = _T_4416 & _T_4417; // @[el2_lsu_bus_buffer.scala 554:92] - wire _T_4419 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 554:111] - wire _T_4421 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 557:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 643:66] - wire _T_4439 = _T_2708 & _T_1130; // @[Mux.scala 27:72] - wire _T_4440 = _T_2730 & _T_3745; // @[Mux.scala 27:72] - wire _T_4441 = _T_2752 & _T_3938; // @[Mux.scala 27:72] - wire _T_4442 = _T_2774 & _T_4131; // @[Mux.scala 27:72] - wire _T_4443 = _T_4439 | _T_4440; // @[Mux.scala 27:72] - wire _T_4444 = _T_4443 | _T_4441; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4444 | _T_4442; // @[Mux.scala 27:72] - wire _T_4450 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 560:108] - wire _T_4455 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 560:108] - wire _T_4460 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 560:108] - wire _T_4465 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 560:108] - wire _T_4466 = _T_2708 & _T_4450; // @[Mux.scala 27:72] - wire _T_4467 = _T_2730 & _T_4455; // @[Mux.scala 27:72] - wire _T_4468 = _T_2752 & _T_4460; // @[Mux.scala 27:72] - wire _T_4469 = _T_2774 & _T_4465; // @[Mux.scala 27:72] - wire _T_4470 = _T_4466 | _T_4467; // @[Mux.scala 27:72] - wire _T_4471 = _T_4470 | _T_4468; // @[Mux.scala 27:72] - wire _T_4478 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 561:109] - wire _T_4479 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 561:124] - wire _T_4480 = _T_4478 | _T_4479; // @[el2_lsu_bus_buffer.scala 561:122] - wire _T_4481 = _T_4439 & _T_4480; // @[el2_lsu_bus_buffer.scala 561:106] - wire _T_4486 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 561:109] - wire _T_4487 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 561:124] - wire _T_4488 = _T_4486 | _T_4487; // @[el2_lsu_bus_buffer.scala 561:122] - wire _T_4489 = _T_4440 & _T_4488; // @[el2_lsu_bus_buffer.scala 561:106] - wire _T_4494 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 561:109] - wire _T_4495 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 561:124] - wire _T_4496 = _T_4494 | _T_4495; // @[el2_lsu_bus_buffer.scala 561:122] - wire _T_4497 = _T_4441 & _T_4496; // @[el2_lsu_bus_buffer.scala 561:106] - wire _T_4502 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 561:109] - wire _T_4503 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 561:124] - wire _T_4504 = _T_4502 | _T_4503; // @[el2_lsu_bus_buffer.scala 561:122] - wire _T_4505 = _T_4442 & _T_4504; // @[el2_lsu_bus_buffer.scala 561:106] - wire [1:0] _T_4508 = _T_4497 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4509 = _T_4505 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_393 = {{1'd0}, _T_4489}; // @[Mux.scala 27:72] - wire [1:0] _T_4511 = _GEN_393 | _T_4508; // @[Mux.scala 27:72] - wire [31:0] _T_4546 = _T_4481 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4547 = _T_4489 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4548 = _T_4497 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4549 = _T_4505 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4550 = _T_4546 | _T_4547; // @[Mux.scala 27:72] - wire [31:0] _T_4551 = _T_4550 | _T_4548; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4551 | _T_4549; // @[Mux.scala 27:72] - wire _T_4557 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 563:120] - wire _T_4558 = _T_4439 & _T_4557; // @[el2_lsu_bus_buffer.scala 563:105] - wire _T_4563 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 563:120] - wire _T_4564 = _T_4440 & _T_4563; // @[el2_lsu_bus_buffer.scala 563:105] - wire _T_4569 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 563:120] - wire _T_4570 = _T_4441 & _T_4569; // @[el2_lsu_bus_buffer.scala 563:105] - wire _T_4575 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 563:120] - wire _T_4576 = _T_4442 & _T_4575; // @[el2_lsu_bus_buffer.scala 563:105] - wire [31:0] _T_4577 = _T_4558 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4578 = _T_4564 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4579 = _T_4570 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4580 = _T_4576 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4581 = _T_4577 | _T_4578; // @[Mux.scala 27:72] - wire [31:0] _T_4582 = _T_4581 | _T_4579; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4582 | _T_4580; // @[Mux.scala 27:72] - wire _T_4584 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 113:123] - wire _T_4585 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 113:123] - wire _T_4586 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 113:123] - wire _T_4587 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 113:123] - wire [31:0] _T_4588 = _T_4584 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4589 = _T_4585 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4590 = _T_4586 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4591 = _T_4587 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4592 = _T_4588 | _T_4589; // @[Mux.scala 27:72] - wire [31:0] _T_4593 = _T_4592 | _T_4590; // @[Mux.scala 27:72] - wire [31:0] _T_4594 = _T_4593 | _T_4591; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4594[1:0]; // @[el2_lsu_bus_buffer.scala 564:83] - wire [1:0] _T_4600 = _T_4584 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4601 = _T_4585 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4602 = _T_4586 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4603 = _T_4587 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4604 = _T_4600 | _T_4601; // @[Mux.scala 27:72] - wire [1:0] _T_4605 = _T_4604 | _T_4602; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4605 | _T_4603; // @[Mux.scala 27:72] - wire _T_4615 = _T_4584 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4616 = _T_4585 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4617 = _T_4586 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4618 = _T_4587 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4619 = _T_4615 | _T_4616; // @[Mux.scala 27:72] - wire _T_4620 = _T_4619 | _T_4617; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4620 | _T_4618; // @[Mux.scala 27:72] - wire [63:0] _T_4640 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 568:121] - wire [5:0] _T_4641 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 568:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4640 >> _T_4641; // @[el2_lsu_bus_buffer.scala 568:92] - wire _T_4642 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 571:69] - wire _T_4644 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 572:81] - wire _T_4645 = lsu_nonblock_unsign & _T_4644; // @[el2_lsu_bus_buffer.scala 572:63] - wire [31:0] _T_4647 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4648 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 573:45] - wire _T_4649 = lsu_nonblock_unsign & _T_4648; // @[el2_lsu_bus_buffer.scala 573:26] - wire [31:0] _T_4651 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4652 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 574:6] - wire _T_4654 = _T_4652 & _T_4644; // @[el2_lsu_bus_buffer.scala 574:27] - wire [23:0] _T_4657 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4659 = {_T_4657,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4662 = _T_4652 & _T_4648; // @[el2_lsu_bus_buffer.scala 575:27] - wire [15:0] _T_4665 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4667 = {_T_4665,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4668 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 576:21] - wire [31:0] _T_4669 = _T_4645 ? _T_4647 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4670 = _T_4649 ? _T_4651 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4671 = _T_4654 ? _T_4659 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4672 = _T_4662 ? _T_4667 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4673 = _T_4668 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4674 = _T_4669 | _T_4670; // @[Mux.scala 27:72] - wire [31:0] _T_4675 = _T_4674 | _T_4671; // @[Mux.scala 27:72] - wire [31:0] _T_4676 = _T_4675 | _T_4672; // @[Mux.scala 27:72] - wire [63:0] _GEN_395 = {{32'd0}, _T_4676}; // @[Mux.scala 27:72] - wire [63:0] _T_4677 = _GEN_395 | _T_4673; // @[Mux.scala 27:72] - wire _T_4772 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 594:36] - wire _T_4773 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 594:51] - wire _T_4774 = _T_4772 & _T_4773; // @[el2_lsu_bus_buffer.scala 594:49] - wire [31:0] _T_4778 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4780 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4785 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 606:50] - wire _T_4786 = _T_4772 & _T_4785; // @[el2_lsu_bus_buffer.scala 606:48] - wire [7:0] _T_4790 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4793 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 611:36] - wire _T_4795 = _T_4793 & _T_1275; // @[el2_lsu_bus_buffer.scala 611:50] - wire _T_4807 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 624:114] - wire _T_4809 = _T_4807 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 624:129] - wire _T_4812 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 624:114] - wire _T_4814 = _T_4812 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 624:129] - wire _T_4817 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 624:114] - wire _T_4819 = _T_4817 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 624:129] - wire _T_4822 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 624:114] - wire _T_4824 = _T_4822 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 624:129] - wire _T_4825 = _T_2708 & _T_4809; // @[Mux.scala 27:72] - wire _T_4826 = _T_2730 & _T_4814; // @[Mux.scala 27:72] - wire _T_4827 = _T_2752 & _T_4819; // @[Mux.scala 27:72] - wire _T_4828 = _T_2774 & _T_4824; // @[Mux.scala 27:72] - wire _T_4829 = _T_4825 | _T_4826; // @[Mux.scala 27:72] - wire _T_4830 = _T_4829 | _T_4827; // @[Mux.scala 27:72] - wire _T_4840 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 625:98] - wire lsu_imprecise_error_store_tag = _T_4840 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 625:113] - wire _T_4846 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 627:72] - wire _T_4848 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 113:123] - wire [31:0] _T_4850 = _T_4848 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4851 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4852 = _T_4850 | _T_4851; // @[Mux.scala 27:72] - wire _T_4869 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 634:68] - wire _T_4872 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 635:48] - wire _T_4875 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 638:48] - wire _T_4876 = io_lsu_axi_awvalid & _T_4875; // @[el2_lsu_bus_buffer.scala 638:46] - wire _T_4877 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 638:92] - wire _T_4878 = io_lsu_axi_wvalid & _T_4877; // @[el2_lsu_bus_buffer.scala 638:90] - wire _T_4879 = _T_4876 | _T_4878; // @[el2_lsu_bus_buffer.scala 638:69] - wire _T_4880 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 638:136] - wire _T_4881 = io_lsu_axi_arvalid & _T_4880; // @[el2_lsu_bus_buffer.scala 638:134] - wire _T_4885 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 642:75] - wire _T_4886 = io_lsu_busreq_m & _T_4885; // @[el2_lsu_bus_buffer.scala 642:73] - reg _T_4889; // @[el2_lsu_bus_buffer.scala 642:56] + wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 544:86] + wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 544:128] + wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 544:86] + wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 544:128] + wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 544:86] + wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 544:128] + wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 544:86] + wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 544:128] + wire [2:0] _T_4325 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] + wire [1:0] _T_4331 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 546:96] + wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 546:96] + wire [2:0] _T_4332 = _T_4331 + _GEN_391; // @[el2_lsu_bus_buffer.scala 546:96] + wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 546:96] + wire [3:0] buf_numvld_any = _T_4332 + _GEN_392; // @[el2_lsu_bus_buffer.scala 546:96] + wire _T_4402 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 552:52] + wire _T_4403 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 552:92] + wire _T_4404 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 552:119] + wire _T_4406 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 553:52] + wire _T_4407 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 553:52] + wire _T_4408 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 553:52] + wire _T_4409 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 553:52] + wire _T_4410 = _T_4406 | _T_4407; // @[el2_lsu_bus_buffer.scala 553:65] + wire _T_4411 = _T_4410 | _T_4408; // @[el2_lsu_bus_buffer.scala 553:65] + wire _T_4412 = _T_4411 | _T_4409; // @[el2_lsu_bus_buffer.scala 553:65] + wire _T_4413 = ~_T_4412; // @[el2_lsu_bus_buffer.scala 553:34] + wire _T_4415 = _T_4413 & _T_765; // @[el2_lsu_bus_buffer.scala 553:70] + wire _T_4418 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 555:51] + wire _T_4419 = _T_4418 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 555:72] + wire _T_4420 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 555:94] + wire _T_4421 = _T_4419 & _T_4420; // @[el2_lsu_bus_buffer.scala 555:92] + wire _T_4422 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 555:111] + wire _T_4424 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 558:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 644:66] + wire _T_4442 = _T_2708 & _T_1130; // @[Mux.scala 27:72] + wire _T_4443 = _T_2730 & _T_3745; // @[Mux.scala 27:72] + wire _T_4444 = _T_2752 & _T_3938; // @[Mux.scala 27:72] + wire _T_4445 = _T_2774 & _T_4131; // @[Mux.scala 27:72] + wire _T_4446 = _T_4442 | _T_4443; // @[Mux.scala 27:72] + wire _T_4447 = _T_4446 | _T_4444; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4447 | _T_4445; // @[Mux.scala 27:72] + wire _T_4453 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 561:108] + wire _T_4458 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 561:108] + wire _T_4463 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 561:108] + wire _T_4468 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 561:108] + wire _T_4469 = _T_2708 & _T_4453; // @[Mux.scala 27:72] + wire _T_4470 = _T_2730 & _T_4458; // @[Mux.scala 27:72] + wire _T_4471 = _T_2752 & _T_4463; // @[Mux.scala 27:72] + wire _T_4472 = _T_2774 & _T_4468; // @[Mux.scala 27:72] + wire _T_4473 = _T_4469 | _T_4470; // @[Mux.scala 27:72] + wire _T_4474 = _T_4473 | _T_4471; // @[Mux.scala 27:72] + wire _T_4481 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 562:109] + wire _T_4482 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 562:124] + wire _T_4483 = _T_4481 | _T_4482; // @[el2_lsu_bus_buffer.scala 562:122] + wire _T_4484 = _T_4442 & _T_4483; // @[el2_lsu_bus_buffer.scala 562:106] + wire _T_4489 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 562:109] + wire _T_4490 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 562:124] + wire _T_4491 = _T_4489 | _T_4490; // @[el2_lsu_bus_buffer.scala 562:122] + wire _T_4492 = _T_4443 & _T_4491; // @[el2_lsu_bus_buffer.scala 562:106] + wire _T_4497 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 562:109] + wire _T_4498 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 562:124] + wire _T_4499 = _T_4497 | _T_4498; // @[el2_lsu_bus_buffer.scala 562:122] + wire _T_4500 = _T_4444 & _T_4499; // @[el2_lsu_bus_buffer.scala 562:106] + wire _T_4505 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 562:109] + wire _T_4506 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 562:124] + wire _T_4507 = _T_4505 | _T_4506; // @[el2_lsu_bus_buffer.scala 562:122] + wire _T_4508 = _T_4445 & _T_4507; // @[el2_lsu_bus_buffer.scala 562:106] + wire [1:0] _T_4511 = _T_4500 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4512 = _T_4508 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_393 = {{1'd0}, _T_4492}; // @[Mux.scala 27:72] + wire [1:0] _T_4514 = _GEN_393 | _T_4511; // @[Mux.scala 27:72] + wire [31:0] _T_4549 = _T_4484 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4550 = _T_4492 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4551 = _T_4500 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4552 = _T_4508 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4553 = _T_4549 | _T_4550; // @[Mux.scala 27:72] + wire [31:0] _T_4554 = _T_4553 | _T_4551; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4554 | _T_4552; // @[Mux.scala 27:72] + wire _T_4560 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 564:120] + wire _T_4561 = _T_4442 & _T_4560; // @[el2_lsu_bus_buffer.scala 564:105] + wire _T_4566 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 564:120] + wire _T_4567 = _T_4443 & _T_4566; // @[el2_lsu_bus_buffer.scala 564:105] + wire _T_4572 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 564:120] + wire _T_4573 = _T_4444 & _T_4572; // @[el2_lsu_bus_buffer.scala 564:105] + wire _T_4578 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 564:120] + wire _T_4579 = _T_4445 & _T_4578; // @[el2_lsu_bus_buffer.scala 564:105] + wire [31:0] _T_4580 = _T_4561 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4581 = _T_4567 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4582 = _T_4573 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4583 = _T_4579 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4584 = _T_4580 | _T_4581; // @[Mux.scala 27:72] + wire [31:0] _T_4585 = _T_4584 | _T_4582; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4585 | _T_4583; // @[Mux.scala 27:72] + wire _T_4587 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 114:123] + wire _T_4588 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 114:123] + wire _T_4589 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 114:123] + wire _T_4590 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 114:123] + wire [31:0] _T_4591 = _T_4587 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4592 = _T_4588 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4593 = _T_4589 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4594 = _T_4590 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4595 = _T_4591 | _T_4592; // @[Mux.scala 27:72] + wire [31:0] _T_4596 = _T_4595 | _T_4593; // @[Mux.scala 27:72] + wire [31:0] _T_4597 = _T_4596 | _T_4594; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4597[1:0]; // @[el2_lsu_bus_buffer.scala 565:83] + wire [1:0] _T_4603 = _T_4587 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4604 = _T_4588 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4605 = _T_4589 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4606 = _T_4590 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4607 = _T_4603 | _T_4604; // @[Mux.scala 27:72] + wire [1:0] _T_4608 = _T_4607 | _T_4605; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4608 | _T_4606; // @[Mux.scala 27:72] + wire _T_4618 = _T_4587 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4619 = _T_4588 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4620 = _T_4589 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4621 = _T_4590 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4622 = _T_4618 | _T_4619; // @[Mux.scala 27:72] + wire _T_4623 = _T_4622 | _T_4620; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4623 | _T_4621; // @[Mux.scala 27:72] + wire [63:0] _T_4643 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 569:121] + wire [5:0] _T_4644 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 569:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4643 >> _T_4644; // @[el2_lsu_bus_buffer.scala 569:92] + wire _T_4645 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 572:69] + wire _T_4647 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 573:81] + wire _T_4648 = lsu_nonblock_unsign & _T_4647; // @[el2_lsu_bus_buffer.scala 573:63] + wire [31:0] _T_4650 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4651 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 574:45] + wire _T_4652 = lsu_nonblock_unsign & _T_4651; // @[el2_lsu_bus_buffer.scala 574:26] + wire [31:0] _T_4654 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4655 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 575:6] + wire _T_4657 = _T_4655 & _T_4647; // @[el2_lsu_bus_buffer.scala 575:27] + wire [23:0] _T_4660 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4662 = {_T_4660,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4665 = _T_4655 & _T_4651; // @[el2_lsu_bus_buffer.scala 576:27] + wire [15:0] _T_4668 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4670 = {_T_4668,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4671 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 577:21] + wire [31:0] _T_4672 = _T_4648 ? _T_4650 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4673 = _T_4652 ? _T_4654 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4674 = _T_4657 ? _T_4662 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4675 = _T_4665 ? _T_4670 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4676 = _T_4671 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4677 = _T_4672 | _T_4673; // @[Mux.scala 27:72] + wire [31:0] _T_4678 = _T_4677 | _T_4674; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4678 | _T_4675; // @[Mux.scala 27:72] + wire [63:0] _GEN_395 = {{32'd0}, _T_4679}; // @[Mux.scala 27:72] + wire [63:0] _T_4680 = _GEN_395 | _T_4676; // @[Mux.scala 27:72] + wire _T_4775 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 595:36] + wire _T_4776 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 595:51] + wire _T_4777 = _T_4775 & _T_4776; // @[el2_lsu_bus_buffer.scala 595:49] + wire [31:0] _T_4781 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4783 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4788 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 607:50] + wire _T_4789 = _T_4775 & _T_4788; // @[el2_lsu_bus_buffer.scala 607:48] + wire [7:0] _T_4793 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4796 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 612:36] + wire _T_4798 = _T_4796 & _T_1275; // @[el2_lsu_bus_buffer.scala 612:50] + wire _T_4810 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 625:114] + wire _T_4812 = _T_4810 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 625:129] + wire _T_4815 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 625:114] + wire _T_4817 = _T_4815 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 625:129] + wire _T_4820 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 625:114] + wire _T_4822 = _T_4820 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 625:129] + wire _T_4825 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 625:114] + wire _T_4827 = _T_4825 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 625:129] + wire _T_4828 = _T_2708 & _T_4812; // @[Mux.scala 27:72] + wire _T_4829 = _T_2730 & _T_4817; // @[Mux.scala 27:72] + wire _T_4830 = _T_2752 & _T_4822; // @[Mux.scala 27:72] + wire _T_4831 = _T_2774 & _T_4827; // @[Mux.scala 27:72] + wire _T_4832 = _T_4828 | _T_4829; // @[Mux.scala 27:72] + wire _T_4833 = _T_4832 | _T_4830; // @[Mux.scala 27:72] + wire _T_4843 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 626:98] + wire lsu_imprecise_error_store_tag = _T_4843 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 626:113] + wire _T_4849 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 628:72] + wire _T_4851 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 114:123] + wire [31:0] _T_4853 = _T_4851 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4854 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4855 = _T_4853 | _T_4854; // @[Mux.scala 27:72] + wire _T_4872 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 635:68] + wire _T_4875 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 636:48] + wire _T_4878 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 639:48] + wire _T_4879 = io_lsu_axi_awvalid & _T_4878; // @[el2_lsu_bus_buffer.scala 639:46] + wire _T_4880 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 639:92] + wire _T_4881 = io_lsu_axi_wvalid & _T_4880; // @[el2_lsu_bus_buffer.scala 639:90] + wire _T_4882 = _T_4879 | _T_4881; // @[el2_lsu_bus_buffer.scala 639:69] + wire _T_4883 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 639:136] + wire _T_4884 = io_lsu_axi_arvalid & _T_4883; // @[el2_lsu_bus_buffer.scala 639:134] + wire _T_4888 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 643:75] + wire _T_4889 = io_lsu_busreq_m & _T_4888; // @[el2_lsu_bus_buffer.scala 643:73] + reg _T_4892; // @[el2_lsu_bus_buffer.scala 643:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2577,61 +2583,62 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4889; // @[el2_lsu_bus_buffer.scala 642:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 550:30] - assign io_lsu_bus_buffer_full_any = _T_4399 ? _T_4400 : _T_4401; // @[el2_lsu_bus_buffer.scala 551:30] - assign io_lsu_bus_buffer_empty_any = _T_4412 & _T_1157; // @[el2_lsu_bus_buffer.scala 552:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 631:23] - assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 190:25] - assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 191:25] - assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 216:24] - assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 221:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4846; // @[el2_lsu_bus_buffer.scala 627:35] - assign io_lsu_imprecise_error_store_any = _T_4830 | _T_4828; // @[el2_lsu_bus_buffer.scala 624:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4852 : _T_4594; // @[el2_lsu_bus_buffer.scala 628:35] - assign io_lsu_nonblock_load_valid_m = _T_4418 & _T_4419; // @[el2_lsu_bus_buffer.scala 554:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 555:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4421; // @[el2_lsu_bus_buffer.scala 557:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 558:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4642; // @[el2_lsu_bus_buffer.scala 571:35] - assign io_lsu_nonblock_load_data_error = _T_4471 | _T_4469; // @[el2_lsu_bus_buffer.scala 560:35] - assign io_lsu_nonblock_load_data_tag = _T_4511 | _T_4509; // @[el2_lsu_bus_buffer.scala 561:33] - assign io_lsu_nonblock_load_data = _T_4677[31:0]; // @[el2_lsu_bus_buffer.scala 572:29] - assign io_lsu_pmu_bus_trxn = _T_4869 | _T_4764; // @[el2_lsu_bus_buffer.scala 634:23] - assign io_lsu_pmu_bus_misaligned = _T_4872 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 635:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 636:24] - assign io_lsu_pmu_bus_busy = _T_4879 | _T_4881; // @[el2_lsu_bus_buffer.scala 638:23] - assign io_lsu_axi_awvalid = _T_4774 & _T_1165; // @[el2_lsu_bus_buffer.scala 594:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 595:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4778; // @[el2_lsu_bus_buffer.scala 596:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 600:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 601:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4780 : 3'h3; // @[el2_lsu_bus_buffer.scala 597:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 602:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 604:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 599:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 598:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 603:20] - assign io_lsu_axi_wvalid = _T_4786 & _T_1165; // @[el2_lsu_bus_buffer.scala 606:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 608:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4790; // @[el2_lsu_bus_buffer.scala 607:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 609:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 622:21] - assign io_lsu_axi_arvalid = _T_4795 & _T_1165; // @[el2_lsu_bus_buffer.scala 611:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 612:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4778; // @[el2_lsu_bus_buffer.scala 613:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 617:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 618:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4780 : 3'h3; // @[el2_lsu_bus_buffer.scala 614:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 619:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 621:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 616:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 615:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 620:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 623:21] - assign io_test = _T_2002[1:0]; // @[el2_lsu_bus_buffer.scala 418:11] - assign io_data_hi = _T_4582 | _T_4580; // @[el2_lsu_bus_buffer.scala 569:14] - assign io_data_lo = _T_4551 | _T_4549; // @[el2_lsu_bus_buffer.scala 570:14] + assign io_lsu_busreq_r = _T_4892; // @[el2_lsu_bus_buffer.scala 643:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 551:30] + assign io_lsu_bus_buffer_full_any = _T_4402 ? _T_4403 : _T_4404; // @[el2_lsu_bus_buffer.scala 552:30] + assign io_lsu_bus_buffer_empty_any = _T_4415 & _T_1157; // @[el2_lsu_bus_buffer.scala 553:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 632:23] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 191:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 192:25] + assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 217:24] + assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 222:24] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4849; // @[el2_lsu_bus_buffer.scala 628:35] + assign io_lsu_imprecise_error_store_any = _T_4833 | _T_4831; // @[el2_lsu_bus_buffer.scala 625:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4855 : _T_4597; // @[el2_lsu_bus_buffer.scala 629:35] + assign io_lsu_nonblock_load_valid_m = _T_4421 & _T_4422; // @[el2_lsu_bus_buffer.scala 555:32] + assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 556:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4424; // @[el2_lsu_bus_buffer.scala 558:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 559:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4645; // @[el2_lsu_bus_buffer.scala 572:35] + assign io_lsu_nonblock_load_data_error = _T_4474 | _T_4472; // @[el2_lsu_bus_buffer.scala 561:35] + assign io_lsu_nonblock_load_data_tag = _T_4514 | _T_4512; // @[el2_lsu_bus_buffer.scala 562:33] + assign io_lsu_nonblock_load_data = _T_4680[31:0]; // @[el2_lsu_bus_buffer.scala 573:29] + assign io_lsu_pmu_bus_trxn = _T_4872 | _T_4767; // @[el2_lsu_bus_buffer.scala 635:23] + assign io_lsu_pmu_bus_misaligned = _T_4875 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 636:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 637:24] + assign io_lsu_pmu_bus_busy = _T_4882 | _T_4884; // @[el2_lsu_bus_buffer.scala 639:23] + assign io_lsu_axi_awvalid = _T_4777 & _T_1165; // @[el2_lsu_bus_buffer.scala 595:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 596:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4781; // @[el2_lsu_bus_buffer.scala 597:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 601:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 602:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4783 : 3'h3; // @[el2_lsu_bus_buffer.scala 598:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 603:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 605:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 600:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 599:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 604:20] + assign io_lsu_axi_wvalid = _T_4789 & _T_1165; // @[el2_lsu_bus_buffer.scala 607:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 609:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4793; // @[el2_lsu_bus_buffer.scala 608:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 610:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 623:21] + assign io_lsu_axi_arvalid = _T_4798 & _T_1165; // @[el2_lsu_bus_buffer.scala 612:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 613:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4781; // @[el2_lsu_bus_buffer.scala 614:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 618:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 619:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4783 : 3'h3; // @[el2_lsu_bus_buffer.scala 615:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 620:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 622:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 617:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 616:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 621:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 624:21] + assign io_test = _T_2002[1:0]; // @[el2_lsu_bus_buffer.scala 419:11] + assign io_data_hi = _T_4585 | _T_4583; // @[el2_lsu_bus_buffer.scala 570:14] + assign io_data_lo = _T_4554 | _T_4552; // @[el2_lsu_bus_buffer.scala 571:14] + assign io_data_en = {_T_4325,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 545:14] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] @@ -2916,7 +2923,7 @@ initial begin _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4889 = _RAND_106[0:0]; + _T_4892 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; @@ -3237,7 +3244,7 @@ initial begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4889 = 1'h0; + _T_4892 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3936,14 +3943,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1231 & _T_4761; + obuf_cmd_done <= _T_1231 & _T_4764; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1231 & _T_4762; + obuf_data_done <= _T_1231 & _T_4765; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4341,9 +4348,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4889 <= 1'h0; + _T_4892 <= 1'h0; end else begin - _T_4889 <= _T_4886 & _T_4419; + _T_4892 <= _T_4889 & _T_4422; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 624a4759..912deaed 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -108,6 +108,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val test = Output(UInt()) val data_hi = Output(UInt()) val data_lo = Output(UInt()) + val data_en = Output(UInt()) }) def indexing(in : UInt, index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) def indexing(in : Vec[UInt], index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) @@ -541,7 +542,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { buf_byteen := (0 until DEPTH).map(i=>withClock(io.lsu_bus_buf_c1_clk){RegEnable(buf_byteen_in(i), 0.U, buf_wr_en(i).asBool())}) buf_data := (0 until DEPTH).map(i=>rvdffe(buf_data_in(i), buf_data_en(i), clock, io.scan_mode)) buf_error := (0 until DEPTH).map(i=>(withClock(io.lsu_bus_buf_c1_clk){RegNext(Mux(buf_error_en(i), true.B, buf_error(i)) & !buf_rst(i), false.B)}).asUInt()).reverse.reduce(Cat(_,_)) - + io.data_en := (0 until DEPTH).map(i=>buf_data_en(i).asUInt()).reverse.reduce(Cat(_,_)) val buf_numvld_any = (0 until DEPTH).map(i=>(buf_state(i)=/=idle_C).asUInt).reverse.reduce(_ +& _) buf_numvld_wrcmd_any := (0 until DEPTH).map(i=>(buf_write(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) buf_numvld_cmd_any := (0 until DEPTH).map(i=>((buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index ead3ab1ab52e17e380f5ed6ff0da7c15fbb60f7e..40b7ea3307f8bb51c39e9d0b2bcf0c86be9e28cf 100644 GIT binary patch delta 110 zcmcaFcVBM9Jr+je&G%U>*%;d=OLE%+$pT*S$$8wKP}X^FDJ8vw9qB9#CD delta 110 zcmcaFcVBM9Jr+j8&G%U>*%;dJ8vv~qB830| diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 419f854d35fffc2a35ac4137fd255d14d0767be5..3dc65afdbfd3f2c386bcf86c722575da756865be 100644 GIT binary patch delta 19 Zcmey$`jvG<1QTQXcBWT5(j2RvSytjS*jQjN z#u#%NBQOw$I1X0Og0W4AuMlh;2Rp=Z2(cZ9*oHXRacn{y$iJ(0re|wkJKFEtey{7j zUsZKgb-${f>X+Vo?s+0w$WJg$Naea3-PC*s(rN3;;lI7z_3G(vNIMx9XsjPughjr^${A#G}tmlXfRWywhLTq zb0!b24lY_PsZ=5Bb}yTT*S_q5WFp@Yq2Xw|zG+M~Xr+vk&L&(KTM9Ms3vEJ<2{-QK zQ(caGHt%|wSjtHy+Pf1@BAJV|r9g}?ICQr;@oX&R=HkiB$-q9TV9zBJu8W?G=bg@M zF7KA)QCIM3&w6p!>4>HBOv>;7_J?RKjWRN~N5Rq^^CmJ=K-#aMDg!CsV|n_$B$( zjc3zoc=`Dzb578CIX`JYDv`@Oi7pHWG)T!A3Mn~*=Me4Lb}sL_8Gj7<)zIx8JDYT3 z-JTmuoI{)F20}`|gf`vue%1XZgh!j_=1|)K#q%U;5aj6DIeNVr6ami%irIO7dzPM? z>~)3whYyB@<7UtZetAKHbEdbMkmNTmu5@Ikxs{8MWbkR4VBRcm@4v^_b^Rt zK~EGTj{j6L&z!;>sY%fo!+c25SfhPd(Kw^sqG-I)K7vtMQk)5_iYCw%et92NG|^}u zQ#8qFA6GQlXrE9t#b}>YRByC<6-_lc-_JA}Im^LO(KNc!FX2;)8jSX74C9iT=*XyO zI?eFi9#J&YXrECu%V>`)nr*Z{QZ&bCf2^p{6#plR<{Ir!70ol+pDCJev@a-HV6;D1 zw9sgm6fH8^Gl~`)?Td<*80|}nmKyCVik2DeFBB~|<^H9jCX@CxMJtT<4Mi)B_E(Bl z8Na_)wAyIjQnbccUskl%Xn&( z{z}nallGsA_L;Q*Qq*eFey3=^N&9a_2Ta=kC^~4g|5bFzSl?H4SZmCRj%X{FD>`bl zN=3(v7EyHEXf=u)qYY4W!mtAs#f&ysQJc|*D2f|xsG@|?hAVQ7Hd0Z$$z`;nlO}Df zq7I{tSCll`6^c$7ze$Qto3ts4QbwDqC~d4)D#{pbx}vPnW-975ezO&wF45nwhFrBs0nUD#X%;kY`BK^!;$F&vs$D&vZp$IS{fsitr9RyteP$(Au)Go@r9? z(G}v~q<9zauHl`$2c_)Id&xMSh>J^Z{SZ)CNg=O&+!{q*s4=dmP}RP!xE{sZ_+XSO z_z*%=!K*pb*@e{;AHkESh?+!Q$zwHql#lx}`~p!}Q|aZH_Bl~QgBY{N%2;P->YU;@ z-4I0zg7o5`IvX&-Y$|4ASFUK#Zpeb$qbL}Y4pk`EFE7Ff7sa~k2Q})BC>_+@Br)Ko40gSPd!II3FMQLMu z()04EM!m{K2_NE{qcnm)%+wfkgzOxYZ-Neb;Fc&Z>DH1S@GTL(4Xcipy{*o=*1gWY zwVQP_H7ouo-w~ww8T6_OUyX5 z#C$_b%r>;dTtiFDG_=G#Lrcsuw8T6@OUxp)#DqahOc%7oWI;%w8SJq zOH2{8!~{W0Ob@igKub&uw8W%9OH2v0#DqXgOb4{YWI#(y1+>IOKub&m zw8SJpOH2W@!~{S~gnum&{Ix{r*AjtWON4zb5%jf0$k!49UrU60EfMUsM5xyifnH05 zc`Xs-wM2;55&>RIgm*0w+_gk#*AjtUON4bT5!AIrNY@epT}y;>EfLJML@3u1fm}<3 zaV-(VwL}Ql5&>LGgl{boytPE=))IkRON4DL5wx{L$kq}8TT6s%EfK7>M5xvhfm%z1 zX)O_?wM2;45&>FEgl8=goV7$~))IkPON3=D5tOw=NY)YoSxbatEfI{hL@3r0ffzDD zxRL1wyvTeAXN@>x$jTW{(~VC-DdSJ$SfvvcKMY+N2fB$qh%+o!MfheL9w@?NMfki8 z4-(;VB7Cb24;JC^B7B<-*NX52x?O0nJ8XD}2u~E@J8ig5geQscT{b*ageQye-8MW- zgr|t`JvKaCgzH84J{uk(!c)brdccN9itsdgQ0S_MY!cW@p1QBi&`}uP=e1!D%_96G8(uELTSfRM zHryn_+eG-MHoQWFTf}~T!-iLi@OBaYnGLTJ;TqU64Sn_Xdc!LP<6HETB4Q~|TRKX z@By*p-`nsO5k4rw@7Zv(2p^KF&1Az{Mfk7?m)Y<(5k4YSn=5R%MTC!vaFq>j7vWzX-RBT{Xsr4~XzdvE*?!d{BftM0kP?9}?lD2v4-(!y4Cc>Tw&$r>Y2x=R|mo4WAO>tHoBlMSau_&O2ZV#65` zzFvg4+Hh8a@k4P7-oN9u{J{BCi663PKxW28-rK_mp5epLoQvGn!>&o{;f@#h)Frwx z%y4s<;e43k)-c0u#*ppdGbM%RF3}xfhC9OycZC`54l~>nX1LE7`Zvh~zTr#Ub?6yB zTTLR!X1Ew;csk6`6J~fe%8;mcu$=feyy7=!M{>X2^yY8d?WFvB;)3@--7 zRfd%IQs6SPhwlvW`gT|e-w88(_pPf(5==I~xD21Sj8ExpYMSDg zVTQLaBiCPt!M_P$47mG4uK%}T_&b+{zZ-`CKFsjm<>bp0c!jk&mxUC;6=8;|Fhg~i zAsS{-#^4Vv@pjh3f(Hd&p_fq`_=F5nt_xgh`#;T0QNseCD!H$DMBvi@1~<8kGA{kb zD31x)uv(4_T*7KFA#e%HWnx$jCI_tW2s72MFYyU$sA*vZG=v#ugc)W9#r=UnFemT| z9Rza&pRk6RAGm}Lf`x%kSQjh~T*3yyQsdHp5G)Vauv)GNT*7LwDsTzQWldNO*7dSI z{9s{DW_o@@m|;_xVM~}{>lX_9U-%yE*TwXkqS*a1g$80@^&spp9*n)VwRE0_V3J=) zU!q?&+pQNGHf#|TS61dcG0A= zBuy^6iKdi2NcCk;)6}w;Xrkx897J0B6rd5$g{L3@*3@pyifb8r%-G4CfZ+}qyyDA(ZTA+=}`5H zbh!FWI#N?XM{64BSWOEZuW`w#xt>nc+)J^VuTWdfk0~ClphUER+~_uHk7np(^gMM$ zpQL2;WjYmon@$g?q11rMlpfGTnF0GKJ0ML1^r~ruSsC^2N5UG3xAUj)j_(3`tde&;W!8#Hw1Dh*zk@t z{1AkXKK)btw!+x@jst#XW^DCG8h+|#Z1BecTS=I(tAnwB8wb34VC=@m0dH*>`?qnx z8wJL`Y-m`dGj{aj6mM1-d%AJJt0BhTbZB@D$=GR*1K!{=Hb&xrw~LHD=g{!Nj`95f zPVtr%7waGJnwase05rUvVthXU4L>R~z6*ecUrQN#zj2CRFB#ttK*P&7#*TDo_~n|h zOC6_po5%QE<95b}0MPKu9pf_r9Pqm(<0AqburZ49aR4;za$&F4F?-`r^alj@L?9%lQqx=JQvoLnEUtoUR9~S=y_5hCX literal 15262 zcma)@33yyrb;r-qHk#43CCmH1$+o=8`zG(ZYVPwx$$l8YrYGO@TuAzk4-{uFj+O_xYXk?m54E z@4NTDckX+0^tC@c`*|W-%#SloNM?E(+~h(B(rN3-;D39&>($joQ|VOQf)Fv&;8q0b$soFAysG6x<+Xb$* zDU}6R3m2^xbt+eNyO-{QS4;X}BA)FG(Qu4i|1zd9Mk(cVrQhvE*qCO}=Lvlj+!LbZuyEfh4d(oY(^6moH5h zm)7Qdiwmp$!gkMf{bqC{x=pOPuD-hbe)&#kqsc_v@uIy>9OLDebf3;TT}&gJ6RB9T zC+_A~tZ&GocX=<5DdH2+c--@m!VvqD2G59_is!8bSD(vUaH2BVwC6hUXg2ELv^te` zdedIq>2kde*Dt9-NxXki?s`1;jNkO2WZY@*NhY1ngzkN$J=v4#bh@0LZl;hq@mun{ z8%uX}!OO2Vk#P!>m+_0LlJQK|iT7YRU_gq_&`8l4Jcnq{w)0unP5Eoc?}i@t=(&Uw z?e*Mf{5-})4-iTQ6twA~_q*;7Aw1eVH-p|*<nOAP;yxkgv}3$Fum{ zRIfYaKYXw(95;nQ@Y^dCIA{8X2}SDH5%!qwRpJq1zUGRk7yuI}u4 zN1O5uCh&kWMYWhdekuBZ!7 z$z-A<`UPZi`&}>FVbai^e+r;NV|@X{g&vgUR1T(cUxZOp!yb>v@M> zGhT)BOx9~Fs-PEfwYU?w%k_hy(9hg`To?^~BNzJQ*`t9+fjt_YH5#5h8s31>@a)m> z28@PhkA~NOG;+_RvaIW0WJoV8|MoMQe;3!(&&v*VWCe8BQ z9#b^iXdhNI$7ml>G}mY!RW#3Nf3B#(H2(=j^NscwiWV5{FBL5`+Gi9kGTLQDi;eb_ zq9sPVs%WXvKBs7z(VkVb+-QHLXob-}uV|%d_lt@eP1#>7T4l7qQMB4*G^|n-HQHcBZAPn66f;__qPWq9DRPZALQ%V^Wt5^0Q#M9Xr_shK zN*HZ|qEp6ilA_b5Y>J|!(dra+8S8XKDWlaZN*isKqHg0iN6{Ih%~RwVZN8$6(H1Jo z8f~$n9-}Q)bk@|eTv4wnTdC-r(N-xsZ?rXvt}}k?6kTu1HYmEmXqyz>XtXU%6{`}d zM0O40W_`euqjBZ|xYeb5u(fBJnA`dXya|PP2Oe2FcW-KK-dx8tIsd>4@NZPSlXr!= znRla={aG&&!_#kR(W55-6yBOp*IwQiL0$Xx_2jzR-xb%RcpJAysEl!C`M1QTRCf>F zl=u*yH~C(hLfy$DVLr@9{oQ=7-rW0>e)ZBm$HO$3PvBK6+TEQzulOY06hR60j!WzF z*d}Zy8}ny&=kf;ahAKD~LBojYD%Y;xUWnU^`mP_(=zC{z-%X+J*Qqck__W`jJ0sBi zU4E`I?G<8#m*L_gImFn-me!j=%S6~?%+J6v(C?PIt+Qbo%Dw1Fu7%t%g%~@R+Wht9 ziZyh7n6Kj-n5Nb@6=Gb@qyM`oBG^d2DFS~)JwAvvRmK*&|Qli!AAYIUX4)e?&|4kbG;VxHeTDD#wU*hQ7_@2_Z8Oc zbhDl5_@1a2?Q$`Q*h{FURLb@A*9;e@)tm7ao6g|H-MHe_bAP%A@8VnVB?RXE{&$q7 zR1(cKrP{He%5@2XYzdX&!%Z92`ui1Vwf-JNOYA_j#QsA|>^`)_K0{0FGPJ}VLrd&1 zw8Z{GOYAPR#NI+n>>jkl-a$)j6STx8K}&2Aw8REMOKcCc#O6RtYz?%;#z0GK3$(fT}y;@ zEfLhUL`c^X0bNUkb1f0fwL~b_5`kPxgmEnq#I-~S*Af9-ON4JN5xliT=++W}TT6s( zEfKV}M99_>0b5IiYb_D1wM3}a5`kJvglR1iq_sqd))E0)ON3`F5uCL|Xx0*eSxbav zEfJKpL`c>W0a;6gV=WPkwL~b^5`kDtgkdcagtbHn))E02GDf(8=_Y)v{2;ic!SvOZ`klc5#A`muh{S+5#A)i-?HJwBD`6==I_|> z5)s}a!r!&wr6RmlguiFQ%SCvb2>-x_SBP+v2>-~2SBmg<5q{N%8%21B2>;lISBY@5 zIL|+^;ngC%Q-ptJ!)ru%mk7UR!)rx&w+O#(!|OzNk2qkzu;KM0yjO&OX~P>tc%Rtq zH*9#L2=5nLe$$3GiExY9@~>@pvk13}Ex%>MTSWMP*z#{|c&i8>6kGnC4Q~_SLn8cp z8*UQe!(zAJw&Cp}d_;noZFq+WAC_3flWq8@2%i>Po@&F# zL^vtJ(`@*-2zQCyo?*i$L^vhFGi}%r;j{?Pw&9Z^+$~=7TpNyx@EH+qu;Dfl_C$Dr z4aY<{Bf^VpI4;6j5nf`$t_b&t@G={27vZzwRIRY#4iWAZTW+-BP7yvQ!mDjKA;RZH zc&!be65;E_YhG`|r$zXBvE_|6oD|_3M0m3ecZu+gBD~dxQxc5dc$@GE9beW54X1Ma z21Qk^vo7DWq+!|!KEy!?tkl_wvNM7YsQRCfL z=&m5cJwb+hgADfv86F5SJZKC9hvXsO@Em)GpXQ#T{(4vFkwD9%rm}OF`No<_SNZ0v zeCt)dP(;7`3SGJepU1Dk=ZS0Z`Peo1eBAg99Dq*-89o(c_;irr$sogLgA7-K3{M9c zo(VEM7i4%o$nXVY(9>8MFpXadf?o(SyclHoa-q5MfY!cRaG8CT?+WmGDX4{)gA8B4 zrh30o@TyrC(Do|@pP-BQR!}d#6J+=<4EObaUfr*sSKkXV{2<8iqaeepL53e2gTD$w z11=ifz`gvF|KH_j1sCCwV5)iT8hl;NBl_{l5;v z-?}FJH$nLCf(*aErh4Blcm<6)b3hYZ8e}LBGK7K*;UGhmG5AYMyq)#3;6Vkiz{{vE z_yjCc9#U|r8Td3aO${yhRLFD9!wW70Z*Wt~NaHeKjq>OM8`R6O1(%>6j4!wZ)iN=t z2a^k|@CY;ApIYP-G*Hum8kiAem>Fc4U1;u4EP}ZOufRpnQ1A&Fm<0uwz(ugA;1e_j zOA0PQi(r{?8Mp{m6xg6%HWplhda%0S5>(6DpdPI6W3TcDa(gl}^BaQd z@O{Xr#V(s7$Wxh0gOKk$7@5D-$bqe)3p9itL*mynG?czc!{~MVm01~$;PFW2TS}uC ziAQ{j#_-)VmM_yd{x*&0-_nEFC9fQOBc|r(pH*XnxZ+S7iezjV>GYy1!^dLjpmn?(1NnDw6JVFEh=+qaoO#( zr0fzcEqj)hm%TzO%6?8O%gd>;d;+a1Uq-9TkI-L9+ES6BtrZW^wu;NtRPhpRuXuxYgoaadXddkhZKYkIle9Z@p7w<9qrIWe z(Z104X@BT#YN?z`t(9BoKxKjsR^CE~DxaXkm0zJFm9Nv$a2Xv7*VFNEGo1*##`8h)PI!mm;+QbzGeJ-Lw`)E-GuN8|!^Mm|A_$jfvp@+&%B6{cj>6zZyKq*PT4 zRp}R_5$5HmFKY;|LA;$G!Ux0;VYbSdx7XA_Jc^T9cuxvr0u_#fF=;~}he8g69055J z67Ny?H-s$;b$Y6<^{Q+21(e$7Dt-BQ$)GWMrM=6hF2yRyj2MX3NO#hlU>`8Cm-{;N6>% zs}Bu7jWY7Zq2WUnI5Ut9|t5wG5$&c8d8NA+4!gwzxpsT^l`w~c}A8!G<@V|WZpx=PaKSFe4OH! z5=PcOPLZ#}__GTf@NJusWsd{CuQPJ+aX^*_(r5j{DF1+T6Gn3RMdlA<0ni@-80~1= diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index 310db73139a8dd2933498bee536821319e3779c6..55ee025ff02bd5f3ab8f2b6c2bb9fcc5448cf256 100644 GIT binary patch literal 500486 zcmcG131A$}Rc6((fMk9?z zmi__Re)zv=1K75sm0E?W#+LRdRz z?&Vgp3tjEG#ZtDom|18a&dz6M9*-1eR~NEjD=4fcM`S#^yqYU!Q!9@bXU4ND*;3f5 z7FK*AH_h5}(_t$ttj5Zs4zJ8)7Ba%xHLQsCVs>sJJ5y?(AofIVelb&8EoS?LRXzQ< zlwA?8IujBtHTNPkg1DkVyz-5EUG*VxWc5!I?yW_?^_jVX5)Q zH2x-yp9s40TQvTd#&6X4i7HpVnfcUVnK8vzhK66E@e|dqovoUlF~w&)_cOn$19}n| zmVwPpQ3?Com`}jXj48hA;Bbd7A9m%BFrV#Fe72`o)02phJnK2meARAaiqH1EO4lEC z^`F-GV;cXwu0Q7LA7(z=qxfvkW#)5##Yvvaoz(P**u5r)PPMZ+m*y z?|Srz=INI;Pam;8Jd+tbrbvdo@d%_I@c(=ThDKB9U0WzEw^Y){X4 zQ=XpjW_x>?}YkbYqFKeDYVtabF-<<&>j;H4Wl&7}`fIEVh+0)wtK;yHg zXTIa<)c{ayXKsj1EYA(ZGXO1(BF+q;$)-2zq)r;5G%(rI%wnuq-=G!v>+oSP&H9b57u)H<{ERVS}Kt!7XmJ_b0 zkJvK+%`nS61E?7$qRjxy+6)k}X8^WG%>Z1kJp-`)%F{Dnn*o-!86cv~0L$785V26x$10L#3lXTCNAEc2S4`PvMyJm$^-5qk#U z0qlDEh&=;v`?xa$27p@uQSW;Cs5S$LagDFd0HR*4>6M3wYBPWs*ZB4fKn06><>^%g zqV^1+_~RO1n*l_<>*=G~3?RlezBU7hde_rO?HPdeD?XR2%>bg_odKfS3?RlezBU7h zde_rOwHZK+YkX}65cRI7k7_f37}xmr3_uP-)GJS~97oii0oZCMJJp0eVRVsK3W{IU z_(gXGA(HMIJ?aE2FyKW|@zsEjzM#vyo;&(f;}pKv{^Vo|km~vds_f**d##zOkqD;OXXTg{h922iuQa8`&8OhU2kL zal7wfSTq$yYG7~p()P#U>R{DqP4#SGU|@f^rE+FnC;mY3|8H#d1-SdaBTYAVYzl_JM?#5NM$ljRddnKvHQ(k z4{tO~LSJLm-Be3wVszl>j%d^4y~wv%^V0Jz)vuhJxjMYB{boyPrLTG4!JB<^xdF&s z8aNKQrt`r-)x+wh)Zrb;ShOa4q_Zv%xtQEilDE5Of=!t%qqnT*wtFK zDb$%ce52?7)Go4fPu1P(RARKbe=gf6&mD%H4Yh~%q(b|rZ|yCu<;QvpxrWo{+c)>F zUc7K*TmD8@;LtI7?eLD?d*PF%bM4zttPXX{o{_2EJu@QK(sHY}p}J;uPjF!1a`<9b zCev~tB=9V%o?E%NeR%%x&7Qfb@zRz|s(UHL?K8PK(KPfR(-^FY9=un(y=N|Qpegqt zvwtwKxw>=ts))_k%K63XJxfCkZM%UNs?U+mYt_}^2Rk006~V#u$=UG0;J{S))Mg5j zR&|7y2M*m#-pwW39<{-~ix*1UYHoMrhqfO-pKt8CKOfq1?(p{Nc=*K~k1vp)s5#Nl z66u;d_w@PmhwpSeI`eeL+To_2x%t}R`Nf+Z*@;oPujY1FbZbp@&+--(%zb{Z9g)9v9|S*oa!y*8d}%n&F<1*Z1!4BFjRH6_N6^dErTMQj<*DF zcFmp|pS@OSJhGInZCjJKI`g^RW1Wec1DC6-_Czz8u0T^~^Yy~@WY?(+Pmb2yK8p72 z4x>H0!_N()zq+gLMh|pGZ}-k#L%y+FZoYk#uQB;>{^`Yihjtygd+zKDlpl6y9lLKF zO2zW?SD)-Xbf@obI@WeCe?6HzRXf{L3p?*Pc5ag`L5!DEv9?~)H}Z7Hw)yMHJe8N2 za?5*8m3L(2V#AZ8BO@KNHx8d}nQrN;dPOWegy8pFurt%seh1@lbYX3wsb>NGI#h7` zb<0pg^O~e`ZUT2Zy6$E}#~(@Rms`zFzcjW4X*>seYwvU{9*j0cH(}hCYO~jY`xm!H zn~rs)s_swK&R(m#*@f|v?;U$CP|M>5dIxTwD>U|_{x9`9{nnnUruv5pJNoZtcaOo{ zaec(@KwztC_s;Clg(p`JhXU0R!Y87)n-eWJGQel_%EfH$uIhO7xhA$Ru{Dtz>?#aB zji0O8-goyF=^Hfa+gle5RX5f!4x;gB%kJ&H*;Ap`b0bq7D~SUwH>SB=Qe!DmGe7QrmA=8)SV~$>TY%{Uc7MpTE4L_x;0Ugbn16dL<{Msl z`?9Bww(Tq2M7zSS^<-5-PYOKQ+KB$`ye#CM)-(^w>$V~$OL<`Xs@$}?sVo)9X;I=n67v5 zcRhG0b1uKL|L)LLd2Ugad#H=sspUXRDw-b}ZGAL+1OB0*v_^h{^KWtUpHTRnUf%np zA-}6{Zm@nhG7ubmrRHZ+i&On~2d9VE7TokUQ~Gez@#!0TTf@kI*iNqwRp-k2hnxE5 z<_F0BMlN3r24O#qA1Z(Ep&jr)iJBwjeojRQ#|<^5d)b#>zbZp)oUv)P| z;s2UW+&^{UNMp_I?kwTVPA8m6H+}dV{8aUli_ftDjmyyKMzkB@knHX=+P%}Udzag8 z$DDDm{MX%!eN?aK-1L+`{Ye9jk57E1Z2%l)N*MqLbZM%qc~Un=@5nJu-g?1N!38 zs`KsXj_Ij6D!pCcO<1QwT<#XTTpHlP=B~SE=31}k8@uo4upqf~vm>9TfjHqh zh&`RHYYKo*2e-k&VZrhwba)2<-eXTpa4;>^J=s&3xN2^8t`6#YCS5(xlb)N$vqPcQ z#=LUa(1&(tb=x6TMIE|#A&mB%ofvHc;QLn67oMD5yxj>$#P;uZ<;T{MKU#OEcje;K z`26ALVCOa0P7xtTeeqf?0C8?_^Y#4ou9fV`EdXFwHtWczwFx&&@+)hj&B=Va_BJMh z-f1`<1yml0hq%3IAaH*d(tTUbE#6KpoJu~~R&%psb#T{{t5nZk*KY3bz9;jeO+8EJ z4%_wHTbj2W&r#O_)KY)XEjIVg+&J0Xv)I_PG+6(nVYK=9-CXE6JKnEw?dAIU?R|b* z&7ID>`OxgPTIHC^6Ia7V@)s{`c{ECnz0Q`WiNx3*tRME!0Gx9NVCMiio~e^1EKINv zcshdxOV?fMe;UY_-S!X){{stHaL~fw`Tcdz^#b=J&Vu0aK_S(I*p7wFnXAo@+HPav zk{n(ex!pw$Q0mEH$f+J)7;yiRLtJzno=|pWj<&(E_Lpb@(M~uy<+e+viuyes z?zq)4UEqnI9Gg8s!!e7n>)7tFW4dS82jePJc1+hh9}U*G?jy&s;HGnZ$#Ee)+i-jv z`WO07xcV`{l^y4u*=r*-!Od&^pxZY(ysv}$wcw_E?2onWFYLquBatsJFb)dMG2fY8 zTfBh^Q^;Akz%RQK!}a6&!O_;p zz~Er5+yBd+2~ACygM*vg^vC7&wx+%{;EX1oP^&xfu;1tYTbZao-LiW+=K2AiSk#0( zGDQnDN{_qg?3Xzme(2KPC-d+Rp$=ESW`F0(jfN674ujj>apl?%`$`k{+bF%+P50P8 z^WZ}BdEhT}+?}^{e6EmRq4HnlmcOjwcja8DIZPXoxU--kKj60ibar6NBU*6A>+A&& z%?En=*(W_z{;;Y1#=ez{p;np?Lzmt1Q{qln2^)^F&WrB+QI`M?Zgk`(z+bN9)4Vm- zIb0z>hp+=Sj$L!h*eKS7s;ZI=)stg2)sgtQq-a7!CKw%>uN|xk-D?sx2uK9tdrIdH zZ9lQKCb4s@b9Ar|Z@V`Vj(29JeL)pw?@cFiLF7^O$+qGMwG z`LP4L5AJT+{ahltH9wgc3kTybj<>W-zakJHX~qVxGlrG^m9b5Ms?B|^jj6!%XIsVx ztM`b|nfSo-q5F;dF4tX%bq1847th|GZ0$-_A4naX*mrhMsFVK&Vu`@jJ)`jpFJ66R z;!t4g*u^WatbgU*9ihPx^jz6|^x&Qcjvkh?^)!i17n%}#U{~iBM55{tkebE@BC#{I zoT{#l_aDri7gbjt49s53kBaa_J^o(b9jM#WdhYPej?*dZbK7rpub!%nFK6y_V!g1V zdQU1id#nD*(F3AN{f!QZaJHd2QlNZ8Z9R2Uly5neZ|lItcOZi2W{JQr)zEs>m6+916a4v!hU9DyzSb^ZQ$?egYX{VU6^BCaQ9Xy zI#7GFZ}n7c_71beP0Ms|=xOy>XTG^7kBxgH<_W^j^+ap0+q)_2rC1zO+TZ0n(QUT_E-j_YaziFI868Uh6Pn+WXF*Lh^; z_7bgk0td|emf#5VG$vojHDEnL?Yo;cPCZM(F*83^-Gug0?TCOv_iDbOd0w?=sKv|= z#5&9C)9c;#y0ae}KAAG}t2U>C6bP{UFLXoUf!4Q&w)eIKJ5|3ImdBgVw>S0A1-re^ zDH}fpY^+Ca$AdL>g2#K!nFiL|zrS$3tB|T@y=oncXIrn@2)9u=DW$iR3(>lo)-wm^ z52vDJKh~w4s~0)&H#ZfCr4%lct@HU^U3Vw?P@)PWr`c?e9BQM&+j-D!<46 zBXGdXZy`J(pt~|ZVEdEMatj5pvZGaxu<^d1Ye2iW?WO!rzOjG$QYf+8EBE9q>gV89 z1q88vzh~pM{G6&jrtqDGU4n7nTel1TX3rM#Z`o{Ucz*N_0(m!Q&m9u6`{WPh_P*(f zKII?QZq#!>mB-7|i}Q!6pSEKo|6Kpv#Xj0+z%Ns~vcG5;y)9};5Bsx=^R+`lD!n)R z;nyiYycyw<0v&rxz^8gX+J2*FZs-p5-4=DqFHdzYUaUX<2zYD>9^-MCwgW*J2g_<4 z^gX$DczfTyi_nX5YRl#99#%NsS?>SS@YCHGmuPSFGX+v=(Oxtj82`^-Yj5hIeVD4x z^Qu05_YtU_A3>lqr|bs4F+SUObj@8vKtA8puf_%8c;xB9dy7-u_om$Txq9h#-~CH= z`zYL9?|YHTW8Cf7zohIz7#Q{xE({Z{?oWks-seD!=cTKqp7vWu3O2qUPK{z;gLY@V zJkD4zkH=Is%`+Z9N`AVby6Hsq;K1NQe6aOci-;jWru-lU$nLcx(0t=0;SckKnx8O_ z@jQ{62qh}@-Rf=$o>2WVeF^@3>2`nNQp1);0sM|UjjtWL+3`a9Y6%AmeV9*&R3KN) z!y=?`rtpFK?cPE1Jidd6SWdME#_LwbsajtjN^!nn;AR52%((Lz;}-J;?WaYkJkRNT z;Ed|a0nP~u7^`^3;I_jeZsZegS&rtfHo~paX9wEg@2bmw)m|^C02BE0g?je4!9AU8 zbsPYNyd=MIG=IA@e{r;V+vsiJaBp+t2mk~O(`S-xG(J25 zzTqiRccZ@fJodrLE(Eq(f=vltkAyJZ)wqPeM*AS3=#10Q;aeRm!8064wC6kY`;)y` z2PM4vdX`RIkhCt));Tk4s+mtE=9lLrT=F0uY>#_7z?Dx3;c)fPA?L7M5 zv4__&H_P_8>l(I));-%&PQBnKu@2?_7{x*7_O3@xf6Rv#FkX(+_)+zqI!SgP!Ft#G zT+Z*zKeqgXzUIb7TF35W`T6@&aD8{|-!vq)(mXtTyMHmCY^4JQ+V@eMI&e_U$Gs14 zVZDKU+se74wD0MCAytj_4d%hAs|)jW*pE2;;0VwA4~`x=kNM2egLTwnWjEI0JPtz3 z%WB+UJj*-Xz%}Lvwcc4-JAAuqb+ofyK>uXMda{}EEs=eq`t+^(s`Hn2HaY8z z(nLM>aodl~og25;8Q$@jPzR3o!6)j6g8`YCX{LFsYi)EYId_WvN=xuS*-q>igye(D z|=g|7!E(iOJM&%E9edKMY<%@mXuE8-+`<3~r z>I~yT&4bAoGNGLJ8T+b1TK{VGE;JsSo2r*7GoRLpN0uI-+=UxXyGG_Q|D&Iii$epg z`|D~Fv~H(^+Qp%#JJkM);;OV?3SLoms`!9!|0C2ouf7fObl$H^T1PtTA_v#J?k^1< zZ9A98`gTXtlWUh4N8!3%eaYal&b7n4a8OyhaIR)&{|XNHX&+UhXB<4zfmmZo?MHT8 z1I{?!1i#DutPZ@kWd?g`zMsQ7@%|9nXIl05%G%--4o*WE#$Vr)9y-6lenQ2MDGt5R z)VrE}`r>^1_P+TWCyzI#LKHVvFLumMjc<9h(0qI@yB+aW*nNohHN1|#dbp{7cI;{J z`bcvZ)&p``wL9{d8!9}&^;(N={Uj1%rxniogZ`rP{r|IPJNxXGsb+A#ledmRG) zC>~X#_9ei<9k+k5FF}7DS9k|rR#VlYsQj10quNi>yi?EPpYlDwHhSCc57y7~Lp{aA z#3sh6T6dkLIGWn$U|*@?;x{@<@CS{w&zXPPe_q7_M%(6VX&=b#rs5LvW^aM^QHMoL zwXam?bZ)sBF1G;(u=l30{!(!Q&W{7(4wtc-z^9|$=Xdm{N z+wlV8PS{sxz&|9Ss@&n7U9>+|=b&i+^8R>us%v_P_DA-9Sv?~jr^dwv#1qvxR{P=r z_HTCFU^5*k(>WOYE#t=4Py6S_2m{7XE#Z{3!MbHP6qT3*G2E(A+hayC6>=xS4d~1w6iQB^Q)l6)#Zn!R3kC z+uQOtRs8*E9ql{npmipe=*1j0C<5E1g* z@m+nL!Iq{gwcC+@AYl7-+PAP@M|u+H6fF;S9wWP!Q&;+yTd)qqdQ8nny#6?ddC2>W z{!nqO;i+a6NO6akQGdb(+!MHXr4s@#*{d$+C zV(L5_@h-%vop=S#H#&Xk>RjY{@BGMU8`kH(_ThEf!_nYuh-J6=!P#k($>7O`SwIA_9yhsc` zy0dR7i}k#U3t^p96BSm{xx3)Zqosx1Os+Io$S>s;R=u$5d`V$z3vN76>4tn)h89c6w+$kS z6xJ4B{?@D68AxmwRuxggYV`}u6$^RNpUo^z7KU@U;UTjSwsu05DMeVXFe!i?TIN>> zYZGPGxmtTUwJwWX%gvVVg{|j>RqN#mYtQ}6!%X`^W^o?3PdqF<$hMDUOZN)1=QE45 z3)z*{;X>iT>QdO+3;i35hOPa=3b8zzQoE6&wfJym;laJxA{y$Tu%hKh^q*I37I$Jm z=&-QD#fR#lLK9tlv9bc8PNm6ygmvZ%Hdc&T^H?_i`m)iTx+UW zEUcwgRtnUCM};Nz)UefyYc(_x7_ykj=N9J&3%I4@QK>bbnJE@pVMWKV(_`iPJ6N)P zRL_9U$`i1)o;)usF(j;*qSM2d@Y;b@JvlUTW*jwE zf72tW>kwd?1%i*rlv?|4kIB`gg=`NLhH_Ax9;6heSwlXPZwwkWKcvUUstDBr0+A1^4j|j(Bf?NQFeAByNt4Xo=wuVng(w_S?w?PUXB1ftL(LHnOjuWl({*o zD9rS7*>1>sG?ZnD8>5We5I`n9EIulETP&SjT(_mmHpeOJY^Ib^(wpc>X;F93kOHN9 zVRmk9Hl6c6QmUJs66+|tk}YNCUGXdiQc>xzr<-kxfKf4c1Dl@hvK zb;@ip1Lp{&JzSVo<~v=Z+CUjfeU`RwePdakAC#X>$?oX@%fBo4Gw z6Dt9Fc3K$bLPKsgn}u(jDY=f(GBZX&8$lU+tk(RQEk!^6x%4(&^WhnthCt`8nBm&%AM zEzUSm9*Hp(AwVfBAdPVSnX40V1 zS8Oz@(#nH_tHRC9$W`gwPqIui$kn5=T0L_~cHY7&D$k}<=CpdY6_i~D>%*gCG&emp zyfzG-L}!qMRpJWn?AoHFR`VG3>9rzFlW;J?f|J7-W_FobQy}hIF>N=qatii9$7*IU zKf6>acG%-v@0?94BljS(QbRx!<|RBVcSQ;%9)^JZ64OWGhe5N#WPuYnnuyH`>1azZCVSLGvPX*ROk zWz997JJCs3S!z`lg=NlziC`tYrWjn~gjYi4s#842hfqCP54W-=QkD2!4P%7Q+Acwo; zH3&;^XVEjKv(cJw;Z9L4NG+p~%QG#8yfQ(Jw=v91j`8S9uBz&Dj9a!8IN=Pe-N`_z zfkfLiVqq$IIAw~(%wwp!@~kUO-gu}*d(yY=^sk+19jX_TbPVXEm9bj5V9G@`2J^FU z-W{j2Gv$X)^-xF9S7^wo%`s{-zOn>al}ebVeC)G8p&lVlN5N{;(6U|mDdpp!2?e4N z)yjpRFhTcWp7_zEl+Apk^MvIC@k6Pt33UhO+PIaCnav+;M9A^?qc({Z*zglIHAnV z_W!?e2yKx`to5%IikL3yiVyAmc3xdgDXeY73+~O6*yr2H$Oc(^)4!gqoebF;d$aFI zZuMzZkpRfW2hLBXQ>Ralr_T@RPs5i`o=O`ZPu)n{o9J5NoI4NU)WrETa4c>D!VYs{ z=q4I6LXS+w2POw}<<1i;rzc%`4M`77rp~3$UK&1)DwLmD+ZKAJq-1(%^z@nQ$_QIb zF*;QpR5tsW3UZ&5zVsv_0eX6uFTG2pN2pk~pmyNO(D3PW>ihseGl{y!Y{vDWBk=m= zhxBM_a_B13!J0^49-pKJJe#^QJPA*(aF-e!JToz&+6I0>Trlv_x)ri;O%=Q@0}s|z z$aNcd2+>r?^WF4bd*bo@OI>yhO8@e>= zm^6_(Jzl2OaArly4%_&e(<7<$z?HL(Q;6~NM0yB=Ryq%adMZQ7PNXrc;o8ooCMGd> zC(n$JU%5P)9zSz>X#C6|Mn%ogU~2IEnRJpG3ASyrpS3ot)mh}MJPaDi30=Z&IbG32 z`dSJ$ZFa=Yq%_x879=jWn4?d%tL*`|*qIfNn^!Md?}C^uif(f&=yX}Vo#^c}o5^~q zj?(4~kESQ!Y8@k0FTzl?RGlqBEL4yB)#h9p9Y$@PEE9vHr_;k|e^-E=nq$etWNH%L zimUGAB5!Qh+-WvqxfORhj=0niPN%?>%c;SOis8jRs^l=JhA7^7bhSpAsm2GY0|BSq z_=#(%DvvbIXQNz6Yba+`+!mav0ekuNo_?ejag!p7#Nc=1gn=(sF3y2K0qS7x{J7oTp__=z^5Ih{5o(&`!J3^ zMZ|AlKENp$g7-MMqh?r9opnp=OzvJOepCDwnf2Rn^6t)yPqM}DqKoFUCGKxwy-M#Q zyX`Ag>TT*nj1<2w{valPPy8X~%k1I`F6~dv%w$(qaw@2ORM+pzx}nz3i9e2^75+q6 zL9~Fd&gqJ{qFT-WBbxtIe4bk8&#*#3Tiz?o4rXTVWieEC`#Yur;TRub&I9r7>z7aN z&e!tD+UT;p_J+k@n?^4@vm^u{B38^Ur}Gi-eOkEsAG9R?xy7rnWW zZ0815nH&CIZy!9`(x57(X|}R$O{<9YOlKN9>WKJPuNuhNYCu)0!Q`PAibq=-RHZbg zmAE=Ip@>Gh7ztE)vm@Qk4yrOcj@0$S@Muees+2zFmBynj4Qey>Cl4vd^J4nlv{*>b zt|3&B=9n!Eti!ybgAP&=8&Dt(YJf9O20S|%KpHXt37c?`_`P4wlQ3KMT z29WTSi)SYTNJ9poB1!;QdQhSQpY!EMvXdXAAwS^YDG<+229SmfK!hg)o}COJ4Hy!chUzpaQ_~l!<3214u&#V8fFE&rSv-Y?45Mo`Ih23`ACzkn{nBo}COv zfF#l1o)TOrP?Dhp9~6=<6o@orKnHtDaQi-K?E9pz@9Ej8gR$?EzP_htCxfx?lju&5 zNp4S5lA#X1o=&y!H9$|9}?)<$zVjn5g!uh+0H;@WxpKpA%UKq3`QgzLHFwLr)MXF zvFDGVJ8PZk9Md??S0aI@J|%ANleoc5U+*7rd!I-{26VCV&@+X69xo{YabN2z)5XIP zBOZ?U@IcQ_O^tXs;==oJkQxA{zUaY9)Pwe{iT zh>H^HQaa6-?vsYDtw_;Awg;)F;;1|LqkU7QeU$bgR5fz|C|f=ELKA11n8Ob}_v zfNu7b;3A;gh=6V%0_fRkB_jg5eF&guCxa0I-RMq_Np4S5lA#X1p6+&gn#jrwM}0j_ z&$dZK8Z!8L`l#E}L>e;qdito_(?l9F_sN2&-8Z!8L`l#E}L>e;q zdito_(?l9FpgZdwuSTcA_8ukh%iaz>>LP$hgCZXSj=BgS(vZQ2fTJz~h^)-e<3j*F z+Xx`ikimz59v1;b8Z!70(BmS2NJ9o60(x8o5NXKZLqLy<03r<;d7=7X~^&E_+Gc;i8N&Jb$qYe@kAOj_&UDV?RX*$8PK~e&YbG*T+>U1 zl@eY?wBL}71Vg^6y7=fd;-eQJ*yId&UdE)CBf+>&jf)z}U@*^znqC(*L>e*x8sWKx z)s=f#!vr_`igO{`m_IS*)49^l2B2aIwa(55_qjMU!lhJ(4~*8-8U7SJXwz>&9PFv@vAoALlk-aKHG z^ME$x0c^Z^z$oW2W2zJQ@Z=$elZR+SIi2WyZypU9lxWK1M@FZH45CeW{K)9kkU_L5 zj~^MG8ZwAB!Nz%e;~ zyT4csD4g!VL{Q; zaye#LP`uPzjs^=#G?YUjQ%@dZIOPy+%HxLxg;C3S%&?$9ska;r7L;fz2VL#Wqv3!8 zr=~o998l=AY?~Pe6fE_Yqv3!OP38D;KmpWpIc6MC$kbboh6748mE*?&g;C4pm~lXX zQ*SvM4k*!34h2j-d5GbZL$oQ69|sgqE$1=gfFh{gax@%JqNyA|4k&C|F2{@m3Y2=w z(QrVCrgHo^pipVK95W6mPUsJ9#q2b5?k$BzRFkCw|Z zMcjZ0VSHsL09i`HgJd!WQ+JY3I9I39Kxw;^y}5ILcvy(UO!eS##*-Dj1`Ki zdhOS+LWzcQD6s0uLky=JqD^`HSfOBRIgc4D6khd~qhW;-P2~U%&dUpV^%&DT9YC_q$oaHuC^Ic6p{5-TZ0rOn#utpym>UZ zP{h`h#}5|@)Rt{C!-Yb#-f}d!P@oC4k!*=u8$c96l3+4qv3!OP38D;KylY{Ic6MC zaMfFmh6748mE*?&MOVw^m~lV>Q%^a>aPkmsD2IZh-aHx(DAAM$U0qemu9PBGIe%^q z5fo813Lp6uYQUl}t4SfSqrDC|8>xE9uS7!{g z=*n`E9X^06MmdiWpvev(Pe+wvl=B#2nd|`AVti7PPp>XTs^09^;UZHBn`{NXyyd#! zOLiE+m+WxB=lv-!e%*>wjjFf#6}sS4IZZ|YP72Llg?IrSM?h8Y_A7LOnCvhDG1&n~ zd28k3O(mL)0BCj)a4U_Vn!%7C_A7NEm+UY?F4+N8t@q1jb$Lsq>H~hQE+|!YgQ*n9 z-Ck*`@=&lfQuUKQomvn$X$}G>{Xt;GZ~$ly0w*cX>uF{!2&@uKwgZ#iJQ_&NLEt3C zdA)fwkeY+QNs9Bb&&H8T6@GQ#RiJ^=91%`Z(3ch9#H*V+LKlQY zsy^q_qs5k!=GbzQ!pCY!O?onpqR;ykLCOK5IpCb6__C)_w1BfpG*!Y6L=}PNW45F@ z!knalvTJbBt~q{K;4NH3C*?2|4*a=_)@(R@(XU8DsW}#%q|mdsa1EH0Xet~iQ!gm0 z?z79rPYt|!G$@+m(@6?Pd-G^eq(oEMK$KhYA{SnbpB{J>X&5vIt&{$swPH9hF$b-a z6r(Oz+$mf7%GLteDubaCDv<5q-$p@o!mkIIEZYOxq=z8r=$W?LqQS36^N;3$caq}U zt{z5Z^$x!t4V9F^WDoEbckC(VW+Tl1+!PFeZPpTK7-KJi^_OSZ8UyTM+k~bLyBx*IA zUd3Hlk?JYGA`QFdXn&G|`(^BA)|Lw^k?Lu`8V$Q7Z?H!l0BF_1Z9S1{+}q`8XzfrS zX+9K4`VR#Z!|7%7p+J((0LoQZ!*5}yBh>|e(HeM3-c$u((N)8vxa3!(Vb^?gkff6W zR}HQ+M!!7vtI?oK@+NBlL~rA1C^erZBEx^=JsC3?_SkI86^bgKzcg(I9F*n@G~}gF9r3wljF6Pmy+D zp>h}uqVoyY8DKJJXYieVO%QXCOIb{sbmV4=${BpGUyX)b^T|e%4l=Zw+0NiyenlE~ zDTm1(9lM#Lat815tI@D)J^@M6frr*(bahvx`h9*q8lEYG$s7RGgE~41DL1JZy>zJI z&7&dJeBzO$1C9;u;GzIo^@sgxHQ-Wyllj1;+Rgc|_EOiFDXky(Yt>+EJ~m1Ek4+TA zX%zFZNs>-UJdL6qo2W#C^>i{~v|jx{URnPOeyti*%?B$(``#kg}NC0XS2dmh$SS;ptNG5$5dQ^=s0gX+B*^(gBLM z9vU>wrz=T1O!4N?a7T$Iivc2U9t~CI)0L$EbVV^7RGCj#l608jDMve9QHciI{HH5P zVwB4PZOQ|Ps91#419@EG%NOTHs=wg3LBo^z0jk z65c!-M$9KKNjhjzw+A|P<&aUxn9pO9bgJUb zqk+bJKouU5T+S|M`ewl=Hw3RTZ;K3&MJC|B&~})C1l} z++T>zjmY3C8p_ZrthW97ZIk^QXP2QPq3*a9387D_O80UrIBy!puBSb_kW?pF?!gmX zT|zf@4yJ}NJj(aos9Rp?%FdfU)|dCvPP=5(Z>P6@&b>Rf9WrzxbdoBB&Hg5;#B^zz z?i@|u%dFhP>m~cW%~#QyfhF88oA75uu?M}yZm33vPKVBr5od9^zh4)A^GmnxHyHV? zGBm_l@k@oW8ES#T6{vTzm3hcA!dVaoC|7y@UeT^H@ZkAWhWDwW0YX#`U2hwN3e5&b zA)4aYMoqDydKsDwU7?1#ir>-{A7&rovlzINC|7!nIL6Ea=dgi_k1}7~w7a=d6t%v^ zs&1p!p7eRTW<23%Aw!y*=`NHPA5POgkS>r9Id|d4%1p@4vhpnaQ1M|ApOeYj7QSNt zg^D4?*D>*Z#~2AGUq)oTE0N0|xrorEdwe$@lj>@`D(Z4<#dUko8@QiKyR)|cWvr8- zd}xt+fZ_?ohxyFP1AG}r-Ayj6z73&L?pbAL1#qfJ7rY3?8PKZqL>+;9bM-=CFfL-! z4KAxyA75DK$yB5aJq)c;RUYBQorc9sVR0@uzgncPA*AQmg!RC!&misD`peo=bg}Pv z7Iz@KJXJ`Wn8Tvr?SF-SNw5Dh-IwXLIvwGo|7JewetR zV6P?{hgrg%ThUyXh(w-gr(Ys(z1b=COE z&dlHfh#CCa6zwxhqZ=T0nHX;0z(W(k6bv^?!5b7x{Jh(R8{xx{=9?IV_3AH^DtGuA zN-ej6A&qXI4$zngQ4OgX!YlOa)a-1zLAcuJ<16d*u|e%dMfw^+hF%|f19jjV)xdPS zAWeY}a--#*0tfx_X6~1_l*QRVCH{=wLRnlfSnRUO>QLqX7r$UqE<@iFdMi~Dfv0f( zfg0tQZ?Qaa=0OiWBen=E=yVY>o?Twe;U+rQPZ+by&^tr#id&)3yQOFl+oDz=^!>p2 z{sTi&G+D2r=l5brI~R%LH?=TB^M2YD<5FxFJ1E2ZuxM~Hv@X2x7?+tWt(LO%JuE1` zDnsuN{b&qwA5exyOQL#*<{z$V{aj+ap?Eahv< zrPw8&BXu96Uy|}y&AiHfnw5P*SbI^`R&rwWmu}I=JH6>vbx*Hz`FpxGyNv02zvsgD z{sUMb-173ijO$6#-eNRu(!Lv>t*Y8Tg!UG%;Ewz`DO#BN1$+>msb5lka#M=uMJu(* zuS&6pQ+`c~y-a;ZihWFdR*L;h{gxC5nED+lUdefXPl|)g`vWQ3nD<9g9Ae%dOL3T~ z|0YE{Q=gZjgQ-84qLZn=lp@L0f0v?*slS%u2DY}{Zf)q!Y`g_#TlmRq&Uk|LW*-tZI$w@F;yuBav{E;6-4ieaX9N-@IJ zb5e{l)gr|urkFm+IhNu~}-afPXNDXy|Dol;z5UY8Wt znd+9}22(v!++^yQ6t|edaJXsA>Oif9VXDThlB3qS_ zqQKOQ6ib|%m13Exc`1ra<)m0)>VXs`rt(s(a^8Xz51F?t#Trv9Qaoa6Rf@+!tWQ=DkshuV?Bzr1%D=-Xg{8nEEa$zL9f$j}+g; z)b~p9%}l*rif>`+ol<-&Q}34I+nD-(DZZVl_ek-2rhZV0H?Za(mg0>}{fHEAV(Lew z_ztFiOo}%%_2W{!g{hyE;yao8uoU0L)K5wA-K_azQhX0nKP|;unffnMd@oa6z^v0(^7mNQ@<|7_cQeyQv3i@zbVCgnEGug z-pkbQO7VkC{k{}G#MB>3@x$C7pOfN!%=;55euT^YQz_ohyg!rTN16HyDL%l|UrF&} zO#KfjKFHMHNb%!L{ZA==f~mig;wPE<2Prdlcw?V*FIQq&pJiA9|3XZshc#VJ->lC-6ze$&#fcnN*F6a z9}IcfnmR%o)|7<&HElH+1;O$cN!a!c#oP)UTt}P#`z0GIRX5wyXHA|-%&GEvCMs?8 z5tL`pM&Cwx1~K|%$}@>M+KgR~R(4y?h&a!8SGYj6dh$b<$Wh3 zwO>x8yjEGa6WmWCu`biB%U?gTzm&vcrfG}C=z}vXV_HQyGV~D*mNIsd`?)0+I&6U1 zI$vSp45n(>9~4Tek2A5Dsq<~I@;94U(u6r((pf;foSd_QFgY$=ANPw2>AZ}Q>U&UZ zq{($UO0~~Ky?ouBuSc=u2DYl9$={<_wwe}tzcjN%}?>3!27{T`+wv z>SfCjd9fWN#9PMv=v6W^yn#a8J zow=JGIR^~p_Hm@V6*2jD^))1S1~qpv)aj^RSI7o~8X;ylLlfKN7P)SF_@=ORt#`4v zu3;}X%auQD#$B{-Q7$fxPNMu7G*)BmL0e|ySxNh78mlt;MgORH=D`%~PfD2Aii!;SDxVx-jhrHrPD*MV}GBr1cr`OQO$+UlM&r{F3N1;+I69 z5x*q5LAzFbbC3PPF2h;`AIPIm@v(r(rO_Ak_^Wg*V{&PZ%*HOwk)kP6O%Nu(PeSDO z`7?hkXIkg^ENF7O6`v4fQB&)=UlwE;(@Mhg!9f-? zh5VfF5N@of{CUESZPKU1^$K&Ry7jDfziFuY)8v*6pFU*EOr7ujA|lI~X5#XP5?RF5 zf-DIC7-ZPQZjzM6TvqIvyY%ly%p-b{a|>7#C^9xz4nCHROs!L zYLy)yH*|8AEj<00Y@A^WCrX)_2d&}A+i>1re#K%V(SCfQF!GLQQ{f@}?O0--lNVoI+PixrO$T)kmG@QN|D86^3#dT8dKn-e}}KksrjX9^TI*j^}3X zq4|&-msd3UKGEkSDg{3hjl3`Ne!Pss3N9D3v)MW1!KT%y+r>79Wg8TrY`hhj*@3l*DL8D6ow*S>_7%FN?~j**|DiWpLq;*UimAB}uG z^eT)0gO{S5#-P3nM}7ufi%&3K%gvVV$;i)!-V=*_GV)(>c)wJ@TW{6-PoF+;T1I{@ z^7Aq1!EahN(HA!tTIou)#TER{6Ysb0-9I$syc{%BSXju;l#tM#%jZ{1^!{A?IKA`O zFC)Jc`Q;c|1$RH})jyzUf7et00;BV(&&a27iAZY(SBT85F19)lZ0&5#%x0Ew9Y4Jw zS?)sv7_|={mP_H5vfr?jkzbE|25-PbexoiF`7BQ6jD~r#Y>NC=5RDn8e+0%2Cr)IG zxy(ZD1*&j3f=eKFyB}Dzzx+9z$xqK_MzW<024AUAd>oGa0bbg}2YWQ0u)bC|!SlV* z^LV{-A-mE#TqrzPUDCfi`YazR)xV@!Zj1^e-OrOo{wVUfSmX~Qe~gy-6JfP)*w9Y@ zpIu#4z26D&eJb*&^_GZy9^K7tWCX_$`IYSKM0Oca{&O6%*ie2sVTJC$j7I(<@>c}v z%;LamZejLpv5*(m0r;|tBJnOS`e>$oP!k0x|3~DnV^H!p!uh7Gv0w$^a0FLV1oQMY z*9Gbe*w%8ZXYO9{9%? z{MbKX*e(^8#_ZOnGJ}tg0j!ucmgq2=AR?~LXMX{w&~bIQ$aX_-|1{+ zeYO#;`OHkQ(3({Ba%8V&XWSQQO)upMtKY>UqS73kCK{E8I;CDCh)QXtn5vc5 z08^W!HON%Gv`#a%1)Z-=?W#`FI%l27#2(!yts$nGq;-L*9n!kU)J|y)GxeObMwn`m z)+kfYV4m zrcxWUMY+VgEPGm7(@dR})(lhUr8Udc1!-lO8kW`^Q=`(FXX>)F?lCp)?Pyhqv~t#c zs?-%}Jz!(6No#?5H>8#4thc1K$f;A(DljiCttFPrNNbs?8EF++E-S4SmYbJWiK(2l zRyp;7v>q}qFReAE3etMSsms!O%+!jsUSMifS}!uSCaou&K)ShcBbAXt=BX4ebRaZQ$HZBH!}5JX}yW5AClI0u)g<6>&?u2zqH=Mybnn0JDK{R zw7!d}pODsfGxZ^9eGgL~k=9$8`lz(Nm$iIcT5n_CC#3aurhZ0R@8Hy*mDW3%`joWZ z#njJB>)lNKqO`t`sb7}X_cQgY()t0Weob2MVd^u|dM{I-mDUe3^;^>VA*OyuT0hLx z?@8-@O#OkheuSw%lGgi~`eSMRC{zDUS|4EQ^V0e;rv6-7A7tt;rS;=X{dZ~o1XF)4 zt)FD-Z>9Ai?yWCK>%+|Zdue@ysV_?Fr??INx3oUW)IUkTWmZ^xe{wq^4X?=?2q_lpHsak3MJX4#b^$SeZ zOY0Yz+9IuAVyZz}zsyvlw0?!D?b7;HrkbVoX{L5b>(`W}u~$gz*SXx?()tYZTBY?H zEVox$pJi&lw0@IQUn#BMVyaDAzs=NPY5fk%bx7-XnMz9Q_n0~&t>0(rsI>losa|RQ zAya+Q`XknITw0%F-brcwF;lOS)}JsnAg%w#a;K&Br%aud*5^6(ytMv|sSDEjb50$W z)?Y9+Dy_d{>aw){isi`U1Dw zU1|Lt>zkI=-*f7$wEls4bJF@E^X^IOe=&7mTK}7=1!?^wQ`k0Nd-mx}UxxT5xALma z_HX3j{z>_@7<}~*o&*Tue(Hr4s1h1^W8wD4HQ zzB%$~?51Pi@=Qezq4aR<+c1|q=AC)Oss`~(irhl>(h}`;S5U{-<97h%I<6z7YW&7% z>@6|qLUg9VG0!U)j(s<__p^mG0tyR{ zg*B%A0(kv)rb@eOXsFv@s#E>$dMQ1#>c4T8=BT-)+FF$)gD%&OdI>K}+9F!EzD z`~c^OR<~SfrN469hpnvEOuXP0pNjoZ3`j@V$hfXQA`wcUC3)=qQUsX#fD}QdJ}5;M zkGY?aqME4>NfBb|BT|H!`lu8Uramr3l*jcaq=+%^XQYU;zMqvsvM>6S6g5o!ycD&Z z`ioN3G4;z*Y+?#OMoBP*AEVTB4*VEpGgJ66$`($=k5RTV^*i)S9CtIoKaiB6w};+= z?NRLay#dE@{6w!f3%7J4@)k<|BU;PqKW@UWcxXibam-4_5RBOD?!zYU6$@)>e;oVM znAH~hlbF@6L=0gg^$S;LsHl!9BU3$zn4up?eLD8nQL870c)}*9q;jY(hWJ5!xk!htGW}gN_J!Er3u_NzeiagPh-wTi zmL?0sISK|WprLlhz8FIegapJD8T-d*L(FQ4VWTf;5F(bM{^uEq-uiyIM&dumu-X4) z44eI!+YU1J@9bs2geYk%BG9WVt@F4?5yrcbLp7A#esm%b6&z=fIy>yRhzDX;Pdo@1 zRQ54q3G4n!owlg!b}=$i7voRd={LxSdOp~+@4Q$)b_%%hpCntQ_W z1cHc;$sD;4$2W6WSIrb?Ck#Yar9FMbx5gV{@h$OfQv8(oC`Mhp37B=oxI@D69hj;( z45@yu?-%Gd`qc_48Q&S-6%&6Gf6lfnv$~SyUk19e<+0o^<1O*sv3PwPQ3hGA9Q>nQ)7?6+1x{nK^gB><0F1liofMX?!|}&EDmWM^0Ix5z()1*YG#4_4*;rY z{9PA$_j0YBQv3rK_$n#>iKzi(hlwgX{^EyxOyh5D8N`d(HG-{|fx^5DWY_M$Q1Er# zmW}2E`)|5D$Jvo9qx5WMQFmw0#fRa};v=wXK*ldcCu7#G_+@{o6^3^EC64+TxX#6E zIojXLIEFZuxAr*G@Nv)}J`P&M$3c_$I55}8fxSKs4EAwgv5y0jeH_^QOn!x-ZQW#` z&R`@1o`vGLur9mHnE&(uQvEIC+4vl$f;a*~w|F>Us*Iw%%nF(Dsc7Jm?5z&bFV z_cst-kR5zP&K&<%bW9Q>_BJ;Oc0E&U>}rJ$&W%ol4s@Jnv`s%QW$Am!wx5USE7$k) znxj{CL*so6Q0r>6le`PQ46&yosBel{hU3_1ZKcV`b(!|GiNJCEtK#vmjK3PONUqvf z!<)t4hCnLU@3kA(0F8ud0*&|=2;8W$N!%eawsMD5p` z2`ek)dJem*zcv1CRNS}Mh2pPY@0`w=C{&hB@i+1fM(fVL+~}U@d;B}$8>x&S#eFdPPjujcV3;rM7zg-V3ouk zrJ@iSe{cK;#w?fnuUKdI?()&0V zJd{55|8pU2=B{{p5b_{`PCOz|=8rWfaB{FlhFekuOT6&7Q-Ry}H^FHq2* zib;wt%imTWn>4W}yWLj%47VB%zT=;*x5CtFzsjxl+hF}py%jOE8ui@$R>bbUhTG^5 zD{Mlqk}*IC{i$qaI2WHyy{=7v$~Ju-2K-sQ6(yTK$2R>XSbtS-#VT#0%juifcP-dB zTHiqHi9j3y-EU-+egQL6D|Y>bMfHx*m^&G&<^6wpZFyD3|33Z?)Sq9(F#wnTzx_=` zH{*LDNEhMPJ5Ef+$TwHl`gV&N;!xEdD+A{ixMO`fSMde|3S4Iy?Rum+gjF3vNJO{=;I8&u11gU zU)R<6mMo~SXDE+n=g%zCS^(XA1}}P)dqIt7H&ylcxu`rV&*S)vJMjXJ?YPH>rT7=M zo0Fs1Y&r#~d$Ypwva{f(ZkBQ!^M)Lg6Q0}HyuIvwQs{82!96HGC9lL_%~dS>&?0L0 zh`;{c#P$?-Bhs?=``G4NGGMW#Q^<+_S2^)_i?3gh6WIbm7M|9|1Q`&Pvoa9jv^glk z*iwq{7kA4rjlT_Er7M|yb`~319ENhc0@tC%<04P6nRmeBVR`0n}U&hyZ=0 ziJvM!-rXSsdpX}5&`c|MQ!Ojyn^@;}P+s&Q=LJ#kYxftZ&A*=!fWrrGn{*@XJ)DN6 zurCd*QI42mG>qaELyVMn=n+$gt%k_EqVk>c-8dU&g}5tg!S!=qTzj9sOr8zn;yp2# zfHMJKP0*9>=qQlGUn{29GCAeIHr%)KQr?MlS|8@B{Rj@Cc}oA$bv0ux{t=e`V1+&C zaG!;hosO>b$zXu_I2dN@2Yu|FE&1?DT|BYVS7j(2dc2zHy8@H|F<%0P6%uITr#}^g zjPCrW1pM)3L?2Ls{imV@=#Dx{uV@ImZJ!cQ2l`Xd2z1MSQyKHBbL|O==5w4Lb0_>d zPRrTx{07dEnfIF;#P`X0?pcRg5m?2Bg4Ar8zRs}p9S$XO=X?9 zSo-vbPn7$OKITEHDq4|l)Te}sR-`NRDS=y&zJcLYOc&r&dIb{bmU~Lzg4I_k%8gAM z7`o9O>Ga76pGNLC8xZsf2iKW8LomBIMoWlinZY@vWqp+U@E6x zo19jwPr<5fFmZPhcz>G(5}0Pnt*!)|Innwo7rmuIJ+{ri%4ypw(y(3pG^cIXCk&-| zMNJr=;evNo^cs&Xvh8nK z;DDOuYOtPu7xUU=;4t&Bo_;6ufbjr*Jdme65CPiK@Dm7QiAh)-=wwX*fjXWG)L=z$ zgn9ik(8JUT(g(D&J`e%g{-`G+0<;U_rwYi^E%|Og4d!Prmx>*yvoq9M|ElJTnsa!o zlWQ@A*Nd2Uk@g3OB^a~vxU{_^`9i-`r(M9mvD$HTha0ZIF9T+?vSyN^vY)KEvQBQh z4E7E5)e-NgQ8)IN;WxgrglgG^eu-;=4(~drslPEp_;F&x8jJ})Vx|nZE9Wz);HE$vNCEzUlQ=OFYH9CC8daQt9^Tg z1VhH2JZP%$X+XW*;YicPvF>tgspidWOd%tB%Zh7n}3LV7;&Y|1$R;08-TK zf5cdl^gMQ3+cCL!u67*=6s)LT#CuHY3*-fY@r2DC63IT+c$*cAMBbF0edsL08-r+xhd< z+YVdpi`3f@V=qx}XNKhJ!|UD;7kR)Yx$L^%$Ll@_S%++rr@L-ogzJW{yFsiE*g(+2 z*q6zKh{k>}1_;^j!6aS+Tz?{AqcXyyNcbJ#d~cI{M))-n{sdV++oV84$lh0hFu579 zS67CC&4v9MOd<`KFoC8{?J}Bwkmg@F^?x=gWHf(pnjB9!nB%ocB^?c%E#Wlm1(@UbSRA@!CZ9lNvG zTo=+MR{(ni0sU-5WC5fS=<3#`-jS&5e5NaQKeI?;QLJq?axz`X_NxnoPa$gu>K%hx z;Rh_d?Bx*&lg(2XYW7~dtZvjh9)-FyA-Faaf=D6?TATem4MWjfH$1tmLzI5hI|;R3 z%(Q}uQLDz*SPSgOXqZ+FD?Hqru`?!<}~@VXh-3>3k$~Br&RQ{&4Kx>Q{`2rEXQVva+YQ0EX}zA=0#!Fr`H5F zNs-{sg`eMYZpyhi3rgNXz1JhkZIlec%eVuU=V0tESb&4Er`{WoXEpWSim@lD_YRD$Vd799 zC=L-Xn>xT0M7(ScfTvg_u{s-2@7<_oGb|s#*02>E>oK+s9P9Dgwu56m#-4+fVHn#5 z?(`Ug9ep3=jsQ8kp;F=<<>Ebl!0f#y@HNKZx_Da7%i!yi^9szv_JR72a}t#^bHhhX zo;-EzIYJ`mwVckcAbHU)zz*0|VA45nD)V$&~}VvUQ< zxnK$+iFFR0{u2ms4EAgff>pg?a0O;P3&eb|@PxyL1F-M}V;QhW3}fI3*|2Pal^a#w zgDIWF`OGVP1PU{6$uNZlh5UNMFlvv-SOnIY;puH^Ew}DrAbGF~4NqDCYtQg#C0Kig z62-9g43EOvl^-y6B75s(U&+H&sdo*(#PBK9yAES85|?7^bn4xVu``*iVz(ZPc-d?e zT8`aGYzhif>`r1cQ9Q*WUN-%NDTpN6xDoX}gQtKGtoL&FzcA!&$2^z=*oiT4>hrSc zDpbTOnax^Zid8au3C9#f5>L{YdS5^_ZNN($?E>pwTJl>l3?t`Dc$AHt>@^-0vG&0x zFfpZRA22peMT2%vIwu=y5-{q6mtiJ%1PEW0d=TE`GEiCHVLZPa4~|GW$e!?um7%BSWuvAJjcq595r%O% z96R22Y{|G1*gYXU7QBUV&c5q}@$g|UJRUyE3GZ;8!^WWS#LV!7@FW-Jxb=gQDgb_ZV-4uqf}B$tIOq)_<6FD`eef zlPZuEu8&zT!w`lUh7(v;Ys`WfhA_-9oXD~+#H#y*Nc7V1Fe1XlD4~@MCe_BhYw9@~^_sC}AIyvL93`JHXrK6Pe-F zVR)i=75j@dG~6>Zgw^0$;9D2TEGU-`U2V|$Vz|^MRl^&Vr8468r0^2r>m=C)4HRt7 z0;nz2`xZhyL&Log3Z@{!Fa>dPcq8@h!Gk+#xDOtD9uB@>lT+Bi_wnFMG~6E#z5)kd zwaKaM;9flV1`S^l3bSi{3l6>=$?Rp5YV7y{JpLXH55nW%5ETZ8sMFZNgLrU14G+bG zAHl(oZE`w0_z507OvA(R;HPl#Gn<^j%;9Boo!Iq4#LIpd8B=VyVY8H&V#5ual;bHD z@v^x&OhLrUroS-7#tb$KhAB2?u(>EqC65{G(GVWb;oro55eAdb5&SP2{vE;p0q}oq zawZ37b6cnuA_>y$(J}!3J?xh&c?gV&MF7+f^Px~8@d!+lf5lH7v1lZ~t16Ne4n{&Y zISWr68?M-dR-!aqB-0D?-B<8_Jz^)9sYks>@C^Ah65$sYDTFgrvPpG3L#$TVuM8)i z0j`%{6kGuh!N`e4K#D=Y_mw#aaY}L-cd_ zVY0E<;|sF{jNVuBp*_+n38EoP75st_ZE56utnl^#(ZMFQI0Ty><86&a5-y=(eHz>v zWNTF-U14JZJjsOsG?e`$pSs`-dmI|+5$Vaw_oCk4@w~k~q+{7|p+3j*H|DaZTJ?wi z_`$^23eSs?zOdpf(hu%FHl>H?$@c(z>|(}&rZgRK7dbLC;ahtK8f=RPE%Ce8`?Bm1uY2&7|Wme;7 zvM;$QkHz%FLNEbe7&mb&tVCn0cp}$u&&LS3%_K4;n~U|T948hg-+=rl)z!Ir)?sm} zSeSgrXnGuW|8uEWs4-k}7Q0vCmc6OXIHBG0B%XwQVPq#`GkghVU~M_h*@NFEWo1@Mkq&2CUoh&O0*b7C{W z+;XB7un!-J+Td;GE4+EvCm)2HHw#a-k(~2ics8kglRDHFMvCXC&&Jp;>MO+93yciRgk%u$vMFp#LBz{G z%3?}$-n!IR1=YZ;keAI(^P((LxvY0joR?sWh$FDyn?JG#1Ykos@X1ozx#qVTd(+5! zk@w+;86zLSG$QO)3R~|@9y~51vKM@H!5K7`!QO?SDW!7;LjgN`JcWTWV z8rUc?@@M2PCh<4?6d2O{Ymt@~fjvT6@pKec$G3(v#!SWE1IJDnQMYx;DDbk6`r(6@ z9Q@UT>|H4u%!~%28SsV!8!|#H(;{u+-nh6xTNt1~6ODi4Dm%cncbs6xG?E zMo)>JniZ-Ng&UOOm2a{o4-~DH6|EUPo07Jq9c=j!g+9wWunvvZWtDYCw4O!Uvz)p#+7NRZ zS)?P&sYjztF{hbD*wo&@`ZRhT=CrWL1uUlljkdy^))wi^avIWTJIrZskuEH!5sh}j zoC_?{HO`N`5ByFoG+)Rn`%Pw^$7}Aw%xJf0cesJ%O%j{qhn4bJxji#Ua$flD8s=eY)qX0a6S@$+t(@sKcN6 zXmnC^GK@OWDdAugE=j*%YU~oYNF+L)@9&u6l(9ZAHYvn+4vo&RN$u!Ni}ZH5DE81?M0XiZb(sZLu$-&htp7Rgx(IhJ8aT~<-+>NK9~#h ze^HqKYszv*(okR2@G|7SVv}Ypca%lot{H2QaWvEqh2Dn4@7Sa{I}A6`1RCm(axmu? zg*m@-*@1}`nPid47MVgr7o!|F1x3Lr=sb3KDh&-lAy~K=g@ubPSnf27OsAnsu=qD{ z;D}AmX9q5$p-VCMd&vF4CM{X+42wXMon?{BEi#*i24aanpv0dxX~jxhVUal&xsrwk z;jv@kAb4Yu*6i3^i(F-qdDMq4t#&Zc^{h^l*sbFB{^zfN)VAzQsB;@8>1>#!YZIMG zLld~)kDUWqVVkrKJxYD(MQg)Ti|PSax9pC!^H5Vhh!xnR9n*9fYAS-PVw<#Q9z=0( zaKi>BEW3|Wb^Hz}08a#AlwQ;?Na{YO+UaPDZg(oDttKAK@ zHMTFb$n`Yz2imeH5W+-zSJrBmQeO+al)j0!s#oS;YWGK5T?~=~Y|;%H3iV+_83w z^kJIvQPTp*f=^<7lS%5wS#mMTUkin=vq?WDUxe~YA#0gU`fKFlEO`^k-wcIsvB||u z{sff29kTAQ$pDRfoF(r@`Q=df9-Cak{Q~ zJgplvt7X{m*{d*l5QagMk6iYuO#5+rwfYiKH^Y9C`nsaU*T5t3keSq83qu=SY_Egu zw79E?y@C3=W08&2*9&8t`6fs@68ONFl-<5$eB34w>_ODtnguw|SmXxS?UhfQ*xRYE z4=R5S?p*MuuLhgEPvQSidl#Rlw4b-gjj$;!3hcJXO%}PC`ud~TtJF6TW3S@^&}!`K z=@e?eiNbGLhBDYf?dh^*IQ6GBX*$1f) z-RkVa)Q7Hc_NUZ`?rZkv7P*7^&|%H~iu%x_%w|&zY=V#4-=b-cQXe{m+232_PU@S7 zC;o~07GUfbi`-?AyQyyxrvI?Wa_YMd6Ms|RQjGmeeK%t481>!8W4Z8de~(4(g?+F2 zyMAuKBKKM3ev7Pt4Q%!D9gB8Np23?SpiN94>S*2HeWTizO zroQEvu0(zJVXW99k5JzOm^gv@R$}ZV>U$JpCtCy>@hVJIv&dr>c^uxL<+!0P0hc#- z?wS1N$UQ3@%&l&df$ZjJ%x{j|T99?NO$LP?wa6-XrHHi=np>Cqm*m16DbHl{+cdWU zulC%A7FkVwPa;PX>U$bvFs!V{*tyiV5o0Z=Zwtm+Qr|X=!A!|1Ds%iw>@w`Oh}{kt z+uLL?!)}h)ogfQ_j3EsB3D_V-)i#XH`ttSIWxBedt_wl1yG@2NUFV~&UXXQ>O@=XD zPr`=9SbP1bZwJy|OlfP{2A)iEFM*QaHd4c3oi^U5v9XGOw9bWLq$GDR_3c7^Ln-Z# z`bt3GaGMOz9c+=OsP9EQKAO_Oczi4zA7_&h?D!h$dl`>UqI4`Chc~6%sWutOjz3L( zui^0-lup6pFb3qp7%++*UrT*&;_)jfosGw#v(JUjel&x72M;cwbO9cOH;3wK9&i8hzDp>zcbzygO{Sl}>$on(VWN-eU{BAX~( z&7YWapN7M0Z8DKP4s5o_7K?1P$TP4nGwKG{#8QlbYvM+XZHvE|Vr%DJ z8$@i~ZSMBm9jqli2W&fSGKoFOmEFF|8Oz4j+!s*jMG)F;lgZF_$yRKouUKT8MV_U; zFVQ$}P~SHgdyD#xV(gv7VZTAyu$%iXs(uetzi*Q%>|qhSGPk2S_EFytsN(?j{fx1X zEwaNR&sk)rMRrlT5p4}`C%N!;GL_l-c}h27?$?m}jZLPp+!rX_jJdGNAQx5{OlP?- zS_Foimn`zKMc`Uqg?+5?!v3JX-|&QgQQw~!`v>;6#-sm%KVK$nKI+XQu*)^i%kxsY zg>Gee{%|la5TWpLcUctL>o$Iy=Vd}}mQ7~BV*uTO1+yV5$0jpbshwCV3R$*IX0cK) zU@3Tu$b+|t%UP-2SPI@E^589EHk2a#wbh$<0;R8D`IF#aRhwMF%D;x?Plc>%Hkrf9 z??&7+U_)<=!LJm&f-(4&f>$wCi~8_$ZQeN+c@1{i#%%aBf!|^C>Qg^{Ld}D|_;u>X zuRnQBEb<2RTijlG%`NgK^@lJ4lXx&#zYW`O^KZm?ttowzKQ`yJ1-N!Lxe|E&Ias(O z^+z!Vvv}`V1V;9EE%Kg4z@7Dd-Zt`jSmXnXd}xuquopMd^?|*(G1ku_`z*5GA_rhA zZp?x$tPfypkVQU%{o_#$?EC)~#!4&#ZR?;#4q4=|MLw~}rxpRn*Fz{Z9=6`b*hK2j zLpXSChUbGXEb^sAzOu;Iu(LPnn#qRVmtpH|l$cHZ_+cn-4)x==oxHgg`G)#WLPb|w zHF?Xxt~c0ZE*ok- zz;*ynw|Ve%dlegMzDv6E`LM;-dY7rX166_FS04C%&10%QLRH}Rl?Q%bS2I=c$nyiG zhxqN42RnG>J!F<oa?r7WoKdJ!+E$EbCJghxwB{m_J#_+W8k)>M6)tW0PxGsjslq zI>=gYlSQo55iGS4vNqXdF)MWxOKpX$XKZpUEA<1G+74MeY;qkd^%Iub1zFGAWC@h= zpNYoZP5m`6_A;fv(%;z4`zjQB%_i5gPW?yfuZ_pwqV!KZ{tg`9W0R#YO86V#!S^Zs z4-b9_2lv`!89Ue*4<4Y|9z6Il96V@~8`!~Sc<>XN?Z<=Af#pF5b|X7@9v=LPW@q5R zZ{Xk&o7}_>w#0+q(d;Zf3g!I(2YK6Hp`b#iTi)NpK3SjmkA7(G^W(t0f zZ~W?dYT5Yn>+`WGzX8ZKw8?TdHvIwbYOyI`{_zVoGHN||3Vd0}Z_3`)w8z*?X@2v} z{AT&*!tV6ksW=}#{Kajzjq~+M?^tYhv}~3-HVdEMG7D6}3~$-M9d`w=KD+D++TayH z51S7?>^4C2B0E%vdzELCM%etKB#CgWDT*&15D9> z7CA<<`y=NFI55&C5AsQD*&UWGk&e6mF{mA$WAouT_93Qy5Ne+YS(9wC612nnGx-l& z(=^NDu{^LRj}NvO!>m~}>nc1i^x`A2P0fLg%<<@43a_PXBi;OYmd8)Chw?r!AEqqw z7uw`uc*O7oX!bBva4qD*+{7a+H%PNfFc-S%eCVbhWw{wNdpPF818hD#z&^%u;f@=D zxzG>iLqGhuT19b7m*cMe9=!H@LF7K0tb)4tWLlmqn!OBlJp>0<+GKU|%wLnT=d=7r zQ42ik=EI}z6Uj4wP0D8e@}I!MPeS3RZ1N;4oUqKYcG-+i{#q;zeSALj@lWYW*DGr% z8?p2zD81PxYjmZ{W@GZ7!P48H^s_d3T31@m8q@seu=GwSy~`$RHKps6Jq_o-h^2Q! z>6dJ>4jNTX5E~VY9r-YJtYDQ<>EOy{^zuJJ1)oCc&up@pDX5JKzJ#o=Y_dh8 zpl)2X9zg})Lg}M6*~%2)OLIPqRQWJcJ)=_)XWw5?!LLyIH=Ar@3fdsSpOE#JO`g>$ zh_mm%sNg>+eat4?H5QB8EV97MOBcXkR{(?E4tBbW_~{BVAj`7JbGp-&wfce(Dxgq0 z+a^1if`O<2#=rs?19#~Zlr_Hs{8~@|&-n%Lod3Lrw_cfj3ySb`#ZbDkOO2EVpJ|hqKxf2@I%_~yO`E)| zxr7E~olU_xsGv5Ku49u|G?&nz>|QRYkELN?Er5abRdza@Yb|I3Sxs&78e9Uoig&C9 z-~p6C-k_|#7qmcC=Yw2Jo4n3c)k5+%kk!^EZ|KP5jNbtjbcE8KZ1N^ka4st70$E*c z@|H$H!?NaD&>a=@fYLo}^0wyE8RWp!6j+*`q5R z*ANF`X&5*QVBmaLS30iFOR)5CC_Ta^@39LP?PxS)jj_r5>`8eXAMpytCsjhDxZ0nH zs^F7B0emv}fT@~`Hk<}o({1u0vtik*OQO50?Bxq)q9&L)Du9Wjy-d@5)HDaOuC&QM zHeiR6Y2sSf)u>}W2rRJ4ex~C()UgP%7Te^2rbZjZS$zpAxE@L`waG_J!7@~EBV^rV zlaF-@;ws`+RB#)VzTGAVnSz^9!CjDbw@nV|6vQ>kd-)gt0+^91fElU7Ou_AuJK!;+ z;6ccGC=!A15udQ?nT*u~F`S|%bNCZBje+n;Bk$Vy08OYsclh4@sasIks2leCpb-_;R z$NB4m=fTf&I{a?zFj~N-DCpt>c-^`MPw_JK-;S|Ysed`fU=jhRp$p!$Je2xz>1hG{ z6bt2V(FN~P|6^G6ed=G0u@B+bTje95v-@pXBiqNHiVI*rjDiC;`J6o&Wm7-SAs2uz zV?uY+IIeAdf}$`w7QpEE1yqc`6iL2JmW#XXzeYKDkt=`~xi8tRS@U?@%+0q9`a9I{ zJ!tsBCSNh=90MiF*WiL*PzemJ1u(RJ&6I?xe=AX3417#r$lt);LcpgPUmM0f}Y=<|y!W{Sk9*jlcXLm3L z-_LX7o1*v;6Xc zeH)kE|AqCqnG5SfY4`&E9cv{x+g#X~`aea$rqurx#+p8VKji-ITf;>fkQ)& zq=61tZZr+x1VZ6h8t8#}<7uD|#wOALzVH`Lrh&njHmfw4PiU@gY(qJa$8rXxe%{1^K#pn-2OwwngN$Jom>@C(LXrGeiu_Bsvxg|Rnj;9rcrO@lb+T)2k@1DN+7 z4T@&}0S#tj-d-9M&3-=(=3?GQG+2PKgEUx#vBNZ21!JGmptu!2r;%s)bWtI^?i9l7 z&W|vG6%=>J5gOTs2am$R?`-lDJ9q}__>l&yW9(-d*}*5l3ZbJdgpT%SR;(5t{F6pr z$Af>v!GCP>OD-(-4vsAuKLUQHzVH})#kE#cBJlfzRwXZZhcicZ_%*KKqh=(y-Nu~P zd(%q(N&)ayuLPb^Ubcq~E*F4^mu*IaDTsL4o-~+(h?ngSgDHr3*(NcVf{2&x@q#Ic zc-dAjn1YCx?UI5ihgj{1|pG$7^Hzoq#*`rpb}}$$Ch7Vn#Fv48J0+MKDL9gNOL~6g_B5g zKDO1cNOL~6*|11+KDL3dNOL~6yP`;QKDNK2NOL~6KcYx;KDKNL(=6uW%a=r&^RZ3x zM4I!lZSq8#^RbQcM4I!lt@1>g^RW%dM4I!lwLBut`PimpBF*{O>Ku{gd~EM2OtYA; z4%<0Lq&XkkYe1wqAKN%bq&XkkDoUg|AKRQsq&XkkS4pHfAKMy9q&Xkk^+=>SUp>jk zV!k?TCkH&vVvv@6ECy-G$6}C{d@KfO$;V=lmV7J*Y01Z8kd}Nb25HI1Vvv@6e2sD) zwxk&OSj<<4?S~@LoR4jdBGR0XZH^++oR4jfBGR0XZIB|;oR4jhBGR0X?fxOsoR4h; zBGR0XZ3QCI+-4C;1Frf$A%7(=6r1M5NXcGh7XZue0AAUd!FVoNXPhi z4AL<^9)om@kH;V#*(lI_BgLI6K#~>Z!<1t9b_;}1$SB@V%25HI1Vvv@6 zECy-G$6}C{d@KfO$;V=lmV7J*Y01Z8kd}Nb25HI1V!pa^{NOQ2OFkBZwB%zkNJ~Bz zgS6yhF-S{37K60pV=+ieJ{E(tdNth z$Kbf+V=+ieJ{E(t;ZMV{lyZ@$sXs96xvrj!Qm1e$dNth#~>~FSPasVkHsJ@`B)6nl8?n8E%{gs(vpwGAT9Y=4APR1 z#UL&DSj<;fjvqV*Y01Z8kd}Nb25HI1Vvv@6ECy-G$6}C{d@KfO$;V=lmV7J*Y01Z8 zzIt-};4w(Y_;@Uuv=}#|=t=mFp7i0pY#S=JTr{I-4c~lHaiz;BTFWzIU;OzRl8mDD zH0#T(uVC`DsFeM@N7)I*x=k7igo5nwW*V9mx}3$f($JF_+eSk>F}9tC_G9chnmrO@ zyJ+?e7<++cufW)Dn*B7!UZ&YX_EnnwDdxRSvwy?bn>3We_qix~n}%9oY!3|$<{NPo zy+=cnG4=rsEy37c8rq1l{WSD8#y+BC>JrnCjdex>vY`XsZ}@06~?*q@Y^V(f29-@ZiDf=44`CWtxLFC_aJaoQioT(VSWsJDKLx!`P`b z2d}sIG@8>M^Uk0-T`_hR&FPD=8Z>7J#%j@=X&5_)hOs`1>(EFB=GCJSabDOI2d!J& zh(_w;(Izy~24k=wy$i<9r4eiq#Vu%LH0HIWktrB!O(R!g4EDFV8e{Eg%909 z8o@d*9!Miwu^ddExQsso3c}66vJZHn>FgYluR8tVOWR37p|2Z$+~xE#TS zg1u%=EKz=QS~-ep6=HF`_298%d&1ABgP+lJ#UrwchZn=*pW;!lY0y}1j5u^!Jf?V< zO@1vN3w|p-;U|~|Pn|XyuEyVb!Y~N3drloZbWE4Q6ML~=^I}V-M~yG(I&IvLlF1^+ z?hL=xJ+}8?ur`zsnGxi_kZm4O0>6Yl1zc)6jvrq#ng1Sl2_&<6PM9`%XbJr0A80Lt zJrme6j^3lDi~=Ps$B&;dmH+-X6pX;S%&AkRP98iFbwXCSC!BF`$MIu39N-A&0%LgSldX><6#SOJ`Y=pu$2f~i?9s~Be1fMwBzO4lMX!W zD8f!6yg-DVMc74zT}9Z9Tu3~{<3ZL-y2JT4gb;t`TyJ#C0zg;k6>XPJ~ND zx$6_;mx}ylqTCH4eWM6(65-7vyhVh!itsiO-Y(?t5a~Nb`YsXPEyCp@yhnuhits)m zcfUxl5a9zN|3MKxB*K*XJ6L7HjDf%BHSvj>lqPl6XCPs_;wNQ5c$uEaHj}&CFp-XA^k!^ z`b8nPTZAu(@MRIcBEnZi_?ie`7vUQsd{cyP3BI@E&cp7PcSQakQSMz4z9;hE7vTpY z{7@X!KNI<%i|`AP|D_1O65-e4_%|Yb zM1BEqgB>?XnsMc7?jM-LJ96k#tBUL?Za z;(UEXx~~ZPiLk#2FBahd5ndv~OGP+P=ouu^gGD$*ghNF*OoSyO94^8UA{;5gQ6d~I z!Z9KoE5dOi952p0L4*@U{v;7j7U2{TP8H!aQGU8eUnasCBAhA0St7h#gtLX*6(T)H zgjb4it_ZIZ<>ra-YLP!*gbPIeLXo~k)Y~GFUM#|EMR=VEmxyxLi*Tt3mx=HO5#A`m zn?!iC2yYR3ZWZBeBD`IMcZl##5#A-jyG6KM=($IP_lod75#BGt6(W2qNL-gd0RyD#DE-+$6%y zBHY44{~aXL1I}5*TRZ`Jh1tYU*uLYnws>A9q;rkxrJmQi)O?WyYOY!9d8c}==iM5m zo)1esAD4PQ=~AP5%~H=7eQK@&0blV%_%}p6{}MaHvm?WEw0II^1eucoxw?2V{96?? z2gsS8FFjvDZit-X`P%ahyvxAZNL$Yl0$blfJm7&Br3gTQmy#*e59vewD4~9Gf&zyr zQ>b6vK+RVy^_ztH-3bbOw@jg2SxSZaQ$qda1O;wnrcnR7u$0GEEcKs+I_3byeo?zZ zp>pG)yq*}8*XslY&UJ=R-hdlR36mz zR6=DtLFE`jc_VJBuRwu{N+{b23Ov#()KV%`o`lMGf&%9_Lnv=0HDv&>K{Yjpa%HJ?O7%69P|cm7&NYW} zWhoWvJPFmp3F>@vC|8zRuUM+3glgpk)!H1&m8DduHWI3>6I45MC|8!+rdX=IgzDe~ z)zKWvm8DduP7>+@C#cTmP_8WXtYWDy5~`~cR5x=dSC&$tE|gH+ouFVXkl`@n?iK4r z#Zo;bR4*r}iz*muw*u8$LiKTi>T3?w-_4_o3U#rB8sG$Vi8<83^q~exsKHK9un|m! zwyBqt>KiJdhB-mOhBT&7u3ko8QJ{uPs1Z(3Bh8^)bqTL3P@^Q&XeTIG>}P5zS5U7h zP-7+3I43ArcW4Ub>J9mI1!{tXn&<>I$sEen%jg>l)MN=Y#R+O^1w*~5KuwcS)19C$ z`=3J1kWe$7pk`Gt)LV+BE|*ZVouIBThq}^DkN%zlHCIAisH z6BH~WHtiCwabqbJYLSFm>;whtnN6Wwb)G8JbrNcc6V&zpQ>dj9YMB$%4dzfcxv9Pb zN}IY_Lfzs7b*nj)tGn+b1?n~lb-NSP9p+H3ETux-DWUFig1Wncp$;jQS}vjPae{(f z0!;5dSJn5a0(GB+y59+Eg*lX~>QkW}kWddgLBakmrj~LA^|@lHl@jVMI3mm4sUD1oebDl&k9dR)Km_LOtaKwZdA*9IUX)O~ouFXDoeG8W zDNrve~p$aGAesh9?{rOCx{&e${ zaDoE$mxTJ;3F;qnC|7OjL1?SC%>{9?C~zP(F_Xl+SAp<;qf36)2yC z@;gBVjG=rPZrW5U1T>0dAmDM1=h=htdLD|Mo zzC1UUQlauCRDlyzp*d7h`cTCZsRn})tuY3QvaRBI=wHs(<6+(3P-KuM2SpOZ%{ z`;}?aF5v<4!}Y7FISH3<1ku~at+b)gef_X>tmK0^9>NT{ApP`xS` z>I=nE7fGnzPEdW!p$58XQ_7c7UtbB;&k3r(F_dqB8>nv-OI;$NE_H$$Xbk0bWhoVE zkc1lS1U00Bp^hq+8Y-cNIYE_}L%DHiCMuNli1j&n#IhfVH|#v!^;6#|mXaQ^J|~Y@ z_FMI)P_EjP3MD;aeNG;+zH)fPx^X@w-z%1q9pwEu|$QE9#tCYXIg}d@4x%{V_!dj3 zYn`C3Glp_ClLuRT#_j9kTOy&ZcY<1K4CQKdZ35IX33Y=L)Q!eazMI|LeX6Bykx;if zLEUBynzT0qQXc^|%w% zDsw1T)fZ6izSR=y2`8v0&7s^pC3vU;^^}BK;{^4zIh32HgaoLy5^9|j)OvF$SN)V~ zsSOgU)Cp>%Ih3o0u0m~+P@A2gwwOb?S_!E_ZIw{ZI6-YQhjMlIWh)K+SqZh>32KKi zl)G(t6i+kXa}sK&6V$E>hEhDue9ud$7o4D8tY9d`)6BP9LcQb!^>PJ6aa1CJ4-1(dA?61)Td5RpBY2Bvy|eK=lfhjec=T4r8$(F58IxaO6U2NgmT(V z*Y}Mvl&e{n1gIkt>RTsE9W{n>HNlYp^__(J-U;dlV<=bOW;|yr)%T->`pF6EXLG2C zi}|Sps9z-1uTD_EnM1jH#X489)bA4N4=1QU&7oYqIH*w4ci89TJM1fm@35Q6eNRip zQqp(W=j1!=D~Io}E2!4-P=4tZ75$$= z*%B((2`bMV%GKR>sbVSVW$kzJvi6&MS^M31S$l>mP}0lV@8o6eH}|r3<$&WUQJ_wc z)pw#(^_^s1eXi!wM<`HLCDh4IP^Xwfx#|)|D^RCOsA^77r&Tc2SOw~I33Y}O)S2c` zuBI0+Q=rb0P}QBFY8XTLYq@#yoT)&aEuqeFf~su}Ro4yFECs5bgsSfZ)u4i*E?1x$ zN~lIoP>s!@Tvgv}1*(aJYU%{ltb(DgP@tMisB@j5&Z}UkISNz@33a{`RLcs6x>A9X z{^))ue{{dOKf1dvL0Qb>Z!0a;&dE~kE7(%XVjh173DwaFs#66+%~PuH0twaG393s4 zLtU*vb(K)voS-hOU?^qPn!me*>fr>{vx1=(D3RrK53l*q75~{BgR6k=V zceBGPRDTI|u@lq)V<>n1)HRBwr02fh$#dUt?z!&{YLNmpNLp&Jlck0jTgqMasZc{D z)G#Ng5@RTLmRhV>YPf_N;RH3(9BQgx2N z=1ZsrPEZTYp%%G;I!mcO>1FMA^0M}uds(|%a9=}#l3vz+CogNixtFyo*Ke;1CB3Zu zPF~jj3ih&2fVxSxshgeJ)Gfws%9ZPP0@SS%>NY2++l`_8ce-ilYW3YEq3(8qT5b&G zb2AZ}U@7Tk?RWCB_M3ZI`&YQJl=8LRFZUhxJMBB{H{W;I|F9b<Bds$D4nPD9rio<4*Si0hyCl^K-E#8)=NumaI#dX zxurI_fvT%OZI)15oS?Q=FjNBt>KO^O%?aw+3WjQ^Ky8;$JDi}Nt6-=`3e-*swaW?W z`3i<=tU$dWpIWCa%b0}Axrwa9fg!<44YOgueem9m^}Ca${xG+ctA?&Z{VAdTa)SEX9O_>;cV7p^QvXS) zV-8ROVh-i1pHiR#o)}cX>jdR9h6=cGmhdW0T>KmH&7QSmZ~J7ikzT|&7rEK z4<$X#0#2T00dr5Yz{zf)IxChsMOx}qCrec`x76uwpt>kfazD?2(|(=-^Zh&nZWc#- zyDCsMrKM^)S?X+aOSyvTra+w|p=vup)iH;v=cf8DRG{ihs0L0@4UM7PJ-YN%pc+Z2 z#!gU8jG+R}+*qoY0ww*Y0#5!@0dxPU!1->VE>fW6FWd*5e&Ie~{tNekwr-$$D^TrZ z^|g1Zz7FQq*U1f39|cN!1qGbEf&%7VL4j^=p!zCM@^{+H+ zWcBrSs=hwv)z{ArRDT6Z`nm+1d|d+OzAk}F-9TNeKn;|Z8sucD!RD44>IQ0n0yRuR zl{i5SH-{SO2I>+8YLtW;?F2Q(7|QSJy>FlbHC956bAlRg3>BE@#!`b6s7VrPvJ=!4 zbEs)HOcNBE@hCEDxnjXMtvS>ZHTWks%Ce`xatU>h6V$!tQ1`om8lzZh zg@k&*3F<*}sFiM@l(krahb7b_PEe1ULp|;WYMf%JRT65o6VwytP*1sm8m~aDkx)-N zL9I21ay36SL4jH)q1HP=Z7_#&HN7}dfhv_y8=aswnL}-HQ+<;ZD7mLuz-dpjfcc(g zf$eUfrYcZ7q@|v7veZsvOSyBvQK5E8sOO!aUND9VxT-!C>O~2)+X?C=bEsF`RNpkE z`d*b#uQ@@zZVu(jQYzFN66#GSsJD!v{I2|`RH(Nl)H_a4dyJt1@42bI8A|oNFQGng zg8I-LYM&b@Wg%-|zl1vA1oe?Il)H&o73yONb={ilLX`%eYU_n&h2IIKeXVwMW}9V`_Lm|M!# z^OOoDeO-c1zAiy?UzcE(o9a`xCJ2V4rKppovW+d}?kPcqlD;lMCtsJKxvz`g)l-5B z6_u8N~@@`rJLb zD0^@O&y-MSIYCu7hH_^q6{?1Ws_6t(tAe4FjX{EEOQ>^FLwSO=gLTAS)@_Lo{v#x# zdgYp%f{oTd)LT3W{)Gn)_%~C&e?yUA<6slWW3jsAe11G5SdVl7i7BkC7qTOe5h0#n z(_k}khI61GQx&MbDR{nKKg9y5zj!=RVc4KBiMsoIoK;B z*fYL=V!Q7ZkQC6v z^*WQy!W#rP%g}geXd1zx{0t+4BZ;qg0u<>^0`MQS>gp$*P~_hfoTxuXb>e4*r0Fw* zqdiTy=`(|4;3i@BR%UQ4oXsy9_9%W$*}mXtIO`br8_Ul;DL7eF`Gug8DYdHCs99@M zaHi9VEqP)qI7QTm6`aa0j%f%^BctJZ*xd;yoB}7C3V+l131@z~7bdHy8e{;(&|v4QPoTEV%(KX7-3Rpv7F}^}(g0dIyN=&BANm z6ueEZwmxUhlGmFRyg`^bE67g3%$yax5n}9mvw}B4ELPLY_{pPw5Q#wH2o#Gz0oIal z*I(ORdI+OyyF0jC-+=DdE5()@-+*FQX2~nF@XBHhDDFDo8dd}!0Q<6gCBBP)SbsL9 zizgmWfo6PIAAHn6xNLmz6a^nkB3K_>wHlC;2eAZ#;OgKLLbx@wU)EVhs;>_|wHt=Q zjlp#+%3yrO6WPDS6G|EtQDH36mBf1!mYt)GzyPTBpApqg{{JglcNL${ZUkJV^T3?y!${T{Kj8zkOpioqL zs%mTZC8OH)oU9do#Z34Vt?+AR!lwpb7qwP)TdWVhX(oJ{_RMda37@VN-eV?whF17J zGvPC}!XKCkpQRPvYbIP>Ywi7J!ZoyK{>V(YruNJS&4g=d&wSWS_-w84r)I+EXoWvF z6Rxcl{?bgij#l_-l>#;RagaAI*dtYK4C`6KL{&+$=P9zMho~Byizs!W2X@&nW6K<{*{?APKT%B+RF%v#dE9^BB zZlM+Sn+cz<6^3UUgJ&R5OI-`gu*@1sE3I&rnQ&{ZFf|iyqZQ6E6K<;&j+hCz(+b;W z!tJ%fd1k^Lw88~u!X34Zq>@=9>7*4dHWR);D_q4)xU*LHL^I(oTH&f@!d7f;_ZYJDQD_qk|xR+M=Y%}4Dw8FK`gnMi6s=8*v zeY9t;ZzkMVE8NgbxSv+Iv6*mxt#DH_;fuAx&CP@dXob%+6TU<%e7>3RrCQ-uX2Jut zjiim4@F4A(+nEUu)(Uqp6CR=!?qnuBR4d%sOn8`9xT~3PiB|YRGvVP{;T~qfBecT3 z%!Eg3g?pO`kJ1YFH4`4K74B~)JVq-#z)X0oR`^mg;c;5wL1x0^wYTIDGvNtZ;bCUN z6SdgG&4edug-4nRPu2>LHWQwr6&`CQJXI?^-b{F!*4h)zgr{rIJlRb6GOh4bGvOIp z;pt|=Gqu7q%!FrYg=d)wU#=CNZ6-WhTUB$+gs;$^d9Io89Ify?GvO<>!t>39=W2x) znh9T}HP|9E;dxr&Yt4kO)?zO)6P~XXUTP-1K#P5YneamGnQt-^zDE0Mbc@-m(IV}c zZ!X07mQGvQmbjpRwQMsllGc#WCxZCc^AX2Q2?h1Z)2-=P&Q zH50y5E4;}}_%5yR7Bk_ywZhMs2`|?+l4s2t$vs-(9cIG!YK3>23E!s`e%?&@ey#9} zX2L79!Y`Q#KcE$U#Z34?t?+AR!VhU1$s1;kWTjU4Ei>VVwZiY12|uD0e%DO+QLXU% zX2OqYg+DYCeq1ZO&rEogR``IK@M^8_$7aG$XrIFlnF&9sJ@Y4K!cS@2_Ge~odyV$Y zUznZwX|3>AX2NT=!rzz)uhR;DYbLy2EBu|A@CL2$4`#xpTH&9}gg0u1e=!r@q!s?n zOn9?a_zyGTEn4BfjD$1(*84HNrG>oo@xmW zTo%z&zHO{B$tTS6=vD4XL#0=*^1U=v`t&M4NJFJxuX1l1Dg*i&-*2okxyFNf%8!gy zCf9g|p7Nlv%4AAQPkGo_Win-^Ugf80sLax<{5%bnA-&2k(@;tED!)!cWwu`Bku+51 z=v5v~LuFXsF26TcncOZTdX+z>p)#sh`Ewd7ZM`jjHCCB?_vBi6a_qt7NWtnSZ3zBh ztdcFcP@IRYe7(xQ(ok8TSNTsGDhu^0|4TzQ&M-RGy?)nUjXfs(O`?G*q6fS81oA@)W(wyfjpv zs#jT%hRSOCre4WhWm126nqFmb8Y)lMtE`fS$}{vTPfSDQnR=B~(@=SqUgarisI0D6 zSuG8fHS{V^PeWx*?V~})nZ_!U9}Q~hRaQ?!<=J|bHPcXej$Y;2X{fBNS6Mp^m38zz zQC(w|$&ajc^_2CERVLSXJ-y0?X{fBPSJ^lXl@0VNo2H?%pry{nJp{POox68Y4M$}zTIoVica*g-UtDKsK%AR_a)6-DdORsW98Y(Z+ ztDKdF%HDdFv(r%7N8gC%7^_TfM1A#?bB$FdH==%emGjb2*RDv0GP!y#(W|^R4V9PbFMNry%H$d!s8_i(4V8oR7k-1W%4Ay()>GbOtTOq+hv+AD zZZTGw{3T_mp7J(hmC2OD^eXR2LuH9xRX(1E%JF)YtJ6?9LEqG$G*+2>k5AOA zT$6^%NqUuQ(@;5CuX24FDyQgGmZqU{s$S)$G*nL0tK5=?%ISKQ&!nO9GJR8j)>vh7 ze>p?1az`2}XX;h%Ohe@?y~^j)P+QW`3+(5rkU4V82BDql-O<(2xT z{)Vy2s7v=hRXSRl^>>|a)DmuzBE)W)T=y@ zhRSR7DnCv`gculGG%F(>=?W>yO3T{`B;Nz5TF^M!mb$rv-=NH{Z@ zowU%c;K|H3TERnFYK6mQ!mYHzQ8VGzTH#zX;Wk>~d^6$pnFYzMJF`+*RmK0BL&AQY zq@z~2*i5*SR=A3p@C91o6U~G>YlW+t33t&7pJFE5RV!T0Ot@R-X`(NQ+a5aeOao!u zcsuiSxQBXZl~gaElAhYjsA-@C2zqIS&o&djNGn|1Ot^Pu?6*te4OrhmSQxM_;P=xi zX;?lb{k65w*jx##%8RwOXlkGYga>E|ni~kmHKt3n1m_tj0fI}l1m?f07JA&QvBeI(vb=hrevsANyqY$4AUw}@jErCNJ_Lyx|EM(xK>Gu zpSRJGux36&tE9U*2~#pstE8v75>`8-GJ9#p%H9S_KzNMyUg>KlJXU+={$|4CwAVhs zKsfFm8L!2^)IbSb`vfh)AOqpJdt;*3215*#0Kp`!@Gvvs$=YjA@zX&{Glnxy(O&yV zgEPljf2vl=Xmcg3`A*Yb##jR-AUs`5Fy25o?lLaZDoOGCNQxojl+4gx#$*E$xQv-v z;i+cAv$Vp~&4e%43ePYTo~NtTOK^`F!7}Z& z-)AO#gZ9iT%!F^$3O{Hje3MpqrJ3-}TH!~`gm2LbKV~L;t5$fGnec5|;U~<5Z`al{ z{~@#EPm$iCJ@eCMXTDQ==5=PmcWH$;m0) zvYGHot?;X6!VhbOUpEteL@WHJned}p;kV6%AJYo&F%y1VEBv0B@G7nF2WG;nwZePN zgrCp~?>7^EQY-wCnebCu;e%$vYqY|L&4i!U3V&)QyjCmxxtZ`ft?-v-!t1rdUz-VU z&WFg!oQjcZ`F<*zncj^ zqZR(sOn94C_-`}eXSLV-ubJ?6t#I6DU#HP>hYmZ-V|M1}w8B0!;hkFHfSK?vT{F$f zFc6Mwrq64YWR_3K3tA@wGc)0jwN-VlneajFnOm3%AJPi9G!s6o6>e=N{E7CO z+nNb~sugZ;Cj6NeyQ7)#=UU+l%!I$tVs|kU{!%O4%}n?!t#EfU;jgvV+|x|>8||4d zG7~R#eP>OC zD*H>T#N<tkAclTX%V_#UDq zF!^*%JXu!-TcO7$IDSW%BeoCRyqWiR7v}w)wXjA8IDc==TGTH$YjMcRdg;dN%hKCSQuGhx5BN8M;3EP7O!NA?7?N;aD- z0XGy+P^)BX`IKa6m24}Y5=*OOd-;@PYRCHL%$0zXkS9w^64!83HmbPsE~ITAFBp&j z0o6Vz?lu$7)(XFDCY+%06u2%RxGvPcf z_6KId`C8$<2Evh+!4+Bil3cJ$vkn*ulXJ5^(g+_k5GHnTP4Ho6q%lS*#5UPL~2xtFC8UUr7pgr zG~`!n-$y2K{}VQz14!1_utbvmZ(PtL6frJ+ijLd7+G+d@@JLscIknO$p@hN^9cho2px(<3LvKXa3-Bdt=Rht8^A z8mdtl&~IGOC{F!68LAD6>vD7ptP-lP zoWiLwg&HYEBa>3nuybS`Z0axabAvZ2@=J?{ng)sjX!B#R$XC%ACD%KsTmxNFfaHs_8Yy(2+eQVZ!*9&L9WPg~#eTLEtvQ~yf#uOwdOU4| z%A;+|@w5#N4H5m@QP?|$y>V@ZC$eGU;Vk~yXnQ2}oN8z!2#r=A6}LMKwOPue;`T_F zl+RpQY&tqNc~7=SMx-nmN?InlonLzaUR3Pah-^;vY&0R*U09+EJfAU36v5Yn>TrJl zHftA5hnLM(CXPw*XDSL!6mJSep-Jp@M(q6p*%8QKFEEp}HlM1o`Hth+e7a)u9mY1_ zVQljq<+AyX^4a_{_co6|Q&DJ!wE4_kiM0cV#_^Ko})aeZAMQYw;Am+ZbrL~*NoOF&1jc-Guma` zj6i95n$fNl&FHDr&FCrFjMlqvMtI&>Guj}VQE7ZL+5pX{l-J!x!)EkMs%Eq!bu)@b zF=$55m1hipk(<}yjc2E9lwV`t=2-=I#S4|OZws9sH&+)WyCavR?jaV&$?>U~-Mmk< zcExuxyCbtwYj{N|86`KQE;>>v8X20pY_@Y3|gN`T{Njr-d%Pxc~-?bB#7<8o5`~(xli6DZ>Bfg-%NPk*v<5&yqVsL zznR{Io9QinGretiGrgbcX4;qfW{O8KxS95AoBzl9=Ks?1ntx0#W%GZ@xcR?i-uz!O zZvHPtj??_rM?zpQZT?WywE06()8-FF%hUW{O40leq;CEPWb;4hzWL*MW6l4NZ2pJi zoBttb{)c(<|HQEQf0?TJA4%Q(<53Kn|F@x|;>q?S_|o8o>ED&C6NJC-;qOP~Y2ls7 zxhcCMwJ&@plG^o1^ECTTq^GgsmMKeyYRgx>cOpelOt@!-euXQU4u8KVUC|!nE826s zD^ee<_84E$o`}&E?NP6&Y^POTY2+RFZx3($yb*d<%D#VPsyEg@%JUTQ{_&n7{*+Dn zeQZbMfwiIkA{Ll@SWxq3v^UZ_bww^LrKEZ(^~k;3>fd~YPRTafyJYH#G?)Mt4m z@kM-ZnE}ZIF$0nZQW%i>WPdT3Sp3DJR`nVxXXR{0{h&h8GK*)44!#BgYR<~-I5I+ zfA(55OBy^BZ}2QIc!(REIv5;26DApae|ZcZj>P+plKsX8-(N0+?=PRhvyW%+{gFW_ z8%DChsL3ZG*jYxxMqGy_34|sk$!w<21VPkBz(V zkIU7Ce;j9UgDyP(cn1I2!TlrI;PGd#MGK_C3*!x500uAQ2Cw8`a9tOEusjVsp$k7~ z+`cZpCUW*n>gIA6>crh5fGBctRI`$k^bA z%4P6FWB0V{o+#KWuF8 z!{xH~;W&F6bm1o-&)$d2)rH5Oy%s%1+WXXad!GXKK9$?MTFl;_$4Cx;n;W;5f~yy; z0e`jPMm&$@@a6+w1-Q^T@o#^gO#TLvYV8Z?uM@E*y)=RL(v+X6nQSi)kq~b$6H~O8 z9H*Nmesa^36KRpsO8nhlnN)z{4hvFNK)tE0FvsCDgfa&Kic>$EX0b_*1db?~my$bC zbL!a*3hf}?DbSM>C5uw(P5SKY$%$(!6J=AGnCgkKx%;LPcP3Iq&y`K(y!fVaE;N<% zcvER1o675=Yq>K;Qwc}TOMSsgQwckD_u5V$fc`UWC(hY^3`ct(V;_jfHa9o?ZQ?{u)Q?;q{-M6W@GeMhbDce-5_%_uN z+EgpvrdrcBV)8K?x*zsg{pPi_Bh=yrWgdKx>cX6HJJ(>YxU^dh>4}@k+@ZnunRbW& z$BzbfWJYRJ_EJkaIF;5erG0p(?jgnGEK*at>z+-#$4E`$1wW56r`&){2Qp~83~Lwv zzv`Ilv3X6fPv$jAkoE<4M=vQNRcJqOcVwT;Z^qgYE{W`uISdE=rIm7om2N>R4M=IF zOAV})3;x@NX3C8WOIb2h0cOfo%rsD%X^=3}Ejlv|mS&m;W(t5c*K;$;rZY6zDAutZ zv?SSz%&8vQ+K5`Rt%cART-Vz2B3Gs~4pmxPp2LV#W=1beCKi%nXl-oxzCgCRe#}X{ zShh0eBYq4nGu8^dtkr?bIDsFQ5)HGgab_rayn)gZT?5VImah+bi=lyz(1AXtd*#%`I;2iOKbobZh5F$y`Hg=R3SfmRZ}? zy?sn)x_qV4PLhpwvQwj-BF!{iG};Ynqn(y)6z4{JnNg!Hh>~jz?O5P6RU>cy|6f~e zfzoPc$W}X3w%S>;)m|=I?FL<|oqfFOm{Z>Bm}^uWh33^!`2T)&6e`tmm8_0=vO2Do z)iK|xIu^+4m?`d#P3qloO>%Y6#XIQYQo1C$n-RVGQllEF6eSA{Z;(n(K8~6jq&c~O zbp8Lhdk-)viY8!qX7>`ex4W~ucR=HjL2^z?j$#0j927xCBnT)f83Dnp1d(VY3rM&F z7C54k6a@8EF}*5gQG%$T2*_96le%W6d-r(#zUO~_pZDGwdZ(+atE#K3tE;OEt=4NG z6|QT4548?QfvD->usez)j?Az)GLv!SW>D+17)P3bT7S;q$QG{wGTY>cvJPees{NZ| zj?58qWIpDIZCshtoqhllE>KHinzIi(sl?K|bjn&_^=%>R8zAV_j~A?dTnGK2rdKZO zN7hT;SfX1f)e@{pJ*Fzx3;fF>@UJEVJ~t8gMHcv;U@TDVYvgAw2TS=i8@#y}u9ni8 zfFK)t;aRJZn?he7l;YZ};nkhj3lVIumgk_+BR0-p`>ZutYmHFpDaO7|N8Qld^&k0|enangGsI5Db1 z-S32T164ejQl0D1B&$D@ME%)Z7y+!CNC3AK23q|@(6$x^TEj%p3bM8tgQEwWBcMaO zIz2>Sjpi0Imx(hRP;J3AS3PSxHx}U^bZxog%GdfjY}3`a(^~8A;@A2+VXeQ5wX{1y z$69LT#3JPCSWDEgH~5ad!J2#X$~&gRHaoV*>eybsV-Pi&wU@QDFJZ?9UvbCw^BvpI znmh1sb?hyxV{h{vdkZ@DHf!mi)v=z2DAqut*fOGgbA>&tk#&gg*&){6JO5VC4qH7t z!uRYj^y~<0>D@wvKB?DpqULpEH0wRfGn!+}7+=G5^dF?~hqFmovU&0$R5|LXA`aEW z{^;S_En+_K(ZuR-iEkbqmVz$}aac+n&S<0$nXfH%{?VJ6+-$oj8fLu-U~yO2@L2`#QDi6leL z;SpMOaT8w7;So0LRK;YSs)$(k#g(&;YYCjgUs|mDif7%Iz`Cy(>y8y>Ue!~A*ryy^ zM(5X-GV`8SAJ8fb>E_<0d4SUi)w90gb=fxz`EReBOge0H`uWZx)Au}?z5_CS&szE+ zLB~ePc4J)g>L}E)ANh{`$eR1<$~&gRHaljfZ6R4KZHsYODhraeKmj#Pez7{{J^hT5 ztp<9k*k>jdAk6Rf?H|5ndVSu8uvv+NY~>@;iX*Tg+LTnJ&rJv+nq>NM+rWhimv9Biu_v^pIZhU?R@;LL zKZxH=sQ2{$Mf{NW9^yn|V$;d znZUK@#nfPmsJ%3g_9iA2qKS#xOBc0Q#G}23wQCZv>)}N0WeU7E!7SDu%cf<~bCR=v zT#$)ANG2s}FKD&r5Rb6ko+TbG@RK?4p5md2z(lt&ze#T&~i1wwDq5Y$YurDnFUp5)=k0%0O&I0dHEER0< z)VIdl7?2< zsndeB#7U|E=Q<*suNT8dgnLHj4ns@dXSAwfn0%fP=$*KAbdDFk6lbc2E#?57e+{`{ zK2V>sO4+Jxhx~7S)S>EdYY%83-P+%d^S{x(eJddxB!O#`p0R=M>#(hz%gSn;1J2wJ zLfPV+wb-UfDe&d^)u)vf@V88TMy;wot#(tN@nxt_`}(PRMk|^*Zo85etP7hder2VS z8>|PLDL!?$k`b&AWvOZ}rEIVPltJb=-!OC4=o3XW1{<0qFJ&lXtABX4@rBX4@LBkvmV$ZKq~nKv3|Bt7zGBt7z`CqMFUDNNwSFY71Y;SK3$3(i%&ZwEq`cgX)@eJ_#isR^<9YqI0H$&Y2E6X9nn;>7a9F z__nLl{V{b0o431wTA(_og{5;^S~{ndrE^+So#QJ9dZ-PQrTel#54D9dn9TiUO+Dl< zEAUn!KuWIg+p+5x2WdUqx!b&h1g89>4Eb0oo?fx{5BY-c_V z-pFg4Ig;}ac;wEJIDx7AGT6bh2YrNtEZ7kvBHj(fgKUoWpb)0pfis7(gSn5?4koMB z4kA|HeC19Lt|f&8JDE<8;4Pff1Bx{$07q4@vnd9?+jKGTRp!J1Uc2(-nJe+f1$*Ex z>BhbHo-qt((HVINW$kzQlnxC zpDT$H7fSeCZK9CeSiyyumRIM))0crQ!D zd-EdR3q-s(6Y)NU(VK}fXa;XJ2aH-)A27;E7$to@w9W$AsS~6(7fAL!oO-h#Kgt$p z-Gv;&Qnf%je`A;fg)rS*Utn`xIcaiTIVs|L|10M@-x3MlVR8LVp6ho2*Y9LpzpF4i zFe@ChrE>=+IG`{xlqmma@b1DubLRhaX6y_OEDW?Yj-I-p-BTE7>k>g5WF{y|uL(Zx zfTE;6gvbJ-GeI|lb!)LO1uM@D;s11n`r%< zC$Z|7wCd|AoQF`|C`sBM;1jFDmy$3Vgvmw;&UDsJQAes%)M?-*dJ zuZQPj{(1g|*1G(-l5ts`#=^B#@XP7fInY}h=(!xdB*pOL8P2?pN}BZ(Ujdh<+LiQr z>fK5Ps>j!L|Ke!$1|`!~nFrwgD+0nCim(`mtYLY|a5Y~YtZwitYQDd!x-n(0x*=t^ znwRpkx{*zB_bCCON=A?!#Zd28N(I?b4D~Z8n*fXXG<7d5<|o2pKGlCclsyb(8UDIZ zHVMko{R^RNGL&Wdr$N~iC@VteLepSMeJD6s&+-OkH2*4}cou##aDrd6U|1AL$d0se-`ayBzA9AkB}B zHcRFhtfMwdJSL7WWodHV2K{D6JUub;-==&je+Osj@~Qmomd{z1d_I5W@~O9L%I6$Q zKIiiCIS1r(E|brBmV8PRBL`m;$>*zH@~Ll1^Qa^tn~N-IS_WZyAWiB$5X8Z`)jcP! zEfNNTIbmG_0)pu|iEPm%%QQ5JY>`EEFt40t=VEhwi#Ap~C-c`4saqtTeJqVzB;$!j zukh)1)h)6pso)Z4#LX64bk%!I(N*sWF4jdC%3P{-u_d}ouUvHXc1_V;W{K`{UUZj% z=q_iXyCO-^eO)BF&~HIbZ zc1sf458W!0OjlgsR$DHVy{23!dxQCgO55v}i+oEiHeI<~=p`H*f+qX$l2|1SSSSxLp ztWcfH_I>=aXuDQNf+qe_e4GO>SGQ{!LYUNZhgRSRl3Ic9kfbW|Sb@Kt+zNaL3&ryG z$Kx*T(5i{MM0Z#OEASmsDd5SwL%I@!76dEs9g?tqtVwnxy#n7Z*$MVofgeh41-?^@ zNomNfzz-+40^g}sltL7(z;{ZV(3oaBS&Wjmgjk*zj}`dNr1`#6%6BXwl3j7M?%gI^ z_o6vtr%d6n6>>ARgW3sJ$g|YNkb^ktyX@EeF<2pY_TTBh8?TT%aqD3-eC7YoE97mk zLf)>{S9kahsoQ;L)txDKs5?@gR=1^WQg^cHm9CIG!7BF%ta4M-rm#YO7go7`bs3bs z$5zNQVCDNhTOr4w>_2pc?7IYIAFvfNxC4S8vK4YCDEmlXA*UQOr|XnsLE{<#D07){ zaG(te=_Gpe%BO3+ALexZu{B-Ob2?_Cv*5=tUBd|-Xz5dHx|XkyzZ6Z^-*`>e`U-g) ztdMzhl9;l;HBC9?vSG@-0h;s&FH>%pENlqYw85XeYe|j*q6Nn;O}yQX=bBxzyJ1{* z+%C?Jd&3kA<&EHXh8_2YTQK0Li6I!ruUs(nc1^*cCq8sLj^4m%2?m_|2(k=#!4sBX z$d{&PM1pbFOEC1MDUV7LLUGO%3Uwx&?xRc7F2G(-`+m3V9G=6`fy}DilDH&?J%$ar z-IClxcxu`$3z9<9!ETvoqq7dXCDRsOvhI#3&xq$&m56Z`YL4^OltU%}eQdkkY@I`nr&ul!idm^D};qXPk;>{IQ$6St;u*1gBE9jl)~PXC^mVY>_Sbz;vlnXJqb zxGzI#SI$^H4p&}+Nef^X$jc`?uvJ*w20E&&-FS*D#6B1X3 zf@yq?adp3BTtOZ|nsGJA_Nw$y?@(9}aLOBb`=w4J04NBs-Y@eCVa2gu8tekyj5xX( zQC<;B0XyVc%T*yRserpHPU-3LeC?Oq*ug$t4+qAED-)H;mR(wp=AM~shh6JtDHn&G zmY#Jr+lARQRxDTRGT$AL)V8 z>O3#mJ0RN>q_S7kEl?QNIv97vq%*RQlzIxF2kcz#p;Y8othN~qP;nwBuFr{THX3MWj- z#r1ng;bo1uH(D+gr|lB>?MBz~Sq;>(-bR;>^A6=+)}&r9OplFUL(+g7A9VW`eZ zo}!M$;Vnr7ic1{ck^~e|C%URMQEpT^TD-486-h@4?^A3JAj0dnH&GP=d%~g0jPQ+t z@KtmbqU4zbRq{jxt$O7XC4YAm2~{)gpHOvvqO1lJWpy@D)-YM8>^Bl$Jmn+tW4S=K9e>S zYfwP#OFNVHTd>(l?aS6)oIaFj*nq}E24gEv{^8iPLsGAmpHwXNStn9wU9*J zl!QdRBfEQ2VluvywCmy>*)b8eo5JLlJ8|}oWEa$>rQS&-hID#2O+7tFC0i#H(zN5m z>m5tHsCCtWi5Io5IvV2D$r7(lBJsM}V!G67IxGp)WK-`goc{0P$!%;k9oEEAsFboO z6z8z^fN-*)Q8QO=H969o9<~Tdt){M))zmF{tEu~yk5|2QbG-Jj#%oW0y!L?c+LMjf zUKZ;b852F^DTl?s!@Y1M(Rl5{vF#RJu+_*TBNYr^F?1(m0$i08n<~@SA*Vp3S z?FRRZ{Nk`O*eDtf{jQvQdg~_l`di$irz1?ybf`aY56(rvdb8B%t)N^sHGd(oC>+Z}I@yyln%(Xm6 z-<6z^VQO(bxG9>$%HtN8MTaYslt--D^Z|N8{g&ir)6A(X4}G;HPN-+vb1>9`X&BD9 zhaQCgf#)Tc)0pov5ut0!TVQ~XU?S2CH0FIwL>_^)C(XB>s@w}}PnvI?E+Y?_T2whC z5}SuCW=e&0aKF^Ey5_qSOB7IL1|MRaZ()Z9%q>;LQg)Tp7q%L(T zCovQ!T=`JcTQ`T|L~AHM%n!whFccqVLvfOMb#eL#FnqEg7*C>R(w!XG;O@{#1^2pdgS>XMK6yL&@)caR;zLF4%e&+*Jo zfw1q46Y`;_yq#Un(;`P@=RB#+q@Rjl=O2o12|YtHxHAmwWP{fkl%ujugJrOa=dZt2 zk4hr%@sZG@vbciU`Jq`?#Y1xd=^8x8j%p7H=O?@*IV#!v;=+O0(G4KRJd()~BR~S) z2Re>Q?`P+*u7j|SD9e*YJEANSeu{Tq0GDaPPw{^7(L|@eN7(e{ul7};jU(bV(3TN= z`nK>>7v`IVpJpzz#?Vpc7z!<*1Kk`;)^{JXR2oK7IXc!tFL-|UiB=q%<-dE$QXD}M z2|ksau)!ktsl>a83Gk^Tq9^n+;Qy*t8to%}DhUl>`zv)^6-Ejvj4!bIR4XO^l13I= zWd0PBFAwHk4P4MPImHHLuW|sMpDQPn)8G}aqqbJtK`2mjUngG|)1XkxD4Ca)auS2W zpAJrGSfSG^ZC*~olz{ths1v(zj&Rn9bqJ>jJr6^V!0>-&Xp-lT;Kqe(bj+kYYKju@ z0>PiE5q<#f2osd;jwlLrRn(6lX~t3iarNVri26y&wd$vAmL3OFUOBLa7O`16472oN zHcKA>YiJ3xhVsA~TFR`U(@?ey%F>ljp=>#nrK#j$v+V%RqcVY_@_$C4gD$FP(_%Pd zp?K)sc<2Kjsjnrg9vbKr`Uw6$P72Tn{+;W~Z+Qccbv#f5Me2MnS=-?(Hs4EB zHD0oOFY%=??r-Pm`n@zwA)~9g6#HJd6hr5_zL%u@!MPB=mmC-41n+wnU4PW+8hVos z=hOkn2>n4qC~Q;yAla}CF0t79gO-cs*|giSJezB@W9>!DfuaTQuYU;sh^}C zPdyQcrsbu*nYPbbUky>Rk~R)~zbCQ2;+IM{6e8A$s|SZ0JXcZDB^F=5A-z>ZMcWM{=bHN7wgWARZG_Z@$QoGm>X;(w%s9o%bw5y>%sa>41 zAIkoMvLY$#q3k@AWu%^fvcI7$ka`r#EYalo{xGY*Js z>VHg|I`Xm-rIo_B!+>#nSHp^@O-=0&$25FGqF!Mdre zj7vO&pOEa0I$<^vY6a|EJ0Zy-N1Ztky-&CL!fA90VCpfPp}(TZ{iH-+;K}_Yn>M}O z)>N}QSa4F37YSQJCuK7yIt6etfvY(HiZ+~S_tLOgGiUD3d?_9VD(r#Z!#uZ7N<3Tv z6x(!CGMCWq16)S$2(kxHX;+E)3DL9R7>~^<$=Zk>Z%o9@QxXdpGxJn}Y1+ZeQxg9= zX67kbU_N5zDcKxbyDIcH0)Hkq_%(t1fY%eHb)3*9?{8WOp&WRq^4}z9jq&LJO|n!Cm*pk>H%X>$HiV4H z%7M4|G9AWz$^=tm;MT;qp30F+cbMuE}-r~Cbhf~jZEZni5^sHp?QGo#` zli>^rR}wlykO-cYMB8vKjYuVO8HE3z5;c#N>bZzAL#P#*^_7Aa4*ayCXr=c}YY+ zHc!t>1)Uk%-j18|vU9?8i{9n9IWNh~<>|ONFIjkD$IW@keGSZlV))=K_wzF6y%T)0 zTj=MpHsZF>iwrL^sR+d071>?n{URR*qM1W8CuB~7=TDjEGcQ^$o)U%FLNC($|BZ`B zS^U4bc$5eK6&DY4$qZBoxMWVKZPk;$jq0h?8`YDkqtz3s+tt%)jnrS$n!w*=^-OwH z{WZO*`dh|4^-RVa>aQ8UsHcn6P=7DdUHv1of_gUdUG-d`KK!jv|IE5aJ(u;I`d6^2 z`e$&UdM@~ydY<2m4_5_O3)|)lR|8jzuLy)DhO0waY7q!c4A+3Nlp-HOSxqQQFR~NL zYC&0A<|HVq4P`|#2SZsMD9gya2xWDlERgvFl+}YWa505%H(fX3+Xb$haDCHtliC%$ zOt2ZBQXYib!*$cp({+Ob<1R|JF1YPb$8>X1a_ET0zoKO%ue2{pPIHB?!C%T!WIz*W zy?0R?C7cYr7jYN0LTsR3luVjtBno55L+Yx-d?uyCCQ8*T*8y(l5`f9Q2rk+ zM@XUYH6TE2F@^DS`*L_x{*gE+Fw*}>?nlA1>_6JoLM-ttdr1;hh?fGFq#i75mc3Ls z!@Y5GhWq8@4fm$W8Sa;pGu$s@LFD31{P+4N`r%Z(f2aRl|9{N+MtQCf@h1MB|4-%{ zrswq_sf+3Y^%C4-_K)vJ^^(75O+%^vA)s67O+zXE z|3DeNX(-*l9m?oULlAzFQr^_JDdk1_wvDN8efuEziN>s)_13rT66;%^FQLA5c$=Vx zIE6jZJ@6%g!NV<4&7gsBd)_${zQHSa!{?XePh-v*&Z}+eFi;omIh6n~?i3DRG|=J8 zBrmmxFI$OWf`isRH4(lksWAxJ_|lXLzI5egUxqTqS43It%Vadwk0o%2N<_5k zY91<49tDGq(yDWQ)(s}Ppo4y$6flh0F#voJ!57r-6-smHQFev1^X5}uki)RE$xWrR z2*Yj`hC{$Qq!>1YTeE>#J+9gsnCeq#;tUH-i)taJm<*0(t%hZ!BYChd#G%p9LZhJw zjh+@7khqSaQAbCkw;?kIp)3r-EOcFlL72m!j)g%T5e9uM3^1$0w_yx`c`Vx8!75F1 z)LFzTjl(brR`m^pjSJOZH-u>n6Q*!Ken-m}k$EZT)~Yd71RaS;j`|rLao()#i*Owo zW087{h}8W>9k~;ABq~ekowy@Wi3?5Ck!ayMa+jzhccYHPB-0o8+Y)gkCb< zIx`QedZgv7(6 z;^7IK!;|QgRrRWZ@Dqv>4?hEXco_ZHO!Hqi(tkZ~{42DV{%gMRuW*?D3lie%_4?6& zy=wkzAN|)-_OG7#;Z;3N1oFe{s1c&)rU~b{ZNRL~yR$me%3EmVZJ>TFl^4{a4vURl zv0#8SPlJYcc-l1+wjvb%V=oAHG(|DdpuVh7Tg0axMAnCuCHcIxTatK zZBM_x1^2KEuI7Tvj7<}+>9T*<(`9eL{m=zB;-rHfk72?!qVmE=J)`n0xSzS;Mp^b^ zj=ov>Y)a8Zp>c>npnLHx;s25l7ws1&VHWLY!9C`J8*|ZtZ6%ucYD8mjzabh2H$oy9 z?hh`w*%;qEn2_fvOO+L1!X8)tRxZIgo>poXwL3f)sH@a9@I2%5`BLFr&keplzP|8W z8Q?jP7^}XxW*^#hC7vg%!79GX>M zc#OwvU-$vWLmFac!jgW3;e};!ZG3E7!VfXrNFumA?4&-n;WN|wIwb;2kq?wo>W7fH z_j9GO`jv9M`is&-y`T*6sme%Ssxk$Bf7BOLKJkUr+P<**gilkC`69k+d{N&_U(END zPoFvBFbS-H<Rwe}1Bie&rG@e~#1TkMbide}1ORAGJG_{X!T0Y6~bkK^Oh% z8YnvnWku9^P<9H+GNAR0@M$OusHdUqS18N$^@XxCP!{yHhqB+GEX%hE%6^BkuA4J z7EvBew3cw$b;Y>J*UG)BbP50EIQRtxaPTX9-ZG*83zIKBci+!YM#g-1K{DT6*7Xp& zvBU>h&k|y-C!WYqiYX;b6{A$5nSM%0RE#g3jaw#XEC;Yp?+^b_z#^Ih>dccXRVoV(^X&$(+U+&OnB)}R1Ltl7C;9a6vo^zD@z824UM zP+XSlQ?yJe?kxpcnnMbpfTEagNkJaaluAK;Bn4U#u@q=QQwnHCnE#ozI_4&8Ll$ep z25akEtgSC%t!53oHO8(0M;}AZwrgw zNmE#=S;A61d10w><-)>~m}oUEVX4InOHCkeEk@$nB4MeAgyky9##s`=a+NGso=8}( z5(rBjr?5cEO3?WA6A6pQ-m0<)`Axnnj^9WBXLWM#MnEjwMoi5nX8Q!l2bJ8=W;^8c_+12P9bQ;Fl=jKD46!I zlW2?^O(FPKrhOfAle0Hjob8bOwBOO{%~{ByPmP!nRpVMG`0LF4Nc5nBrysbaRtFZ3aa~SccFDks;LAqKHER`zIxVr6egHMG{y_Ac40#CGd78fp=IK z3MB9@H@Ph}bkDykfjZ`<1P-z!aB%VxIK=ABA!9MsPM5$Ci|1oUW%Te`7SD&|>Rxw8 zdATaD)KwZ_xoU7_a#h-rs|Fxf!&pDdfm{tY`q|Est9Bx}8evX@Y8^OP=7W)T33h(; zvLZq?m`nw2^3fEF;S{zlgV1%SghI=+-zKQqy-RaZseMj5Kp`N8E(?$S4G) zSdM=i^CCG%MAd#;2NtVhswYwKK^g@eml8RA3vz zT=0T1E%2l(D>;!yDQ9jq>wbu`9H+S*Q_;AYeC4(W-xATLShmL_yzMasI{OIg@KmeA z^NqdP0p@lF(?aMPB40U4%nCZN2Vb@q3YP04WnVdtJOiwOFhE3}X%>0zFp#cMmCr~A zt&8su(VlcNhi|=x_NYO!8row#$sPrAJ;q4(lu0u67X1nyH5W*RGEw--O9(~7B8?p@ zYH6SZM^LeokZw3`ro7~85E}Ka=WB83yYjN|LZt4>OOxF2BcZ$`KcAb`MREDQ3X*erC?pcTlpN4>-ooXpV6#NoW3oiqBVx%6izR~5S3wic zlx~jjt=G_ITBC0k&yktH5x6=XH1u;ON7P%5(N_s`WEd}-6%%ko$jXY6qsc;6R+OA( zz^tsO4G<1<%*u+gh!6e@iLYWrnIZ=2;(A4!>uM#F>uMzt*JoQ?7Ysybexa~=H`}@9 zVIV$l4McjIy_t6oiZu=Hu?M!#H7!ZVSFFbnHO6f3$Fu!v$>f}Q$*KRZwke?`zJg7g zr_DD)bp-N%wboZih|FcO)@x`Blp$a`C`t`&A-q|HSO7#=$O!R*_M*{x53o>F__TUe zzUx(FM|1}{8?VB;o~6UlUZPxJIsZ~s_y?u?%ZWN$MH-ap-JZT9QbT*i>g=n0XJ3Jq zUuCT?%37;;R?UQfTWXFS&Cfgq-Nn4;&t&^*%K~yP#RCeM>aZHH5>Pm1?%I;IEV$(C zB*7eD$Q(I55kL!}TPiMabcLl4~F_hwI6_GsrTjCplQp zI1CxZeRPfO!QXlue`i?yogo^*%PjtmF!C9*alr)O)4C}8!`TDl(Owj#W9F{3+t086pa8mLWoEaoZ8m6euf znFZsllz5hXbgzQH+{4$JagcffSAs)%EpsT(1yA5Q!=aq`@-}U~WsV9~A+00IvqGVA z8{axgI^%0fB>jH0N zwq-|HMA=KgM%$#7PQw)>7xzJ4ChO$BtKM}&x&`?A0eo#`SyuV<5Adbz3@12N%~ZlL zwc|eACd7q~7?eHORafkMzz(#wnmAoPw%^-IR_oZ7X)Cd+@r>S9GU+%>S$eq0?`xy9 z_O-PYu&q<2+Pejt~S#1q#q$hZgsNT^{W{lCZr;61BHG>zv*{-_2l@q{Cou z#rl`|RflTytbq1rHS4HA5gM~=rW>XM`sNz!lf5;pPXhXx6XPSa2%MU<$9if)3#D-? zNo7s%C#hW9>|Ba>+r5P%gO?{$CBp&KyL-QODx23hp2L2vA4EkDL9Pb(V>JWCuuO?7 zTP+3gBt0r$LV;tXK07cz)%rKwRk8$h!(}B`NijaLKZ7W0t_;HDm*^JLW#s`Sjr)bK z;VidnaY4^hnW$V3GC+f#4}kvh!F#Uu7U&;UX{KaoZ$nv%QdKFX9fUH!vK7h>L0Ouz zL@BGi17-9&5+iUOi}hhs%#yVj2aPN$^iD8-~KIT{g%2| z$xMNtVKI~+$*3BS_~MaF_IbemJf~`YMAOpakyyv-Z$xqys9N>iksKKPk>apZ*f<4V za_NwyzbR)(D*VVU0?>a>Cu#I|INZg4KSDC-@AMWi{r61zmfIL^(qg}_CIR|8JzJ&! z9;9!%^iUN0{RtAHzthuD`tNYefc_50nAq=klL-AiN}|T^G5VIPx`g;z^ zF@7&f-*Oul%a4>L#p02Q3zXb#kxIQu3P~rKBuF$8BSkkys&-hRR9K-DU!mlzP@*f8 z@Cqesg;E4glEC?;ZISA|t8b3f+Er~+q>fJ9jgdO>Nc~u(0iz?(X;YEn+%MO}BG=l# zl;D188jCcuf63*3X&H;OvVSSX{n9oTX=ndZhWq9ESmXx#mvY=M9b%D=_AeFKFZq!! z`4Nam3(Uxm^a5?Cl`(QXMEV%xPaDq?Q5wT9($_973M$qt?jMWXp~DVjZYomQ6Ly*l z^aPeo(}ZDxp1|^Wn#BP6P8)Pp9dzdJ@yI|OWs1B7*)?fu6Hyz3W04^?4XW$Zp(!DX zlj3xOiQG$ya}x|9M4tioz*!nCJ)K*AD3fJb>#D>e!|Zly+U=AeC0IKnNC~c;s|fhD z=tM@_N%Ql~Oi9qpeReZ6A+PpzenuYD_s25|7-ce!R4s^%Q_xtRj>vt85(FL;Ek#zkY%VQ8h=Qc-u@UMF$oxqE3LV?erXeU5D>Q{{VRxk zBOvffuMx>DI1rOpA#T`AUd8fIn&S$X{H=|{5NN*({VRz4D8OO07T{zAGufrLJxKhz zlf*ySB)->^#2&WN8pL(GZ)?z)fWDco0kDLJgDnq2to1L5oD}qJEuXE#L+jH1xfgU1 zWt~7ntV1jSbWYi%z7J4=i9qXI5cy4j&iemRL*yZ}K$?HINj=hIY{-^<5hWkd9H9MS zLwm5$&^EB-;iePC8p#mZIQ1zP-R<>MiLMsJep6uKc&!u)L8)y>@eYnfb)Ow`9z9ZMN^M7M2WTlarKS}c z+73iAfR<`QgDmafGsz{n)Rz%WC%K$2qa-P5`ZBS&ZOGLeEh>>p-UL4)NlOx_9gDWL z2|f=E5o&7ME=!N$`X16zFq?4O7Yke}qaz$cjds>s;1&!bgZ3k|PO)eAk2JhT5$&Sm zPwgP^zh(L8UqQ5oKrtTGvOxSyqtCr|kSnN<5mM0Oo(A#2AprgzU`}OHnK7p~smw8_ z3aMfWD%}o!%q9+-71%6#JFimd?0Kg-k+Itv(pV&;SH*jr6^EJ?Gxl`t4VP@X_70-= zIjcO#R{_Q4t^%isxk(<-o5)q!ow*{OxjLS?)|%Ho{YkT%ntjYD2f-LgnPn`fOBXPFg+w3+LyvXHOhNt;)kRhDp7+_d@9K^rTcsm0L9dUAKxlkwROpPeIsmOU zfe0(;)D}{kgI0&s;h=?v>(J6Xp`~)r>XNz)S^=rcL90jV@z4hA(6q1@ID;S29lJBm z#WT*wqK2QAZtgBJ6yRfqwnIGwQt9Euz<}L$L^0!BeNvyH^(Lv$q1Avi&^br908`Kw z;E$4XYAEPZ`B8FpMRZ>*y5CkoOBniq-dm1+&7UOqWM$QjMc=kzEVE$XJKpeC| ztZ-A&QmfH8M@Ep2hNK}Q+&iQpN4Q3$kx4ihr`8C{56#jt;-NW=Gw8?3^&IpY$PIco=~9G|<3@5L`|cNV zBlq1+ubcQ^T!?)+0D4Llcw(#I=M+#1@b*0aH&Jf=Bfbfdc(O&Hw`x%R0=|> zu&j25c|*xZBkVBh^idT`(MBt5O~_^@E*tmOJ(6q*ZPRu!|@CG}@zMv}f5?nQc0 zOk)b^#bMf;^k$eEwkix|mw)A~B(*EOe-c>IhxB2veWVWu`&M$RP6ZY}hP^yzWjQ>t zS$9@@Kun27yqKMpoFUI#hQGW~46}ADW_qGQs<$OGEY2b4a_|{_Swi4fkpb5Nte>rvyVi~rOzmW`p^G*OoYydPL4~+02ouu zdH|20e*BoH^54=^efZ1TLVnO23A3ufUkzMCe0df+nWX$J$w-K2gui7clUz#pLWUIO z5E9J`Y&Y0@5~Cdq-NJY7g2YB;Un5851<6#3J9t5IEiZ)|3u7$WNP5VB`L|@rW8XOq zoZv3-h-pX=^>M-N^LdPmiw>t!EMkv~eT9a0$q6lLLpxAtX#Y5&#cXJA>(H{md+icr zRblZR-jc!G%vD$}K+v+ck=vM-%^|mOTDC9gYt1!av<2(HA3su{fp$VRvMcd8PQu5< zDn;((K;1>|;;9DT4Il&9cV)-` z?z_9m-THTX;3gV|+CVapeOHbQW{Ml-EII5TjH@GKizpU;@iU(@6)4FM^Rs z)v8F4a3h^6@#dk;2sKp`D{R*|NMRdnQnp=fv+V;z;O)44%Z=j|x}>H#w(nwexU&=H#fOtw|YY#1pofsWlN2KUSZYfK16UEA+Bq z6&Uv%;(FpE^sz>)p6$p0d};~taj`5@vcNV*8n;XOsjiD*;13}~n3y&uLpU)VN`~@c z3g6vJ?q%OKCHHdQ4I{(s@A#f($<{o&Nj{u_-vLbW=43braReE`AnGQ0)_e@Kp^zZ1 zJxMi76Q|9iUx#=z0>17gg$*RQkKD%)Zb|Or5Wb(>uam%S=L67(#6UfEbJZ*e=@-_( z13F7~h?YNO4_HWY{pq|INk+1+wI(CEu022=u(-=Fv1kn8h9$S97hqseGptFZg^3SzKlim$;_qx^<%;H*w^CC`d0|dI5Lib8A`@+V8)a22+Rlr40pKeYXoKjnZUq|BojC=6UjsbW|RSDw2jTT z2+YIeVFqR_d6)w;iA+LZVD}aX6^lJ=gZZAV*9_83CX*QycydstkSPcX?8`dHU5pPr zG8aPz%ty#04CZ6x5f0{5G8Msm%E0Vt8#8)RVYDd-)5tUiP@-#wjv8%(meC#d-nN{Dw zbSc908S)H+znnb7!GD%K>y2qiC#GO|8DyMJrZY&dk?9; zlSQGZrCF*O+jkpVW3%TMePkpHsthx3I8bYCP$ylWfaNlOms-~8Z8A=3(LK1+Iy5d0 zh7X)q%b~~`EBFPpjWC0FLDp{Q1J zdL*~l0M0W2z~cforLrU;{Onj+5?@B6?qb_T^{y8hHz-SyT3Hfi#tf{T&;m5%c2Ppr zJA{p(Z0+#nE#fa-R$LYFS`%9Ym8Hpi@?m4vS_$T7?8?sA4Vvkn$Kx3Xr?Zga*k0ZS zVsRP}Kh2ZB3L9MB)(kdOtFW=;{Uvp&CPL-yM5t616UBjvEM*bn=pnv~RVBCkU}{&D zEl0rb|Bfxz7xZz#oOXX1LmVEaB7^V@`V$B*w_dHLNfumiW+=830yCH(hUVaUV$Ta+ zcUP0FyDd@oSa(;GB%);N?wuGP@#d^+-Ca#0HpaT!JXr|(oDTRyZ1pjd%w%)myJRLe z2hJk1?Aerk_Z)eSefK_jj{9ylnQeWC3dLqv&zp<=Vmt0qXg{-p<}z-5LFRJYnn&j8_89!0x_~UO1`Z!@4jt+y zoZ!&zDSX*X_$34t#Ew~7G*eB+x~S!3#n(0fe=-1SI}ONhYyhb`0FeP%2N}h-0a*u` zOkhCTCoeP8VY%Ib{uRUoCok2y{JPyVAVoOTLwiRy4)q)n+%e<$B^a@pMc@=$8vQGX zoe&tY_4x&TA{-hZ3(v-(0qO|Q;iOJlibDX}hb&6rjDpx10S*oM1&fJ;+*oboWae)+ zGxfw!Fd$h19D%WVjT3-*l=AYX%y2T|bS%_3f2zjiR=u1d~hfKva{>}c!o_lhlkyQoe~q6meE?T^JKKn zGScg!fT@^_EhYPvx+s7{++8c8%oTS6b+=_id0zY}tevdjT(zZS8xl1U#DqgJx@BZXTX!xitP zI_Yq$gc|_2CWbpo{IvsL+4U}LGI*K1Oecfv+T>+!GI)i&VowIBZP`_2b|?`{10lfC znBN?xzrZYL?Kp0pZSI%Dq)cES(W6D#^{B8p1*45*8yX998x{vB8X*AtFTS&FB(V#a zfo)_vO!|WFRq`q$bOZ7#N9aXlkvE~+$b?ddxR@+v5F3%j9Kv(3$S zAoo>_+`0orw?dYZrL3*SWGUCyGO~=dWp0H-wSbqVZku51|8gw5iETudbhW;mEN87Z zBg?tgR}eTorbntk><=){oI!aWwv#OG*k)lYySd$TX;;&)k=Iz$Ey-(K(<{kJy=fM9 z1ihy5kJMD*o4$@YnZ1Qgcf4fV2{bdy>P>TN5E^pl&K9AzM;5=m5@^q_w!x*I-P&FW zl!u|ymi`rF!}VD96m4hPQ?J)D?GbCP1ego$JiUT~`YcwFRg4xll2sfnR+H775I7^n zUMH`!IvvRCT%9#!4PS?g6ay+yOkKphL1Jkc#O!GBanGjAiaIgn>yR5A>yT`7M;k^! zB?bWS_%N2;$(D~QI$WJBV3smr=Xu^CG0$(Y(X58hOd`Zm?daIJ$nI=ItKmQnA`P>< z^2pts7`bjXxLOD~fzs~eq+xfPSap&CaElW_4;w%|1^}14bi1u_2(LuIcw@3y+FUL`qY%`h%X02Aq0O;+sv(22-0H6&5pifBB zEPrYrp>PHxXzm~b|VZpN#{$Ou8^d_z)Uf+y z4Z~tB`+gqZ+nK}M+akuWZdqie}pW?4Q!)^e8RI z8>ZeRInzqdVL0z6g9>6(1^QUKt>;9pPHv%x=!tmg)Q9?A0_{C7o^M}z|v zkAC=cvhY*dy4(LWK&Grv&jzp)cHX0hIVR!YrnjmeAh{nGtNH;FlN+nA0m&XrR0l{l z)15HO38{yTD77Lh@cW_S@{ZTwrE)?*CXi5#a9>#UVSv{0rqd9K5$6yRHpY>V3{6@{h9)5-ENP)Yk8qvh z`oRwk?hCuy&~KUvkpktaabsj?VZ>yJ#2sj8k|%6U(%VZ+wva7MOr9cJI5F8uwhF}L z-o#>({fyOLTa$3q;5wd=Uh(W_xX(E{-J86axOK^}q{U=dl42s#C0E#OT}&j}WLROu zp#CDXXe+Ajg1)E3r^V_|!c?A0= zbj-ri0&PHylq?H&j>!y2Ci(<^ND%}+B}dZw4<jAC1xE5LoJ)m_Jf63ToZX{FI!8?!@2Ms+aQAs+(%}rUydjBCw5CE+Ap*g6?xag`V z$<%=oFgzsNpF%rX4@x#FsF35s#}7%|_?UqYN#h4#mVp87b0uF@A4)oc>>({d1es?f zz$i^7fk1m2ca|>_?zmLAMd|;7_cHQAO*}wdW#frM$$I2O*fJp zxzyFsNxNJ}MU)FdbTgM(nrNigDR<*^=XSE4A$O2$=aAb$b};0!^b^?GNAyFo+4fCW znRmgYcELO&x|8f=P~ImyIViixE(GNx*BQ}q{9H+ohC`H_f!J=cn}Ph8?B+neLEbffya%PxdpA$H{&UXe* zleZDb-(4Ul@mSKs#-aTt$b;k{19_GlZNOtAicCdq7z3 zH=(>o-eXXTkoPz!@00ftl%T7FQ=K9Wo@xWJ|B(MMkYVy44&(>q0|YYW0y)jWS-!I$ zk`Eco9P%Lt^CR*Rf=P_dmavWE!2kt<#ONXS?13r$xkS{oRvoNPdL{2>$!4djE zuvTASzs+FlubgXOmNnO3u^i(DmB8dpnIpl7Zk(Uf+-|9AJf~$mr;XL%v;5f{6Jd`g zXf&PO#0@%DvngvR&FN#ts2J^_Iq2IBOke+4;11O%GTt0FTp(t|*d7sZkVM4$(( zj+y(xK%mK>gCLn+5G{}wN}^Q*gDgo{$PXtr-v>eFC+))1qURiX1ODpi3a8? zNG1oza<~oKJY56|0S(^*3ee2mTcFp5LPJ}Gd>R04tSweB3*?NiO80I8|7dVVE-+(& ztqkH>z)0(ggkV?OpBB%VXfa_iKW?a@0Q&VC@(t6ElgKxme*BhvtLs-iNny@-3&s*2 z2EAM)XG(HN<&5%1YAFwpA{B0*B*3ZO0GIJ$!KNbQMkDerEk~h6_5fUt)He*kY4!lz z3}av@{VT|MLNEYVB)FUPEFF2t8>dv=xxqNdF3Q=%p*xaVAb>uH@}) zQzLLh5hJt;1vuFVtwMe|Kxn#+&^AEk2l`i#Lp_RK2(9Lg)~=0$m#-j9iF=?(J25x1jni#~co_;#Ga0p!#ly28xFQUeyos)j6+h4)_vu=Tg?)mhRuj-!Mp3 zwbtJY<_A1XP6xRzM$|fcRYzGLxatQS12i7)=T-d+zIDDw;4yIZ=q(;5n|$u{g8738 z=Fc85-{u*|VBYNo^Rx&iEbv`;9^_?-!Gx&eJeczR@r(j{9Q`GNdC>#rAs3#vdB7~H z@-R7eyu+hJ$EFtZstyZydvI~=D4OYk(qWf?mG^=f7Quu?xr=m1oZZygc)_ISfK4sR ztDtus6K7nj=T*HVU!Ct}Sr3%nSuc1MVL=Kv_Qk^xvEkx#(W;`>YPwtV72|ur zWT&JT%z8Xbo6o-DJhGI}S)077^D9?=DN(ek2TFvO9g0%X=3X${@F?*_ZSMiI1h4QY z%s>qongZ}EV6m6LSYZM|T2=V5ZB%XQ9vfofjWVKwR{dcKkl0{~*4ysGyTR4>I7 zgfY(NRUOu_o~@U5wyurzsy>*n&hu!P2R+L;=?M#v@5py_0aA1X`HowFd{4f&7a(5k z!mWum+I+iXJlZYG_XQR$Y|ZE~t{^j~H_#~t&{$C}YHJ_(nF_pcdt3zOX%F1W^Hw62 zTbcmOJ>+MKU_S2wvjT6mF_@ow!CWAM`H~0BicZ}e_SE-~3)7o~U?Mtov+rsiCiMz{ zJp4d@VDhk%{J_b>kK{+w;Rh#YX$mEK57$>o7VByGAmFo7un%Lnf0B zxYmx7<92H-EDsR-nf%N?T|<86KK+IKVt#7eaCL&5VBf7HC%ErUl9Tp#Jo1$#q1kjh zwP+s8@D`YnG61gM!!>Uc?kg3A^`NZgXkksXwePDe*`cJ-N0&|G5WaL%_Y^tBND(Ke zI8vM@r%h5&UIywG6s0z_wFZI{@Kuonz6U#kfzKycgRjNM$9779R-yA93IQ5VTYhSPiGB8Sr@a!JQYchLnKTO+Z$V~L;hh%d_ex;khn}Pn}nf5x#&mq+r5odWiL?` z_Wj57&8iWG-&813&02VP%hJGMa@Lf_PFbX==^%xUoewa8ND?qQKBaF6J3o~85n*ds z&}#7~2Q4##%VKD)#EO2&PlNhpw?)6QY5KN7rxa){g<`<-%yk3P?BzUUeF|LYTHvhZn7@FpCPBvZ!RdYqGnn( zaGoDBj5N4;ELFy7wv}MH5;Z0Ay~ti06dd5{11IY4F{kkfEtb!y=((}-vm~)xdAK-Dr8Y$ z#poEyLcoGh66An|b7IAOw!nR00)uF!VsuSz&$jl>FU71iISs{Q_=f;&h&4$Y51}T* zP!e`D8MK@Hh&9QMrWB*MfSDIK7voM2RE-yl!7c`bpE{E~`>vJbmqix=!Zx>!0|vzzcID|C!j5u)*c75lhuw{yf$l~xRuLFc$=>neEr4c{1Hjmv zZ6?in#I7#q&PHj)jU4Le=Imlsv~)gCg0ERbnJS#yv+8oYROlvQt4HqS%D(23i^p+~ znoHuo1GQZ}(gZyUqaHPvTzl#2kafp!v5GuXTFB!jh0JV`bk|$Ra(kFvht1OBAUVJ@ zjGQ>pS>__Vo9g0s3rW^t7j@F|GpYRxC?M*zOolohg3&T*>atu_T1xIva#1JUq7EI}sqR^zl_UveCK$kQI=WaDdeyaYQN4LhwWW`m@%yRNpr&a; zUG9});5fBy8d!i9gNPuPyP~zzT|u+lc^N3h8u8$;iPVPQX=9NPm6?YDV%q5WzwBP{ z2OAq!gu3rKt)Wo6!1+|O5}O4|fG#b;^z60t4e41rH(h1U0(4$uHFBXem(^%O-%t%Y z|CF?AV5G!(>6u@^0AC6~lw#nU(KiIXG?bJ!!RxD3uuscCX&F|d1${#`=+su$u7MDe z9algHeu1EsgaqYrF9)raW0bsp~gASuVQlWmTX?f?>;e6=wpzr0)Vf; znr=hiP}3Emq@vlhMYgM<^lDb49eqPJDnUsly9SSJdkHPLWodgCrf|fD$xmf~U75Ap zp1z@Wt3U}1PWx&`R--DEf@uy`><#n{)u;v~)$AHPrq?Hj>Gk|}1*0j==|Kk-pEApL zgCu-Aa~U0i@W>3ywv5NwaiyO4wLcz7;)PWEhd}b^wDTV3rf7YkkfCbFEz}Zgp4PjUZ zO6u4c8a3)dXOo08y9VE<8zq@hIH|qSiD{S^?bZj_^;x@J=^JXd0hBbb z+cj!5gwlqrMtAy#YBYk9Ms^Jz)091XObDmIpGnE4P^&3}cq@HF z5UI*->$^@MUG8d~#gB**QyxPIEHa9B^vR@a;?A5WaN6X^{xu zPO`(X2;WZ0@xBwkS;NK?TqnhN-xBa?$#CsQ-w>{JN@``|%JKddUXm!c7^K$lb!!Ic z4*G^5(HW+V4oP2A(sUsx!HTb|WEX%sPT}wdr~kUj{Km*V-c_q3;V8fb`^uhJyGqg= zVt0O5t+fQMHBP$nl-HfDV1Tp*dbDM(^bK{6PVP6jI!EJIc{N};g$8pN(mRnd&%t*#{yf$_lE%7+C)PIt zW;ZgdhtfBMHJyxa;;^=GwJ6ck6J`gf*MY$tM&A%jI$d|_Z8U7;+=AE7}`$N6{4CbTs4Z)<=!W}kDFNqo; zvvQD(3`k%_pt}=%17xcx2VCj3EDqTlAoF88;I>M}iWuOjPwoWD-N~r>IDJD@rFO+# zJl{Dh;%-kXVgS?_z@R-z-w-rvSKMtwOK3$5{I{)$fl1HD13ic7KiJ2qPKvdGrlI zr}oPO2)fgr80LxbgHZ2526F*@Lolh;@{kTwU{4J96uD7QZxn<10)0a;sns$X!F0|j zBRpY_fqG*Y%$MjJf=R8Gu~L}#dBPkA^~N!nuh2IHlg{qrIhfu)`K4l?{QYcZvLhu} z#0-W4r+sOLq+%;wP-4Ya*&EEC8Vl|TfYJnp?(6gop*s;uCfev4H6Di2hgpra^bOUZ zro$w&hUM~|45gD+>&Ug|+fR2<&&eNf`^)LOx3ZYjw?20F+asL_+c8Ww2{7{O4K@e)anwwP?Lst6pKT^hVjloJO-aV z#z1VLZwLgPiXS)IWuYGYisvE8J+F8*{E)N9aE+2%$B*KV>`})K!|w^e;R%Lc0ewUG z(aHTuvx{s|;2dycJk{e>4~#$4io{=`nZUa+wMBXENAt(l-Q~PByb_*arU3LFsd>#$Nh{ zYS1ZSwp{~bBX)6PXZU!@5`}IMFkU_nEj`b$*iYXO7IadXV`E{|m&Gk zig|Vogm=<+1^C8m!-ZBW=fxlIDM<4Hh4~DrHfgO59u4Ku>?w%m^Cb#Errshtj1CLhH5N> zl4W)co@NszQIec2OyrLsSpJsf0CzcS^Aq}p+FSu8E6g^ne!T{zudy1R(Kl3MC6uf* zYgqkS1*NN4jsMa&RAV)ithQ>PDQuEN7Vs1{DH-)cr|8!i7GKgggvA;tSz}>w*%-!j zieAfV9HVcj#yTijXVq}vEsj)k;@w9$im{@aBw5Z`ii#Qt>lwb^&^Lr{9+c!+_?kVW zlXgC<@g03bH8w!W2CD|{VMKXCh`6JR5#=%Ar_Mg!C;XC=<4I1P7OY{Ufq^-O8WbB@ zhkl@Ms6(5eWE1O9tQw%2K)qlL%=sf$z}xnR~PU{ zoWPl8p$5zr2K6`khM;bRlC35Wz*Nwa7ePO%RZ+ky{XySQrEO5M4WR;&w2ppGbvhu5 zeq;lu=EZgf{BQb(fbW2k9SHb8F5uI64I0z(GnU4St0}K4QEG9=iu<<~PxmP+6hHjH zqDSKymwM(YANb$)f9C%p5KXC*(mJJGAewzCCzKNjL~};wOwD;L5G`K5c>Usy0#Q{Pmc>AT?hQt7p&^8(Q_^~szs`ns9G`*tu><7*b!*qH7l_v1U;pF!pTYA~{mTt}foOx44LUdI2G27MeGO9s(T49d z{G#EpK(x{BM(;QJFc7_V?zJnfT?Nmduf1^XKY?iDI*nU52KqN1)A-58&%kq62*zeHthq?nN1fpT?)@%o2kuG z0?}rTo88px=0LP<^R}JZ0zKOfY&*K`xInbsi|y95TOWwFzp?$T?Qe(YEA7{}2Y5H_ zyXojnp9Z2Gigl>mp;{o?r9zhmU9Jg4yFT0X<*tk1`Bm3nyZ#=CcDt$DZQc68^X+b* zbpyHS-nM)9?!5xh9=G(kqsIVvPU|tJ$NWIF|Cjww_x~*r9q{>p69a(W1CI~jXR>X}h90@2aMM^_nL9iF$39x-|(Jo84s zIeK3pIwoaI&Y0rx>^Wx8n4$1oHD=qGo$$OgHZ&IGb?hx;?-&d1k6k);)7UNW{AKLL zu^><5>WphW4(K{=+PFF60IzYMj5|K=L?Al8()eq}H-TrT@%_hxe2!l@e)agZ@ceoF zh4H|T3DF7VCtMBBE)(vWFfb6EGIGk)DKH+M{e61MbfEi8|IF-}AU`wjpZUnlN8$P6 z%r!HCt~2+}{AlJUf#|GvXC0gMZ6G>3Fgtg4X?WI~-F9|+c-}hu-q|DIxqkK=v-bv~ z&!;|L^m*X#^HZLG?)f=^=$xE6SI?;e&xLbV&jEbqyfx>GIUqN42hJTo_hEScIxlq| z$o0It=8c&*J`kPXYyPnLFb?LwKmUjM#{9Wr66Dj3p(P0RNUWUeaj^)L)XnwjDiaP!LKHORXLo)6|dnFr%B|6u-C`QHSh z8;WnJv7t6R&u+}z7z{)=uHLwN;~sck-juxw#ZQaTMunLwiV>5Kq<&6C=Smy1-%Mxh3B^ge-&H^M7K5C)?r&Gcn;q7@U|)N zd|})AZ7{C4{kc7BdpHo?US)gp?XBRsZu{QtK(FmzZ$G#Fd?31G@{Tz>=EF08$AKL{ z_Z{EuIKKnw{gu z-(A1%PW?aaodsYNSKEc}og|xVHnNf35ZrZMAJ zmO{N>sq>waWWz9-ZCOe}67K(hJ$L4ryYI~0d){|ucW1*n%R=CEo%o`Fe}7S8U#r``iCrwlE{R(>0UYu;Pz@`$gqXFiMGtQMMsh8K_0LEm>+mx-ErGv zMV1GB+^%Df_T|9z6WNku2Y2m6+a_`(*G^nJqI;5cC%RdYULsd=o{#>Vl6^w^CH+V}^aJ_#(V8b7f7qj?AF)(%Fo~C!Zx?vF z_xqpgtwyRjAimjS(ej_dcgQSShw(ogCdySYQ8u-Vo8Drn>Y#iQ#*c#+@3!)yd}#{}VxfzF`?OkAPK`sTAI4OBfd77_jS^K;>*(r7I8`6y zKa8?1bKFH|wy2((M_E78ss2F!VYCz8kwl4_seQEdBc3J>_8&$)!Q&G}bXpj7{m7@8 z1OA86Pw;$mQ75g8zJ3PM)IsZS?6Qe^X=%LmW1i*?+;BsfQ#_H@hF(AXY4YF`-x1z+ zeXH@2XqXmfK|d2|_5|)OM2*wxJm}{lO`pWw1xhv+P1Ev}=%*#kpUB-)Bif1PX?<$+ z(~~Yv=I(0NGCjFT5mQ`S_pO;1y%pSE;&Vtg7g;UOYC zM-=}xj8A*fKD|w#eiGB=$@wNsWI!Iib;3kqfnP-K5gpUxTHb7rshd!Rvx)BMeQNd7`$(Lu z>%+?UD=x3K*+kDrVV3nX{m7iK>qa?#wgbBJiY#-8-jB+J>nHt@I%zjI*4ope@1r#L z`uTt4PF!<+9hFV=f7B*jKk<*`$$Q1Up^kq_u#On`D9*p$1CQ(g=zXwF41QF7p!dQf zJqUU~ye@`5%6`y$;*lQ+y)SNv;g7m6^xjZ|f!{y5ZYJd=F;bZ${`X+6-*%}1N%(;r zib$+)@UFPe{p*R*$|rYzH|X+;8Wi1+DP5m8Cs?ME7^^IE$1?hBkQx}BZ8$JVtTRqo z=Wgrh?V|?AU>{QpO;8p}Y9YOi)Bu^-$izw&#Uy1Vb1UiXqz1{2oj6EwZ^#qxrKc!A zx%ZRaQ);08!BeKCYMQdvKU+(0FEv>IVy~p7YliaIzxzw?F*RWK;4za@R#(haR=YQ= z>FuTl?H=vML9_4^PW;J3C>~f3XdL%ZXH_v<8SmbWr#GJ(I1kLnfzyA)-crm}zVpm? zdhe;h^U!<$PypvEBYJK`y&2U2-iH|t1<~?{Sg3q>e?HWEQ4QjKdXaiY98&VDAe=uZ1k#zlWB3Vc*RlfXhzSMhD4d#FJrqQ39#d77(|L#w{N7aBn0FQD& zT~D1P{OdLK#Y$z>2V+&eUDcpIAiHu1x1J!0G00A0oCZx2KHT^^RAAoidtAS5J=X2oi z{==P#e@c6|N`RD20DTgu!B62N;NaWnc>Hta@wfU&1b&aH{{!Uyjm2J-6)B$;`pi%h z@DMVC6X1FyocQIw*Uw_V%7%xN4f>2wlkku-f|C&c&_FDIo2U7RgDM{$UOwn^LQTX& z%n44!-ES&zN5urmC=ROxdAJFpPZBj54>?KxAyJO1M0xm$qE8kzA*mo)+$Ky`aa<)# z>PQ%U(x^#EB}wBhajcoeNtHOMCvo)2qb4R5B@ZXYJK^8CN%)Px5^-9kPU=b>efp@$ zNoDC1pF;6pu_XMl(Td`%N}<%3Li#jP6O;qFiuc{PGdnu?-Lp6bEFbz#o zv7&fQrDEDlMSVJ|Nlc^Z$Vt5W+k`h%KBnD#)aRs{$TXaj#=NX2-cos)w)0Y-n`$!C zcy4ks4eu&ziFZ_rru`Jvr>UCIbdaW;P@lX0-Cz7}8vnf86_u^&BU|+ut0py_WGpAu z^k3_)Cf-+xn|=~kpS)^f(^2wrVhwl5(c(juy6G!*_35i7H=U(#{53fK*M*`8Z=n-@ zrkhQCtP(l>C9*!5)dZ)*Wab3>GIQ}4SrZdFpfUfDm?$kiQ8}GHb6TI*YLe4yUgNy* zO|I+tUY`i@nabz%o6oMCj%M)=7$v??lbyaN`>qMse8rb)!qfkR-!p68|OjH!ANRot$^oHdpSe$$!Lh zp9b(w$p83{+NCDGQzhWhD*V&Eju6t_Nr;y4Ns#YYv(bCt8q2do!FI2s7)eHUog))Y!8HqK6#?aS|+2Su% zH&orw*9}+gi2J%j#E9Ftf6^iuUi z)sufp3w|O}FYYV1aneVbMVaNF%))JV4AVo#{q9oz>vzgD_imc6q*YZJWte|6jB9)R z2lh5)8rOiR_TQ5y?mmWp4nXs@`N!Sc^piGKb^d+el)3JVk*o43^Zn^J?^>3{llcRipcKfkXgwU_q(2Wd+yU#W>Usd#=2i4nZ3^S zX_PXSx)45)3!%$oe*CvU@Mj7Q$`8+@WENG%R2g$QsgQ{fuIIgOu45q5u1FvdvMl)8ngueKev1$(vBrwuJIFPUceOO_jF?S<;NLTqRB!O_jK0D{(ZP&z)Pigz7dxMycwi zs++MQJ?M2;g;Qoz6)ssT9F42FZr!3}URB&waeL6k%q*U(z$wG20+;Lsjt0cP(46=d zwXe*t>YS=`W_2k!n5)())2UjQBDIbt*4@+)cqvx!Xh!`_ z-fyyys(Y&LxvNph#a*>enNQWe6s>*6`WGdOsQRbsUvkyCe~Rm>fy#iY2Bvroq%pk{ zKI}{Q(srpVrb?kIh5uBtl5?A@94Zs4a`;fnA7QXTkfo*sg-8jHn9Y zLo0|hss8iAzVdNZ7gb&Sx7wB5+g-I$nNiiohgutHblpv3X;mCmaZK*wcQ5f>1yUJO z708EPAZggUZz9X8I;ra9z0|Rk=;NxD%9N^Brixlg)8nQx{@;42D$A>4sfuMv6vBJm zhpS*JW2%CgY6|9EMYE=?sEVd4n)h1JQmUV;a4K`E!kMZHClf&b4t=Antm>z#pD9%r zJ=u?|hAM-q8k*{AsIiW&l~q+8Rdv*p`j(P?UA0u1RMpZ{SxcD=iJyd4l+{%+RmC(V z3#6y}VnL1jw=88gSyP!+nblJRrF8#9!-}dhS{YUuHl+=CKl{65+RL(zGOaT0{g~)s zyylK^x669UxXQQ>!-V&{&pYOgmQN`2D)Zj2ksj9T?ihHwY^V&Z4E(T+_+R#W$HW6= zV`XAx;{Rf%hxyt&Mvj(Em64T^AEp`q>%Q-pxv^}n%&g4(Uk&xJUw_BY(Xyp7v@-O= zHspiYzuwfXm8q4fAB3q=LySaY<7?-(%Gk=-slk*F>KGEuEk?@r%G}D_56W1nCC(j# zSCt)=!Ii;Ni!mSMaojO^wCt=*u1x+Q&6S#BB^q7UkzJM1mC;j^IUn?~B$^$Mk9JpP zS7v|E21{-6?il{E?5PZ|44>KznjFV-$MoA}Z)JLA`s6TKYK(cu_|dYjGQKi?YBXtb z9n&52FOdC}`IY&T%V?=J?wte}Cri$5M8#To)3RBBwSUj%`_4P~d~%vf7S9GxneEXVFV{_&N)~k!rf$Oi#RG9S zV*z>MZpKQ<8S47-=+#Sgjr4rZUDp_uF#l3tl({@NSGpWCzUjwx2Jid*VtJLZ`Nv&z z7R#CHnxia|E-jx7rSZ;(0nu`{N*t9qDsk@J19y@qO3qcubMFJEOs2+)9&o+uQOT1G zmv)t8={w1E&(O?Q7oYS!*D9BjXMSB5AeBJs0;Im$xepKMpS@`)7pm(}^3*9+|I`1t zx~@ekiSDBgsRT*?334xUtiK*b$;Ik=q-=37wo!e|ed4+%sYFuOB=!F2KX|}9;Wr8i zKT{Yjm#RyXdC5_wSdGiQdcbvgQpxlmO4Gd#w(6I@6Z7u3PRrHxDSc13O6QcBU)MEC zC6u~GskcxMzypT2Qj6qDb*W03Ql>5$>3^JgxvH1&r+HSX#7h4Yu97{s=to)lz=YjTC#6?I9AuSPbHta_Ng~}DeM9L^)E{9 zRo6dt{Ywwml(cpZu4|x5z!a{3Y0W6=c$23<8~!lRM!8>I3X^A~m4nr|{+kC}mqV3= z>T;;w5Hay8Ul;TWwE1kGQUhDiI%2JyhmSK69s~u{FF^d`2Etmqz7` zwB+FQc&x6=qe@0~c~oy1AF>C$eEnj5gLlRKDdsJcF?>tlMn=A^ZAa$O@;LO$gB znAVJ=jyHJM;=$#$>bSkWnVR}o_c`S%J_fFB%eH~5>pw-Qv<2~$>$l@jjT#c zbt9`j>`6r)hbjYHHBen&)Y#sS2VB=qm7J-lZr;yCs@J5y0)P*MZpVLd z@`tFFaj+1!oZ9n32!G)eRuLs^q8Qp5Vwk9hwu2Zhx}zN>Mu>4}7l@H!CE8se&!c@? zjM2P>RkNbCqs@i30NRRZYoKk3wgcM1Xh)%)jdl^*&1iR_JuAj~`3b96CK2P+6)pDS zwGVBqnCP8BSiK91N!}CCE)r9`51_p$rh0#Y_E#~@r<$<(G#Ar-=AvCEX83%K_Al|I z<#A!P)D|->*pFqMm}Pkl?b~9uZ+2nzEiC5vc0}7v%=O)b_JEk@`x)A=#C*Se!s=H_ zEb!}zwx3w&hvV}*Ar|@FK>NK|Y%MCR)=FZDbs*YdVyX2I+6!Wt^(VByisk+_h1I{Q zSm8ev?M$)K|82CNi>GW3VYOuxt88u1_7baY7(d(7VvX%(v>%J718|-J1;yHc)@b{Q zbpglGJ}1@({EGH3u_3UGum;u>8v|#fT`4vNzKHf!u{rQBVGXj2EkTvgHWpih=Ad0G zwgufp`@7g4Tu@kpi;5k=gV2r_JA?P4JtTGoe!5gCV~NYp7Km3dOvJwiJg$F@B*3#F0>pU+7ojXjm>` z4J##%g$+hKRU8j{1?>moM0lXEhKGui;ZLCLBu<5|Lc2$t4!@4}mN@I^C(b!O5mv`F zalz>&tWKwhb(TO|OI&m=M!Q}->wH~27ZD_$kEkoG5e>zQ5l^CBC0>en0qqCkxDJykoX}F<{{6w;>Wz_gf;IQ;-`F4So4L8 zpYvTn`(pz}MoJg78hjg5p-e9%x64Ukh$UdqVtH@GG>xh~EqTEq;IO8}Ub> zKgI8b;gQ1C#h-=8qg^QeD*Q6qkHz0bFxN#2Nm1k{VJ&KrvM9!}Xcnmzor!j}^eTqA zF4j_d7k5gZ;;*3nLs&}$NlS@nv~8qciOJGhVh)zqO8=63gtg=;8BqF&3@npdSj*&> zL1o&a9Uz0ttV6p;hLoKtL(6_3tYtruVdan)j`W5MlwVBsc09;$nwbh@?Xo06|xFzg~w#33R7g}3UA9S6${C%6(gtby8nWIt*w7q4{O54#MmANWmY$|;tb63tMtd+~lsLCVI&Xjp7A4U73%v%{b zSH&jtRrQzotCmLF8SPD3pjv&IzuHK&uL^6mPi4Uxd4#n_N%>eU%xSH~vQVv;(0(Kf z*Ty;3&L@l1#(rz}mPKnXN4rB7tBrH2{i`fq2Y#zlSeB?W0BwvcS!Xxe1M=}YKMHGI zAxqUo?X6o%maf|k?MPXs?q;;dWZAmc(EcXN)ypfa^~%Ze^?IQlD=XB)dDS~AE7m(H zE7m_LE7kv>tX%&$S?P&fvT}nmvP#2`WYvbB$|{WlW#vX?WW`2ZWYs2vWyL0#-zM{9 zwI-*~zACFXD=4hZD#;qn5U<%OS+hCjuX$-%tNB{A2V`{fKZUi0zpUM&JlZODzaY78faU}`Yn;KEw{)gS|XoY{wy1`Ld|SdT{dimn$T*hY}5)hywye7 zxHYaNtry59tsEux!;HHKzS9vULX> zSBKKFO@|?9r^&V*kRu)5knK7mM>>Yf_MKv6htADp`_8y#bY3JocD{u6s_fKdn6P%4 zAvTYq0Fvy{YWhW1;NY<4s}haYc6Tc~ZHWlpHcBMh+SLh8#MiupBa^HQM8H*pNTu@S)4)u%YMW@L^-*h~eMK z;UgmD&=J+;$Pv%U5hH$-Lq_@w>&Rd^dSrF9jpdk;o8;J$w`9zyx^mp8HFEr@@8yKi z)#b#|%Y=3GDmiI1JUaSQIeCmzSjXg+Q^t&xQ^#Oj$Gj@1jYWMO8zQHVMb3_0C})hl zi1uCiWDM$LOiej6W(C?ca#qZ{Xm7~b<7~n@E>g}NR|jnaId2?%J8qI(Fm5T@ZF14L z{|W1OFS&SpNwj6<((%|nev(`^{s`I^xoRT3 zKCzTsI}zTPI90BjcoyyJa{Z)0VV#soZkW_hZk)7FZkjCR=E+Tjb#hC&Wis+<@@Bbp z^4no^hGtthId#0kc zPQ5PoPD9)3eB9)7#18(^tup)8CV4XGF?#Gg`@u zGj_>mXWWp_Jy}3L|Kxc2!jrGd7iZ>?FU=eyU!HkfUYZpsUzycezB+52ygch?`TFc2 zEn;>TEyL{fTIB5iX&L9#(K5|hqh+4+ftF=%CN1mSHd?m1E4A!%ztM8c>n^PG`e-@l z;W*~))^g34TJHJHwW#^qwLJ6x((*3otL0nxf|h@=k5+JLu=d!}roy_kl~!o!bhJyf z!pk~nMV9Rn)@A#(qRZYx`;}H~d0Vac@>hg)`5Rh^<;dR^!CJ``Wzg2s9$&E^?K4`b z6@Lrs$`GyeO4Qnw9kenlk&i21)5<=D@qVh4R_>`iTKT8`)GDmHtW{inO{=u#s8;#u zx3ns2f6}V1tF2XB*Ga3kZkJYl-49x=4S#CU8@Fh+H#O4gY(AjX-O^60x7DK6-`Y%j zV(SL2!L}w^!|j^ZXnP~A>5dq!*^U>r<~z4)Eq1lnTJGAfwc1@nYrXrN)@Dz*)^^Vj zt=*nywf1{6Y9060(mL&XQR}=vgVtq#Kdsw=3tIPscCE+3Z?v9=Hfp^N-O_p=UZV9m zV%Pc}>8ABNazX2V)Ts?P+D99B^o%y>Sg1Dm*fe21HcK0F>>%3n+OXrX+VB&HwGk)Y z*G8QzqK!R0N{czOOB;7~sy5+5eQnZ(?b_s6i#8>8p*A)4Tii()4_tkvN;BU1%qYH zP#Vk*mLo$B{1*b2J+eAjC|It@LSSKFIU~1$g@Z*!E&_9a<<58r%n6n^|3W`JC6m3WAl()dcJ@u!^}VfE5C(kn0v$VX(@%J^?ENRw;KB zSW&R5xr4!qfmO+U9IQB4_1qi5N`O_%{UumQu$sAF1A82-M${m%Qee?hZNN%{)rxur ztPEJ4sAFJd!D>hS0#**JUer~v@?drIBu zRRL?9HzQb8utxc2fmH)*nr|3bb+9J+z5}ZP);!-8u$o}a@<)Kx0&AIHf<=S1$bTNJ zHdyQYJHhIJwJMMWtS(sF0={7Nz}gg<0#+ZaeUbiPPk^;6S`MrMSjVEd!5V^fC^`VF z5m@J^(^`mSWB=T#Y=#- z0_$Bo3s`HgUM1fHYXjD|(5*l=1=V z1UC5b@4z~P4JvgNtP9xCQZIsa1shWOO|WiY!%Lq5>kc-o><+LVU?a;e0qY4iqU_&b zy}(A7{R*r%*r;;M-#%bt%Q1iZf{iIR2dp31xN;-F`h&%kdjo6$*o1PY!3KhjFCPgu z2y9Y$FR;O26U#H-hJZ~e&wLvSHo5#kuwh`+%C7+%4mP!dFW3mM8RdTf8wobOLL0DA zU^6S!02>YVWQ9#&W58xtmhl z*uomjqe);3YK#G!47RvNH?S#Si)!uzn+mqH=2KwPz?MXJ0GkfBJi0d646tRA zRz~wYW`eD#cLi(~*s6N5V6(xVs$URn4%nLd4zRgktDBSon+LYGNlvi&U{5z)54Heo zebd=s3&GYk{RwOl*v6(Gf-MHy(5xfa60pt9>VPc;+tiG;cp2E%W~{}_!L~GS1-1fg zd-G~wE5Ww4=mz!_*v=LWz*d3nXt4rpHQ4SJlfl-2?dtR+*wbKpJADAQ7Hm&9=H)uD z{oR%sQ*_zP?U*ufrOf^7sl&^tTWCa}Z3{lPYa9qP+Ez6I=PU)J%hU`P7*0ow+4 zyni#W?O?}7%m>>6c5=jMu$^EhMm`3%3+(hrC)jSVQzM6f?EyPGvK`o7urnhsg6#u4 zKXNbFez0?+GJqWbiyftb9R#~DsxR0fu#2ObgB=EYX4Dz5BVf;s+6HzM?Ag)0ZXE-A zVHB@h$HAT-&G9<{_R?sM-$}3+M;`+_1$Jrl2C&m$FOOy&KLhsaXx8zwV6Ti}Zk+>r zZ47hkJlN$i9QzAkZ;avC$AY~+<|f!PU~i3i8|)(3n`6s^Jqz~E*eI~)z}_Cq>(TRI zSH|*s^a9wsWA}i)2=@Ni6<{xcy*HM5{xaByW0~idz&?n{1NI8o$1!%WSHV7t=>c{b z?30*AV6TB)oy6<(>tLTv;&u8BuutbPSKkEtVlH#_EwIlQus**H_T>WB=Xb!aEtm%O zF4*-21HrCNQ_958Kg`a_a1oqv+OJE;^eY-dt z*j2C}7F)qS0sDS&G}xzLKP@f>_8HiZiwA;z4)(vrt--zk`+4y*VAsHIE#3q6CD<=Z zSg*bU`)vv9)pf96mn;SQ8tjiH6TohO{k}9m*iEp%mWF|S1NP@qj`O!*x0iC9zXSVw z=~WSs;d@(oDVIJY3TF60RFY!Zc(5PAyq0wV`w2{2?g#cWn9s7CVE+U2UOpD=7ck%D z9l>saSyl`J`xVT(q8Zq4V16sEgZ&O>TX6~O4>13grNI6K3tX8I>@To@mD|Do1`A#} z7wk4z&{GpdK%@W*d8(Tz7%9Q*PrU-BfrUMF0L%+4bX8_BZ!pIy0pVoX0t*1kvVH(qAXw)0IFHC6ux#sb z9+AOdSvO0c8~+5$2v%_8Rj^E81vYD7nZXKex(1d7?6J)y!LouC*_;6^ z8(87ZZ-ZqAE4KLrSPrnFTQHZAIl)S7##~0`0xQ0Su&Uer!HR)Z*$xjy76+@o9Uh7-0ak6tYOs=EHFrz|dmOCBj<3N=fkp3l z1*|k!t)0(-l>w`>b0=6?u-d!Eft3TRx2rQ)d9b>>UIVKD_QbBkU=_jY@9qXx39RAn z+F+Hz8tg`XL{%B&eg%1>{$d>1FZR;5nwgJn(es;Rtv1< zo)^KQ!CLGs2UZ)b_1>&tb--Hf>j735tnI$KVD-S-?BluB2W!8N=k^3xyZvRr8h~}& zpBbznScm;{z#4&d-aiPeF<7SqpMo_3>w4f>u%=*L4i*J#2G;#xI9PMAZifQFT7dOD z_ybr=upWnE!CHa!KC~6AHCV615nye=`X2fNtSwld!-v4yf%QMU0<1k)za!j72e5%h zxQ~ut1CC4u>jXCVNH4I?V1thE__}}%J;LMb3O3{@>Oo{Tu;E8h4H9Qy>UAK18K7s2|2#T*X<8vr)p z*e$SuVB?S11RDf4>3Dvy!C(`Qe+D)LY|8QHz=ncNK9K=z7}&Jqe}WAMn|h)x*a)y0 zCn|%D1e<>153o^SGf#XBHX7{7lMTVffXzNx0&FbUtdo<#V!-B}><%^#Y|hDpVB^8& zpIi<$0c_r>V6cf`3s3$8HVJIOsj6U;!4{v20-FN1=oEcF6>RA#`hFVNl2gBdO$S?k z>O-&@V9QRg0DBT_<>?r(nP4kUGgoJUtvbzIoelQXY1W)MU~5jZ=FA0KeWn%IJg~KA zDuB%gd-}}FU<<(3pV*uL`{z&3y#JU<<5BiMlpL%}wI9lp>KY%|!Q3zxyRfE~SX z2y83ZkyzHNZD7Y^S+BN(9gC$8c7UCXr4M$3orpaKwhQcZ>}s&xV5fw5CfriSQWl?Q zMEbqv_qxzB4#c;AwS^b{AKwBNDEQbh`=7@mkM6^o#_tD(MM&sieg-14$M^ETj#u#i z{QbYi61V&N--tYhR}95+pcgvWvB~a#L)h(+Rxhi!yB(b{?r4a9M>b#Aj%g$I6h$(UV zamIJDxhjw~u9C{QN@~^U>G&!d65}@Vo5sjfJ&GLahcEWyF9gP8e%ly1eiH8Wm_x(e zMt;{AIeygdIdT@ak>4{$j<57dBFFhsKhE)<49(>>@(0Gq@umHqBNuiX`6FZG_*#C? zkxRIZeAO5^zIfkrs`%asBY$m-{E=soXS$7i(-`?<&mu2!8~Iyf7M1`A=iy z$2^K0KF{qq|80ysz_Z9J+(phPjFAU=6xmVI-DqUgjFD%17P*Go$lk`t^E`{(#O-KU zjFFdm7P+0<$bQDi%RGzR*KK5fW8{^dMPB1Ja)2>%{QIFK*KRj=jE-$?BL^8H$G-u( z=g7O=Mz$Lx$G_vb=g0@#Mh-Pbj(>}D&ykP1jT~-_9RHr?o+F=f8`)`$?AiUZo#&mW8{mT`T141kuw`3KkHfKH{C|gYK-jW{@GooTyYyYyD_qx`)7BN zKXn^9r!n#io{h#0w~=!jBfscb2b86&^qS!B2Gjx!cEMt;?^$dPW3xu`MnWzQn#aT~d~A+i?XS>z&aBbPKr&fr<( zl5QiHGDeQ{EOKSHk;@n(XY?#`ZMTuj86#)%EOKMFkt-M@XZ9>|3%8Lg86#)$EOJ}7 zk*gRZXZ0*{C%2KS86#)&EOK|Zk!u(uXZI}fAh(fg86)TLEb?f#k!u?x=kzS{6t|J< z8YAcOEb<(;k?R{H=k_e}Qn!&C7$Zk{7Wrv+pXFw3WQ?50v&iqceb?5+7`dotkw0}C zxtTF?G0!4jcN@8dF>-OwB7f^Paw}ux5}rl=*=^)D#>gc-iX2hEtvA{kBUke*atXJ` z+`$;Rx@VEsxsBY(7&-o%Q@6|vcErYM9R6hT`QZE>_E&_0g%0+CCLvZ5S*WiT9T8rl(PZP@OQ|7>_DAmd0O z!mo;7!kexXD00zIM<}#DwBR|P5SLL>9^OU-zk%R=S=#2 zXwvT!lYZZu^!wSQ-|eLRg1ijM#6!}?mo`bOQl zpF9(MDEP3;6Ig;zz|NVlXHD44ChYa#HxlE$kr3~S3H#WDePP1>PRi^7N%w1yH0hV$ zgq1a6bxh**Fkz#Nul2heGCX9Y>wK{km!lyQOxVnjS&98-CG=Zk!nTHNOYFBTq2F#3 zh977r>37tGoibtPP4@e&346)pwQrd8yJFJs6O(?|O#0n4>Gy*P`#mWOl_o48X`Y4J z4S9w-8X95JFOx~X?8bh0Z5|W$n8~peGwD~#z$~G)LhFRqO3Zm4TXU0G?G3L(JrC_{ za=blE`t>pCH_)WtP?LV6O!~!`^qXSRZ-z;~IVSxUnDkp}(r=|nzo$+5ZAjWLtYFf9 zVMR>()i&u@-=tp?lYT9X{p6Xjj!ElCSWgo+z=RDqVKF9biV2%(!WNjYWhQLB3EOF8 zewV`zCe7!t*rfL&Vb7becTAW`eF(c@vXAeRvT#edud5znDeitJ+-95)zsuplCjG)p z`bC)ZGpP^ZIZXORnZ%1un$zJ6lX@t8smW_i?t#Kh?t#KLn~ckLljGZMvXA{H{SKS- zJ8shNv`N47CjBlN`^hummyFj`us2QEdnW9v3A<*(Zkn(kjef>{e>Gu$CuI(wr12a9 zCM?v1WiW}C%Y+p(GQZ1?(n)j15pBX6CH0!4c~Y-AS{vsB`{-!Wud7MF-X{APVDj1_ zCa;~5)TfR)Ch-=Uuw^D}l?hvCJQkeW7L$HS-Z!A%K9koTHen}B*jW?yj0t zCa(=L=@)9!FUdU}_L14-wb>1?#XXoa_ucnk&ip2?D`a>b?$w;djs3t%8yU{4yvb{; zne?k=(yyLLzlJ9Lni>1ySX!H~4koOt3F~EKIFEiN`yFc1Z=^}T7?XYzP5MnY={L)y z-+YsPi%t5iFzL72q~Chu`!<~KW@qAOCRmDlf9E{zJmI?6z*5}3hVzU`zYmkvX6F|s z?4}9(!GzsP$|8J{US}grawwuu(sPR_mh_k-N}23qqY2w)Wb#bJb>lS)>^l>7E9rGH z;x9eR*hl>A_m3;Zzflfdr- ze-6AIgI^E668v%S7r}qq1MHFZ{Pwc;I`$s+ zQFz}zA!KIAnvks_yF(6y91S@Yaz5nQke5Q<2)PpSNyxR3n;}1h{2nSp148Yg5uuqv zvxnvheJr$CXeqo~YaZG@v~y^W&_1C9Lx+Zr3XKV!5;`MvPUwQrrJ*ZBpAOv+Rxqqc zSnaU-VNJqXgmnz-88#qncvwu>l(3m$3&NI#tqF!mfqg2>Tv)uQuG7 zhJ{CjXTlw2RCsjw!tkZxtHRfYZw}uczB_z>_~G#5;itpThhGf86#i!Td*N5ZuZ7(c3Y=F~l*$F~_mcvCOf`vCgr@ zvD2~7aoBOfan|vS;|0eh$Lo%F93MEYI=*mRcYN#k(Q(W1hf_FxoK|O$Gt?R3%WT!M+xD)C589$z*@F0 z(t(G>WMBhJV;R_(t9Y_Y2DapCTdv}9EE(8|tJAoOXQ*UgFWXqjpO?tM$=G0@f(=f5 zp(X88*)J+!kL1&GGO!ev@N}CDD32~-cy3b$R6v)2++4*2qB5WiS1WTB&tA%aDqQUx zP(uc^p$w(;qpYG#gZO{x|CQt~s-(@wW|92KleAT~1xr4*FKt0w!cPOF?I?os5p!wV zgTuAqheXnL2;psWxB*YKOWPQ3z)zW^Z6-F@PvUU-F}SpE2{J4eHb#}TC6j(+wm>_XQ$@gRY;XD2H}R+0>l07zR0hAPSp6}h1X zH{ct5X|IAM`x9Kn*Y48ZnM?SZT-w`nLm#fO8LEt5s>A&(%d-#rL7ozL=}a5Xy-!F{P~&<1M$ZkoHyf)zbbn zmp0Ie__|QqH*yJI?@9YkynndRev00U?9cG)ksQC zuGVCiHk7W|M_@NB*;;b7JEaGuCuKfmIfjH!tjfRzEG_u;o(x=qod<5^20U;o1J`o{ zer+cMH*rHY4$ft4<%8QY;2j?6dtAlS)-vEjb_wILWahEh+4+B14cN_*#DniLfF=yX zgX+?MmSw+?*)7|J%t6UX$z{1DWE7Y3Q1VjpQSws?aBD#>J;tR%Tq;Z{LMciqMk!7y zK`F_8k5fufN|Q;eQ;5GHQsdI!l;f1Q$;w!M5waYmJf#AqBBc_gGNlToD&tk7RHxLS z)TGp+L{n;0>acTNN6sM)Ukd1kKO(;z%%_z+&Ef}gLms(L;Q`%74 zQrc14LqugtRjziRbmYrAaj7%Jf0Ct|kX^XimC}pSjnbXcgVK}Io6?8Um(q{YpE7{) z22uubbueWJWhiABWjMEv;L=FSD9UKc7|K{m47-fu(s;@Q%0$W}ZkSA&!qusiX@$IO3G7|Rg~52yoT~L zWi4eLWj$pBWg}%1J8!0Jp=_mWqim<_pzNgVf>_FNes}X^_K@vmfPGxrPdPw2NI66~ zOgTdFq9^eed4)X6)nk<75K)awC%9CDQk|=jT)IUoZ=(D`*+>~=Z6-uRYm^WpDStww zKgDV-Cd72I4wMm;Sjt>V7fKJxGn7#b^*xuya;ZO;Vkncj+KWpAxYV6X-6%sTJt@a1 zW3W})*yRZ2EafnzBexEu+=fU$iVww?Vu6TZl#7(ne8maMI7$<;t`O<$@(vST0(g6~2E^{-pdx`P&zNsY+nUPf|3B7sZ?6!>txB`EtpROIC_M#YPFB z1X6-1!R%+Jgiu1s!u-O8pM&D0L{KtNA}JXunJAh0iY%0@lx&pjlpK_tlw6eD>>NeO zL&;0YN6AkqKq*Lhj5{wxDNHFsDM~5ED8;!{f>M(5IHeS&G^GrsETtSfm*-LiN<~T~ zN_9$QN)<{~N;OIiN=-^FN;IW5r4B>YrPSkUeaaJ*29$=BM%>z%OHC+EDa|O&DJ>{1 z*`*biT2tCk+EUtaLwiaGu6Cq!;%a9ub>Wb7`aQ5I8{P?kc(i^v7(KvqaQC7cpQ7R;p}N+4GQ zC?Q-8#gb*cWdp?jJt4~bEfeA^Y!H_zA5s2C`I>Tr@-5|EyiB}D`Gu=DDc?}uC;O1{ z9am3s=_kq?ls73aP(G$yrF=p8jGe!vT;l3=%Bz&0$zG>iqr64=k@61Zd3HHP`GInj z>27ge+%h*u3t9ZCaAV@fkhOG+C`M@kn;cZe3orCyZ2lmV2%lwp*S zlrfZXl*yE75Y5S@Cn>Wj^C$}`%P1=;t0`+K8z`G0S|%=SqwJ*Yq3ov|q8z21pq!?h zqr^hAtXz7Q@&e^$%Bz&uDQ{8UrMyr1i1GU?<6waG>3}q5!I)xJ@zlCTexjK)+ zDf3=JSx#9^;gorAqHL$^qHvD1(p)-1q2avGP|j1Hp*%<7%y_>>d7E+tqLt$kC&l|S z%2$*d6dsE=XTked${!FPLGglU6}iN*_u<(4*eMQ5MhZvVCpU$|?!!U!;gD!mxKxT# zmcnuNsZOa);lTPdp|qrMIDI-pw74!kxZ0O8fHIUaibC`IOr%Vs%%aSPXtlV+@$*?p zd7843vW>Eva)5G}a*RS}YjwDEfpU@ZJmnJQGUW}*yOa+pS1F%CwEA4SM!8P8N%@ZQ zGvyZLcgkN73k_`XfoKi6#F4ZFQaF;9Fp85BNy$v%m|8fdmb?(jnb9~_mI9PQTy0Ki zMQKl=|1|nf>qX(vX&gFjFhu^!rD2qjlrfZXl*ts1q{fld=28|=mO>+qHs*L z^%Qzi+e+C%*-hC;IY^;%G`dqePB}$6OW`ck`LUK(<1^BPf z_^GWPzggptYW)2gKUL?+w`lxPjo+^EQ`(z`n|+EGvP2L`Dhk8Av8 zjo+`?>1gompVs)x8vmTe@7V6ikJ$DvqTbX_Rd2i+^-gR2QH_7s=98bPU7nxwn*CA5 z=YC$&<)887OS-(`v;0F{{uQ45V~szm@jv=1E{KMjGPzMf3h0i3%4m!MsQ9Un$Cny^ zRO4^b_^GfbzeVGZYW#ZU(}3kt6|SSvMvXtJ@n50YNmY9Go0(tH4m+cY-w>DZe?RjH zxVaRjs}0;}i!QJDEZ@$2_9yE3b6B%8s`#p-qdm;${)u`19MkP9KHGmmz&-|0R zeZ^<{XPM9bBs_nHn9t*-_&i=0H9M&!$+MkHnw?R_R}&+8P2;DeXaAOFXH@ao&K-@P zs`BhlYy44-e^=wDsy+F6=Bsv%Dn9$Oq|4WM@+FNws_`Fcc2b)>{~zn}iqHOk^i^5` zM^rAbBdP)lM^xt95jC11V5!L2aXk)T*^yK6IdU?8i#Nk^qa0Bw0!8bY&p`AdXw;6Z z3|z%$K-iI0@j0?G-;S&-uOch+?a0dVDuOa!i>x^RM(xPT z_EiLB`^U6)jVeC(+Y1^$aBH5EU)qH zh|c&?k(>RrBRb0~KHG63Iu$IZR76(=u?lYjEOVq+hGKT4rwW!i(lg(V^oq}sp80m9 zXL%LTnQupWme=@pq-S{*(OKS(^enIO?MTn^Dx$Nz9qCzK<7<(AS&Q^BJJPefw*tiM zNYD1Yh#u1-{jwJ6V|Juxc@@#wA3M^seT{ENdY1PhdQ6M-%cC0Kj`S?AB0Af*BR$*K z_*$f2)*^k(j`S?=tpG7Q(zAUpqQ~q=PbyM9 zbjF(<=@p+NJ@f5I&+;mwGhd7J%UYz5*^!?8_ab`Cj`VC_@!5_O>A3>6N9F=M(zAlb zcOpG?1n-@>BP2%x?jT+PXloR6RsbchB0bC7D*)S9e6H7C0oWfe(#NzFV43&UEU&Eq z%i0PM(^i0G-dnT0wgN2k-kSOL3c&t&D?`j)0oWh40=l3~u(zj=*((6|j~D4<+6u5ds`2#|K-79GKwMh^#F*l%NE6pq08y*<^vt(c0IFDw zDZXkz++G0`zg9(h=4&f}81q(uxV8d_S})SawG}{&X?%MHVEf*lKCZ0*V$53s;@S!z zYQ0Dw*H!>Art!5EK-79GKwMh^#F)m{Rsd1!Mf$kC0#JYuV~Vc=LEK&e*ne-YAJYJ$>9sR$#)5w2J6z!p9%z^4{J({-VZDdl5bUg!vRW zL{ahCPRQG;iL?sbgwKk2B-9iaQ@i7vn_4R~9hu4yqGc$2>WmPPK`|Ku|9PE1=<$bj z{&|l-!Tg3wvNKHl%%p&w1?F$fN5H>8{HEPIz<){SV^ihut0KxDmLK={J9YkLkKfAt zhCP+Af0g)|$!E!bUH-Z!Kg@h??@i)!dncG*^*s4GLHt}(EBUYUvmXB?-A>N4Qx(UOxJ^u5|XMg61&;AVS{QDk%LbtQv*;&x}1)Cq{*%pD z3lr^A_qQLuI=nLyjwTZw;#Tj2sAwpPO#j~Kh3$``mEnq!s>ZQ%O(9y{=hvZu8 zcG&Mcm&xTCDrVvxqxTv+A6&1y1bg)rcQQ>KsgeF8JK_zG_Cjy5>ZNC!DxaH~x-zt{ z?M72+rMGe4!5h6ZbN!IJ(0>ea4QIoliU*YqnL|6$iFj51a7Rrjc0RqOByV+1g&T5P zMs9VjzBHQZSl$$`h=#+X{h9vV;mgb0T5oj~E>ypAw)%GGqq*99+ZGynmj+Kgw>y{H zor+iO>FOAdhNIiY;a79TrbtKb(Dm+n6T8UIJr#EXIe$wc-~ z-rQSSTNv#r%+;Md+qSu9_58WR+ZL{OhFXuxtA}><+>IVDooU>i%z*)t^) zO-(m@>ME;N_k{cVFGkOI=5kF3A_CW<;+d86+lOWk-RPc~7%OebWxAF!+&`B#ry2(D z=jy{%@q>4(w|CE^4m8Z&&+Q)wZLaKCz9JH{)pB<6TKCdmUCVCZh1zqt<7#DP^!|=V zr$u-mdwe?DKhQrBJ+YaRq!sOv<^I+i={s}jmWM6y@BF#awyIn03xnH_on5H!yf+)! za^}$X%4GDFJ06{*I8pU{T~n-c=FF34&mOwn{_xb39czagx@Tsqhh`UVwCBf1FSsEG&Bu}Xg1jtzR@{-Vr=?qq5kkvzPe>i-t1VI+dbNmy3v2JvSLp>m+K5Q zbTnQoTuXPJIQRHS)vY7w&#ox?vn%?{5XP&k;!gZPNBmaL^i}AM-t_eLkzRfJ!R(Xs z`&xG$zH{dEi=+>~^N!!wTQiA;*(;Ctw%+c&lTEbTUAUG`pQxVhu7;nt9Y43prZDEq zi9|~e*&BYcW83Vt^a9nFn(*p-M%8zC<$T@aBg4b()7KB3Y?^H9t$0NuI*8==Ot>T0 z-F6%EaAbaMzM*>_<2qRI#&yeJUE`XhdTs!BJ38;=BgY;}8kd`m&bZV!g=s#Ad#Z1@ zFCL6H#5ZByma6mDfcsZ&jW--^&s5x-sGh!BbE6aUWua&EnNT&)7ufB;b*50?hxWhJ zHaN@|xrqE=qgTHIx*4&weoqcx(ugEits@~R4?x&^$O_}(@;7Iesq3ehb zb)_|m6Rf|*(|=y!cXD~}aP8#-SEj*iZH^Q-3i2;yJE^Y>1iJ6vCNt1C}9v&#u*(kmZ2gE&>WI6%qRyCfG$jQ z7Ehcb15KWR3D>}01iIPt=N?De)PyQ++XO=~Cy-^6%f3Li9TTGd@Pq5=$92QEo+sdU zxg9F1+`xG1%Hi2oOz87RD$cfL+b1VxsQzZJey;&_v|ycxaJ^gXdTD}(8$0iunrXha zP~UZL4jYmSH`*7nG!e(W0I{c|c})TE$-p)QIBZxRM-J@(z`N~*2?3_5vO9kQ3s=>R zj@1F(&Lz*zvt;MSvHW19xqd+fY}i9TG<*G!sh|PfJ0C@VPLGeY0Pwvl*>jIiFW%}v zAY%Xbd-9{}$RDY>-LrE3NpkkkGw}1O=ckBKpgw=K8h|*nxAEG-wa%6N@ht#gXFl)9 zXSD@4O7bgfBaP{WZ1pWH1U-`oJPN2h5nH*xX(I4=7qY!u&Me+a&!0#?-d1&^eRW{h z<15t89?x$c@7~9=BMse4XAarz+gqBo1J4mJ094a>&n!0fOkF?T*u7Zay);n!xNfBJ z*qyn^F%G<6;`z(%3;O%)wyN75cNQYk+p1Myau=?;jpWat+wyRP0(*@uPYa2$KiEF} zp$RzSO~8(R3Op0XOW2rTBk*Jj8;rrFQC*?z>pK&yfNVMrGU8T1w5hr${lGz zVC^f>2BM8{a>DDETm_ALGTMH#eX_s{KLs{>fkt2!Q7^FF=D>8F&J9f0Ivx(x zHt(arG4GXgdns_CJYRQg8^#y*pZDxzfpY`r?dhw-w7|`3@V!Z1|zlLZZHlCEim7nUR%754Oq(AfY8FDmp5Xg-h~bCfrgy70q_EMK`)IU*EfOKGICsH58Rh6;iiL^ipydoSQoUI^&4h9yIUbtKHSLJZr*@`7{R7?66SW#-1HLu>0WdrroByE6tKWCA=3Js9r0l%%^u0^Xoter5nSq9d@3q~bnmx^D4&7)!nZbFs?RwYh ziR$EX?sfl$MP(i?2)u9+ac<;+53&&-K_xv%DS z*W~plJGSO3I+rHuu}Hziph$7FEfR{{s~mK%YX(IE`=GV?oqdyYb+W1ddf)7c5v(t_JDb9LQna6W znBUuctyM&5-}2zXMAz#1P(oneQ^I~{+os!{GZWa?;NTv@{)9I0Q!8UFSBGx_XHV`& z_Xz(69P9s`n~`{b^^M-u6N#a-Z8tg#Sm)PT8dIdd#`_5DYjz#OK^^NI{2lA>811+@ zP>4?5Z))0oBniA+P2CcS@gwtBYi@T;Q6i>kvODr(l@onmQg zL;(J#Li_an9QnDuMEjc10W-fTJPbSa=@;kfuw(IMB<=p zb)l|tR`qA3$;=NWI^2EewJv*q*@uIj%$WHVn=@fL@Y~}Txvua)``Fg)Jx$>bHSUGw zvBtA)4Sh4=F8_7L#!mqU=;2$*a8*?Be6KoH$9DVn7p`>{GL>vs?N@Pa+f@hN7OE$s z?3U&tw11|3%fZ=0nK=26{b$GOc~0=nOoS2{h0ApF?82_jJLA1_)PG&ESyWJarp^pw ze|(~@w5`d19Yv;#?4E9I=$kyTx3rDrdEYhOTd_H($^k*ib@N#Vd?V26KIs$y6omYl2Z}(M} zwk_4GIQ2lqJ&qrt17>~`;R%V`mDzqfoddYE)dF=vX{od+Z_b*c3j> z^Dt{Cd@v7|)ja5ZeD%=w-n-{v7xh%T_3R!}INs@w|4GE@F3d~xH^!L~Ce`RKnh%Ws zXRo$3bkliDwdYyYp5A*%$j%NUp*g4g2EH*r+jex$oJZn)p`lOB3&Qd6lY@5`C%W!V zc>Qzb!mZwW7wrB~xVzT-N~(`>w`2d3@(1Z&_)|DHM7X*)5t;K}hZ4LlT`6_9-8@{d z@%><81m_p@JKN=X#&&r=W-4i&@x@V!({+^%t(61)1NV}B%}1L=0*Npc2PqMDw+#v9 z>&FRySSQr_gmsM9iMjDes@&equBPzwYFs8SAigi%>MLBR+fpxp-{B|8wbmQ$FJ`Zl za08(i>*=6M*s66{L=?^xKG441J4l}Aces`1RDWQ;Ze^UReROMv^@f0(ao{rNt!Io| ztQT}{77=%y)A_&|wU-l_Bqs3G1}x^dOsFQ`Nk z__Kvtj#8mk;ZK!w}8XFjrGGw#D^zTT$s*Au%DVpz%xb8xU9*5dQ|d3)g^$U8SqY zm#l=l{MXq3rPRJ7F%&~$+!;S?Wk;ER8~K~ri!%{XtNa}qI@5Zib9T6{@eIZ%$^P`+yNUUg<9biG-Rz#phZ3Vaf9_u$ zo;Zy45%J}us{abdMb(~at_SkFkQc-HzLWjs1iOkuc0VB@-)i@hM0`a5EuY_cU^RdG z#l7giYx(|X_qPqVuekhvk6)ws*STL|X90FGK5E|E`wGMhyC1dqYxkF04_vteEH`^k ztp_|0Ieuau@jP_amqQuBIPdZJ z@qX=i%UO)S;}7p+Zn*w<`x^F#_C4D&PP-5%u@B|(7{LwA?VS&u@tBRwW4;`t`J={X z;yC$z82erSwX5%}Keqh+-p2Yx+Q;s6#z_ip?~eVO2E|rdhlg(UEiR;+>Ba$_`zRkB zI;hs;o(DIv-@v(T<;)Q}_w>A&sl@&U>)^zd`PmwrM;v~5nAiRLM-HFGdgj={KI)P3 z8~bpc2a)AvHE%GV6%TlSYpPPTZ>Jlwi-S*gsPh%&PwBiAzO4LI`GLUsN2q;XZ42_|d|sEdk977$ z4z79MUm7^la%KVh+Z_#$uU=prMQe8Tro%@&)(-8$4aw^HGgUkLR&XPq&QT@0#tlZg z@l~Hu=aC&(fiuo4A@1@xs~cO}asxfI-p^p4cyAE>GpWXVWo>Z+H%KEn#$WH_Zn{r{ z^MuM1Q@(k=p=UM!<8qs>UTcBFAj_~Mi~PxUM0`$9YX*G=Ij#vz>p znz$XbZfWz#*?+q8s2&emsQqo`lxlZi-zz&9@A{2R756gSFZMnQ=T-kT&fg)LCp-4z z##m?irzdotzch@tVKU8^(n%8^|+rj$odKNy)?ZtZe z5bG_)W81H1aSm*td1U+LTq9oe?^ZZ%8y4~Ck!FP(%o840S{H}a{@j0!_|5H8xXEXG zTd@9ef3*UCl#i-X=Mv!Hwl_XFmtee(DZB$OtC>nsRPjsUQJp7g-KpjIPkPU;joh-w zgYEPBP)qqRv59f2_FbnbkEYH!I9ICt_x1J?;z2!~b7r6PomF{&k(SwNItOyUsl0@| z(Nmyv)FF{j{VUb|IIrFu*IS1ht#>D||5AAZ*2j(CW3yOa7%wb0vv{i)@j|ViwtqcK zvvm{?=p6Ql`|%v|PB>TRz;6|CRqxQwPC6f}`<>{2_k27w(K$It=Og>PtgexdQ}f~+ z@`-95t8;M(=QlfVu$gWg)BP^QTgHuTpU%&rXW0LhL8Xs#HsT78r*j^0;y308=Gh*f zJjFxRzAfDUy?HvvONs~RN9;oq%!l26$F9O#Q}~oUA8WTzUWCt`x5Q?j9X_98UtP&~ zr~8bNQSLX214uF3{_E?ND4UlUXIqZ*lZ^`hww%2`>3>n}YkYFI405Mg&Yo}Tyfdrz zKinR*f1-Kf&I`4#Nvm_OhTEx^RR7d)d!79d?bFzfzE2aH9@%N~Ut?VD{1)~*$oqA; z>qTSNJ?vk&zj!?!3wJoMFb+zq zkqp}(xrB2K>)YqUZMNU``HS|ODlVvb>+B2c{bs!FT*YbZKad|D~FjNd}p}Jf9>w)PrrEOkhjlYbn-_7yIQt!{s`xKI+s`7KU1}} zZzY#H*o|{J?Vrg1Q|GG@-v=m;;+#%;S* zDDF>)7{%@6uHKGtQ^V!z?a=QJ*>RoDEgaWTp2mHOru#dOl3&Z2%e~7@*au=irq(0g ze;mX*IKq#Mzc^v3J zK3CqygReNRg*pTNQ2bfA)>jxvAEWh3jZe$X?q%e`w_#s>uP#Ncy|BNrXw=#-tO(1aE44RIG#4LC&ELN}T|`G66jt25 z#Q6Eert$6z2ptkuwD>?>lv$z|T&%1>s6$z@FX5f}MHZMVu2d~f2MBvC`Zeeb5cA$W_aXc(FFXX0*g=Tos zKIDv;`<@P#Y#Y%PFj)EGOm2!^r4gDdU2 zsfB6!8!6^jmXzppVKJXw$`wmet8I z3sv=Tl*dVRrIah>ZF;SUiGePepI#|t={+O)#q8a=>_UJwUm#X$ep=NFiPD4oRCXSn zxzBF-RAHXpUV^~VHn*^_TFOn%=i3Gsr}Gc<)8qMN)ZP7blAhNzdHcxgV7+(e2*A_I zU)z_NMdgjlouh`rO+T0YhOEzmD@)u2DRM^unRK!Eu;lNtY<_Xwo_2jslInD>lvC21 z=t@~p@0uY4%J%&9%-VEz&VNazo;nrQkh+pB#WReT+K z$}6D7l_JN`ht9P^fuqJ%ujb}amyHrtH6|jtq0s7Y%I4hSqpXKjySLohY>cJ+wJGaf zC#cJ)s$ivrAy@4lvWp4@Nyn?&C}^cMXRQ8N0L2-BNnwg z(H<27GvQ00ME}=Q!=2DUYXo717OcM6M}^hJ**wBTT=gc0jD(`?G2x2`x%oNtFHIiq zPHMV7dHh_eBdM%7^F&1?##D>|&14-7_*5Z>hB=<6XdID%Lry!1dW^fP|b|$)d@#i}`6%h}msff@!;R)TF9b7Yc(6 zKo%?#J~yednL=?2ju1*wuVQ(Kajcc*fI2i<6*B;7hU?d)&c@X=h+QpSEEPMHZ;EUu zlDpi2C)cI}mVIBb(Wq*3CkHo$yP1)z%6XimOEbvTrSe)`b4|8xVHJ&M*GW06u5ANu z$Y6W8be!g{r;gXAp;PEglBg|D_Livf2oy^cZnbp2V%FWO#m z8>wjW5fG(ftXFTA1kr;tn3~gBw1T};Ev7d411rxf)sZTmIQ{5T$M!>oT2iO*kDlU& z*wZ?xJYOuVsG7Gr?>n1XEEThb2iO|T7uN7TG@7gmFAKTS)LrE#CaqehFpJ5x{37}x zrmU$s;B-B95DF-@sz_@q!CES&3&aR@42?0nXrq-aX>&snMhUNVQ4h{`D-XYU0Wh>KZJXigwkta_WCXC{^waki+4* z&3(%<%+WiQI)o*7u;`l0*=a4d@Svz3q@Gd8<&_pwUb&#=+bGs0$9W7TH&u-}<}G^) zoUj60cNEApkZ6ZSY)mCjr(Cg^djwOLpLVCo2M@JrPY3p$!M!u>L-lIXjsqREGgccH zEV*dLz``_wcl*iwlzY*kE@}w+3Jr7WaE#WBtt>%Sl@g{&k7E`nv?Idhs94P!+P14W zrD7Z`p+a<`+PUx*<|u_{yCT{GxC6if_GmaIe3J02*uhmr+Xf00IKoZN7pCrG8;yOX z3MjM`HI|pD;kLt%K~)vgd11XW+Emp0%&M4Cn3+*+s__+30NL#0IEzTi$0gJS%_>h; z@lwZGU~?yl&n+w!^HX@^BkzC_gK2xA=3mvx%%x0r_|$NAXmI2d`dUBd9R?(>`54EB zyrcSs$$Qu~_Wi$c2yL-T*y~>{6tP^?6d%~If^{KM4vCQ?XeTc3m&Y80i&WxYU z0>|P8AnY*52XCMwV|2-6vj0-QZrr(I<8-APuOjLGOPMp-(-($Lq6zLbTiZg{RFuvR zj+{JoO*vtUDMp8CgHp4vsUq(>9VkyD6QGxO2Fg2Cd5o%M532hw4-TEoX3q8lG?&oU zgw41%co1=-o0| zgr+iHr-27=%H+BXJfvvKjqn&J11{aaf~@H+kg4A6BH7BJ)RxJq?OJEp`Pkcvg27y zYlODbnej`Qyq8Xmja|NYDLZ!R`DJ$jR&w`ri}a zpyqfoekpSa(TbbyXi+q_TkdolvAl*m14mry2xm~>%EipUdByN!A5C%?)IwD6TzXdH z%vAFO&4GZ^Z+yiqRE)9w*(i+Oy6!!%yyNFk!HsYC9h))cjz6^ZZcy=yqJUf>P z&#A!?;MpI`%Xk!bf^rmhg1k-xkK#^Hj^a*`M{y^}qd2bfyf|LYr8r*Br8r*Bg`Wm4 zJT-7Bj+a}YI9|@BIIeRkc2XP<@`C+Ia>4#2Ua&uj7wk{s1^bhD!Tuy3`8RYSb25AB zLiY0bsqDp}%j57RIy8PcjVOoB04NVP&V`K|=ThOOnyv_=BmHC%X%Roejq=1E$EJuE z3Uzf!i@d3k3t7fH{iOg3FD?!aWYYuJ2ZnG_MHl@UcuQM6?9J4*vZjgMV~EEI5OpqN_{)*JM?H@Kgt9|vF-2^O8> zQ@r$ODZg={?!K++IM^q}Pl=ySh>wb&sfmb>;bv2AX=xsrp=LDtT}uFNz;0YriC{9RYQ^vp2T7cnLk#92K7c)`u^gygYQOneLHnAd6Z)DSkCB zentEma7E`Z&OXJ&Z(u#ZT`(l?apR7HoFc%}H1_$_klw-MyMlNaB~7Qc%j zn$4GZyoL3GK16okmz&i4G=LZ>eoy>;tF2QpP8DyMTQyzP)K`FAkv_K0ru74jeXiU_UXXb)3wtE42(2g+if7ypb!}8PH&`%G$>#= zz4#BfU-x%Hr#JFM8Vq1~y)6g~+zuG*BH?fV33P2M5LrGhhXY8UYe&I|gu@tK z9sYFfC>TfnFov_*S%i*rFhQh2A%KZ4 z4--Th6fn%b8axDa84=JGKmc7ky<|i{R{#NY?I;)#(1qdjx#W#B6&czP80ju=q=_t7 zI1(6Xy0%>+(x4C+=_B4q6KPNgjPwz2q=_^r1V;LZH_}8J6apiC#2aZM4GMvgKH`lu zkp_jpNFVV=nn;5JhO^d*YIHZ)KBI(w**~C1JOmJFFcd(*5f1@G8WaKuIN~9I$a015 z00QXRMgWlpg#ZG&Jp>SGPzWHP+d}}62893ux;+FCX;26tpxZ+Lkp_hT0=hi}5NS{d zAfVer0Fed-45vPIyS27bNi8Lq#M!MS@X(G!NdIBR&*Y-#gX;8p$#*sl< z%;NrZJ{I~{f0KH=fhW?S9~k%^Z{UeEC~bue44CmyLkb4>0;uWnP(!3a0nmuf z%&)H8#U3WSIZ&Mkp&lcIdI&;z#&2eIeqKFqx)2N32W)t#BI$AujsiM{XG-`{71+b? zJ@k1%;x_1rG}r@_@KCMyG(3KCfRs{rcfgJZzN1F)9SwkwuAPdF;5!P0)oRZM(+5fM z*i9@PIJ=XaW5@`~qd;Dnp}TXja9f}W9#~1m&=r81ukSn*9yOxyC_v>?@bE@Oh8hBR zJL=($NP_}!VP)s055(WFkBtbCLKUVYEO3~z}yU4frx7bw8;u^52Z|fI>d15A=;!9gaxHhT^%zlC{gOKM}q|wn(Dz&`*k!NP~z026T|_f zPF>&3IG|*yza9+-RA{OvhyzNXy7icGKq*syJsJ+E&{R(l2b4y2>oMbi5~u!pG#pT& zp&m+@`gDll)I+pMCx`>er@A_398d<;Uyp_ZDm2v-!~vyE-FnP8phT&^9t{UnXsRcO z14@;;^_X!$c~XBp8V;z?R8J5Glsk3nG2?(zq5gU_98jUDo*)h=J?hqD#sMWnef1E- z(IMJU59LJtIvNhB(4-T@0p&^EddxVW%&5N}4F^ege%0p&{l^=LStLPI^2F7@dU!>Na8lTHu^ zltOiN%s8N=slOf#2UKXPCx`<|q`LK(aX_h4e?1xwsL)hT5C@b_b?Y(XfD)+wdNdqR zp{X7W^)BZChx|akh@X@2@3Y+$PDOptu7(v#wwmk)u|hdk*MBosD68uCU&9I&8tS3M zs!xX)PCZ1Mbb?r+WUH%V#tNlZ{q<;Ap+ZwVfP?e!!h(9RrlKWiMMDoIWKC885cQLx znPNVlol3KzvL<9->V;!M>&xt*c{(3ngX!^=NRRLQ_2$f4`0f4ocdZ zbTHI@9SsMRw>9YmaX@)kw>@SYP>$7KkA?#(G}RNt0p(rYddxVW`f-L?9sG6rC&A79c>lI=drFD#WFai_gHXi>u? zHeCc)veEwhJ;>W4DDN*tEpg|9OO4HPMz)7Lm zZxGLq;|@;6yMqQjAg0@mKuos-QvOzXcvFQYCjgoq1l)2bsAX{EJAy_%$fetjkW04% zRqOpQS-pEDR`K0Is~#woy2({waC^C{>Sh9bja7Vq(5464bUSE+&0yX)t>t|cZPE#X zmU6ugc+7dL@ItJSg8g|a}qdBd0sYv z8(tOo)q&q@4TR>5aGH|7>y5n%j4F~+dz~2fD zrKDkM1#sxs(ST`AKc^|%>YDGlv59JEOX=<*s~v@8GbVC5PH zDQ9gmL>Sa=a;7Oa?N$!jpri8CG!F=GcHNcHfE_J&oi^vL)0C=qJJ3c!r3~89Vj(G* z{2>TB{!DUTRHlMkla4>dx#?Ktj-U|@ktA(u z6aeTNnIt24L#1z|C>!pKlsPk=R!V2vv_9 zJ5?8H1&LL*2CLTaN%E$ugZQMJxm&dvpOhk3c?OP$)OW$@t!32H=8Srp66h`(a%=Pp zwpe9vpaoi%T`3q^pt9^vN95L4=3dOlDo+IMXy`O&-qY^m%-pJ_VxbhPJQ=j3p_3F$ z{s3=Y=vbSg-;Kp8>F4x%7@)Mg)6M1-eVQ`z>cJ#u*_p)~B4d^K4X59d22)Zoxdhl% zd0jM*2em85gJv|CnsfPSO5JNMn_R`KtYVedf`&Bgl7`72U{UjDxv&zeoCuoHuxrlw zrzyp+A&6eK6RVsI+R^Y#3I=zA_W{z{eE>z9bbwyB32XQ%>tw9*UZ85a9pH?y`PM+1 z?gV&dcor9eW;E=QyvZ9t(KCa$5o26RK{Fb3%{LIzbicrdE$s$^Dl}CM$a%p)MdQao zD;h%0w-nNJ2f-W4A_s#n4cgHlN(v@#065JK2Lt>*z~3qjq2^l;X}Z&(+2LUD)j>NN zL`lKq4-n@~nW7yG-VijTVbpw&B2Bj;ykLOEoP)vF2P|oKE|iMFCAv}JVkK8p!QgE{ zGa7P9-ed+y)LLc-gLefDY1lR2;YiaB4b2}F4Bi_wqhXiiP5uye-56KoVDSE+9WCmb zZ-k`jzK0Jj+KmuZXsVmgt4DXb`Qglb^No-+-N)GA6&TsrV3U_HPC)X@QkgOl=QBlWwB8?Er1kp&+WmnQo%EI-pHD0FGZrLlxazG3f+R zMK@90dO#a=f;Uvs+6@&&n{N9l%26BAgLez#Dk^y|=N-&j#&jcrxE? zNz?rlzYiLo%r{%obU($fqanw9vn5UUR{S~|MySx#R=_~L{Lg7Cr~E4aEoe%Ej`} z9m2oEOlOPg9&sdi3MUcQ3TRW!LA=mC5m(2I7rGtd?@0|WRA{OPgYVbTkYT<*(iyxz zq8PSsimoW;m*$1_%>F_D8&41TFY)?897;qEZ;~N}W?{AL*IyRdx3Rj6v_;yJRxARH zRg~_|t>Avs5H>n(`T4ZEgXP_L>O(4+Ubs1s8N&2%Ust1E(n@dKyb)l1ao@RV*Npb< z^taD>ZI11SjPyi~QiE{V-$ad=EKSlYL$h~tD|hj9$bNtKmGx$539pAu1r<^4L4UOy znvszckr&8`4BpKjw1uDS(o6H}jQnO9ImxQ{{etU;+MsX)Y8^F~2bHs|f;52JX=dd6sGtf{{UaLz#E0; zN{^7on7Z%WY@q7n%vUeU-CQn;R$pOLSJ7%;`7FI9JQZX?;RbipO&Bjen51(cz5hMp zyvjD=G9jB~<985;iVuqT8cg2y@D=;dl}#yrn-agS7&GDc%ZRM^Mq~FI6)}2e9>3a- zN%dyC3L0`8XLNrs8hE{y_R875m+?+U?ndTl1Sp?Se6WyPxsMOqs8@>%t9L`FxFf6l zECWsj*+LYdJOg@_u4o|e+FQL6IE?ow=?=SQ)wdJYxiVELBg>H@H3dOyGfj)B!s5)_ z>}rudW{{m-6V`pNJp*)R>vOGV=)JsSdA!2dwqwR--l_VUcp|Ar_b>5 zo0Z}eUj4qDrP_e_h5X#?+El4HkKYx}E7+@r!(o>2N-gypGGfeQsJ9qeg${hUP6z&f zZ=;O76nR2D{v{Y!x2L#Tc^)!G;5@BL`Ly)C}1%**}q`<+ryqU-4Ev`5_sKlQ#S}2dp zCW~E{YwrIsE|`qV$TvmaN{vL~DY|f9&2p@_*q%7+pbwuhTLd0-cnBHGFR#wwMRH!8 zFsjSQJ0tH(T9L@RrKl6z;#Mf~t-$#H1A|gDSTE4^`!J=Q_kiOkv2a6+e%c$trPwZZ zkOJ~bTOEbw`4=DIT_j7Zr96Gk3Wl%9$Oj_dm4F-`nt)*h9eha4WSC2u@jkysv6Bpc zpE7K-b;C09AvXB~z$U+X91o+EdCG4cmtvQAhRpp4{ScHtO6E8AqipQQgtZqJOx7W9lBTO|)ae=8_Qe0%}6;h0<_D6S1F~(H0 z6yr?omEsap`=z+d)N@i?VP9ILxXQdkQe0!IU5e{WrKPyR)L|)ZGId0XTTJyxF~L-? z6t|f=CPkJlAD7||^Ini5$5g)*lT4kIVv4ELQcN>-R*F1R7{M8)hNPHfYD9{=OkI>> zj;S#z?lE;qiu+6f@AFJulVX7>;Cqq1x+O({soPR4ap@f?mYJHAqR7;=6e~>4NKsWLJuV(L{=d?{3#g{Sl6;iyGOTS8r*D>#Fr1)}1$=6Bo70i2s z6ko~Io22+ErrsjOS2OhuQhW_-e3KMk%hcPX_&TP(S&G*)^-d|?z|^~?cq3EaD#e?a zdao33X6oCdcne$p4k^B#sqd8H8<_eoDZY`Z?~&r0nEGBR-pbVXOYt_Qen5)1GxbAK zd^21A5h>om)Q?K>PNsfbigz*f5h>oy)JLWG7N&k$if?7=V^X|_sgFzXUbg)6QoN6; zUzFn8nEGWYzMZLGk>Wd;`c*03&(yC=@tsWlrW7Aw>bIo$E~b7*itpy}__P$?!@S>@ z;)7i8A4>7P%=;rLzK^Ltk>dNA`ZFm$#MEC%@dHf#FDZVIslSrqhnV_nDSnu#zmeic znEE>@KFriVNb#dg{S)5JN(+X1{jIl>sZVV1=Qnu8*idNb0t=~C(PU}N{a6PJ?pdcw z?;9S@I(37Vv}ZREr`JKV@H6X3c@oA((Dy!Gwxy2HhAkzbU`v}#PC?N9pa}cEp_tcz zgX>reetu+Qqw2-<^i`6l5_6ioo{P#FeZ%A_tkI`UoKeDosr2pG1EVI&2CDEtEFNr=Seo6Ex@k^pliC+?ZO8kb*d zG)wE%> zJy4=NRi2^~f^*&kINLDIdR6%bgU;(Miybh4$u^~ZhKglP^Tw9tra0qn0=q4!K3c`* zHW*438|YhBFIzE5(kHH1&@_MSis@@lFI%tsL9CZG=WT8`=t1rCSua~PeJo4E&Iafu zNk4Qp7;6%C5VpZ|v_H+oUYg}-9rLeu=3#c^959&s$C2_k#1!Av$Beuc)I7v6r=xmZ zAsY;8hM3_DOYDgUHe(z?TV~@` zN&Ds*n=;15;HS`@UUY-ar~dITN*-*m`3#C~u=xy%Zm{_bif*v^42o{B`3#C~aCp*1 zU-s}+F1*3vsa$x2!&AAi-M_}*rD1`6-r(?5Zh3>lQ@QX4ho^Gk4GvGbFr5cBI6MVK zpAx@h^(pa7qECrm5`9YilIT<7mqecuza+Xrzm|P6kK@8F!(Ig6x1%rVv4AP0(FgGO zqjD@`3Tcka#v#p-q9s!;5T>|KLKOD-D}5|y+UEu2v>mKz2_R|vn|^H4v^FUr?^Ay) zXbQV!UjSrLQ}1~n24or2PQv%SKo&El{G87SZfwZ?y5Pn>>C54Im3d3udR}{5JTIhPSk<+=0DK}a z{}8s+Q=!h&4~9obyk{HKV^4TYg`Q5SRO{0mvU40o1?L}<9@&U zh{Z;t^o7CLJL0i#j=d83~%I!wV*t=tIOo0C_c(lN&bhR`$-!{DZu;VQ1cn=<7 zaO==Yl*#wSWABZ98y@xWf8B6wZt5<&588NnMSb84drqM;@SXA4`(q!#!#HfC#;p`^m_A z6S0rPK8l<7O9edjR=NM=$pa^4?5ATtlYpI%;lUpIVCH-?y%BA31;6ve&%O5U9~^WZ z4w@>=&*!H~C}^8oSXeF5^K)%u^vq+QjD0-za|!ec-uD&_DrcVz@x?^YnqxRiGw-)Xx`w2@K`{mdt@B~ckS85_L z`~YUR(J`OOrr58A(V0>DM_}G?;ds6{mz$q^ks2J0eF~Pm?<3kD?i|W3Oit&9^Q9an zU#U=h6pj5h9@@kAb~K)_UZ=a@`&{T*JYG4UUuhmH6z;Ds=^qY#n(vJ2AHsAyqs&R~ z>!PvWjeR;1`<>YDp=W+ySZy12v@`yvR~OajcL01JkNsh-C1Rh!aC09S!7)g2B|kl$ zUj~%_7&lpLD8HPrLieA>V}BC+GXiyPv43@Le)@E=upq1hh-GC};#ppd(Nx=jCJIpg zMeHvVF!H~I^GR4^#R|gF7+y^gUZ9V`4moam4SvcR4KSCnzm9z_5&LZHZ}99@ncstm z){LsZQ;&|XD}YboI&=FZ9-LJp@DB;Zv46z0T`DY%xzyu8xXDmH|B1< zfEXL|&#`}@!25YE*3eQAjr|(}72C6&XkN%o6${O2)h@^PEBPt!L0Z#8dBW=Run6hE zLzKr~PTLSr(q2G$-m~owD9SHyzPM9}$HR>${}uah#FMyH6Nw`_9NNg515yt|m^ATl zEz~R4>8eg?oluVv#G}&6Fcp_pKT}C*4KP(Dt&>dEVDPo2UA0MCXRNbW*yFX*8f0pV zw9YYAC#~~L)k|xLsqNAlW~xzIBTVhWN~Rg6?xEk9cpx+ljkGRU7pdmm(i&r`Sz6<4 zajF|}V>mzjEQo!RWIE?xjZ{YOnR-z4jSMr~7$W3zL`x=NlMlGb&m+NE`aeN0R1 zCi`<(TDO>YL|PNf>yg%N=JiS|%ha(A`ogX84$Gd9)+AFIX-zRTAgyVpPDv}z)EQ~b zFf}NxS*FfQ>n>Bn{()ANNNdizM~%8Dt^4fkn6&1ZcS%|cta?RSi(Gn5S_S6akk%5* z-ICTaQ@5p6WVt)iT4A|KX_c6omewkl&PeM4^X^J(jj4OmddQ{o(t55% zdM#70mDcOH-Y=KdmvbFoDXp*Ie)(!?eI-+0E3L0$>h;q4YNp;Ot*>E?H%sel*~-^T z>+6{JjnaBO%e_@vZ(!crrS(Rp-XX0wG4(EKy_ul>K*c4>Vh z+k3yXzKMAskk(t7_ubNZ8&e;Y*4vr-K52b3Qy-GnJDB=GX}y!FAC}g;*vf~c^={_< zn6$oysh^P6w{q!EO6xsL{gkxc%hbwQf9thBz3sh^Y9w=?w%()tdjeo0#IXX+Eu z`c9@kDXkAM^=s1lE~b7%THnpor=;~gO#QaBKFHMXO6z->`aNlVA5(uIt?y^*Gt&AH zQ-3V2A7JWFrS*eM{kgP$h^fDn)({ewwNOme$Xx+7d!qA7d&kt)FG8 zQd%EZa*3$4evYZQw0@qcq_lp4sVZsxB2zWe`X#1P()wklHcRUhOl_6cuQ0VuTAyU9 zL0Z46JWcG7)~|8BJEisO%zH*!zrk`%()vxNo|V?8xO9)Sev7Gn()w+t4oK^FSni;- zewV3MX?>chHfjAHQytR!eWp64^#@FKN$U^UO1HE=!@Q%?`Xi?Lr1i&4Juj_4VYw61 z`ctMd()u$l9gx+iVNZc6L#+1`Y-{((!g()vf{<)rmb%$t(dKQon=*1s?{ zE3MBnH7BgAPrsb$+mOKG=I-k3_(l=#UsYU7AQpdtDa7J`=Xw!~|AX6sSp1*NLoEI; zrVxw&n@jP~ti`++@vQ%;#i^c$_^EHo*~h6P^*|1Xt7BFi)g7B#oGTrtpB89mJo^}g>7 zt{wTDoCPOgrj<6j`aKCGM!qM3AK)C;+BR2O=_^0>;V7$h6K}Z1#}nV40Md~*G9G~r zOB@zxOP=_E6d|U*TZ%AKAC#hk=iK*6QOVSYq=+!}gHlA9`e7+zOnq32IM3@JlOn;q zpO7NS_I^@gjiG&E;-{pjV(Mq4sOHk2m7<2JpOaz}Q}{7TiYfdUrIt1DW0cKI;m0Uj zxD-D|*~-*!&@XYk!vOz4QbxWx@(vu262Ik7IF8{bdc|pkrRQUBq2k}Ay{!J@Cj5$r zX7ukRtaJj&h|S(P?9$z0VNIQn6MvYnS`xpXu-cS}A#J37;p$8kH83tR)s>sZa({mt zRXHd6y;Q~ABK;AF%G>QRw-b24NMS9rvQn6$A4q*N@t1L{JAr({CZ{GhRhK~ipw_L@ zVJoG-jwe2w_?)ozAm>*mF@vne;9}`gVQ7w$0rTjn-HE?VKm#cOaakt*A)ZQDO$i+I zB~3!)Qq+IGndoot7i%W|a{`C`k0fx|Pk8+x6aU6h_6x|8HX{SQy3#z0_b9@7FLS7- zk~fY{2BM7POj75Bo%oN$e;6iej;QMnF%)Tt@h9nw8|0%&oWxW5 zm^i7GWF(QSOyX^qjjj{;1|k2Hey;R@-r8Ltz#IUM%`ZXF23kc)q+6T$y$J`sPN1(8 zBB9zgxcHzjm7~SxQm%-E?Wu=TdA0ULlURnU9hW(CA5B(sT34+U=qF4>&!oM4BsV2f ziDXT(R*D}JKaN?K+ycycVmu(xWF3|&PD84n>jwq;jB&M0N+#=*4GHnN*wbE! zzk<30d)jfDSE1xSdvVJxEXm{nwe}>RLjr^4T98g*xkJeJ+w*UF?g8eYOt!1}k?fG- zZ@81OMG}BTtJdt79b+UmDwkJt^AvvoP(|bKy2!gb*Nh7Pkt;-a`B$c%hdQcP>g2{@ zo5tU27sQMCHG-|5fy(?0sB7=PQ1JD_mW}2E`)_zWC)iOaqw;)iQ4eR&B+nq6CC|dE zewjQMzmTwYCC>+IEprsFeDV8rB*^FvGU%l&zQ!I!9?Qp|H+2U%=#T&hJrdxcO9C9Y z8{oj-00#~SIPf^Yfy)67e1599!qB#EFi>w}CIg;@;+U{5dfS-)@dQ%iEt7YWIV=T9 zB!q7AbeP85F;HJ_h1~e!R>=)Z&Ln5C4@}+-b`ZVeI{c7=IsPphm^4nDx427i>X~3? zm&$CgHU<$kFmS%vHut#!k<8nRR6C*INn88{|a}d#JxU`88DC*VaUmIBJ>i(>V); zQrVPz1HZv&-PM;n-Isk&zNyv1OWBBETR${+KOarvycI3teKW{18Lq2hb}&SZh@#-z<|K zu_Hek9|JZz@*ivE->QuL1dZGkp=z4i(2HQe}4 zezMkzQm_32_u8+6^&7QT%+PBza`&2X6XdnrN550%6GoMs0Yd0cdF(}S3Ha3G`SgeE z(`VqoAJtlM^6As;)1QL%XSG(M+$VaeeB=6|1qVm#t7tzFN+O~AHH^~FVr6Q^slTwO zo)H@L7DKhY{~zxyugK)*l7B?(STy&shHKKfcGh^ai;>ACs&=4kSt z^;hC}1$1&uemZpRiM1A<@By{66KcDHyHvNWi6+-iIWDmoIa%BA%2d&PEYT(!b^K-pY0xQ z@K{oFRN`<`?V^uZG{kauTsBD@Ox~@KBylkL7N(GFf3rfgM6&%Gm^w(XgYb9qCC(%- zdhk|THMask*!@@k<6WULClha#?Fo2~rmoWoJa#mT(PuO#w!0xqbrx;4@NT!lYKi)5 z{X*N0{RhKb@3*5m-L|q`k*&<&pl>Mzh8iQff89_M*s`F(zNtKxpFOomdjSmd3wY4O z9R)R?y;3#e{c)L*1Gs(0gNWM~Z{ra^BgMa~)0`Z{VbiHVy*Dc=abV&NHx09t!&o=u zkR0*7jmb+lL-er$_t^jwX($csC+#Yrl>(au14_lFCT=)Lbkq0%677esBK-(RFY|8_>eHwOEp3uW);GHiwY{Y764a5JWu4I_BO5HsZ+ddAdY zt10rXxO}I4H|`CyLA)z#-ivd7T>G!SNRbWm;=KvDfO`UgmS7~k*-@Z?zgEny<>piX z+wk1ZTX`qbX?>WR_MNy9t(J88UF%xLUi?Q{`h#Wulr3rWaf5)*7|H+#6D+{NG+RIE zW1nm(hL_vog`K_@L*=mJw@hCHpaO^m3NWouKnp+pDVt>Us(vcKpMWC9fC}tCWj#Re zsH5_-j-a>bQvupQf66+6-jd(oVqOidy+F}=j=RS^2)~TGFxMb zP}Ym|vU@7vUZihV_zlyG?Ww#B3H0`QD&UF<7Xd{cH~Q8?pn%8C1_XV3!3(C&6wEJ< z(iY-rR&Y*fSwGHW_zz{Z9l^5~T!tq-KEc%I%cSVp1upwSSs5N0`!tvRXIUAZboc{R zR%Hdtdhk%%pL1EbtPJ@3ONEZANLd*I#HY9nx6i#LSoIAS?hXR)Z?gbyC~WmZ+*uQ? z-{h+CP@%v3dvI+26)vkSE5mW|lU%k{UoceFD{8^`b*{L+Y}9yek#B#)B0I{g<57{1 za2f6ucyV{8fFChrb9DDtwe2g}13bL)tt|bl3>{F*Tov}y?`7UT89Kx~?5E$uyo0pl z0l~cFfe6u&hOa;vYfQuAPzPH=$A6wJ9hRZP%sV1O-Autkh|WfA4@8KLKkABz5S@bf zstoe-1{S6-mWu5s^HbDY|Do24s(w7x$#tK^<3-FnP3HsT5{#-mFYV|^u`npr zVOQ{)_Zxp9cv*2^x zkJ+-rc)I8948%DZ>SYMr$J2StTacmWnTJj13rsD`&;U~_1P7RAIDiPzftRm9gy>Am zS0F-kwB;+`C~96p2i;@GaEjQ*wt&CW4F;OFmw&7JQkAu-dUZ{t>NT}i5?Pz7msHlK z>UCg!d95YOvo`dFfIx4;PxM+^iUjxC*Oo~zWbDO*mWqG{wA-7Gl+!!f<>r>E-ppRU z1ulJktyM){zMj4OCa~UGYgHS(1XAErCrz2Mn+)_ie(FG8lIXi80e1l8fID8QtLi=M z&U;b!`)aKka_3#_&Ub+I{#t7jxwGm2F!vq+Qq=7Kcy?!ZZ|*jCJ3H6BLq!xDMS2$y z5D=tEZ%RFi^d@4Z3-*pE_JV)~DMwL?ARuj6QXP!Kh%w(Qqh3TE|a_nb~fe%EB1kpxMq*ejPV63>UfJ3ql@a*{eFIO8k(>mm} z9!QkiB+7WLMqZnsXtPah6)&&>@Pe+m8~XV+>hFMF`z-Z$LTM-UcR^_v^>;@J`XN7? zSwT+)!OtdGFb9I4&7oip1V5Wf!5j$5mj(7`*A4YpqNo7=l6>6_kxg=i#Tz@jdCUJ&e zAj2<#&Q~@mWDGw+hTlTbcQz?fFl6tmz%bPf*sCj}f$qY7*(I3`m@tV=y}EHWzag97 z;naWFq?oZef^7bVqJM01jEW7ME$KAu1(@-`yNLbrOL7Hxe3;>QRbCL{e^JV!{+^Bp zhF(I0rj7cQV~-G=0Qau_}RoP=0NbX zpZmmIs@3Yjli_MSNmc3}jtZ+W5L_UFKuBf=&BJT}?avZLW5LtnQ>gXyR5UacgK_st z_5Awq%(w>85OsUEGuGt614Ys=p_&Vli zubKz~A(;wVoBiwzqoTNOczj=rRC-hY6x7<6X$2FbR)wvx71&S8Fs%x%@NmBlwO&B| z(^2bCs67)R;Aq}(xC}Z!Zv^$v#6$4yE-+@;_)#=(G#-L+$j@Gg9R^JBv-e`mfe;xa zCKjESn5?{sDVN>=9AXjL3mz{n!4pkmnqq#=gd}^hJAz#jzrfT>XSW4(HQ=g4oGvi$ zGU~q^bzcGBqG`7rIuyQ#_~&BTTrsabf!f+@yK0RFvdQmVytZ* z%x9e>t}<;sHcOOub#~t3ylY@y6lQ&TPhyi4N%36x`7Q6dyz6tI<_*+;9a6c8lA(AR zx4`lolx~9sI4CWF4|22{EJDDRgxM@Vn`^*U0Kw0GD;0Af_}M%I=0NbX$py@T;Ae9T zm`in*2Jp&oBl1~J{kNgCg8J`3X%&OVT$~AhHg$l?K=89U04K+UrvVWf%SN8n<20srDtGe7)o0qoE|0E(f3g&5Fl>{G)iKiTw=rzn7#KTXN@t0 zE}oqC9K`zM?SgsOe$d_tL84-2Zq(SRQ>Txw!x4FV@}AEj)${hk)x?5H5|*Q?QSoVP z-oh{Dy#z6mc`rj8K3?Lh5Sxz|u@B<)vFvqNfQ2pmCiUNg=6Rd???>q!Sd@iF55b}= zl-{HMhw$iOSd@ijA5#BvEc+OiXJOf=)V~tTK8ICVcsBSTISv_o%~~sV8#BSrW@E7J zK=89E7|b!}Vly$AW6s5{XL|^&>d*Td7K9<4e_`Q? zLpDNS;R#B9SR{rL1VT2BPp~>h758A;AaQ5r6+Qw*ShQp$3l6 z$z`FkUa1FRzUVSM*f;ecJOf;e*EAsYAdL01@ZjLogYfiq2_77hdJx9*OYz|Fl!NRE zuT&a(dd(a=eMD?KiL3~W!;#qW_T$SYl)>%^kjC1zgCQXD7dy%p5QH}@XI3;Wh zij2#STo@S-gJZ9<>8z8Ch3q6OJBWvSK^^$$8<`lH1Pcx#lVRyeN+gn8IV&<1KGT#< zm^^(Ji;Fs%a?m|JG9xE4Epm~Mw2j};{F-9x8E|1sESHWchUG^t&WVhQ%(6m{(Y#B- zU$8s)vPdX$IolxtCMl`2`lR}V5q+yqgX@8FrV9g$ai7XInV3*khs7r~tMinPZcZ$Xsw}_Cs@LrM(V;O<0oQJTXYk3mWG~7O<;WNb?@SOIQS#u-GQ0k-0Q) zEf!r1Mc3J+3M={pi*AIXn{09{6h-P_5zH_|V20s1R@4fMV1^+AGYrSGqI0om85G@Z zld9|*im{1fm)&*}Y>3bY);DEE?t^EpKHWO?X5Wj`R08e~L>|nJ+#h);A$kOycZ~B@ z7@QLJF^T`6Qv879n~!EkmPg=;;zjH)R?$ee@cFC-9|wLvDk9dg^}-No}B!?nnxzAR;gYQ7y8D`d`O`TWF*w9^3{8 zx7*}IcJM7cxRXZu;K5yRaJNlPVh7*BgL`SDe>jI->x*#kB`dqTO{%lw@8a>-Xk-u` zhk&RE1Vo+84j#sXZ_&sFc<=xme8(oIu!A4r!FOq77#@5d4j#72smvUHHrI(=FB@*y zPZ(p44L59-5_4?0VUu!BjtPD?H-|Y8{A~IQb0GNHEEwk4n8D_vFqb-Jut!69Jcoai zdvO>{K1Jfc(8y0n{5K%}yG>4Wh_ksZR0~29nmt+uA-;$G3Z;{#4vFkhCIIuHP$L-( zZ=qi}r?w1g5vQrFU?gOPY;roDIyPLf39V!_TqM&A^WB#_{koN#TBiZ^e~o9bs15iI@*6X3y9ok8^Tp1}9B57JGbQmVnXwN@r-dTBeX_T%Y=XMiOUHs|7ZATOiTS zCbb`=)`hUao zp64T-;=_gf9P7L>$DeBDANq3+Ccjqrc3Zt*#hKL`?mjl9htyN=0ruF%i~~bM>sla%#uY)H_De)Hc!yGUFEkEu0W|o>Gnb2K$`mTytt`!`E&j zi1!A3qw%vzYrGK@RbyJX7qHJ8$##K0;S0PCVL~VQU}I|J;HltSkDpBuSAANy=1)%Ke1@N0uzF;?&Qm92MjNF(bYTu-O&5-VpuB!2hd^oaGY^&Zpv zzDZ!jJR|m&Ddx3Y_u$I1K7`I3s=y2CQ5Ki*?Ga8_toyJ&wmu14A6f82e=D3h1nYBn zA5MManAUT~#NO~~mSuej9r5r<7x$h7b9-f@*>;6R^2#J$-hx_RTi@hZUs>Opq%|xP zo-r{7gD=jC)iAK-q4k6HBSXNCX01dvKbxeDNg$k|E#`kWNjo@W%v8=B-S|nP>$fW# z3-R*SU-0Ql4CC@a_SR$llWqNN{R{6Zu(hJ~ca)gq?1XnG2hbh{sOni!cz=z*JH-z$ zEzw|hG!TVIo9gVs(N@YAo5t?!qf95@xuaGz%Fwp^bV4^~yl4U2$-;#ptL0pOQFgR2 zS`4>e84HM=mZmue(r8JvG$&jfh1ea6dYuTzCRtHryb|YrjaJQx9v?jc z`aR^=br?+jw1;*5Q?sHcrnxTG14XOnL{ExB{7`$+0k%_!o(gyTka{$FI%}-sqcu#@ zk(Jb^(b`yYhDkcHk_I$d4@>Hsq%$jNNTZFgq_Iihnbtp~5sfy(lIA91U$cfZrqPyI z(#j+ddgC9`ghtz7Nn4Y2ONa>X2fsKAZ3**#@n2VV%AA&i^~xQh=fDjlZj#t+J*;`h z#_gOf-RiXo< zgW&5%6y_!iV!cyhjjZSeIng2XVUzTtMm1;Liw-wQZ)#x7b+pVReWZt?)J+9qAK{(2 z{xri->J1Ygb)08D8XXfI3!_f-!bm7O?r1A29f%d3;Ox|x=9IBMFgCs8>;W2`WRtql z$tLORa#8HjEp|~fIt_VE2VOI5QjdWLm}Hc0yi!Du96>JYLcNe+&G-st_Xt)<@*bb%7+N1?59cz+tCK+#%2{harLC?eCy*4?M9iC{CNi^IC zaj?QM3M(92vIF2tQ%o||B-3cPFXABLCkhcit=Qq|G~5qCuL0>^k8E-lJ1~=m2Vm)EQ2Mz|+OX1#O)|?Qmzd;IlUzo_1F^>UP~!)iv}H9eH^~(y zxsrwl;jv%g*l#vz$BxZ5$sCi+r3MD4M*m3;BCFRdcB^>3|D9Jr8vPHJQxd=Ji-c^y zP0o(O-WC@+5j-}`z}YYZ*FO9pH8395hNl)emaTsLjC@Vl^g@7!w$vN!y2aiq*mii966v0&he5_46G4KMDETrLQ9825P z;J}GC>FnGj;`PZ{{u_@4vrk4Xr$FUXZE|jOa>~WW!?NreSh*%tu4R)h?37oTWRXc0 zQ=@^?!Q1tz(HNzMCb^m#%`nr18fT)^%p}*C^n|fj)R#&J`a|IvwTf zNv!*geMLz6cv6pjJYhOoP`i!Y)+Sx;cJSIW3Rd?F8!@K(guWvj{ROdJE8dI46O!HD zhOptU?T#k7j)sq*Ejt6lb8XU%dF}PoXpNTw?>n-iG>Auv+UKFIdIGYSO}aBj`4=6f zFBJ8&Ne|{IN%(|@8Ho5nPQ)S;wM1mi8krO@D%YdGiJlgSYLL_oQ;;4k$fc$>&7+BL`@e1Y?e*> zF-@?bpWTn}>CC>|CjC>HG)%DMY{buj%5!ZpfZ+=ezW|CB+GL;tpI}M&vc*nzHB`RF zCW9FM7{p%>MK{=FumYc8N!TZW;ctP;x7y@@gMTExMAXl+AErh(wD@v(BpyDC+ACma zqx0>RurZbs^kF|njq|X|6V&L9(rRZrBNY?)RG3oSv20?(b`I=8)LxSVbk>^WM%cI2 znK-e_snHLWZ-hG+;^M2rcJ0%gf2h6LnWwa$HVK3l-GqRxCb`)pw@_ms!gf$&2ujc4 zQqJn^JLoiO??&(*liUiszasbrliX&K+o>@eA+J!Q45il~5F+O{lPsYI#^u>>Py=J> z?6;_a0dn>MYG6>CeUKU$h-SZQk~^q@p=b7CYG7=b&88UG1Ru3OM$>*m4GitFKQqZv zYRttGe@Tr6D1B{`J56#IH5Ot1dy_1q#xR2w+k>0j13Oqd z@A~%NCb`!n_nG8=*oxM<^nBR9uAOr*-+=9N*@KKfKgjk-fYUr+k_S!l5H*%M>hi-T zfv4?9sId(55o+9vQq&~Nsqp}23aIfgN=4Lo6s2QK@+dV{VWx^nR+wZZyg`d`Lte}+ zZtnc5&drg3LL`)5%_c+G&C$TQIr6JR5sWTF!$5Hryi&w`gyx^_M2h6s;Du~{o95SY znmxa^Nx*}jKprq(_7qAmtgJ(+AvHFj)R-EZQG)sVEhsgIr+b-m;+pC>?K6>fOW@eb zCc_x*CP=#t6v2=&oY8*VM^2EnjbyXF&dTbzE_j4wjnWZ-=h$Qf)71iXoeM=>Y%-GR zdIGi{#@6dWjqS*`C#9`uYX<@cw?PSiJ$(mtqf zFz7qqCZqEEo8(Dq?8f86C>@N)N5JusHW|&1ucpRcJU)if(Rdu*l=8>fWDGn06g6JL z&Ms7bIyo}P>co5!X@~^bXI0jxz zjW_Z5JW8*}L6rrJ?dT!J1nEL26k9RXi6;X>and-zYxp=D&!lUjo%H+hiJhScGk6wxK!pQR4^H@j5k*p!B9mwwnab zyTc?qDP4oMhPRV^csrTSZ2cUiYq9hLDE-hTGg#>^O4niOr%?KtO)g@kyG;T^$nz%I zYZ4efUW6T~@xs2R#;+~x%&5-RZ7*s!8>JIri)@stQv*M|7Mx-dSiAcY7N1TH{GwV=lLqi(XaV%aFVg^i{VAwxl2>TJ zbnI2oz$CBIKo~PHiT9dGAh2|w^R>62Ii)W+kIe;V0=bqpxf1vW^04w*G!R7zX7To$ zv`3wDP4X>FM2nHexmgpITE{vFTCl(wY6@VjSplpyo6Uxr{pbhqbXx#V zw{zG~19LS=cfK=hu~pk~Rq)&k9$f%IT?IGUWG+*6098RyR{;cd&10(IA>#*14>`A2 z!JTm6E}P6}?)NTwQ;8JBO-sKxnVqb1P=ae zliS#({zLMRhO&?mrKVL!K??|b%pR`TiC}Y_cKL3QBi*=8eo$Ln4*78 z0&dp_d0qeqhS=mmXA)aJeKy6Hz*X%@{LhNbWTTL=%ZD_E&XbBALo z^uvYF53iJ)C}9zDLfgYE1mkrZKyJ6mDrkFOw&}~ExmTmEyWqewn>>~}^Vcl?d{zkE zHq!!+x`ptl`*`ZiU$gkkU*SVo`C+L1h)tegm6H}s){W2j6vDGHQw@E5A@uQ2s%kfg zH{}yp`$?$1+9s=2wd1ofg)kg2-Rq$CdYe3@sx4-XY2ik!4Z*a95KOy9QM+FJX}E9; z*4_%Wx7lPZI8~kxoeIW|LKr*Nv6-Tz3$K@8)7_|G57d6%ChJwFi!akId=YEI6LKLu zA(yLaCtNs;KJ3ExLGArE*}%x1jO1YWDTLu?ql#R7Mz8Q4RB#Y#AF|0Nrl2M&cpr)m z+hnssLH&egg@KAOfc*&zVSmD>nF4%iE`*V)5JswJR0^P z6#ZzEtttfx_JuKxG57^)|7w$M3X9cGu6^+2mQ(>Ed2r z1VbR4uE>aliUKy-!4wR16coW2SOjC>PL+bV`xS*z0X*jy!E^p|3cd~E_ARoob`)yc zHrd5aHxo}+2t`FU*$q!Pk&(`%c~J=z!6$${Y-&5nBOAsWz34d9c|6pvYLn+dXXGE$ zc_I{@WRty$OK24DY>G}n1*byo(`@pB;u0Fg@8zPJSQ`e`A{ba-gwt6#*IHB;it5?q zCAb7K$LUy$AYLqqd84?$7d1vzO#s)_CNDEpry=tePy`b=uc(+O7{4_tI16gGvB|4U zK~q$4HWanD$!iJ)jpOcGbPg)$1hqTcWS`>F8^?XKs4LcnQL+d|$^EL@ao;TJiM4w{ z?cO$dT~#~55n-N(IU)?4MKEx_p{kwG=7X{J`B3`;o4m;`ocqx*D1wpmEq3AY_l@Kj zRnavUm7%6l02^(Sx0$9((S#R5(KwqNV54j}r5&3jIM5{2F&O|;Z1N7%F&A}Aha&jw zeNfR1O%inZ5`{?jjhu4>JYVTQ{&4xdDo9w5%I!@&SAMnBY7`6x~V#Q&GB|25^SC z=nfjV49o6>A1A>ZU>WX!8~?$GDYWPwXL7XYUK*H#)$ga)&DJgKWDmm09Zg9dO7Xc0{1*AdTc?lH4* zC)@2j$`-+(S@gV3K4yDw!CV&&hMX#sg67K9b z5%(70-nPl7?0%|sG~O&WH%|H>YJiu!B6zv`jFES^~*4@tgaMAYw{=p_+Fpt1pRf^#4uLwrUFPTSd zL&$HYFCTvE2Hg%8#O9&&H~i`iO6(^_*bIH_w@Y|Ri-|KYUkne=@ane1(N-LwfjuaN zXy7H3O!#>n$1#d?XkZ_ff!n-+QXc%24j#4O=XIP@7Q?S`6rcoQcu506)A;>gT;$w+ z#l?|O@i8{}irsz79oH|e0!7E#On3ueRw5rnpP8JuR zLIWRT*=aQJ8A>&1;7gQh(ZF{ook0UQU0Gb025=s+xIPX1g-09Gz`rOpra=RxrZgBr zsW}belv(kaG-zR2D;g|B=`0#7MX4OzAJ zQR+s6%~0w=gE-w#+!KC<4GrC!262a_;=VL^4%X{WgZTbmJdg%^V%cCC?1$0?G>Gr( z#Y1Uu7?usE!BHrUq`|Q$!S7)Hg69}RgA=g~cFzA5%f{2-G%TA)gBPPTnFcRKX(|oQ zMrk??ES@QG63>frzDI z=(oRPw|F59ZbSl0Xb`_!6)&Yh{3cX<7Y**jqj%Hb^C;a*eT8Vq`)Tk+EPId!UqR_% z8hjn4MLqj+XT)dZta2mMy zMGBMW>^FIeU#20POD%qthWM?pk6LS;IiBLz!GdqtgEskr z9mKiT;`e9>Ct8aSQ)`1Wb5#r-Z83DTKeB44;YmNG)^m6e-eHQru*pyP{UOYEeA&d& z@GJ4fU&E(Yb6N2>@biCWG0dyxu;_F6F|1KzFHVY;jYXXIrNuuK{|Eu*#XrF(dbWiO z#`uHaXM4e54g^2j5(aZ1_}S(xm;=Gj_GrNz2!6K33g$rYvz=Bj2ZEn%Qi3@U{A{Bx z%zb__6(a zdEVj2HaFyXhacPCkmntKY)ui*JN(!>Ag1q3zB*+VX z&JH&9*iKEnzQd30jl}Z~KeoRS&pZ6s&O|)#@MD_}@w~&2Z5PDz4nMY$0_K@y)MMNC z@Vvv1?Y+bE4nMZB49`3EV_VDcyu*)eF2nNhK-{5(N zAKQn6=N*1*Ck~!>__4*Vm}invk1b8*d50g{RDcc#fjCyR@D$hIo*rGh1 zclfadq&)BNV_UWHyu*(zf8%+FA6uiw^A10@GK}XPer#M)*n__38=m}inv zj|~?*@9<*-2G2YE*pR{V4nH<%@Vvv14I4b~@M8l9&pZ6s(82Q#KQ?&qyu*(TA3V?a z)n_Z+oxCGKKE}_HARpuBNRW^5b0o;e_&E~fWBeQm@-cpn1o;?0M}mBepCds&#?O(A z`eOWWB*+VXOoF`N$0W!LeoTVA;KwA$3w}(3yx_+q$P0c1 z3G#v;lOQkb$0W!LeoTVA;KwA$3w}(3yx_+q$P0eX78CWw_~A%KeKCGG666IxCP7~C zV-n;AKPEw5@M99>1wST1UhrcQ1wSSk^~Lz%NRSu&m;`yjk4cah{FnrJ!H-Fh7yOt6 zdBKlKkQe-z1bM-aNst%(m}Jx!1wST1Uhrd*QD2N7js$tZk4cah{FnrJ!H-Fh7yOt6dBKlKkQe-z1bM-aNst%( zm;`yjk4Z)YF@88wG`<54Uoo3iatD0uPWd_>-}Q;D>C7sDJqKa0M=9Pds{|%b6E=W! z7J6ir+(UCd%J~@HCQBf|+D=^=M#CfwKN9UPd60%@gfC*!!!*1CrR6ld1*H`<{1!^9 zXzmD<9;dn2p!6ioU53(AH1{!-*3w*#T~Bl0$FdDH_eYdA(Qvl2twhPwG~67eEi^pX z+4rJk8x2oD3D!hhh0;zMUW3vu8h#0-Jv96tN_%PeXOv!~)IbShRkBcemC`Uu`zVc| z1i>o#D7{H(F-mV!S_LJDR;h~8Axayg^d6LVQ z;L($))f%OfsdWxYr&0?&qV#lXm0?*;YE49`HnlE6sSdT~pj3}q3s7o6t;HxcqSkee zhm|&=)*X)ROPf(^DN3+L{Z5oxQtK|1T2pHoN^Pk10AkxwYbBPor`F>rb)?oBlwe_R ze)W-M)5@lfg-;5Xlyw<4Vfe^luq#26#3j!7Q_6LE#cGYjYN}sj6um%fNe@;%JAR6+ zQdk9ii$ZAq42z((XB>-Aa2zLZ#=y&OGBCVC)@{aw;bl{KiQNT$5PN*zVPI{j z!3!I!&BdHr4sj>oUmZ^qMqd>WDJ&Nn|l(Tv>lkykydb419dvFX!b~ z@bt>0AZw?o)0`0B;^+->A8yI7V+y|%+srRdJRvn<@K&h!e7tJZ{YQA z0>;7oTpFl^huts=IK*BUBlD0JYC1r^*k--=?0!| zOt3HWuT8vsGf$u9*YylfxA1f;KfaBp+j;r3Jl(<5ok{wiOUmy`%J1g5Jv@D$r+az& z0#9G$=}SC)nWwMt^i`g|#`*0_I1jsD_Ve=BdA&Dy`X(=bi>Gh%^Z-Bp4o?sA^bk+q z<>`AoeV^kF^ZW-q{g9_0@#7!!^b=nGDNjG+<)8EP3!Z+-kAKDUU-R@Eo_@>I?|Aw> zPk%_be%8)Eay>us<41V@XP*Csr@!*_H=h2UaDV>+dj3qx|HbS5op3&8?|*ptzdZd< zJ`Uf4obk%%i%^Ry37`||Vkmrx);a2-h=|=ZEohI8R6LbRcsiY@GkE=rczz~NFXrhio?gP!OL=-3$6e0zSMc;op3dg!9A0lO zPv`OS`8-{~%NO$eRlMC6@%&<*Ud_{MczP|bcO6f!=jjbRy^*Ik@$_b%-on#cxt`m2 zdOJ^-@bnIzF6HT+JiUvj%ebDqd3p~|@8#)zJiVW%5AgIso<79&Jj~Nac)FaYkMeW{ zPgnAE6;B`I>EqmfPw@PcT>omGe~PDTc)FIS>v+1Jr{z4|z|)O9-Ne()EDhXBvVBkc zo+19ye$XpiMgoLw?p=GcZ!aNTYSt+Cz0|eVZW64udW~;?jeOr5HOqYm%6;#b`#$Pg zvqr6Q-)H@5tp3?&hl-~@_k!6016;b0{)#_IuQOn0W=56X}-^WUqES? zoap<~_Z7U$z}ZN9-`9kc4gxvogBK+WNI?XWE~y_fC-tKs^^+SZ2!_%n^@|r$^CV0C zDoFk2MhXH{bV+%#luYUmLF!L8QV=4hOX?pFmhwd z;-yK-Z+U6HLWxvVkh0xKghVb-Xqy{|R0!RV-Pmnjm$e8>y4DNqMuBOscvdb+Q|&Q?yBWv(zz?rA`&3 zPIDs#q072mLQOBtca21Wzo+JtNi`Cr8oQBdqEE_G^U0)|3R2D7NHy0d<;hZOq~>cONS*0Ms--?D zPnMENwGyOSyOBCepOhy{t(7d*Mv!XjMyj1YDNmM?Nu4c7wRa=cL7$W-OFbi5s-qxv zjvJ{?`lLKrN+#7=kUH0mR2O|xo-DORvQ$?=s+$|B?)s!WSxP3=Ly$VpjTEf&(e2Sa zk=i9$s+SgPtPzdosfULIX!QiBAk!EU6^*C#b3b5cVEsbOxU zV2_qc^{G8l^NkRsM!J!Ly<&7pd3qV$E0G!{NR4(QHAbJ5r@QY3iPTs@>OwbC<0_fd zixR2vg46^zQWNz_d3r;BNg_2#kecj9YKlH7PcNe{OQfa>Qq$Z>O|N89uSle32vQfh zk(&8GC3UeNHOr0EC6!F-RmoD93R0K3k-A);)RkU(^fx3@vjwR+Zlvbwlk)UD^`=B> zo**^fjT9^p*6q=+@?t5O)FMG@u^TB^tE@}PQ;#l_x<-(?){WG4|5H-e3sN_@k-AZz z)XiR+?~vqEw+K?Vx{P|OOcU3Z}!;+Rv(WJ~vYL>yz@-d@`vA1gQtzNWqRRx|Z@p>J!OQ4+~O{ zxRHX*V{}P*YQE1TQjZE!E8Iw}tYlK3OQco_QjfWjg3T;+E#;~CzLrQmAxJ&xMhf=T z&?V)m`Ho1Wo)V$1*rpWq+oNiO0|?Fkvb?y z9daY}ZY7hF!r%Pw2~zL7kvd$-q~!3o4+N)EAXZN)EvJQjq$}jnvnbOiB*G`9_fX){T^Y?6BX{FjFG=)c1na4{oG>tYk}- zN~C@gq>i|e`ni%xRgp;jB1rw}M(Q_xQh#`PN;p;`^`{{9mm8_S^+|d1spBM4{|Hk5 zx{>-%pOhy{9iK?bATd&g&xMrX*C*x4QdK2Vh9DJiBNfypWn_8rsWuWRQ;^DbBbB31 zih3b6St6AyNaeYaifEJa6qDzdR)ZLpAQg2ZWowf%3cOfKCRHd%6}gdu{W~?EJdKjf zNtFsxRoqA&t5529FQlZ^AVyU|>I64Z)$~c7&ty+_U zC5(oGR3kT1jrB=+31}uVsV0I{Q#VquMYd-1`8=&!lOi~b=7LlUH&SQnlk#LKnN&+b zs+Ak5*4m_uHeMY4faK_H1*vv!q|VkS)xis?_assxBGzz=h-E(jt?5(7xn4*~-}{U% z!ctw`EY(e$l&94of>d8OQvLKv z4e{br(w9-AzaTZhjnqJGQpR8}q`s6ab-o~Vfg7nI+NAuREG3f~Do71;BQ?B|Nqr+( zYJ?y)(v4J^J}EB&%|s?8B4Q1iHKOkEh5&?kBBv{^fJuIq-KlGbBNYo0w`-H~Ho+m2 zS|Ui@;YJF6h)Q=r_7eI{{*xU2PC@D}H&V;=NqKon@JRtU#@&L{J#M7#{hyM$PmsFb zjno7Bq`WkrPl^gM9u%Y=awGMyJ}EEH=t-m=5u}#8k$P00l$VFRBvLB`sg-V|R_T-S z)O-Qy?t4s-dfbiF6Z)jQJSF(T5~(Kzsnu?zp3*1f^+|bJ2`Q6$T9A6ijno!>Ql9QUDmnUAL28>DDfl5p z%>milwmed#nenV3wZo0n&PpaFMVcAU2~xY$+;YRAsN+u=6 zvIur2Jmur+iXOp7E|A^`09k_yOBWz5Aq?Jmat+ z^?@5H_$^&cQr;{j#pD?u2~r=sk%FJ~)gX@Vn()OUi^_im(q&?e>S+l;Ta)OK8Xszv`3n^on(+WU1c-so&j5{h?3F(~E;lO2iHuZn48g z1!9N2Oz!*6k}M@+hYh#bVWR@E!=6aBO(Yc%vBLqk*x^70Vu!uVqx)o1B6c|77CRi! zj~({bCA5<)6^c1}Aj`$k17;;V`q>hxY(Xl=jZ|2ll&86b_7W*7Naebb%F`$1Y1ZW& ziBv?8vfM~T|EHvEK`P&kRDnJzPj}z>lBGnHb-*pkI-nnA9qeIxw)iPUkT`HpvMzN-4o=V=~<k(TL8_V?sT1`{dFm3zN~BH_q^i4-I=PZb zjgv^7B1oO;M(Q+uQl6$4FOo=|E=bjIBUMwIRG_w(C(nx|QfCNKb=*kR)hAWo3#nNW zsRn{nLpM^5Dw)(J5~;?5R1-H+P4!86YQ9S)Qq2UZ=5C}~R5Gc{BvNMzQZ3y`wW?%N zmrJBt3sPsfk!n-Pq^^)iiFovYTReI|KOWs%mmn?X3A7iM>fmOnj+JaFX)#aW96_p+ z8>!BfOlpqQeCG;MUED}@tz=SjB~sl4sqSv1dQ>tgY1LZbJVC0b8>wEEOlrPlsosKA zA2(8cE1A>+iBvy9s=phl0otUz%?`_?1`1Mx+(-@9CgrW4S}0jcMD7RNBKHIOk^A0A zT_uqkDl9e3%~Hd)E#5 z)Oa^i6ZA<<@<7UeibQI%AT`B})Kq;^)4h;7RU#$g(F1Pr=mGtB^uVmlNnIi=b*Y=B zF4MQv6`7N|QjnVMMrw{esd-*VohCKkd_ih~8>xlbqzq4M+iOUqt`ejcxsh6|P0CZ0 zwO=N6wIFqk8>wrxNqGurP9k-kAa%VPsT=;Mq;3?XZgL}avo@)~tzH~mZobIpBT>Pn~O#> zb~vCPI~-W+g;YI>)H-3Q^=_6b*SC}>QVk_i8w9D1ZlpFBk9p|I3PZkGC3-%|evq&^X(K6NAY+5eQ(=YrH1 zZlu1{C-rsa&G(HU^{pGJ@AOG|2|o3AkoqYRy%=zdUJU3*FM4xynUsiL47f!v2K1vB z1HXG|zK)Wm{t(Ugr(5&=rQdv>`YD;z--6UXZlwOzCKV)JEG5NH1${A6LB9*BprK7F z;OXv@Nd*L{pc|=>HmRWL#ZsLTeJUto@`7$Lc|rY{ykKtTr1FHNB5szl^ett3A=O#3 zRK6fp;6|!YpHy+?q>d4!O58}5>XSOw3#oG@ONmIcpj)I_P(RWvSj`KmE)uB|g{4k% zvs86`OP%6{R9A`Ase;sLZlq4HWK!KEQZ)prnr@_O>61Fci>10tr0NJ#b=^qS(tV=tEKC6Q_(NHujM)l8pM3ooR4OQb~nRM0JcDySbn6+Fue zsXh`Z@eB7sw_msq>i@!hu)P;jeI-&IMDulYYrb>zo3FDMQvD=SA}T2878MlKj|vKQ z_d=?_M5>3d)Ol`}>Zxz3-d;!zkVy3rr24v%>Zea?fEQ8&B~l{RCFmCG64Z}%30~lZ z)F6q}5MimIZk8IRZ>bSpNDY=qjTEHH+(?bmCgttD?*fU`XhCX>8>z9{q=MtTSZatw zYP=vd!Hv{JeNvOXkQyqHnj%O|bt5%RpVSO5q=rePE)t|>x{#JqSGtj!txsyM7gA-CrRE7z^W8`-&?n{TbL}XJ)FMG@u^XwY z^+{dp#ZsdsQX+yQ=oY~d)Q{i@-sFYU7>U%)!cw=mS?X4OOWp2;l(fPqxI~b;!;REZ zeNuOMA$6f-sbzxH-EO4r(I<7E7gEx)r{Mj9)B|p$9@HoGuoqI}B}+XbNG*3G^{76n zm0n0qkVvf(q#ko4^|(GMPxDg~B~niaQct>(TCGpY)AZsbiPTeq)EYNZYxPO3_tJcm zB~s;r)CM=95W1 zE1GYITl4MIZ@yh#ns2()e7gmyJ#M6)*C*x4QZlK%g47Fcq+Zk}^y>_6=DkB_cS2ZV?>83Pf;t`i3l%5<7JT-FE5<>hIJQ@bnE?CM9<23cBso71ZCU z%iA|(nUvV6E9kaUS5SYaE^ptEWm00NuAtjaT|xbwx`N+$=@O(B+re)|KlPnkKlQzK zKjmrrFuzRd2SMsbH&Q?8lk&1(LK3MXg4EA$q<+yS^~K9+kYygzyFlCrv#al*ncYIw*OS90{c&SiZqi+iKw8ETU1a; zKPo8XDJn?X?jj_jf_1i0%h)GvcM%f%Plep} zp9<;kKjqC*GO1HUkAA9KkA9kVkM50>v>^~K9*?-Cxsu`-qH?D0@4ET?btQu8n zZ4A|44Whqv0Q?JsHvF3{-oK$rs6nV9lrgC`Im#^WU7KSHilZL^;4{X`b+ylWq+s%C^<9Kk}GLW{O}*Js!?-esI6K{5!MhaL!nl{ z&F63n`XIx2wNtC?kb%mMYL%TcP8aLv0yd$z@~lu-cI9BNtWdYa z_UQ`k)6KC%uQX@quX~2x;tYKf&(Isr(8oE$0JT{Lt51;Hc+Lr8jR$I>@y<^}dYD>g zs#zR|aLjT+B581q&;`yJhKEKFqqHAXIgbS4Kk(`rRgWtPYz&Q4pQ8o|uu8)8*`YFD zGspDVp;2&?uzM>zG#btp;Ep}gxu#qrR0d}q1%IQRGmj5V;7#5GR5GPzjheM;ZwyU$ zJFzKFY=$QC7BNGU*u^mop~>VzxE^+Q!U-qB$tJZJ_^FkMg<~pa%3(aDuWg0`5fW$5)FLWtL%%FLp%RmY_ zq)I}enLy}b_?rcPm%!hp@OPO*aGu(Mu2K_Ab)fmo9x(@+@2Ff9TFjewFmK)*yylIe zo78F>I-GOF_2z`G;bzVWu@f*e=Y*~WiCu3_=sJ*MEq%3f@@N1c7F4#Nngtb@C*Q2T zw%gPsw65*;&=R!+-KAEFo}1`Eu`4shm6>>DF$YSx4!DM8p}WDp>|ROi;_p|VP3q!_ z&sU@vAJ&B))BwlF2VY6(p%ez|LXSKKlu`$=BnF}7p+`Bm9r!QnEUg;rLM!*cP`DxV z1QQtB^eoYU2 zni9NU4}7{3{DvO5hSJ(^>49r1&wM}+TuXW8gL>fF$}_*K2R=gyeqRq5Qdv0k1NNCq=D7fTnYX~58Oft{!I^jrV{*z9=N3v{FffMl@k1q9=Np<{GT59 zEEPD*rw4AM@~|vJ&q>-U!9hK6J0&VZ2cog`n+NjfXRg?iv~mEdAMa2F-GL=W6m39h0C?xqAErw8t?1XtAq_fUeX>4DEv zb^2K+={ZSHCHQ1La4#kJR6THSCHQnba33YOrXIMj@~*0_2kxgla~(Z!e47g-p7~Ne@D)n%<$B;NmEbG&z_XR$IeOqZN`uYQ1J6~07wCcKDQRD&2cEA4 zFV+JuP}06e54=!$=IivpS1DhOZqR!*TBJPlO?qcutOVbp2fkVfzD*B&jS{>>4}7iC zU`zE3cAXM@mmc_fCHQVV@C{1vy?WpqmEimJz&9zu59)z$R)Qba1K*+qFV_R#s&tYS zdQNhi61++ee7h3-xE^?k68xkd_zorbDLwE~C3vkK_)aBwy&m{3C3u4#c$v~kHt9LZ z-AeG&dfCnepL_rs1m$S54=JNeq9f|QVD)j54=hVep?Uxm=gSs9{6$PbJ!t0 z@Ds{2zo!R&Qt8`=^?ZA^^2{IVo%tyx_+vfr8YTEsJ@8s3_;Wq*Iwkl^J@9%Z_-j3I zxf1-X9(aQi{JkD{qZ0h19(a=yd_)htSqc6{3!L?_C#tQjGWC(Qwwh%fZI!7leui3Qy$n>=QLAi_fy%mS zm5nk`Sx>F9Nd_wGt5r73KxG59$`%=@Y^YY*G6R*3)UMuITV<-NH&(N3qpdR4)tjhQ zw#z_eQ?<(W8K`WgR@pHFmCe;EJ7u7id~KDfom+dg${`u3?4Z8z zVcIHF`^%1Ml_N4xd5&6TSq3UQsa1~7KxJpO%CQ-!JXhV~lQ(L^N zTIHk+RCZIVoRWdc?rN3OGEmt=t#U>ND$i4^oSA{jo@ytWrL8j6iF&D7UaGA!)roqm zRbHNf%06n9S7xBHuUh4t3{>`0H_tq6m8s3sU#)UM1}X=rFZ?QPm8mU0P_1%t1}X=s zFZ>#9m8rHItY&$gw#w8CKVLnmbAz_Z)GsL)s9D~mtumG65Vgu%GEg~Gt@5@ER1Q6C_xL!q%2gSt9IsaScm^sbs8v3hfy#+$l}}}$a*|r*+6+`q zR;yf}fyyarl^ZfpIaTfIo3vG?_LtMtDxc0k<#e^mEg7hsp;oyq1C3{=iitK5@;%1hL)zE@jiYJYjDTIGuwsJu+A^5qOvUanU8Y6dE=P^;XR zfyyh@Dqqh)(na0&p_q%YL!1`pz;Q_$|D)5yiu+47w;-b3-cziDWQ+xmh$V&TQs%|hRQ9? zTNU8jwZP^Q^9~-Lx`bpCww-myHRiqL<^$azSz|6=V?MUVe99;{*RL@*7no1iDmS0K zs@&XleYv@}R?W@k2ZXFKKP@-EEH}U3ZvI$q{#?7<{C&ImXZ-YexKlXEA(KgKzU@BS zp*cj(GXElHI!8N-U8p;nOZhI;9nCx0F4Ru&YqlSXEhw;v4>R&w5| z;yfjpb9P9{xrftiG0x6L!sb6I+(~QI3Z86JYXuK!qXg&Zf!ivL>;y;$~18erUbJG&ZydMcHiTrnlRl$UX;h7w@VTM0g058OuyuBiv^ zn;rY@l0*a6(ExJ;)&}|mluGJVOvylHD>Tqo!kTiB(iV+0lmK|Jl0g#P=cU~NK9->szQiDOlWeim+IZIzjE4ZBDN+oH2a7OA#ITNO2gi^`b6=O0| zsU*$s)TColrc`oH#h8pzDoOM6HYz5}%||PhbkS$Rl#Ed->87uQwa(b=?uxPUJPjoP z9;duldg+13E6?0V4?ID6?fo>s3HQiECH(;!O5oZjDH#mX04LlVla)3&UqcBnn4$y^ z(F0FaUVECK4wBp$&OA+d?ISeKoM8RwN+o6bN|^i3P+rDp4J81+NXcNV1~}m|W-67W z`F$kGkO@jIR$j&g4JL3Ivy|XTdf-cx;3<0GOO@bhdf>~HhMS=QPB7f%N(M7Elz`!` zP=aUafv;53zf=R9K!3K9!Q~oCfc_jM_)0zSTqSsp9(bPed2zl5m|y!`pua$=WMRdW zEL66_B7G%nAiYXyi>o!10CC$z)&t+A1UtXAcJymr zrUb9kJM-O2aJe4%9wm6A9{65mQ*G7*-={qDGkW0rmEeR)VYiva2b8q8>z(;QC3uG( z_#q|uIX&>hO7Lzy@FPm_^LpUrO7IJM;766u4M$7F=+JEbv`B^3SUp?>+6*!0Jfp@ChG{>(2 zPH@xblu7~>Q?g5`BvdgayOp*uHI%>&vquTe(E~rP1XDfmUL`nB5B!1>Z0UhtRDx|i z@JmW?fgbo}CAdfr{E8BMj2`$^CAd@%{F4861g4^nW zKT*;?TMzuH65K%#{F##WIeOsFmEg{L;4hTmE_&cEmDk)&5B!z#%suqLUn{{q^}ydK z!M*js-zvd<^}ydL!Tt5X-z&ib^}s(UhuXm!;DiU;AC>KWfrb(oYJXDFAF2mFqCE3( zJ@C)UGmq2*|Dpts(gXjh1dq`J|E2_As0aRC^|>xb=My!ycg{FyvOkqdbUsxlDEUiy z8JeH0|5m!K&L``HC&Yi040JwSgAM*wf;B%`|EHv{^T|3+-$#@TbUs}ZU(RKrmKd=K zf!`Jj#5Oi#^mkVl{hc$XW)=j0KbV}*}o^!jC zy`wy5Sxr-}Tid0sT(d^{n)lVTLUQE>=_@ajYt~I)6VxVMS+n$&lhig&U-Ld75Zq$^ zIl!nHl)0Rh9<;1x(iL`asVi%3m%e7I;ku-+oOFeq($`EiT+j5Clhk%kU-Q0R0|GSz z^2H5EUp>WSLtN_0S_h}Ed0(;zm!+?pbZNuW*G#q8xb&5i)Q(AC^S)l&a~>$qd89mN z1uV&c1s7Z6J-=9R;ag^`;R`NKfV(?ntj$>oix>RtK94Q9FgD|Y3%1I&9=m`C;nl}gs>D}gW+Ur4E>ykbhSlu9;M zOo^#fvbkbPvXx`~Gx|y(NXVC?WRl>xX`L!zybCM+W4i_uU_g}*iaYecxk~VJdf+@I z?cI9dh!Xs~9@tWXU(f?bmEf23z_!w0ujqmEmEhO(zy(U$`}M$uO7I&RV5?1NSKs zp_MgvE+?UyJ7LEKAJi-+$3k7Bv_JftON_rsaTf8Pot1MqU5LpEeCV)4Ytx=`4W6xePPsYlDL3IM>#$RPxIVP$|K^ldNzO+(AM<5Rt6&WyJS39|YjVDX$BG(j za=tsZJm<&qoS)+l8P;U$qV&V|@8vmv)jT$_c9hIXUwv75&VO?28)S0nK-RWMB~Ic}^v?p4AwqmRYn104>{_cloWC(#Sj*TF zE-nvOSx&OM)h-WL-3AXo+r!nYs)^6sWUkdVE%k8q8s*_rssMErF8zaNxO%+hqHu=J zY0r@JJDlOPDsuG+>8mF`_<`c|&y(Srpt!a}-P{Ud9qANq&J?aMRkfz1Wy8*qbFg`! zB;XjlVM#z(Jls535=5K-35$FsjZt!)i^|o|B?UgzV>y`5==9Tj-U9X(y)hp-ed*wWRuWY5~m2mR^H@tG5?5*4&0+R5EZ>l7|jL7CRhp-AWkz9EJ}5+Q)~7vn!(QnxkoJ zAMU^h8<|_UQ+YV$8^U!-+Ad7y*0mzqZaA8@ZWYmX)6ukbuZXr=j;5_gMYP>^G;QZq zq)nG3X-n~to)yuy^k~|8Rpd(VO463%A-yZY?Y<;!DOcL3BHSKK(w4%lZ$-F0nxrj- zTfd5MTbZOSg}d(>ACg+BO|c+u-o|ynp)^_D*4M zT$|vDYzTiiOMEukYNel34UYg&ne?c*)n%y7kscMdT3ypVbLFt<=-A{v*=mhWTQi)p zOmeGp?L~M|v1cQ)Dc!Ttg`qCo5?$f>j9H=tz8=(o^9Qz=TVXmpK3kbQCMBM!Bs`A4 zDU^iAv)38Ee+m>^P{3YbCMaz_Nn!JCN3;19$>!U%ZN5$0=G!V{^KBKg`Bd*VPdrme zc$%>J^hBFa1Dj8GY(67=5w@#T z)@2vI$f2@A=|+zo)s1#&yU~uLxzQ7n8|~0{qaE6A1WGI7Mmy5D(aQ90v{JayliuA3 z&l_{2)xwRQN_3;u;6_h5ZMR0#jW(onqo>omQ6d!$H+rTbWB4w|ye@A%TZB`7iG7=I z72Flut6<+2u9h%Y7bUx`^V9bba}sdQ)XWa2Pc*kCb~3xIOVVrDCDn|Q8`D=EAyu_T zq_6qBTyt3ZnrvoCeh%3kB`ed`m-|_$dVAWcVVOjf+?u|s)F*@1C(~C=>63TIZzkWW zSce4CR=k;ft5W;qt>R|d>-}cJ^Tuwb7sSo2Ib) zDjGM_TT1tTNA3Q5j^_R`Tw3?vqwW5C^xc1tw)^j~j^h6EBO!3mb$_U;>;6zx*ZrYt zMcjW+8ux!Yz5Bl{-2b3=_s8?b-2aep|92DJ{}8zUyN>(6r|JG5rE~w!(z|~m6%F_Q zJp2WJvV9M}H27iq_hHrv!rxc$_l@+lu+M6lwkwkR!hKfy&?Cju>^`fPw&FHvYldrz zSG|2!2~^|ZS>YexN~XZyPbpWlU;B#oAMJ|d2dn+sSG3>Kx}yE^6~%X2bsCNABm2pI z$N3#6^gS&4{)f}Ou^y?&Q^cD`dy4p3xb$1-N92Ju;Xf=BOgBEBL4^#)NLFv!3EBQtIK-_@jftUfw1L+L-ujns^5z~3Gs9i%E zyAQa0JEVTMv)2y)$NP}llqB{c{}BrcU>blLE(VA1Q|!B*bo0JrotfSg$p$aBtVDyq zV|7c9mA(kRlmp9Pds~Vnk@{TlW6d4FnEq*@USrW zo4iZ8Uvw#k!r+H0V(=^@(ZLUC+xt+3?0qP~-uH_h<^D813Z+N0_aT?p`&4@;p1n5B z752_cw0ADpJI}FqMA$o}3!kLw!r#lF3x7|$3xBUdUHE$m20wZiPOYOE{Jjcw;fZIj zO{2o#cA~+fU~t;#SF8qCM4}ZTx9{zsC3|??FgTG&)EG!VephLe3GgQ|DYlUm%H!}wC(*th3x%7g1t4m@MDi=?++@}g(sf9Ha$+* z`}jnA9|!h6-m!Ppn7w@~NS^aHH(?EhP%nB4{GFCC;`y>%-hAMzfCH+L_yFlMoi~tl zYhOTrEz7Jj#>yJXlEhP)R_*i;3AF)OCoM4jiVa#@K82b76!l@^-t{cw(_^KxWLamV zugT65f24O7Ao#LKBcJJO?aPY$kZ(flF~Emmiiw{I;JJW3+BXnBRMBsVJ~MtMQbHSY ze<`7j68)ti_)8<_OpS%VJVe5dzf4Z!FS%|vP2%LHFV||F)=JL1zci@;)m;{(EQ5BF zy)f71GlVn;0gBTc5SypuJjpWUF~2Z=#}Oz)z!dQ(0-`*PzA=$kBD zWpcVF#wOlfCE-lqDour}G)r`qrr;{g99L;BT;*lnwJc5JDtT6`^cO6-N}gMHuk3{K zT)$DfbV7M9G0D`e6UvK{8`55m+zaJd=cTWClwJrW1>%`ct_Za>7AyH6#Y34H2I;ZrRWed6kHd*FY=l^nGt}p7e1p8!Oi-c$|2zT_85^^l<4dIUL zlld*!Iy@wieKLpPppURp9=Fo1Xr+E>tu#QxN_LdY(=?N9jZ9lJTnT2fB{K~aW*WrJ zbgRltgN2!9fSKSIj>tO4Ou}_8NHvQ2=XN?Y)ru^r9(-+hZQ*NSj0IPDTfTK=TH{d3 z+wxsTq_`RVFqv3PO2OM$PkgTMx&bUmyi|A@ixK}1E;HtZe&%%$GEU%!rMP3poij@+ za~zZoRXJ$BWBG=lw-g+7gk$+EaL|#C<W}7 zwVIzmB)aM%4{+eXK>ulQ0Uf-oKUHA~&XOkz3>zi9cM$hP(fdyZ3;T zqF4gPXLc{KyR$ocdx6Fy=Obq&gCxm0Cjmh~P!J_5!3;{0j3OXeKsaE5BP$?+>G8ma zJ_BY%k^+h#@>Tbw?wRS{J)Yn9`~Uv$_s$u5r>m>0s;jH3tE;uMP$jW(6?2-i4m-*D zO}&e!ta)bN=99hwf^Pj-VD_UK^aGn-S)?C4hXWo7amx{xGA*tK|!j$3U1w5n}=X~ zxflfvAF;6q+XvPK)@xS3G*#c%X``ROj0WC<4%}luP#TuYh*tgh6eje26W%R$9>kQ( z5PY=Qj5b>DfM9KStDns#cI$NP#>B#|tlFKcDttP2TNqzjS@ltV4VNRYa#^YqW6VyB z;dNrG*@Tu(ff80=vz%elES%-wkX1T+-5>7#(Y%7UPSMqhk%2j_sv8wwE-w@9sOM z!8SU!-|W}{x?>PE88|>%IvBHKL+`p{hv<$SBF(+?Pj&2Fvtx(pj=c*VJ4{+SVs@;X zE{YWxQEU*FzP-zyRR|oVdv=tx_ufC%vtwq@-luzZ40`rHY3X<#LZ9U3l&HBK84a8; zJ)`ORjPVUjM;|f@e*~F?1)C=yLzREos_;WKS%35hwLPB?bVQOoLg1Tc4okt8c{nU3 zk03PChRipnI{${J&QIPwr|FhZ;NK>vPtlzIH*oqC;q+;fH`S#8+2D{gueFojBUS!x z6HD)r0%qbJj=+C78(JgPzFbBTCp5nzKMMuxgC41t=QrWz93E-0PL>ST$r6usXYQVL zR7>C-{>)_E=QQg+1J->`Sohz&%&S^T5bKnK!|43NRA%n;>S(nLmu}8on$eU_C?EKe z)@5H3E~;cOlN5_eGOzfOIrFSM#r8I?Z!Cf)hC#aeM@)jThiQjci%A$ zw$U*oZ3_yJv@QB!sQ@HvfdXur{AhN}efoJqv_f~XjP6;5z)y6~ej@Fi`=@&Lv&pja zG|PU5o}DKx{Sv!pALc#11nC33tsN-}AWWYaR~`Lw-%RFH`_NS=<>UW(ZsD;|o^YR?o82l&Yp zcsKD-{5C z@QQi+K%PIys^&4$*l`nz$M`b{b2 zl4J{~Ap<1JxFaz`Ey|tiSR`hs8Qd?KL;*mQVcaiqXqA;ZP08jbsREqK^Kfp#htCjh zhR7YpEPZFF*?gFEo)G9AzjfvuFMP?*R1I6qK0N>GazT8c9%+NLTiOfx-+Ic!<&owd z&|ti^zn9JbhWGZ(gm91qu2yo&X1uRMws!7FZ?QSxjQt=cTb#WX*}*6Tz8tSSU1|V- ztK}JTwme<#EYI+y$kRRjWG$l=&K$Q_N>VDoW{Ou@FJ&neVKc=ekCalBN>G+4cbAGP zm7xqW$9YB=t40qmqEV@0jJ$;5F!E9$*=AA0AI(eUC%^JPjJ#Lk9(k|CJMyaWM_vt! z&9u=tGwzW$GwzZ1O8g_QmT=^8w8cz8${1E#%oGO@Q!eY7!jVU`MG}GoCXrpreJF_v zxk*OJR-#3|wwS3t#vj>?wwS5%6PmLcZl>t`CZjE0iCbH|l1FXfwQCDc&{Nn`%+wmI zq@@2BS_A8vWI72Y9aiM{L7;P90iE*-=$x6Lb6x?RGt;wIe#IM+XOellBd7(eb84G9 zr;e#}>Y6&I9@aUYVxWiWLs_yX0D7nal)+@~Eo$f?Z&8l-N@Id%L=|Qz5A*H3^^iWz+ zP7f$np#U6JN^3(5JiRqB@RXs%0AAbDjcs!|Af19%cXUyvG=mGJq3C~>ZY&sU$~lAD$A`GP}% ztc1@GOAEO`ogzNp5^+x%L&QC0cp~2M?nRtx2}Hb;DdL@J5$^;d-kFGam%QlBSQ#{x zuEv0oi)sT#x(K7ByNlLYBsz7%=*>lfeGj|d>`9NZMQRr=hghjvB%Hrln1i`6om^jJ zab3D-a9z5{<9e^V=Q`aIQhJ+Q??ZFFH*mcV;dCpPcUWxlK@@bBGALcUolu4 zX3QC-Edn*KsboEo7Yx&fX+htrrf~(GB!I7ARb;G{t!gDOVU1P?Uy4I9h&VqYIAW5*dgY)7a}a@2x6C6U7I5~6=-K5 zKamV!phj)7mIP{#M#&VlQ&5Vv!lZk+O$`fs2p+C{C?8p~jzRe-h(6@wK`btW$n%A5 zQw2AtF{|5cYISi7nC~uJ*%tQ;CjE2Evn*e7#YbVH~<*D+s;JrI4|407ZuXw9_n|fQo^Lg(=?-Fxe{IE&Ia*1A?#a`gd2OU5^C!9jTbgK9((1_%NhwS{x~}sVTcZz1K1XF5 zfcviu5aLjT#W-XQ+aisWx5`819IqsA^=8Z45*Elg2?ymZ3FqZ)WQuzXZeDp77VhLI zhCE6tq>!T+^5;-C78dhK@?luakAua0qPGQEKVK5~=p$yWpyg?bxze*>bg`e~stjbe&Z$4@L&;uBhr%m%|GHpIR4d&BiVm?hV z%v@u5031_W7R>=&*Dv|F6 zKH!4@cn@MGF)nOlH7dA|!;f=@@{EM2z$_uQjBX?_woFto$FMpoD)5-tz7(a&bsF?h zLOd-o@=ZfNrJKsjntVz(o$~pzDW9|MUOu&U4f&jH%I6$fK4*h`&LQ$S*OX6TVq|3@ zPd=Br$)~m{O`{TrY`$hn(`pFQ18I_nKoAGzR(GAab_y5><^;6^sypRug1J)_EYp}t zWTz;qgLvgkJC`-bcdFz0b25D$k-Ssj*=MD3r(itc=oLEMuDnweC8aF0N8IeRL{}bS zh^{*UiCD3WC-MmY5OA@mmx=SRPj<~>GmRv}O4Y`mG zE1PtccGxKwn@qXba`$qfwQI-)PR)(~hm;&zE+9cS6ku2Ewm9Wt7f&ws^5ud?B@VeT z(`qLz)|TxFX9dglT(vV-PjHv*xq?(ewq-l3mG%f$sP<+1L3&xVN39}2ll_u^oP%Ai z?om^?FtO*3S%JS3*9v^EAXSme3jA<M(nq+U>EATynonV(0_|f=Q;QQ2wkcQL>{8)S|@O^4YAw=E^e4oGx z&C+ZiiBWQw5YzMGvI5^1H{bUO`OZp+U{{=3_vVV$z091kPo!|j3b~%#T5bm`(6 z$U&@l?s!#i1Xjo$yaT)su`A?u)Oy$mU-|#@3ON^6$b01K@?Osgd5`D1yf5KFd2hlD zIX7X4ypK$;c!k^!R=MxPDmOu{3oGQ~u*&tytD)=!Ss~AYmG1{+g&cvh5Ah1wa~sM& zA}eHY2Phwt6>>W$`$StIC!95=>x8q4ehmPWIm|dX&<2Hg68+cRPuE&MjOqHMIbGv( zI!2;1|IO2Gt!mY#l62uHZe zpOO~045lQ}ImYE9f^h|BIB~{Rh3r*nq256sC*YJe@{S0dMgWi# zV0}d76=D^~5n-?kbTeS|WID+T0`Yqq08R8WEKE4#YB=}I6f5jnGfSyB?4;yCQL+oOUw@&m-Ilehwjlo+z(@)zV2OF95mHt#2$mQO zN%>Pl%H>aaQf}s8_ng)OrR9ZgvUgOpDTrk+?3AZS9P%W*-U}~WIr4N=;7nr0>8QwD zAtxP-Ti1i>?g7mEA!Q#OG#t!y4;seb(ZX|7u)XaNo};l-{d%RwQU|Fs2+wb_S5AUO zPXW1{T+tM!EjT>4bKZn0zPNrDDZC>U&O?xHvR#ZJ86YFc+8tUrB)2n2a(*JojX;tS zmSj0eN(mN#vP9{MR5W-GlqJX&p)3o^lI8qRRuIY{Bv)Q&O8i2e#1}HOty~@KE6}#m zRyT=%PcRD+ZCeD(gswV^xr#a~4(|yfP#og$o*x)$fFL*>HYuC_Pk<3EYeh-W(Gc&&~~wW0ch~*Cgg#9x=<^ zJu&H)P_Vp7%nCFy%L6eh5Mov|h$#=$$H7@QV!p375figAXn$s7>3xyi;h{!N^*Bb& z`)XBg^A66uFG|*_4V$6TIB6m~Y$o2tuz8Eyo0|HtF?}G?SsthV zhfLa9>-w@GXlBw5(wVfOScL*?Us{>8kHKaqwl5pIar$_yVFMbEF&OK*@{f&8J1+F< z@+bJ?f|Sba1bTBX8H#QW9+?Xd$4enlXXssLK)X-EMjcCQu5X7kw5vRtc;y42) zBNnH2ZsK$zmN+#bwHS$N7KcQg5Zyf~Fd0w8?YcN2IwnGPQ;6JBC(cd?c0nCl>O?Ft z#M8TB>S;MD$vPpArX3|-Crt6e)>U&NUf8;7p^H~LQ@q;o#Onc*=|ZdN13{oBnR;7M z`oE1Uw^^&{1C<|ziYd#4;(VY!&Ydi9)Xd#mO}6x=AD9HiR#RKkYHAn1)ztp($E()5 zF_c@pmwW%H&HEw4z3wLWdg$EK^NYjEU^{O(^t^lSX{{UF>t%8epN=p*)4^WAJvbKu zlXoAJds|@ghBc0K!i{?$2~K&Df!8m`2OeQ_#quDJfx$t*lZ1UKH+y*T=jP&guQ%;7{troq}1=UY#d z9)Yzd&bN-2ktYl-DxKho&0{7rg+uYpnOI42-7m$DDmRB{(@kMw6Mkl{NbCHiCh9CLe4|JRs-p@{9U7o=@EUk$b?Xa|h`zgEg0=PWO{gmA=J{{}y_b`jz z^wqvHwDA$Y4Q9&-K7F72sRQ$!+)sVW%`tS^K8Awx@IW`llKI`IB$bAqR1S}|-~!k0 z{-frHX6f%1nTkWHHrgbgclX9V6wmH=l25k0}hfd5jpFtd+zMi3f6_E*X~Dvah* zm`xsfMlHnu5=R!BWIn@^Z!65bD!8C=a*A!zVd*`1{#UvnT?Vgs6}hq86heXOd)j$A z8U}@2MDpE{iU|w~Z!$QgVTF#bv{@F1DFOH2U?+Co9O0x9^AJuxd>#fLfuaAjJ)mUC z^+#~y!ZkWZQXV-$^1FecPt|Zg0C$7|%5q0!09_^d6eP_!?fqH)G$AbiC*eN%44I`T zz?4@EtfAM)EFFSb`gJl(zX#UPGGYyF1#9RHVhvq}vgJ^gEPV!LE1)b%ZVF{9p)8+V z3CiAtvJ?+^{DZ5Y%b!LVnJlm0jEx%j_!J>oJKZ-{d)-WcCp{DojIiPCUiu$XNH z(~C2tB+Uyk3vV#}e`p91dtD2@`Y%ZA7eq?&9uk()O(0cX*iO$1ZU(9HNLWhWhO$Hn zOX(IUOOUXXZiO;UN^_tL?;$lbq)%?hlfG?+^!aN6&4QAY@}9f&?TADAM3??*(x)x> zc0v=o>8br|f!7I}8Nog9w~wtciK}nf`Q&TS3IN-4JpuLs7IrHxY=;l*>$u0y*Q}I6 z%OqP2w0=lGt^*JF*Spc+(P;2^H24vX)LFr*2M0O@KY_oKaRGXm+dGzWXGKSM7=QHH zSk^6*L-wrb$|l0@Q%ujp(mXE4{&METdX^*&qwSJUb^C?T+5GMswIG0_zBBY#kbF;4 z0#fdRGkldsWBIMXL4^AR5RHY%=kY1OZv{y+DCPI9U95s%5Od5-;cIsMJI9w_(FPvr zxW5b&sq?*HZO3M@`Cg!^*(J;O0$&Q@{!W^%-wV?e61o~ov9sKz7;~=cdqK({HW$M8 zg5zS8;C=6)>$e(RgZuDsP8`G-p+5)+#oClV2sSL0WhPsHP_tNhHtcp*o{cry_wlXK zeiWMF&@YBdKMGu~gr|(U|52F7$n4mUtfdTX{;1QH8K?miz}WsIa9l)>gEQ|LN~G~R7vuOG zwA4T?!q(tlVu6=RF1S6-?K&&g=LE|($MkwG?se5UR$8`#1*98+-V`tLX2DU4p5EbJ zNFADxlkjfBQNNOSCh_OQ3w|YOYtlPON6hurFewnXap<`k$NGw1Dm{>gSR<+)9By!3 zMG2Q!bp3+=!}^MXBYh$%ymcDARug_?!6SBWHeM;oU&}+}v!3_lZ#je-Wrxn1+ejc-u(W zAG|{ksgK-DdU8IOtmx z4FMJQ!|y(t+ZO~LE7}^pAuPMxf+)C2tH@K#gBO)=UZXztuW_#E~+XQw!=8Mpa$dr_!ogNvYSr7 z*v+$0s%CeF(tv~b`T?AoT(nrfBv7U78vByKFHY>s-7t0RiKEHOf@KBv4}{o(d_{DQ z9f!e#$0d~CQaz?oenp_&*sfg>=n8h0xE#ZMK^M@Cz@Lg&fAGiC3$Jb7+Hh2rzvT#egv6Dks)=jN(l-lDpF z)vjk;7VfN{^qOGsVSxcBlkN-&72`TX7!kZCh_<0z9M{;z73c@orMT{62$hJ>$8bH? zQv7_J%I~`9QebATyDs!;LUYihO;!Fb7}~75_+2o?nB&<^ss1hslVR}xU7+S!rFuOq z&EjfBJo&;pfnGS!qxL~Wtx8<}r%K8U@`cQD_-@}2T4zTv(}zRB=B z=lj$5m+9gukcTbwd_Df(xOk+M|C@_P8uO31c!*18u!O)R^NZX>zTnv|UrcN%Uq~D) z|B|>@zLZo;zMNDC{-(%Rl2hf&$#vylQx?isQVz+NQ+|;z<*Ok7mamI^)mK8k=KEN_ z?ynAiYvtbqL*(m$x$+-MUHNxquzX!vC*Pnq<3lCE)k3y8L#4pg;>ic0iJ{U^mY5Gh z6GLU7EFs@1C@TwP$@%s}SvHg<`6feIIVj8L8wzFRp)AGs7nD_iGJN+$(NIMw0~b@M zui?50_2sy3LX`~HO=2hTGQnniLU9mkr|TwE#npAg2FBeIY+X>>p|XgPN|6CgFzdZr>XY2bzMg;f$*#+8IdrwY!51WpB*5Fv zR{l#c<15Q(viv2Sg$0zqt)_D+6siUSL>5yleokKwjmm9-gMvl+w%~pgc9y-Zmf~W` z&a!_Af(qHCz+XZSmO0D*l{dq^Mtp|*-|-vnwc<0}|Blaa|C<#=D&EBVi1#TkoQn7E z_kQd>Y0Nj$+&sjac)R^SnQw@m*Mg*O$&2N`;1;vno}c8uyq)B~>F|@#y`V=my?h_& zQIB^D=vI8wP@;Dj=vI8wP=fa)l;N9(lD&JO4Bs>a;U@{j4SkzXoTqQ=8~WCB1cIM% z%*u6lecLd$zV*m4^{vg@1U2|6>>1qyUm_Sh)DqPQ8VEI}oim{(ZowNKk05^oTJg%ETZ@Lf1tY1St%vm>5*yVbI0I zfMr#v8;b!jk3^f>SQQc+b>^`uL}3^QtGfF^gbH*67H!mpX&e!zP)~YC%cF|C6nJY@ zA1a)VsDh(@dPnRxD|=L`BjZd`kK>WL7q26In2v-+DZOKNBrI^D@j4RDTSxlxI?|u% zNUC7^0)Ja9j-(21#o%=$HE$hxkk^rcOh+RB8%H8{!I4PbIx@(ZoRapzQ5nzNwL!+Xhu{%Q(@RXH(Pj2WLNs5ME06qLL{?`oSUrq79X6gS5 z9>D*4RsUDWhyMi$@wIwA@xLGozV@$!_+M|3e|5_Vt?p(ZkQ3T~jSww2O=zRj1`M=5 z7-)?vZ^Masqp)A zaOLkAk0Mw01mzb!A&9n9J@ z$IBn-Cs_XcfR{gV7byD?FZ$&MQ1%mE^vj!|>>QNklNUnS&rp^Ei-MHUc_{PCm!a$z zDD!#xK-mQ-Q#{R~>>`u}JUgK55|o8JZ$Q~)C<}Vw#`Dk>C<}Z3fU;kqOvRIwVKjLg zan7fQelw@&=XL9^qqdYVg5xc-j;wTS_Gv~~dM?&l!eQ5CP?N8jdsXTfx+*nf4t_xa z9Q+DhGfn6(VDiQ1?)&M=NT2V17tD7zH9f@KSmFV!=Wwys5>KQ^nNk5m#Ym-brk?@= z72`=JX2v}_)%@SP(~8vh2c=MS4=$9K;-9DJl?_l5WBRSkL~yEi76m4Phx|3QOtug{92h3kywR zq?R>>C7TwOvOwN!LgI2fVX4RnO98>gSscPrK$I(wCoBax!cyKYEReDi#J*B2VR6}8 zHr6$24L4x{tY`k;78b3OhOpE$g{4;f!gBB33kywRq~h$5_ySeq8!?Y&!`+qe*P8f|@IeJvKX8`dz#I;dP}^JnAGM>CBqYmERPBNZ z(MIYG)~BK$@h3?v`w2|j#AK;ba>{<3gCfwH$(iNJBD};D=_e(rT?s{6OId0+og$B# zhR~xtL#Vq+5t{_|ic10u3Q|1sB(NYy0(;mcum_RA-X?||3GC}6x2c8({G$@6VQxs^ zKvMz-#V>&mnY}roFQy>t6qdjsiRS|o8D1A?!U*T97`Yna>?kc)#igoJ4OXsd+?`w% zGUciU$koH7pT$6~hU)!nYRXkpo?Hzxra`$1oGkOeNV^R?KU!J^Jx1{+tZNdC!(i$N zW_m0v$~ONRZLJm-gdyRraUi0$LkXm1>IpL!w_rY1`A0?}AjNd_n=akLL|ah1SdJxl zVQLKAHmP}A9c~{3!^s#JX-W;pZhOor8s-=nV~hc&Phn{pckcLymf%_^jp3rDnu8^{ zYNVQry#!b9KGmFuCNWaYRC6kwY7UB3D8Sav1e3&z^sUN4hIgL~O6FD`Pf4X>1I{!Kib&7p=mu|g+I!U))DyUD< z*2^Ry*HeUKQw@^I?X??exO8K~NQwz=%D_S3 zTKW>(@GmBcwqr(rF=5UZdQ25l`RVGMtS)A;TFx?9EobpqJD_~x6K?XV;N94+q;(};QCQRK^TySiS zi4cS@1?Ls*mr{9(TP%^@HCQ6O%VWv&CQCS@uef01aB_rhy@L9JIr?6tIr0KM8cr$ktq z$_MJ;dI^i`a%qF>a%mpdr<+{o3`A&t3AcGC+o|SZAkHuc;>$GKX8_yb0(qFHUNHzogoSO9j4dpIuCP!q`*s* z;UBoU`LQ}%Mi`^$-k!EWP(fW_c6K4%*#*$@Lel!8zqzGh7vQ0(W#UhZHv(CohssiJS<#1JjHO{1T@l+LB%`=5wY>4STHO4t7B_#Z#mQgn)^SO(;Hf53whS+-{SUmTM)9JK$%{HXUc4TN3Qd(- zYBjEka4sBck;V;EWf?Xd3{zzpF&5r1Ez~w(8DV1q;j1WM%7$Ae_V@6Xv+j;y=Ud66 z!Pesz)aAM{iqsXfF}fUDSwUJ_X&9q&AKjmRmN7_}e5Ia7f;0ShDG}Jh_y8LTM1fTw zjfCq#$}_*p=_Vr{RN9(pBrsb2<*);Pp|nO?Z#r5@Y|46pqt%05_w-#Co<@X&*fF*q z{Hv>pe{}&k#@6Wm)!28LscTJhl(PzH6qa7$3YF9N)=<(IUr}AB+oKiL^|U>@4w$~4 zFn)ufT|9H)Vg?W~Pfr)^!m_`yz!TxRGV8+B&i0|VparN$C)0J*<$JB|*zvQ+ zv-bP_g4H@}%iJ%ps@WO+e!-+;GiC8v8?UFa)Yx;srGPy>4F&A!$y30a3~`lThshA1 zh$`qJu1tm}&trn*pF~_Kz4A6kuQ-+tj|q|(usZEARm2pBt@W5F)W`-|=pNA)53MHdxm0UIs!0RDUa^7UA7AQGd&v`wMnR>1V=(#OK&ou)*w^h?~azTT0azP&Fa!k%a zU?x^HvL`0zMhSAE;d#ckoEs&u|5?h75*%&B%L|+RJ4)rR5$u3RamP8a3t_3wxBN$0 zgF*I~B=zt}x-HN^w??{w2MIe);A(O>8>ZACTUqQOzA5DFGPRZEI1)C6w62*W6|~lM za|B-=0G^ZWoc7eHOM6pewl_6adpiTaYW?%H1*0SxM5YnxpYQY5<#6b{y1SeiIiC-Q zup0N^-~rvX0{LW51@jZXb_7HJh*<&i!@cbuT#;dy zC({JO0o1#5`*IqY*C?LD_N5m@MGM<32iHZ_1I4gfib}gp1@RI-IbJ}56O|q-PCU{4 zH(cGZ40OXCDN9PwKe0Z8pkk^FqQ;kL7SkQ+aVd%Vg|1;Qw`x%_z|)ARv;Y}^7+`fj z=pPTfXQ>B3|Hx83DMdX9WeHNYR7gDpWnO7Fl)VFGNz$8AQT1IY!?$zjaons}A2!5H z+6T1p!Z2#hirGe=1uk6!7ZKeQudf%bD$J-17}6j3jeUv{ZicjQYk zez{J5`Q7@(M}ARLNckUDdBCXgXTl)z+fD1Yp!r+kO39Z1Kd+V49Q6($_h&RLvJ_bC!DM%N5j50em?g|!plC4z?}{!RK7CBly+FTVD3GD^a~ z!&xWt`$s4R|Bf%!(th{hw@7WcSc?4q7V_iY@lhk~cLl#i@EIZU`->=uf5(S|wBI4> z9{&!fddTk&p)meE6{YIGNAO#ub{a|}zc)r{`1f>_uK%8a-y*d$bHe#iW;C3&SjyTH zF4O}hpk(Aj3dFodP{z)1(bj9F5^JUWYo+wHQtDbMv{nkNmGZ&C3OLTQCtSQo`JLfX z2g>aTm(hs3JzORlE*A-xCv*fl?Z_9QeyJ1*SGImhr+%px30Jp%$)tX%6$#&K{eq}p z>O{hItzYh;erXU1H?)2!Nd0nuB;3UMr7-y=C;UK8xHU}9({sY@K-;NB^wbC84*K|0 zCy>01`tS>Pwu&=A#Tv!kBH`{DY=7d8e8pX1Cpkb*B*`TW7$)e6B(0@U44`{hpi63? zeV3x)J{rmxc@wf@(o`ogZS;?XAGBytTB8omkdPnc#}iC=Aj(foFbE-S2HX!vOVs3K zYx$v07Ugg&5eW~nnkj2FQvekp%?w5bsAld#;MT(P7;YwszGy(25~Gy~t$HRW zWg}qeji&4%gh_cnJ2yN^l5$dhh^G7;O}QKikJhOFqdh|;>BFMJiKM$&rVSWr8@VrTMt#;#+Idg`k1svg6U>A-Z7D6onnML?7H@usJ(83tPp&?{u zF`^J!#OO4OON$spK~i!pguproevAKd!}~c1Ev8RT$C8vK94;-fbLoJELtAM7NBox? zewTy8Qa3K?6A9KD&ZV66np%PyWT2K0Yb~bS+>x(?L&K{LMN`r1Bng$FxB%7>9Tu2@ zofudgWR}_Ih@%!VU0k&?Z1Nb1swft}!LZU|@f&uTd(R>-q-qEy;=kPR2@ZLeyU83i zPcs9+VTHj>u8gh*`g~x~r-!3^jGAAmmZsQb^=PG9oGJ&Q{m|-BFX&Aw{>u&ji_@bw z8G`8QO)N00>^wSY@u*KcOkQo5l7CwO`Y`~sF>GEV7w+If(=`kMfTpJ`nm*{DDPJqB z<&4O+_7Qp7BJe;UZhrii8~%(#;B{^zl3H&tOkU4$!(#G!l9kaISHR@YEgT+#_S@jU z-0)W%95$$aN=8^FJM^{-iQlr5_-l*ALtIJhVk^Ps3x$N$w~fr0fW8@?0kDLJf-Mh1 ztoF(cf6wXLCOUVAi`K>cbFSyY(q@i^*vzm1(D}h4^>9E1CIYo}Zun;oI&c4v8e$8B z7D)4Xi_{}r#)fFs7nZg%GzVzESkNBLGqfC%O52b^fOf%xHY(51w%KvJXhD12Rj5G7 zT~z&c1}jUhwlgaQVB{qYK3jl;+tbj}?^uJWMjqxLa#)#~2ZJQHiD zomkf_XyaXpm1xe>=1O4~Gtw+d?Xs^Fu3MCv2;yEJ|K*1Na+ZV3BnxE`U&h}ki}Ga@LU{chU4j9P}^P?O!Z|Cv<6UDkMSJ?O#W7@0ncNPb(yRmpL?A2o=JOrutAJ zs?oxzu%OWpuhEE0qX%q_f&@@L6}=nzDTt9&qzer=RG!u7Z0~j<=KI3d_s_dZFk?)a zZn_hQ};bvT|#JT@K95i%f$S?O*~U8S>idJ5q6HfecU!$QVs7c82>NF1K@-mKouPW z05FpPAOc$i6(Is!4HcmTwkRrU2rM`j3)A;JN*}1@z+B)2bHMFs!LXx3Lv95oJ3oNt z$5P?V-{5G=!x@IJAK=0zXNQWhUw0LL4THBBDn@8g0~MoaQ5+T5XmJw`B(e|(3Lr*O zYgz=?EIUH*5~u`el1lAf%yX!WCU7V- zwT0FKwP4^Gw5OPLiZ#2RQrRtv)CV;Du^j~dH~($?mz&y#qZm)C0T4gK=yR?e#0u(D z1}W%qTb+2|5CH!UFsBSELzvSZm7$nZ7L_#w74L>lwTJ`QPSFNkh`q{ix(X;R$96;NWA-X=$X1)&p_PNal~LcCsBgVF zubrVC*!nb|ji9$M%|D5(cbuEqwyHDdDdR>MWZ5@Hm}&L%%Q8e z(q@6Z$`Y!IlQv)4XoDw7B0S|0#AX12UPD%NmA`fc1Q8l~Km_d4L>q)DYjaXp0f?l1scU+8jTj@4;fkmtL1P`NNb#u>szlHTJbN(kY&7*P zEjk~kz^3#>>L!Te#zLnb)d6UgQDp+{ZB&_pRs~g|paq9&(2`uCB~s9;qN)ViR#cUO zRt;67p$*cYsUbITIzLjkA58f*nsP0Ys{3j2-tKF<0-UOAJEmtqDlM8A7_ie4dBVBs zs5(JwH>ysdRRh(~IES|Y6PPW)9|gzMn3zlHN5Mr7se2=-`z#f-jGzzb9bmRK!_rTJ zTcZLMBB=*07|Tro0IfQ3>dl_6$|+~9R|>_HmXf%_%W(Y(XbAx zW6_X~FqSS5=ej$ler+vR7u6*o|Ap#OAnT!eT#)y1Dd>lZa;rI|Tu{q!zf3(DNu}Jb z8#D>zYPkBSK0)#{s!t)=05u>;Y8ozxwFr^4hNvO=`ah^4^>riE$m$Y1xGxGWg@(b6 zF%+qQkxrD{j-OjZtHQ(`TqLh131$e!2%(tCAixK~2cl|3yuxubZN#Ru35M zbV)#JmMNErMZ}Q^e7><$4_bXUL(K>xU!rCdBF#~A3T=97(GGqY%GT1^Us>a7qb1HQ zPzwV6ENVePe*i(`nK6G7atPmehBxP%9dXAIPVzQET$)PpCEZX&cnW0!ef6 ziW=vw+R_!Wzsn&U&f&nU!>$NU8ZyD<0JjZylwfoJoK87d&~COU*A}%U-8_%lQr&Ea z+F9KsoQsqI+U-Ck@{#baIQP3dDOr zzcEf%uPS(x6YAtnS<%&~ zzU7hBUoAFox7genbta8mMV+Zex}YvrBZSReQCITab<~ymt{duRen&?IOVV9o zDcHSGFO3Q$e2n$-ToYxqWX-y3>f?M$(D%Uu&H8b_^w9_W;LDcWFY&N2JkcQ4(RhZ% zb;daf!@_Vr1Atu&0BzeX^|tAyw|D2QJx)*z@ZCRX+`uae&}KUr|?i8`(gGK&&MjuKSc+V97_0RiV)=>BbqnKZm|0#MlP~#MR)F|z(yrsGmgref~l14;7!3L zwHR)Mv0-ltj5BsP{V7=TST`^OC#dTzBFT&(YU6_1=W`hsw`@+ONQyNs4(1u!Uv_Bu zENJiK8QN_-G@k|Sum&vv-fO2I-y!iG?vg>=%q2)>JkYYeQE#keBLUQ#(z1O}A9JpO zlg~xwB+3(9v63P$j6b0Vs-2&=@@P>5(!$x$8_px>zux*FF{*H zeJQm2p?=iF6C4CcfY0D&4=Lcq!R?*JQnE$m9Mbv;{bYi4<8Lk9l1XeDQL0nBVFLF zuzb^v;}*IkC)&2}B84nipJ=d5$FjEP8Y#|rPOYuuNNL@J8i|y#fSjb{sD-6T31|2d zwwzC`!|ip1P96wf@>B2y7wcAm(xO22}I2#54_3(t;r>bV^31{3(g?oubKT$_`16gHjv;k^cX?77J7_AcoZ6?k-%x^ z15iQULzmrL*&mi(;-bM0Xus$T?G2V}f>eJRFGi!$q-*z~(NxzSM~|D_r5AD-%~!c- zGAlX!DgQ z2+Uq+90hYc8qdJ&M_@)G{Vk$@&VZSKCJ-h9q#&q0W%3rB4CE1 zNfel;&{GVU5jvQW7B*ioV4g-#6EKgVrztR#(PRdUmLfS4dBOs77F1^|gWOZl6ar-| znnFRDil#D9U|-fo?hHEc$XE>N{$XxEn)D? z0SyG611*7h?HAFD1mvseMGE9g=p_Qu$YO3vegQh_5X3aAjDp+T%2hhNWIab&m|Z7sOLn`O4yw4kxdpfT~7$<$YniJT5#76ldK&Y5#2}+&}rY9T!z2aWG#Zy!dyN%urX_{1aney(^B?; zX1e}DH08)l5)2jDL)$ATWanVsJKFkMDV5*WKBIb+;+%E^?kN$U{li-8)!(_?xqib$7NvZ1i=vak3Ef zIUev3k`0T=*=RPI1COHF)Eqbm&9P=v^4(lCmwa~&&85D36}@VH$H;p*fdh$^vU0IJ zuk^;UTuyLX0V`zX1VJ%`M;wJ$PT(716<#@&uNrX+K;3z09--QCG>@X%d^BI9n#)qX zoM>!7)K9G857#SOha229Q8_`@R94rP6J!sy_7i|5Y1ZP%Frni$VanT1Ge$nKCe@qz zl%|XXX+5bAel~}mTtT##!gwDl2sE(Htp#WS;npd%fa2Cdv{17LNgyHX3929n!uB5{ zBCrT8B0xVyizuLr(PDGp(DCNbq0apImfk&uFY9r?gx%A~e=IHfS3K55MLR3bSOETx z2S6pe0r{B;z$a+{cm`x;#wfN7$jXe#1O}va^3vyl<#u!YmmA@nyp*fZ>vqF{MtYS{r8M=5Xa@cH5aa4$m(Fqe(flK?== zGX*MvWZ>bL%l9#>5&&Qvm;?a9crd8V9Qp6T(5yHeADj#iTm{7j z3tgBfmY^j>OZI%1d3B=dXYXsso^cn^6b@aLg5n87+xXN{f@ffse zQdba1%1*;|1xa2(?SkU~ZzsJKjetqQ+%F{86S(fliiOde^#q#~q#dj$?$G0dI{BC8 zwF2M?HX)VnZGCb|jAN41fm3pQa#Ec0CGKrtiw@sT`}(46z)UCwG%}R0_-*J6;eUzI z0mYRD`4_F#H%%13gDAL$H#ZHRWi;38bPe+?Bfc#Pn97o|fndK<69sUHJ8MA-6MiR{ z?luTZ^Z1`KJ7*09+mLwTPD`f+008gc0)~(cKzmbe8sd?qpo5S$;73Hj!BS^GmvL$! zcNt>>LS&GsRoqWmnW`&DoQh*o(h5T#8D-H># zE`Kl84!4&WZb=sIll-q4v2Q56-GxjB%McvFiR?-% zjh0c9!5ioeYcgQk7Tr`vnf`TYyTWGrb0+0Rw&Uh$#(p_W%4sl=@X;dt4E$~vjK)F@ zPov+M!~ya~2*AF|e%&ZeLN^xeFlh_EKh!ky5K#VQe71}o7@0u#&twyU!TgFy6RP(!O>ic7C z{ojnFRke)B{EpVwpf#lR>Szts`dYNs=qbeh0P~C)l;&X*!Qzf=7Dm!)SWOpjG`$Y3 zBTd&r>!_yJqxD+TB1>)1_y!6ra2wDDLW@Rd z14WCs&|8!c*dxU@qK%}^{b(aqXA{~)*P$ZCpk0iqgP1J@mX=P;CORMY@9-7T&=;=) z9}y+HL4bFLjwiHR0yuQuUoeLHN?zD6Xiqt%tl@zA1!Z67JElLeq^JODb{0d%$i zROb@2li-XL+u=@vs5?T;u0YK0w8(W5#5mev^A8|`(<-fp)s>nWEr@>~rl+)CFcJ}S za82$kh>eCQCAWiXP>1ie#mh@2t< zn6=_N3-%?jr496>+16Qf&jh|FFRhP_-lBLbHY^NaFXmFhKhTE8^ZZX4Qx~8<%m0*F z;dK^R#jH$rjuC0JKa$p07fYCQ?u}=NcC`=DewJLO zjg->>pgsdYn~;QA{^TBvAGUuaZGc6PhIxk8(@vd%7PQ6;G|qg~%jOzP8)T8U2?3x_ zC%0%h>n%9;#g4e%!WeHBWi;SctfgZtQ8W4LXwJ5s&l))c)3 zcjwq?!H+Q}t8Acm+QT%yeTc){-6CdT_YuT0V%T7fZbqAlWjPFOrYy_1(c8wNfZb>B zLpCwo+h+G2`v^|=uv)b@WSZtP0M=B)E$M5IxP;o?{Dw26&&bm&A{S}{|D{b@llHd zZDHu&0$TN9Zw-?N2+p+Pa~SsP$)Ez?RAJ}30V2~1Op3Ho^zaxUaB!uKhOfu4Ukd_W zn7MI)=$fu0cIg=)O0#5x`yf~P{Qy^qu=oltO0vP_n@Sii4E`->3mN=RqAk?m--@=n z5B>pRX&M(*cJ#xiQ@Eev)}8*Rfg)wa^lTtGVdp-2h{_zyQ}qJ{*WDZ0|lGuc9_Mu)MJe+AoObPr~V^mKE8Jvc@dGpu|>qX*2LG*O+=z7N<`#o6s1ID zC)&vok)fhEGF^+5ccGSP0&&#{^@Uj<255PAIt>*VaW)|#W1JC^VQ~w|uy}+7k{0sx z2-PX7AG9K&zOcFtVqyTLs!_^eSYE_rsK6aaXcbADY-y6tZep?v?IL0_744$LWH;K) z5tHGu#U$++v%i)mp{PN1JSM%OY0prfQ*;_0znD06$s=)#$s=)!2~U^YWw$jk5onV~ z@**a~V~feNmY8(a#Ka5)htzI3opjnuu+?H*Y;};1hJehB+9A5MnHH4p94N2ype!_@ zEV7{V)Syt?NO+}W8dHxl{t;{Q=uu`<2{w-wYanqLS#OB#D1iTR(_ZIn9z8~H_d*yM z?3-Xk080yI17eh5@#wD#fiFzp&M3jb1Y#^Rkr<-{XQSb3kPlus(I`Oz9oDoSC5j(6 z+8{Cri=U=2rcJt!62+?68xd^p<3=D}DQyMK2wJq@$|fV3DN)62pv~wczQxTfQ~=)< z{7*3!uDh+H)h_&6Oar6UPW&$kDUFR}%sO}nva)@7T%eLPh8vr*w)OrPK@b3}_n|qc zNVw>zD9F@NfoAs@(f$;(ll8b@qXG*#du(Nlz>Uv(cE$+f2VjO|E+!17B z)EE(Du8{yws3Hjj+T*yh^*rI;Boyu^VhIG+U|V^xcf^1_oG-47U_T+EAQo&KC%2o2 z#Xd0>aB~PB4Q7*Lj4HT7o{1J8t&S7G1PO#Kw!lzYx}PLRWBFbT@XzF;Tw)|0K)IBW zvvqaLw1GH}_M*K6xkG3#h1@>0k02M&PGF}U z(GJO`SvOtz`T`|>H_nLeNBaqsV`x7G5INMsz$qSK_1L5ap&Lb`TvTAU{F} zDUgTIAqM102gnKZaYzg@t(^h#9rO+Xc?!KlfqWOe%YZ!N06Eb{zi3)l1LR?Jn1K8o z9i~7YK}Q&n5P5Gqw>-(_$iZ76ddH8VqXgtxbd&=59(s=f`Mm?=Q?^6ecz;9(c?=yR zAb&*1D3I@?_Zg7q9Uz~kvBZasgNF=|$I)>D@&YuflQP7;tw=p+U5-{{{ANS_1b zGd9lBojrw45tspVih_9>on~OFT4&S4mT^1?JM-bMC3LzEjAe)`0bTVe`jmi+pie1q z|3Uwuz~S%CpflvVbaaOL?lbfm`Oa|={y72HKS!SvP?_j+3e;DO(!1~l`hvhKg1(^OeTlxL;NdQOg}x%+6+>T9-+hg~X1WkbFK)H?Fw6iu z3~ru9XG#5%=qy$L8}tp;PHsBf$6_1$FVfn?iq;KM`VttpkUI}JdWBnCL*KbhqPu$@f0Y-Fm();Lq0s@T(;&jJl0t&>pkIY75Y7bT;0*@&Bo& zV3je*QU*~!jt()lZ_@dfZ?`0rcy)=v$&6pG4nM`tdvTou*&4B!%gt zO&BX_82ECL^l|Yal|I59sW)kW7^zU>H~~&@2e^t33pNxXH5wW3(rPBO$QppF8TAbV zaH2H;b6^a>uBS3MH+?c^0IrE~RVQC%u4NATSqQCV#1;^mVj;90ka-*b<)-6HSIpx~ zl*(L3+uMdlpoqdpXgw3)WFfSk@yh{1&shjX0hw>`Uv4_~D7qoEfi_z6gwR_|{H=x1 zTTCPdAT-@VXcvf8XZ)9&4w-~p5!y)Wl{_J|iJ^pr&?aUy146SbgmQs8-{HU9bnGp4 zqtIsBL^Tj{QR0iBzBQp6UQn3O_iFklE-r6pZ}4hLCrtG<_0{eVpaMT3w{#@Y8nbal!rn-0DN z%_|$|Z|eTT^bLbpRja++U>>JoQaZ?SF{0YqtvZwSfvSGgHbA4Ho^I7o(XG=x0*`^C zNAJ-v$>h_=4d$0TnBTa-JVrB)!0hh^^G6;`Sl~PGe4myj0u!Q+o!q?4gL%~j=5Ys} z-P~Z_pkY$%I6$}0k z=YS0@N~@rcY!hcxt>{)gjjm32GrtQ;AJcQ6|B4&DELf1jjeXHj!0p$fyw*xOTk~Yl zJs`4^-wkFq4b$SYCzD1N^Et4^tvbDOrI!*J)m%`@PsNJlAxzMw)jh>w;S`+FHZ%gA;K%`#XsTbBYVh;7|(Wn<|$#uzo1{N?`Y&p3qqvvwr0lbB-5IIdcq*M29K@DKUS3iCzV7s$MScE=uKBo zX~9k*jsm)A7-{gOle!ns1wx9I=mJHGi|C?33d~D?h1`s_AT{P%1TI3&fOM9cv@k~# zJY@s{=n70*O!{N^ntx1<*#m|z`4NAqh9pO@h;2bd$pA z7P_V3q`AtJ8sh8$o1* zcF!Ij${B|U5&uSilW*Tee^cMyL3fOA*+DHz!p0J@f-rK)BtQil0f4cGYs=DMBufOZ zBlyk4ECj#FP$DzrEMr2eNT1t-X+tv}s2qZz!nAFa3}ywYT{W9=LUUZewQ|10m^#p! z2U_zGOg_YK3?^PE@fvgr^kxTlK=r`mV+h}0Bizj@)Uk!noNX} zM62_hCO_jhS%uzB5K{q;+ zEQxgbC;Y~AIvGlmjZUZRhlp_In69U~AnFP(Xw5ihHGJG?7^h<(4W|I`6w>f{{Khn# z4@&Ye4M#G5v4DMG0L!SM1M@)z9|3j|zcIl4P~xY6h15vKB}?EwGJrv>P{waSK5LG( z?p?`%Epub8)td|e*Z^tr27Y6jRG>t$HTkF2QQUz&1PK1L zr&#vfE6DE3T*C`r@|Ag}Cz9#4XnIobRuE7R;%;SPkq}$vq#R<#-4hDYrokZ)e}Tr`^!0cq;h%`VtQ0haOtF@L*@;?nPHkL^~F(( zTxQmfyX*BunKg{A!&YT}kR0F{iyS}Am=7{N()!B5@A`tAzYgjo8Q0gd)M*e8bzB6a zLEO}75GQq1LY)vzod%+$%}(mDveQ6tgOP(e$tHF1&`xyD0u2QzAboH#Hk?h)OvmHu zpl@Z=wE;4HhYWRzKnm(7|T`h%5rWEPi9a7le|626%043X^Fe zC@n;4l*4aKjlxh;*s8%GBswg>9Jlo}6$F~oxEF!eiV#dH;5P=7qEJ%QzyurT=CK6; zpULGH>nLeT@BI)_=%ACW7=SNEny!T3n5K(ENpYiTlWZlRv;?V91-~&huq>3cYS73w z6VQTMj5c#%3h_Tgeo6uCQl#B#_>E~7OH^sAUA;ybC@n*3)WB~{4J;&Otr|3@&Evzg zIlWmxXbNU%nmu8ar-dMR+P54JLHL8cT8phM1dbsXhRm1zR0o=K0mM8TdI}2^ut00! zH--vW@XJ|L(CJnlO3RZP_u)6D1{Tl?Rt**#zGH$N7cJBtT*0-X#DR1we5NleF@W7@@XT+M1%uTdRJtCJdy@EcPD%W(~>290S;l8T)d_HWKoE$!nA=dB?$ ztqHJel6LRMZ%n&ba%ySqV%Luet(ARqE0m!_yBBKQOQ1EyZwxdnRrk3-YfT3s;4OsU z9oQ8#C|Mh7)g};|<2ME(mV!D4L|AvYWf1eU5gi(*@0*4%%W&nB;(8nVEFC;g$!J}` zsV>3y0sO|`i)FB0EPUIFj;1pBwvCVXZRsrVQvvf4dLsC1X3IP#z4aI+(?6@ttoM`4kkH{r=!64k2M+`ouKqzN0GOfKKBD~ zs|Yv>aKXN^G;l{jl0nwl-cfBVfNPGEjx^=X)qG>1M`J>(cKD5<6&{24TT}I$ArBAn5*%?ffy1B}6YiPDLL8%vhV^G3cppAtR7CE)BnnhE&yTC#UR507_ zPHS6JFxmqAwxsPo_>E~BkE(W7+ZG*6m_6wE%Y@k;>a`~@`{6eRCLUWI7?^nN44{o% zz^R)|=sjJj)e#_cB+wtkZwz!S+?^QcUX5KQ%wDb-cZPbM3Cw}`je*$(O1fw;vDS5= zLT^_=>k9R{5||I+HwGpa=WZ5Eyjf}2S66^4{QD(lezswG&6H9dHT7^Ku>6= zC&6Jjeq(UJwhgWzmA$oPKhRa5 z^aslICschLzcEzB8sXiwlb1{&5a11)GVt%!&IX)EHPxaZ@C zT!-l(=)fS-xv}_-=^R#a4>>ybPgoIy|Z}qY2FC@EZdYYnjJ2m>hdzgsaGn zfqG*I%op$*0~70-Cm5La8D*p^%qOAVlLY2V_>F;yhxk|_%tu{ej)QvR2+SGyje&^= z;CKoqeXS$-*tC$0%-MXO{9|NhvLYp10z2TWEzVDrIo|;#lDWXzU_PZUxF-Ng69~GC z@Ee0J9@`TwboCmOpmY+cu>`*{HSmCY%BW$we4mEWr%8?1@EcPD50lAe4JJzJaX~B` zyXE`1z~xCfTplMQ+oGQ4a+m@bOd;4U!*2|Bc)(57u)~fkGG!4z#WeU~8mY7#zcH2Y zIC{qH628t7hj(PI#Fw3E?*zoN@Y%Bj#47y8fWX7%IipOrq~#t3d%Wmm&v>^+7{ zjGQ|D3HrbscI*)Ro(CMBC-|+wZw!8T2)Vx)W8F5hEcP4SWR6vX#fI^1WOdWK|pvp7h0N2u*ku0 z3>L3K$*UF?dX0HdI*-)Yj^CIX^PyzERfEAh?o9oj3F=6$HB5Q&C%6jI0zhE_K`)Bm z81xoG$wC7?bN>*lw?(AJF8s#SSPUhLjT)vPVfD6z)X2qeOpT>bvec?UlVDxbPttO7TZ8Xw>{rp6{H*<{wR;mwa!v*X>9JBnFF^|W9) zXDTYJRyPxTKf-SezHdXx+a|t753yR^LTY@1-+}AO16;>>4~f|KeeZ0@l2tQQsIpi zrMv|kEA#CH_!<1h0N(*6I~d?!IDk*J11FjV>)wpBXmec$`J_e;N$P&uJd zLKDA|_E)-+uKJbqQR&mtpYtpEi{-DDzouV76;T7!7@n`9RcNhWDey*tEd{pum8`m1 zZL-??m4e+14lVeIUnw-c(DXvD_?5y^;c($Jc(yIvukZkPzE*fs;VpipNVOtOiZu5t zMIR~pRM9E$TvK#s(Okb$?0m6X#s2my#p@MsTf752zb$^X`0swDgj%9#i4yQ!Rboep zUGO|v;=2+*`jwKsN3d3- zFI~y6lzF1e^JQLw=jUa9DRarMl=YO2l+A$W#PM=7TKzM4 zUabCi4cV{MXi%eljZW~qQd6#(;8$v%sQE?Bul-7`1GPS>^>4p&-~9X5+_xT{Ki_xr zzT1AKcIDcQY6JaikFEV;?HTagU;E?QC;dvDggWVU^24)xouPGrzIB$>dAm*yJb$a} zt()Xm>Nc(0wQdi1&Z@h-?wjzuTu-X!^(*!6tJkt#8^6+|UX!*>fSyerYVu^0@qVT0 z(xw}mzU^0S$?^wNKEx*#~zI$iGcn_ubNvU9J_ec^em^JksE@GD*J@6x$TH^0)gUDy6y2g382uJgJs^eg?p z?0>2MuYP6F=YxJ31oR$!Zt$Oj|MDwC{X?^c7WON{D-Lfk9OP+4ml1)R@bN#s7ar@x;*LY<- z$m{ra zCf0^$+ll=qf_zR~JaNOsP4N7A;?0S`k4fQ4#U_=6XNO4xCOza=rjD9AZ7Ph1S<`1N zowW>}H)iLX9q=o&i_fk;yB0iK&F($BpI@2Nbm)mpE%c(oNgUw-xVSAnkcQs$v~Ab0a#o408mjF0(6=U1Iy1D_nrSBJinWN zWBx6_vS7r5X$zqJ1!osrUvR^(EIhsN{KAWVWl`Hj0~Za3=h8)67QuL299&#{aVdEI zu=wU;=*N<3OIj>}_LfXtvS7(#ct%F-@Nhb;xUUcPVn$>pcvd3i;`3K%ad z+O8P50^qHfvSR*Z&rG<$(t~a-+b`RC*K6Q zS~Xv)Ra^ba>N>02uLk*FJ!18=)qwZvA6DO74fw3dTvL7xjK?*z)~s5y z7M@?O`E3o1+qIR}Hdzbs)_%SA+S)(-%DNfrmahYOUUz!k`E}6G4f2MJ4G5m?HVoP@ z#IL+n{;fuD0bk$x_N_nOy6IOo*4)@?V_SH>zwxV$-}seHO*i%2)CZo6H*MYo_-y)P zb6|7GuWW9$x&LO6lg)2z-o6>+mO)zp?v~fLG#&H=vW%+J}71M;zL^tKnaP4_F?%WSW=y&*g&ZJ)D!o?n5~{S|jqf#>`k8+O2V zJAU5rcNFwMw0X356zWHpMz=(PKheuO6Lu#1m7VwR?7p)XJQwcVxbtnlva8vyUb|pi z?Haf1m0h#pxnb9VUGMmn-7R+a+1($WPwk$&dpb`Wp zvaiyn?mO*Q_E*{8Z2trB9Jqhn{)zCMzkkDi=+}XK2MQf1 z3eP$R+8+S^9vE?8+5zD2fvX2o4*LDd!IB4SAFKz@(+AHV1il?gK9qH+Fg(v3x_Ib{ zUwNm-J1yU71J4=nEPn_1`_934PQL^FdAH!ZRo|`QS0rzN?viImS9$9lX^$i)OCHGs z|4CNAy(0y*e|xomC!@u8{^Cd)=PvKtayg1Z(8 z4gmti-Jwt@4#nNwo#O7rU0SM?LaETwQfkyFm5(|th3}k%4Z~!%B@iX||G%C)^UU3M zX6`-jJF~m9!Q1ELLodXHzJpMXM~W90Cg@?p#JxHo0k4jGk?()MD6X&7ZQr;q7GsyV zEt~)jc?_tAl{>;GW*)o)vp)0$#LZvqZsf-z43QHhqPHyoL2Z6_gm>Zwbve-%dPlSu znG*AO^~ap(fpy1ie@SFX?BjMFdz3c^rkBW;6g#+YC)yT~BdK=c+7aE8q&v~giu4e< zlJb1?=al3-^5mJ=zwq|?E5;9PMMP4cn*Q{XWoP$KUEbt4Km9o-+YaxaO1V(vPp(sS zoo-A`k-?&1a_;#4oo5tLSJgN#zilpH1fNTv9J{P$?h7Nt{qwDcpEDh?*@ zQgo7OCyOBi6Y=c=PxoQ}bG_9_H3!5udn{TRM)Msqi`HuV4~L0zRa}%yE#s!QSgJZG zue;;N!HacU1yLb2jhcSsQr&^+ZyoB2$kaA&`msxu2Nzf9V&6Wk5S3Ho5bB39)gIt~ zUuh#m)zmt=`Vmgm2l+3fYzvLK=*$q+Q}Zb6M>^FX=)a8i-FGArqGoCzZT*O+iG%%@ zQNQc)u_7uhjJkg0)64u>C`iRiR6-uf|5a|dp?A}g-bCIS`;{F098;K@qc}n!t zlIBn3{;3gdMYFU%HTvm!EKcVBYS!X$m>>NdJvJv~NTs!+)#EZ%`ssSCPRcN4O+=f= zY0C7|_Sl^mpGLg<5D}guiv1eKtDR`~xJ{sb5+BQx^S(QgzB%~T2@{D0ei6A#ba))+ zQa_)M?FqW-D!yRmR2CGS9@nYVPv>KOlKN)`kfyu5{rlp7vCj-t6I~wX`PI+yV}GKq z)V*7Uvx%;c`_$^EH(i{p>%+>}D=rIkHt}?Nm}UJ;r<)UY-6+S-wr@vXk!23iBfU(x ze$vy`NxQkR)>et0>1pou^PlccTyuRLl}+?cZ2U|q{^y&k>7t-}0=>71H7?_^@p!Y<&9|*lKu8TqG-4}XqsKLPRpIkSS@|+l= z%n|#0FxPLp)PUUmfgFm+N$-HoF`xTKi($$q_kK6%@`@T1-H$0~Q) z^w%IYFgn|CVB%S4l(NqK*3sKX4UWM+rWP8bEEL~DdK;+$GO>|~l_JGBWhHYf>FuNj z$&H;jNHK57oQIf$+YCGfk71X6g~cM}%SZF2-kWMLAJLmee{K{@ zls_NcpL&m~0ZjytazI^Ao!tG`YwC$*%BqQDRlQx+peB-CIjF85{POQ>#QYq{GmCgm zxi;}!t9Py%*hF+L2Uh>HggRoS@@?Y!R_|RkxQXmtgMTfaVzu&b;`>+cVKu->;9-N0 zWk<1A`8a8OtoO1SVmt(Ja>E}W&(KIb zud*WfvqGO4Y64OqGdKaRH^OmW?tA_Y=5`P)3rOYBqm zkaGE;&j~dVDVP(Si2L7E;Esw35-tv?1WDNh(I<(Tj1*3ie@T=>Dp68CQS`~8CL|Rk zi`#_BDvqdxNgWBJPZ~8TsU&IKC5|<-IHnRO^(2lydDO(DqU7Pkc;5YYZeoAiA{L4h zDs@s<>gdx)O-?FHpV$2xl~<`duk^X4CM%WaR{Z>WP32eW&o6zB zsR>I1ITk<9&Zs;~8+oSBH8p8zB-ifdTiieJSy!A@`IdI_O`mgW;?hvg8S^e$oKtz1 zw(?G&dusC1SnhH1Tz@Vm?jI_COQm4iOF?}astHVkX=sv)k>YKYifJK#Cj0T8?E5BMbQRyI34i=2{QgPLDXytWPal)+n)o}yTTMC#Bfb3R;qBXz z|5`@uca+yvzNeq5*XO*N_;i%>oOsW>c^~@;tJK5~D)rM>>bufE<{h0ZDSlE0NPh;n z&jff#>4q{v`ZR&d2z&#eOpwlQ1~~by|1{nh#J(H&MHPd43>WSY}T}a<`fwpkf24#kHUK?nJ`|HCWsy?Xtpsx|i5UNJRyur}d ziGkv#suQYCxNb3B_Y=w#s#e5(7@@BhGsNGjUZ{HEsu!;NiNE zB$v3O45AETG>FS2{FGA}MBRDB-FfJZqQ487C#9+*s*dRGpJ@kUQ>YHBmjf0<7*JD3e zvnj)*)d@`Q_qQJUyrpT@^=}MqOGT_|h7`>Qs?7RdrO= zdC;oEey+-+jH4>g16LjzM^}CDR*H{5cawgq`l#xYkm{qaK*~I-0wt&dnOC7VWPqwd zstP5v3b`s#>{k(0WuP*VGSS1B$Y`WsWh7;!hc(juX38%e%1p{kk76d5q3#HqGSj0D zq?upC zdZ_AQLhD#!_IK4pWkgjIQ>-S^(7Kz%(yAz`qL`RP?qOoN3ZpWkDvT*w7-`=7ZyL+0 z`l#yT!_>6IevPX}DnqIonc_8)=EhBA?7yW@RhCmlQWeR>E_e?c&s8XuDOI6N6@~J? zVp&sGP{mRe%ZDv)N%1;Y!Boan1vAwYOd4JPPJF$LRCQC;&7`P*j}p^WJC!+A?Mzj* z(^x-O%gU;Ls`~jTwJxb%>#Cv3psI$Zx*AH;$9>WnDXXd?s)}e*6~af2%R(CSZ$ip! zvbr*=GU}rmDk)zdXI4>F)>LLyW=%@7B}VLfhP@!8lwp-&6T?(V9pj#9x5_%ow92$e zZMejWf6us4GFll|88@+vl^k*InRl|Rugt5=n;fP~%zfN5a9`O#8CV%OG0l}+vF@2T zN;Xm^Rwhm^<0bZf?ism}Y@&>;jGWj8OU`)r%p4_~DKjfGC#U(6Vqbbgw@`*whE58T zC3nm?Q{$`TR?5`M)X8nYq}pGcvBePCMj2ZfJE@G85^?XDyQ*xb%&p9w5++Q_ecm&8 zlt3Q|fr` zCB`~ALM4VujFd8BYTWO=oYTv1|FlpYt9ldR# zcSM97qb?q)bB}Y#C&#JlNXpi$l(vJ~ zzx(;W_ijC(oS+iL{Xi)bsj+e0c&S8DH(u(->t8$&a|7m^BjyIIjGUydE2?&?>*v3F zz;$g=$?`9CMVaW|O_XlNhi~w4eZhNtpOd`0*t}w{H4Eevb*)hrNjGWm2&MAg8~-Rd zO(l&=8kIB;=7D>O6Cr1)#CfnmQ|40RL=U*$<*3AYgiE(dru3Igy60kMsf$kfn}3z_ zk2dG73y(@3b>UH8-6YTh`sZmH$T{lz^Juk5)$;T?ey(efN}vSRAC)laGhvMLuD=dN z$a(5Iq-;6wA)gxZ}l{pjNoN0ATbVl;{ut-D?=s#RR@kI8lIQpuHwbt^3!LLGbJ6uI@KUB41SC6- z1x#HK)OhMW;JToxL`$M#rXOszoPLw<{!c0IqrzKm}MJ|08Uv=?KzWH}u=v2}rLy=R)PCjF&=CRg)iZV=Y zSJyjbi_~n_$L-i$*F2SY>YAtC+$Ez2^w+%zxl>*D)OGK1ySAkE^Ko7KRPrTb-AnDJ zP{)@%h1l>%eAdh7)g>@_MqW8wjkDeZuFIfGKy?{ZZvj)l1NXlb+@r38sy^%Mvsz9* zKe(=iDhX4d4l1K3pV3qESR39NzAX2tOQLc{YIf}7er&GGqDn+{SyXQkQ_uq*-aaS2 z12)I}iROm#fVwWK>*C{n?MdzD=ejnkWK6-jnA%OEjxTu%wf_28M;=nw$K)AyWp6dk z|K02PmVEwj-Mp%#R5!2cgPm03ftcq3;y&a#rmmOCR}a;- zM~(G=dBAneREe2N>g9h;nA)B`k{TcW{1yA<$lsz`cq<`nS+&=M5E+D1SVe@eiQ;H$ zh=C#+ZF}*o=!$lz7$ioaoht^5WoUPZA>wtk?~7rYr?6^Pw05+)&=x=&iM9sX7HHd} z?T>aS+8Jo)quq#h2ij9&xQCChdSntKJi4GAEJk|lM*ET&;~6Tfo(05M&(Ub-iSeF$ z(7r4tcz%iY4>8fJs<3)B6_dPXqFpT}dtF0&OH8qp5>`u;m};4acD0yhxq$YfnC_iT zSiK928QwS^?=E7d_j%}+CRkN3^?Bmjm44-6VOf-OEX+VdsQs6g$S!HOf0vx zLi@CM&bAcoO0mLr7VRfur7zCYw}4pX+Y)V0vD)`A+E>LI-#^ga5^Md+3aejTvCeNg z+NEN>-x;*$#Rk7y!s;I&Hu^`RZ74SR&p^9MZ1%s7_NLepfO!ZgDz*mnMLSAt3)qEr zpV%Joqp;eg*kO-G+e+-TBd&dp*k%6+?YH9jz%XGA%qn&V;&=mli9Lav(H<3h1Ai0N zARn6Rm!0s6bB%b#`L=j9 z)K9z?T1QwzpA=_8r=VRf-Ux*ULobW78Lh&aF|&9xY`Cz7%@XIrF$Uqc#ao%m2y3Pq z;zFi2XgiAcGHpkDL|n{-InDHwct3MKVa;4dT*|y$e3<#1_$Z4Im$Q@?m$Eb#SF#)w zpJcfvKFyk0e3rGjxRMp~o^_!3BI`S7zYykmm<+Bkw6;&HIk{IiC>Le8J+Ed@rJXPyAZoePJzdP5f36zA0Eh{9X|G zS#YTMqu?gAN5r26zd`$(xLNQYakJ3(;;+JgiG(q3+=3@mq9Sj&AT zgUTZ>%IB5A;>(CyMj`G*g{vn+e>I!Rx1~Rn51hn&H#tO*$3fE*<#Vo>F zv7ijEI9_I|cu{7KEF`l;juF<#i85>CakOvAY?Zu)wNkjuUa1+{?lMQEEocwQoRu&( zmA;p`D(4l}%H?J5%7f5Ol@XN>qCF$?R7TEK$sqGqwaR=|%b@Lu_PWepEn4QQHW=-B zVXgMLEKnmtSZkD&1#4kWYt5I1YQ2H>iY#0k=TtkdEK(c$t=(M~t-ToSR#~hz&Z+hv zvUnZ%txjQCqE2tLBV@@sJJ9ZtrRv;3dqAec0oH>maV%1?IHO@-LKI8 zDa%FY5!UE(vV8Q@Xot%R(KxT@gR)}uQCYFxQ5jk9S6QjvpE9z3PFd;6va)i6E3!(1 z&t>I?zOquova({sPO?hlezIa?%x~javTEaFXwS=PO$!KX(@0spDdIIfCTldq{52~h zYc^Yjc8{#p>~CRhZk18Z%cHFd<5qgE%-UXYDj-4@o?fwD>KGiX1S zP1|)8)^-DB^LA^|?w2jvp~kfPO}1>0<7!_E{Ed7!X%o-8|dM*q(5$WC3*zl*=@+_kan(rvEn-0fXq z?RH5%_4G;EwfiyoRQGR%wfo<)TaVA>)6aY&yY;jQYtO8*M=y(frni^u(HptlyQb{f zr?BkRw~*}F7qzl)FWI{;A(R4;8*3K!8c_8A;`%g{&MJ$ zYG@nEVMEr-;X{6xBZk(IBZsb#qlW$@M-Qte#|&E}tizVevBTieVV}!!!yUppJeM3l ze6XA_9OF9tyqq`!^>u_@P8xxn9Whr<9`Q2T59E}QWrTHP4LNn>60|Giw2>d6{Z39F zl|fiXWt1~V)ka%i&Kd>Zjv6cHj9Q3xvz#~TS79Bk$@!y8qJ2Ux9F6Ux$I3;c51@Tr zE*^tp7?Vpb8PgPPE4g&cY_u!nvN2!F$q^a zc3e-nZrt;7{dgfajBg~Y3sAj5B`K!e-Xi!e_3~GR?fK zWu6tTWtr7V%Q|bRmTlJe!aD1QmSZ+@dv+-;=j^FkuGt@Jx#twqBIZog^33^J%R8^3 zmT&${t-!*?TET@lhlQVNg%!M6r;YF9VB8&42>*69>(Zwy$cGZe4zN8gj5-qGt z8fqn$3`09pE4c)7vgCEG)Y2lty0ofRdg%zXv$ZlyUq^dcE4!?Mur7O2dt%vJXs>AH zmKW5@FCV5=c&@%yaYYv`a%Cy4(yGQ<<<XGb zVR-(qx7PnK{C2pKHtdg4B!wGj5Ba);b2iisyhZQ+#jMH5jUOTlsg?uYAP$u1k?zBn37ezJr( zF6v?_gA5X%iaJ>G7QaX-qOj!YaYguq{%R{D!aQCRIYNIEl|)9*8eqSJW%4Wn_6JzF z!w&W*SeB5#z;1$Nc1!~M3oM(XFWBE;Su>Uay9JgbV|K8Az_N!`1G^2DE36RM9k85X zn}ttC0TvN94}B$A?(ltJ8d%=&m0%uVc``Qy^90MExjdK`SiUU1z${<|vor_u1}l(t z7MKrM;jBZztYC$*g@9!ME1LB-m<_B*w$5O_V8yf51M>qbmTfnfKUm3Z%fSM`N@R}$ zvxAk+UK}hCtW=J%U_oGIb36kU3|1z`QLqrOayd4DIl!LCc^k|LRw3uNV4+~;b2S3X z2o{;E0$3PW#azFGg@aYj^%+4^4@_K_60Bexv23SF`C-W8sD+JakZx~o%u!i}jffWI3l5ZebQLx7OegrE9 z)-2y8u;O4%^E<&xfVIdkz)FHO&;J5gDX>=gw}F)gYgr&OSQ)T31uS4?!CDs`5B3CD zyQ00o%7L{lRt~H@SchV{z$$>XFV-8ZB3P$lEx;ndIu_&jRRZf$jN?}staGu?z^Z_C zE%pXjRj{Xu`+!vgd%D=qVAa98l_&vL1FT1h%wRRax|jM8tQJ_$QZIo;fjv`Ng4G7= zUFtem9k5=dn}F2?>svY!EE=p&8Bef!VEs$~2v#4gUztzAo&+0M<_uT^umNS?1#1X4 zsO$-_MqtmD+X~hgY)H8UU`@aVm-`2-DcG=b-+(m(8(JRuo3T0Ai1Ntaj4i;1m!ARF z5^PlYL13-GMwWjEtTot}^2fp2fQ_z@5v(oPxC$CrJFu}8m~ZXDCRAX)bpRV*VJ}!m zut^nGfOP_!SkVI38Ei_0|ABP@n_RIK*i&HBDpm*U3O2Rkda!O_Gb+vkdm3zdqz71c zuvry<0P6uZGqOI|GhlNf%YyX;n_Yu>)C+804dzjAu(>scf%O4fP@@Z2U$FT#p9kv) zwy5SZu>N2RquPND09z6j1vU_DaTL$vS+HeMJdZ(OOQSD=4F-EI`ZU-Ou;uj%fDHv( zSuX@^7}$!&Wxb9c&cXnkGMkjRsrar4|b?0>UhQlUq&jwozc5Luauq9wehZF=` z3U*?M18f=C@ge=emV=!d(gy4~u#-bx23rC4;*ecnE5TkE8Va@w?4_X+Y&F>Fq0fM= z0efX=Q?RvQFAqHdwhrvIp_{?hgS|Qo*R6~jz|IWCbt~gWu-Aui{5FA|9met74EDyb zLttCL&J9}&wiWEnVXWiZz}_0hI=&t3{BY*h4zRa}Gq-kvT^P=>-v##WaE|@+VDAjS z4z?TY;_!=Ld%)fsQ66kB*astWgY5%*e*~{b`@udO!RyfhuuCI$f*l0AJYoshA+V1| zFwYNzT^YeVKLYmg$Oy2bV4sc*06PZu$;hr?$H6`y*#PVW*k|K#oz8d??CLmNr!$@c z`(n;2uou9-nllybMX)dD`huMX`)1DXU@w7vJ+~~_%V5{$<^X#I?Ay5=z+MHrKDQ3o zYhd5a<9xgh_QO2R#~HBi=Whag1MH{ybHUDn{W$+T*qdNC<{t(-2ll`DybhiR`(-|_ zgKvTTydWCv0@!a0N`t)(_Ui)X);nN-EMRWE3-F68z6eXze5 z@_POO*k6lEgIxmqXHhn=55aCN>IC)?*queuV3)yeFZx^fX8hPzM#@E>ih>!hh)Pl{ zo&@#@n8)HCV4s3%i~j`s49sis$6%j>c`o?@>jzRUgr`wlE%+2>%_!Tgs;gMAMcxV$LX4`BA? z%fNmF3tm17>?g3Gw&n=fZ(tem zud4ZG{2eUQn(JVHfQ7H+dHe~MWi8L+CRpaROTqpE%eHnT*xz7T*X0De1(su-1?(TN z?CVy7-3H6GZXDPhu$=2~d|?7CVjYezOoHWJ9|fj?pQ zw_yyJ1+3tPE@0kZ1vcyf^8qWoVG)=WtkA|LU>U%QZY&FC11qu-a~b9fR(vDoGRzOG z*hY+Vm_Jy_jTq;!0I(99ih|j}N^c4V3j`~*X){<5SlLZ8z=FZbZ2Ao>1gzYq55XK@ zPi*FSIKe7x=6QsImEZgaSVpkO&6mN#z$$K84;BtqdCL^AOkkC^z(Zk~!K!Y7hr+Ue zRoNB>mKCh})_=gVfmPe~G+1`9n%knma)8y?UIQ#ASk(4>V7b6*ZT|}_H&~tRpMXVx z)!y+WSRSzG9VNi>g4NyeIaofh`a50$%MVs>XFIS0U=4Ow1uF>l)?(LlU?sttKYtah6j-b0Ujr)* z)^az`tqfS3-8{FlV6Ar_0DA(g-R@;z<-pqRv4WKc>#+L=unJ)9_jUlQ2-azDb+AaV zj(c~4RRZg>cOF<}u+ICYgH-|Rx~~sdRj{Y_R{^UA_VoVTVAa98?OzC11FXmXp0S8zV*fR&XkJ@0p4{#rKz)Pt}FU<2?JtZ!IDut5i}gEazs_D~zJ#$ZDZRR(JUHu%u9a;d^ z3~cD(_F&DyMjWmN)&gw!;kjTf!A2c^7OWN6$it_>T7!)_ya}ug*ytl2!P zu3%G--UjOiHsk0QU{8ZhKUNH^JJ_saAz(egW*(af_6*pZWBtH-g3Ug5608^4ykqOY zdV|e9PT%(dTX3Ad?+Z5n_+YSpV2h5o2I~*D@Pq?w0N9e_H^ByiEk40qeHLul3Fhh` zu%#zha|VMwcY-x%2-xxy7r};ttvqoAY#7*zlXbv`gRMSU7;FUCs*@wYMuM$9*#T@6 z*qW2{#%QqhC+UqbVCzoh02>Ro@stjF+x9{v*i^8cFXRB52Dak`){^O9&%eN0G6QVai`l?tg6(KW0o!}JKG&zin)PZv*rC&`R|~)no~92Lf*mSMdKbWcUh8+@2xB*J11MiZ)pG^FRkXHrX?LE$rCQ zZJ#^tcC^8`qyG9G*)-RVY#ySa$&S#$j!k!WWSe1|8E;3KV$W!kaYrxSw<84R zcl4T@9ev<_M<2L$P#BzK$d$nPoFj%-tPuCrl|u*q(lO4s=ZchurLFrTZPNjN8$E_dD8e+|gk-JKE`fM?2$obkY5e4jOlK z)Xk3KTo-?i;JUkW^sW0H9X9Uhq?;Xm>i&#AjoZ;5?stSM;(fJFo^rFJpWW~1XV;Db zy9f5TTY@5mhX2P`N(BnW)YRjK{fO}~`*Fs0vbiddHKvlvm`ZBZ=;_!h8aU8xKO=ILs336RfYqycl z8zX<1u*hB9M!sN-{87Rp_i`Kg9b@Fn35z_$ZRGcik*_2y@>sW#-#147Bw>-Kxs80u z82QtLMV{|A@<+zVpCu@Aa5lG*KQ=^`-U*9b(QV{UjFJ5k7P*$&$e$S_`zI{&!Qew~ zD*qS8$oUc$`MBH2Um7FlPgvx$ZXD335#68ZR8(~k!K|=avis$@jqkag$aw?#BJoCjgc26EOKYJk$*KtUYfAT z3*AQk-55Fc{ZPDXx0^dg$7;8c|1?I9eFOBskvF)F{FgCu>^q(Zj=a@vg)x%+Ig7jchSS zekEa%&$^B5V~p(P{@GooTyPsXgE6w3`)7BNKXe<}*BJTrgpI}*ZX^2}BcDlFFMn0Rc$T!_a4lzc4GeMD^n%l@uW8`xQi|qE@ad<{!n9bi(K1n$hi|1dAhq#T*IF* zMvh2W>*QMgGWblbX_de)5pwM}4BiA)Xj{So7 z0V8J!j}EUVWXyLH!T4I1Up0FdI2zte#Jsb@x6|Qmfu0e5!e7`$AbxuqEF3YvST=OInd@rn;R{DuO;$|{GtGQ77{+9Fm`|^t3@%iCD4{cTN?2NB9|5A z1b*if-VST{?Lc^Yv^H$dfd6a*g>N{1Z4|O!{3>4&Ql9j=B7A(#`dsjN$LC$2_k7;R zfA7RHs}`Tx=Gf-B`e6yLwXL+Rv8|0`Yhzz)J807Hs7b#ICjH(s>G!!wzpvu=^P3pI zpWjrIev3`|EjQ`6$)w*llYWOx`W-XrcgdvR$0q%*oAmq1q~BjA{caojSpr4`jK+Us zV&?aX;QDmK`fS7ce8c);!}@Z=`YPSJk31Rhe86s(C$I#cfE_boFPgB|OxU@A^KtRc z-;MX43H!)|eP+UL#%Ff#`1`eonDonS!b+L28Yb~NnXrMz*ZQ0d>=oF@b-q}N$|Wy1EEu)`+%Jz>I5o4oc7lYSRW`du>V zcg3XNRg-?-ny?!t?9cct$RmE91$i6t40SZf-=trVNxx8IKfE@J3Cm@2Ecs0O6*e$S zP-IY*pvbtK=dsl@iPhBbI@I%^mL|vB&ZJ)_lYZSy`aNUPudhkJfhPTioAeuP(rVvt7FoyzOkP?8QeU69SLr4!n&HU zUM6gy2^((0#+tAxCTx}oTWZ4A8JW-7;BE2qIrwP&dy(K%ChWWkGpP^3UzqIU8x!_V z@NHM!#8S-tPKail51+Fk7L$G%O#1no^fRdsAsJ2jWj2XdDSl3eOpWiMkeMd0oo~`_ zu}Qxb@y8`(jmhzCFnR43lYTo)`t3I9x8J1SVUvExjs4`wke7|uRIsxq>}?bFfeE`} z!mgUIYeqj~zdxC<-%Qx8`0*SbCd_KW0^-MWgqyH@M&@(YQ6zrOI4YU2sQ6xU)Qj&S zM?>R$U?0s*`n5Lc*U@AjT}@uw!{oK2P6E@3)%{O67jK_j=TWQj7ok_pV zCTxcZ+hfAwy`REGt>R|V9x9& zugh(C9q!ef`HlU+iWnKrtAxpG%bE0xH0f8(q+czQe$mE$IF^PcteFXGZNfSj8P21N z$$q<=^y_8PZ-7a^!6yAinDiTC($C~G1gFVo2+mo?_hUGZdCvK+`vok;yl-=EbZ&}c zn_}-FoZC$Lorzy_oo}14OD61!3A<{-Zp6QSI)95l2BBf`&n+}d{9_Ky8Gj$4b4=Jm zBa}f7i{m@KDT}4H_>mZ-(tVz zew+NZ`5p2*=6A{OW54TuKl%OTcN?FGOb?hHFh5{%!191q_`Kp+z>5K|1)K|bFW{qq z&jN1Rz3n0P-1buT8um{1fp|YYIB;y>!oXF58v?fm?h4!&csTGx;OW3O0xtw!3cM0{ zHSpWO8-afYc?5X}`3D6Bg$88_$`zC^s4(7z)eCAG)H0}DP^X}7LC*yB4H_6UJZN;# zgrF%wGlS*^Eecu|oHIC2aOL3Y!F7V`2R9FHAKW##SMb2#;lX2rrv%RmUK+eEcw6w% z;8VfpgRcaC5&TW?KOtI(B_u5vz9a3+0fa{+1lB`*~Qu2 z*~>Y=IoLVEImS8BIn_A}d9x8YamM+!^OEz5^Q!ZP^S98j&@7=jL+6Ap480h7IrM7i zweXHYIIl|KxxlZgt(|n>@hs_A$5K!F)#ECjsgiz;x!Rnoco0hZwc+YmuHxw>>DR?J zSn`J*(r*+t*oR|-6JJtE`v~^S?z>g;IW_55oJ)AFO!}5VmtZ_GDSgYLi*Gir;?Yd$ zTav5gxr(PArEdkUw)L$neVbAGP@bkNri_JT_#(qq$=@C z$|XFMFKv4fln-}H+g2Q|4L{+Lw&xMvHiaATJh`+D;s*SPNZKZ1gMBX!mmh6Q`%2$~ z(!QE(1(*|GD@gluT*6lu(!P{S_)16Gs#_wZt%MW3fG2^ZttrC$-QZUIoP@(V3&Mc#d9grzaUm^&2YH>Mab|( ziS*}u_;Ugrcnn1PSLSLpuHxAZ>0gISc-BJtH{^yUSmgr}(!VuV+i?|7HAq`?9I1al zuHsPy=|2Qp1D>*dEB%L4Hc|FbZbAZzQYulRA+{Dcpnxaw+JFX>#*}81mYB>4-VnF49&2-!IrPCN)8?KbqYXJi+;&WW$^r9Bf_ zKC+@@`0`!aS|OCZAU8b04VAe8-kKf^<7&3GIL`#5gESFO@Mo~x6%itk6IeKJ?4aTQ-`O8a!K&cbV*_$E@?XWQpU z`+WNXX=}q!i@3Us*28yx`1v`P@Ex7Bufr?&{gkwCW|wW)5Q;CAqi>27Q4`r@8bB zmtN!28#qo>!JliS#R&WD;*e3m0_58v)IA^hy&qzz(cX*^1xrzsyrSBzn@#nFG@>p!_{6DPv zZsADc8FT5oi%WPWTxOVL*&$?h%LXBHP;ydoSzZ@1f=hWQc`5lQ`6&gswIG)Yaj7tu zicpGDicyMFN>EBtO0i#QN*PL7GHDGI;vb0AxOAIxgz`Sw6P6o7mZwypRHQ^wDp4v^ zs!*yjUNuT}N)1X)N-athr8cDwJJ+Q|Q|eLbQ=X(Wpfsd7EoFpk#N%sBX+mjAX+~+z zP%XIBlG2LOn$m{SmeLL)DpRU*wLPT+U)GUJogf)TS|Ww)%+)TG?v$q}T`AotPg8nO zo}u)l^rG~p^kKZdlzv?8PZ>ZNNO_hrh+7A9X$WN~Wf)~RWdvm;yNu$}Xv!GMSjsqV z7*CnN)rpizT%F9NDIBJ$T$)CiPMJZO$qln8vng{Zb1CyE^C=4`3)y)QWie$5WhrGD zWjWLLTDkVagGRsK%wET&h8-&ebq3{Xr{lp!`i)Pw8iE zAVdReb|HpPZb4)Qiq%?3h{A zvMvzm$)&d`V<_Xebu^_TSDSEYEafam<2A~glsS~1-0(SEq72~bi(LAU z@-bx|SzXGLlzNo<5K9!Y%o1&>CoC1YggZfD8DtqO#Gf4OzbK~};8n_OzHAC*3*`l} zWt5p*-AdU>*+$t;*+qGdT?TP!H|2TCCdx`~SVLJ$*-N&9OMAGqic9;sw3)JwvXQcy zvV*dZGL8L~Q>Id)z5N?z_fA0XaG`Rg+SSt5KBNlsc5UlxS|P z$EEs|Cn*gm4JnN%joGCMmzq+VQJPa)a6?NN=Hg3N@s59 zLV1ePmC}v!G^IPG2jv-d?n&uI=}qZF=}YNH=}#HJ&I2jWQU*~5Q-)B6Qif56v-1eb zNXjV6Xv!GMSjsrcc*+Ex$3!ko;%g^!X$oa3Wg2BVWd>y?Wfo;NWe#O7M7)7qkPc*p zv{OPT!DInk@~8N5)t3^;)gUZcR#;X-@WN9#-(2T( z@-yWF%IoZMoN|M5i0mZg1XsVLoQKF`^0?6aAs#g-btq3#8c~{3T2NY3I#4=OxNqLL%4&@@{66G@G6UyfhEf<%*qH5WqLt$6Ov)U}e998aatf!+a|2~FWe0_Gq?P5;LCO)zamp#mY07I9&Wz{Vl=mnf zLbUQ+;-q+9rF>1fM&Yq|auz%}3!Z;dZbQ60AX+4sEEJBtm!0CEgi|=;Ub!h8cCSJd z4vAKUOFTiZauklUS9MBl3J2D!F{K5C!|BxtqQ!LS#?>B_K9qr!p_CDnv6M-a=@br~ zR*OpuDa$CUDC;R(C_5>;Df=jgDRj10hf6O|UZT8CIZHWDd6z;zd(qEc96GNUKiA_D z{p>|Qd(qEcKT&?B{6_hc@(%=mnh4?n(Hd~chr*Gx_)`KYA(T)G$JD|xwa~y88d!2> zG>(-eAD5a@T2k6kXg!VA)4Ef7QaEZ_e~9E^XwOoHP=-@RQN~j^h#Ch`n?;#RSp<=^ zfVPzK9EHQFt)P|#y+Yv-YaC+j0)<1Y z#SG5-T#E7O$6Wf9@&)B9%D0s3lpiTKC_a>5DSuFIQSLxIG>R7`10{eGMBxx?9D9$< zlpK^i6nfXA2&E*Y428D!s7R>{u@to6jxicV-_nlv&(B+SOUpjX4rw`PIV3Gdxir-> zO;{FNmI%uP-dNA4G+}3aN+&G6EWL%Lv!#o$Y_@EXmhE^2{v{S%L>5sNQ|ds%I|+fK G7vldi$7l@z