diff --git a/ifu_bp_ctl.fir b/ifu_bp_ctl.fir index 0c98fc6b..8ffb7b15 100644 --- a/ifu_bp_ctl.fir +++ b/ifu_bp_ctl.fir @@ -1908,34 +1908,34 @@ circuit ifu_bp_ctl : rets_out[7] <= _T_551 @[ifu_bp_ctl.scala 393:12] node _T_552 = eq(dec_tlu_error_wb, UInt<1>("h00")) @[ifu_bp_ctl.scala 395:35] node btb_valid = and(exu_mp_valid, _T_552) @[ifu_bp_ctl.scala 395:32] - node _T_553 = or(io.exu_bp.exu_mp_pkt.bits.pcall, io.exu_bp.exu_mp_pkt.bits.pja) @[ifu_bp_ctl.scala 409:89] - node _T_554 = or(io.exu_bp.exu_mp_pkt.bits.pret, io.exu_bp.exu_mp_pkt.bits.pja) @[ifu_bp_ctl.scala 409:113] + node _T_553 = or(io.exu_bp.exu_mp_pkt.bits.pcall, io.exu_bp.exu_mp_pkt.bits.pja) @[ifu_bp_ctl.scala 399:89] + node _T_554 = or(io.exu_bp.exu_mp_pkt.bits.pret, io.exu_bp.exu_mp_pkt.bits.pja) @[ifu_bp_ctl.scala 399:113] node _T_555 = cat(_T_553, _T_554) @[Cat.scala 29:58] node _T_556 = cat(_T_555, btb_valid) @[Cat.scala 29:58] node _T_557 = cat(io.exu_bp.exu_mp_pkt.bits.pc4, io.exu_bp.exu_mp_pkt.bits.boffset) @[Cat.scala 29:58] node _T_558 = cat(io.exu_bp.exu_mp_btag, io.exu_bp.exu_mp_pkt.bits.toffset) @[Cat.scala 29:58] node _T_559 = cat(_T_558, _T_557) @[Cat.scala 29:58] node btb_wr_data = cat(_T_559, _T_556) @[Cat.scala 29:58] - node _T_560 = and(exu_mp_valid, io.exu_bp.exu_mp_pkt.bits.ataken) @[ifu_bp_ctl.scala 410:41] - node _T_561 = eq(io.exu_bp.exu_mp_pkt.valid, UInt<1>("h00")) @[ifu_bp_ctl.scala 410:59] - node exu_mp_valid_write = and(_T_560, _T_561) @[ifu_bp_ctl.scala 410:57] - node middle_of_bank = xor(io.exu_bp.exu_mp_pkt.bits.pc4, io.exu_bp.exu_mp_pkt.bits.boffset) @[ifu_bp_ctl.scala 411:35] - node _T_562 = eq(io.exu_bp.exu_mp_pkt.bits.pcall, UInt<1>("h00")) @[ifu_bp_ctl.scala 414:43] - node _T_563 = and(exu_mp_valid, _T_562) @[ifu_bp_ctl.scala 414:41] - node _T_564 = eq(io.exu_bp.exu_mp_pkt.bits.pret, UInt<1>("h00")) @[ifu_bp_ctl.scala 414:58] - node _T_565 = and(_T_563, _T_564) @[ifu_bp_ctl.scala 414:56] - node _T_566 = eq(io.exu_bp.exu_mp_pkt.bits.pja, UInt<1>("h00")) @[ifu_bp_ctl.scala 414:72] - node _T_567 = and(_T_565, _T_566) @[ifu_bp_ctl.scala 414:70] + node _T_560 = and(exu_mp_valid, io.exu_bp.exu_mp_pkt.bits.ataken) @[ifu_bp_ctl.scala 400:41] + node _T_561 = eq(io.exu_bp.exu_mp_pkt.valid, UInt<1>("h00")) @[ifu_bp_ctl.scala 400:59] + node exu_mp_valid_write = and(_T_560, _T_561) @[ifu_bp_ctl.scala 400:57] + node middle_of_bank = xor(io.exu_bp.exu_mp_pkt.bits.pc4, io.exu_bp.exu_mp_pkt.bits.boffset) @[ifu_bp_ctl.scala 401:35] + node _T_562 = eq(io.exu_bp.exu_mp_pkt.bits.pcall, UInt<1>("h00")) @[ifu_bp_ctl.scala 404:43] + node _T_563 = and(exu_mp_valid, _T_562) @[ifu_bp_ctl.scala 404:41] + node _T_564 = eq(io.exu_bp.exu_mp_pkt.bits.pret, UInt<1>("h00")) @[ifu_bp_ctl.scala 404:58] + node _T_565 = and(_T_563, _T_564) @[ifu_bp_ctl.scala 404:56] + node _T_566 = eq(io.exu_bp.exu_mp_pkt.bits.pja, UInt<1>("h00")) @[ifu_bp_ctl.scala 404:72] + node _T_567 = and(_T_565, _T_566) @[ifu_bp_ctl.scala 404:70] node _T_568 = bits(_T_567, 0, 0) @[Bitwise.scala 72:15] node _T_569 = mux(_T_568, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_570 = not(middle_of_bank) @[ifu_bp_ctl.scala 414:106] + node _T_570 = not(middle_of_bank) @[ifu_bp_ctl.scala 404:106] node _T_571 = cat(middle_of_bank, _T_570) @[Cat.scala 29:58] - node bht_wr_en0 = and(_T_569, _T_571) @[ifu_bp_ctl.scala 414:84] + node bht_wr_en0 = and(_T_569, _T_571) @[ifu_bp_ctl.scala 404:84] node _T_572 = bits(io.dec_bp.dec_tlu_br0_r_pkt.valid, 0, 0) @[Bitwise.scala 72:15] node _T_573 = mux(_T_572, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_574 = not(io.dec_bp.dec_tlu_br0_r_pkt.bits.middle) @[ifu_bp_ctl.scala 415:75] + node _T_574 = not(io.dec_bp.dec_tlu_br0_r_pkt.bits.middle) @[ifu_bp_ctl.scala 405:75] node _T_575 = cat(io.dec_bp.dec_tlu_br0_r_pkt.bits.middle, _T_574) @[Cat.scala 29:58] - node bht_wr_en2 = and(_T_573, _T_575) @[ifu_bp_ctl.scala 415:46] + node bht_wr_en2 = and(_T_573, _T_575) @[ifu_bp_ctl.scala 405:46] node _T_576 = cat(io.exu_bp.exu_mp_index, UInt<2>("h00")) @[Cat.scala 29:58] node _T_577 = bits(_T_576, 9, 2) @[lib.scala 56:16] node _T_578 = bits(io.exu_bp.exu_mp_eghr, 7, 0) @[lib.scala 56:40] @@ -1952,27 +1952,27 @@ circuit ifu_bp_ctl : node _T_586 = bits(_T_585, 9, 2) @[lib.scala 56:16] node _T_587 = bits(fghr, 7, 0) @[lib.scala 56:40] node bht_rd_addr_hashed_p1_f = xor(_T_586, _T_587) @[lib.scala 56:35] - node _T_588 = eq(io.exu_bp.exu_mp_pkt.bits.way, UInt<1>("h00")) @[ifu_bp_ctl.scala 434:26] - node _T_589 = and(_T_588, exu_mp_valid_write) @[ifu_bp_ctl.scala 434:39] - node _T_590 = eq(dec_tlu_error_wb, UInt<1>("h00")) @[ifu_bp_ctl.scala 434:63] - node _T_591 = and(_T_589, _T_590) @[ifu_bp_ctl.scala 434:60] - node _T_592 = eq(dec_tlu_way_wb, UInt<1>("h00")) @[ifu_bp_ctl.scala 434:87] - node _T_593 = and(_T_592, dec_tlu_error_wb) @[ifu_bp_ctl.scala 434:104] - node btb_wr_en_way0 = or(_T_591, _T_593) @[ifu_bp_ctl.scala 434:83] - node _T_594 = and(io.exu_bp.exu_mp_pkt.bits.way, exu_mp_valid_write) @[ifu_bp_ctl.scala 435:36] - node _T_595 = eq(dec_tlu_error_wb, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:60] - node _T_596 = and(_T_594, _T_595) @[ifu_bp_ctl.scala 435:57] - node _T_597 = and(dec_tlu_way_wb, dec_tlu_error_wb) @[ifu_bp_ctl.scala 435:98] - node btb_wr_en_way1 = or(_T_596, _T_597) @[ifu_bp_ctl.scala 435:80] - node _T_598 = bits(dec_tlu_error_wb, 0, 0) @[ifu_bp_ctl.scala 438:42] - node btb_wr_addr = mux(_T_598, btb_error_addr_wb, io.exu_bp.exu_mp_index) @[ifu_bp_ctl.scala 438:24] - node _T_599 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 440:47] - node _T_600 = bits(_T_599, 0, 0) @[ifu_bp_ctl.scala 440:51] - node _T_601 = eq(_T_600, UInt<1>("h00")) @[ifu_bp_ctl.scala 440:27] - node _T_602 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 441:24] - node _T_603 = bits(_T_602, 0, 0) @[ifu_bp_ctl.scala 441:28] - node _T_604 = bits(wayhit_p1_f, 0, 0) @[ifu_bp_ctl.scala 441:51] - node _T_605 = bits(wayhit_f, 1, 1) @[ifu_bp_ctl.scala 441:64] + node _T_588 = eq(io.exu_bp.exu_mp_pkt.bits.way, UInt<1>("h00")) @[ifu_bp_ctl.scala 424:26] + node _T_589 = and(_T_588, exu_mp_valid_write) @[ifu_bp_ctl.scala 424:39] + node _T_590 = eq(dec_tlu_error_wb, UInt<1>("h00")) @[ifu_bp_ctl.scala 424:63] + node _T_591 = and(_T_589, _T_590) @[ifu_bp_ctl.scala 424:60] + node _T_592 = eq(dec_tlu_way_wb, UInt<1>("h00")) @[ifu_bp_ctl.scala 424:87] + node _T_593 = and(_T_592, dec_tlu_error_wb) @[ifu_bp_ctl.scala 424:104] + node btb_wr_en_way0 = or(_T_591, _T_593) @[ifu_bp_ctl.scala 424:83] + node _T_594 = and(io.exu_bp.exu_mp_pkt.bits.way, exu_mp_valid_write) @[ifu_bp_ctl.scala 425:36] + node _T_595 = eq(dec_tlu_error_wb, UInt<1>("h00")) @[ifu_bp_ctl.scala 425:60] + node _T_596 = and(_T_594, _T_595) @[ifu_bp_ctl.scala 425:57] + node _T_597 = and(dec_tlu_way_wb, dec_tlu_error_wb) @[ifu_bp_ctl.scala 425:98] + node btb_wr_en_way1 = or(_T_596, _T_597) @[ifu_bp_ctl.scala 425:80] + node _T_598 = bits(dec_tlu_error_wb, 0, 0) @[ifu_bp_ctl.scala 428:42] + node btb_wr_addr = mux(_T_598, btb_error_addr_wb, io.exu_bp.exu_mp_index) @[ifu_bp_ctl.scala 428:24] + node _T_599 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 430:47] + node _T_600 = bits(_T_599, 0, 0) @[ifu_bp_ctl.scala 430:51] + node _T_601 = eq(_T_600, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:27] + node _T_602 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 431:24] + node _T_603 = bits(_T_602, 0, 0) @[ifu_bp_ctl.scala 431:28] + node _T_604 = bits(wayhit_p1_f, 0, 0) @[ifu_bp_ctl.scala 431:51] + node _T_605 = bits(wayhit_f, 1, 1) @[ifu_bp_ctl.scala 431:64] node _T_606 = cat(_T_604, _T_605) @[Cat.scala 29:58] node _T_607 = mux(_T_601, wayhit_f, UInt<1>("h00")) @[Mux.scala 27:72] node _T_608 = mux(_T_603, _T_606, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1980,11 +1980,11 @@ circuit ifu_bp_ctl : wire _T_610 : UInt<2> @[Mux.scala 27:72] _T_610 <= _T_609 @[Mux.scala 27:72] node _T_611 = cat(eoc_mask, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_612 = and(_T_610, _T_611) @[ifu_bp_ctl.scala 441:71] - vwayhit_f <= _T_612 @[ifu_bp_ctl.scala 440:14] - node _T_613 = eq(btb_wr_addr, UInt<1>("h00")) @[ifu_bp_ctl.scala 442:98] - node _T_614 = and(_T_613, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_615 = bits(_T_614, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_612 = and(_T_610, _T_611) @[ifu_bp_ctl.scala 431:71] + vwayhit_f <= _T_612 @[ifu_bp_ctl.scala 430:14] + node _T_613 = eq(btb_wr_addr, UInt<1>("h00")) @[ifu_bp_ctl.scala 432:98] + node _T_614 = and(_T_613, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_615 = bits(_T_614, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 399:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset @@ -1995,9 +1995,9 @@ circuit ifu_bp_ctl : when _T_615 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_0 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_616 = eq(btb_wr_addr, UInt<1>("h01")) @[ifu_bp_ctl.scala 442:98] - node _T_617 = and(_T_616, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_618 = bits(_T_617, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_616 = eq(btb_wr_addr, UInt<1>("h01")) @[ifu_bp_ctl.scala 432:98] + node _T_617 = and(_T_616, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_618 = bits(_T_617, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 399:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset @@ -2008,9 +2008,9 @@ circuit ifu_bp_ctl : when _T_618 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_1 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_619 = eq(btb_wr_addr, UInt<2>("h02")) @[ifu_bp_ctl.scala 442:98] - node _T_620 = and(_T_619, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_621 = bits(_T_620, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_619 = eq(btb_wr_addr, UInt<2>("h02")) @[ifu_bp_ctl.scala 432:98] + node _T_620 = and(_T_619, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_621 = bits(_T_620, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 399:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset @@ -2021,9 +2021,9 @@ circuit ifu_bp_ctl : when _T_621 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_2 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_622 = eq(btb_wr_addr, UInt<2>("h03")) @[ifu_bp_ctl.scala 442:98] - node _T_623 = and(_T_622, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_624 = bits(_T_623, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_622 = eq(btb_wr_addr, UInt<2>("h03")) @[ifu_bp_ctl.scala 432:98] + node _T_623 = and(_T_622, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_624 = bits(_T_623, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_12 of rvclkhdr_12 @[lib.scala 399:23] rvclkhdr_12.clock <= clock rvclkhdr_12.reset <= reset @@ -2034,9 +2034,9 @@ circuit ifu_bp_ctl : when _T_624 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_3 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_625 = eq(btb_wr_addr, UInt<3>("h04")) @[ifu_bp_ctl.scala 442:98] - node _T_626 = and(_T_625, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_627 = bits(_T_626, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_625 = eq(btb_wr_addr, UInt<3>("h04")) @[ifu_bp_ctl.scala 432:98] + node _T_626 = and(_T_625, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_627 = bits(_T_626, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_13 of rvclkhdr_13 @[lib.scala 399:23] rvclkhdr_13.clock <= clock rvclkhdr_13.reset <= reset @@ -2047,9 +2047,9 @@ circuit ifu_bp_ctl : when _T_627 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_4 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_628 = eq(btb_wr_addr, UInt<3>("h05")) @[ifu_bp_ctl.scala 442:98] - node _T_629 = and(_T_628, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_630 = bits(_T_629, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_628 = eq(btb_wr_addr, UInt<3>("h05")) @[ifu_bp_ctl.scala 432:98] + node _T_629 = and(_T_628, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_630 = bits(_T_629, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_14 of rvclkhdr_14 @[lib.scala 399:23] rvclkhdr_14.clock <= clock rvclkhdr_14.reset <= reset @@ -2060,9 +2060,9 @@ circuit ifu_bp_ctl : when _T_630 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_5 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_631 = eq(btb_wr_addr, UInt<3>("h06")) @[ifu_bp_ctl.scala 442:98] - node _T_632 = and(_T_631, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_633 = bits(_T_632, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_631 = eq(btb_wr_addr, UInt<3>("h06")) @[ifu_bp_ctl.scala 432:98] + node _T_632 = and(_T_631, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_633 = bits(_T_632, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_15 of rvclkhdr_15 @[lib.scala 399:23] rvclkhdr_15.clock <= clock rvclkhdr_15.reset <= reset @@ -2073,9 +2073,9 @@ circuit ifu_bp_ctl : when _T_633 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_6 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_634 = eq(btb_wr_addr, UInt<3>("h07")) @[ifu_bp_ctl.scala 442:98] - node _T_635 = and(_T_634, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_636 = bits(_T_635, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_634 = eq(btb_wr_addr, UInt<3>("h07")) @[ifu_bp_ctl.scala 432:98] + node _T_635 = and(_T_634, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_636 = bits(_T_635, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_16 of rvclkhdr_16 @[lib.scala 399:23] rvclkhdr_16.clock <= clock rvclkhdr_16.reset <= reset @@ -2086,9 +2086,9 @@ circuit ifu_bp_ctl : when _T_636 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_7 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_637 = eq(btb_wr_addr, UInt<4>("h08")) @[ifu_bp_ctl.scala 442:98] - node _T_638 = and(_T_637, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_639 = bits(_T_638, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_637 = eq(btb_wr_addr, UInt<4>("h08")) @[ifu_bp_ctl.scala 432:98] + node _T_638 = and(_T_637, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_639 = bits(_T_638, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_17 of rvclkhdr_17 @[lib.scala 399:23] rvclkhdr_17.clock <= clock rvclkhdr_17.reset <= reset @@ -2099,9 +2099,9 @@ circuit ifu_bp_ctl : when _T_639 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_8 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_640 = eq(btb_wr_addr, UInt<4>("h09")) @[ifu_bp_ctl.scala 442:98] - node _T_641 = and(_T_640, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_642 = bits(_T_641, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_640 = eq(btb_wr_addr, UInt<4>("h09")) @[ifu_bp_ctl.scala 432:98] + node _T_641 = and(_T_640, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_642 = bits(_T_641, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_18 of rvclkhdr_18 @[lib.scala 399:23] rvclkhdr_18.clock <= clock rvclkhdr_18.reset <= reset @@ -2112,9 +2112,9 @@ circuit ifu_bp_ctl : when _T_642 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_9 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_643 = eq(btb_wr_addr, UInt<4>("h0a")) @[ifu_bp_ctl.scala 442:98] - node _T_644 = and(_T_643, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_645 = bits(_T_644, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_643 = eq(btb_wr_addr, UInt<4>("h0a")) @[ifu_bp_ctl.scala 432:98] + node _T_644 = and(_T_643, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_645 = bits(_T_644, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_19 of rvclkhdr_19 @[lib.scala 399:23] rvclkhdr_19.clock <= clock rvclkhdr_19.reset <= reset @@ -2125,9 +2125,9 @@ circuit ifu_bp_ctl : when _T_645 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_10 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_646 = eq(btb_wr_addr, UInt<4>("h0b")) @[ifu_bp_ctl.scala 442:98] - node _T_647 = and(_T_646, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_648 = bits(_T_647, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_646 = eq(btb_wr_addr, UInt<4>("h0b")) @[ifu_bp_ctl.scala 432:98] + node _T_647 = and(_T_646, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_648 = bits(_T_647, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_20 of rvclkhdr_20 @[lib.scala 399:23] rvclkhdr_20.clock <= clock rvclkhdr_20.reset <= reset @@ -2138,9 +2138,9 @@ circuit ifu_bp_ctl : when _T_648 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_11 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_649 = eq(btb_wr_addr, UInt<4>("h0c")) @[ifu_bp_ctl.scala 442:98] - node _T_650 = and(_T_649, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_651 = bits(_T_650, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_649 = eq(btb_wr_addr, UInt<4>("h0c")) @[ifu_bp_ctl.scala 432:98] + node _T_650 = and(_T_649, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_651 = bits(_T_650, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_21 of rvclkhdr_21 @[lib.scala 399:23] rvclkhdr_21.clock <= clock rvclkhdr_21.reset <= reset @@ -2151,9 +2151,9 @@ circuit ifu_bp_ctl : when _T_651 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_12 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_652 = eq(btb_wr_addr, UInt<4>("h0d")) @[ifu_bp_ctl.scala 442:98] - node _T_653 = and(_T_652, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_654 = bits(_T_653, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_652 = eq(btb_wr_addr, UInt<4>("h0d")) @[ifu_bp_ctl.scala 432:98] + node _T_653 = and(_T_652, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_654 = bits(_T_653, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_22 of rvclkhdr_22 @[lib.scala 399:23] rvclkhdr_22.clock <= clock rvclkhdr_22.reset <= reset @@ -2164,9 +2164,9 @@ circuit ifu_bp_ctl : when _T_654 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_13 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_655 = eq(btb_wr_addr, UInt<4>("h0e")) @[ifu_bp_ctl.scala 442:98] - node _T_656 = and(_T_655, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_657 = bits(_T_656, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_655 = eq(btb_wr_addr, UInt<4>("h0e")) @[ifu_bp_ctl.scala 432:98] + node _T_656 = and(_T_655, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_657 = bits(_T_656, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_23 of rvclkhdr_23 @[lib.scala 399:23] rvclkhdr_23.clock <= clock rvclkhdr_23.reset <= reset @@ -2177,9 +2177,9 @@ circuit ifu_bp_ctl : when _T_657 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_14 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_658 = eq(btb_wr_addr, UInt<4>("h0f")) @[ifu_bp_ctl.scala 442:98] - node _T_659 = and(_T_658, btb_wr_en_way0) @[ifu_bp_ctl.scala 442:107] - node _T_660 = bits(_T_659, 0, 0) @[ifu_bp_ctl.scala 442:125] + node _T_658 = eq(btb_wr_addr, UInt<4>("h0f")) @[ifu_bp_ctl.scala 432:98] + node _T_659 = and(_T_658, btb_wr_en_way0) @[ifu_bp_ctl.scala 432:107] + node _T_660 = bits(_T_659, 0, 0) @[ifu_bp_ctl.scala 432:125] inst rvclkhdr_24 of rvclkhdr_24 @[lib.scala 399:23] rvclkhdr_24.clock <= clock rvclkhdr_24.reset <= reset @@ -2190,9 +2190,9 @@ circuit ifu_bp_ctl : when _T_660 : @[Reg.scala 28:19] btb_bank0_rd_data_way0_out_15 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_661 = eq(btb_wr_addr, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:98] - node _T_662 = and(_T_661, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_663 = bits(_T_662, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_661 = eq(btb_wr_addr, UInt<1>("h00")) @[ifu_bp_ctl.scala 433:98] + node _T_662 = and(_T_661, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_663 = bits(_T_662, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_25 of rvclkhdr_25 @[lib.scala 399:23] rvclkhdr_25.clock <= clock rvclkhdr_25.reset <= reset @@ -2203,9 +2203,9 @@ circuit ifu_bp_ctl : when _T_663 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_0 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_664 = eq(btb_wr_addr, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:98] - node _T_665 = and(_T_664, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_666 = bits(_T_665, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_664 = eq(btb_wr_addr, UInt<1>("h01")) @[ifu_bp_ctl.scala 433:98] + node _T_665 = and(_T_664, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_666 = bits(_T_665, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_26 of rvclkhdr_26 @[lib.scala 399:23] rvclkhdr_26.clock <= clock rvclkhdr_26.reset <= reset @@ -2216,9 +2216,9 @@ circuit ifu_bp_ctl : when _T_666 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_1 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_667 = eq(btb_wr_addr, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:98] - node _T_668 = and(_T_667, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_669 = bits(_T_668, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_667 = eq(btb_wr_addr, UInt<2>("h02")) @[ifu_bp_ctl.scala 433:98] + node _T_668 = and(_T_667, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_669 = bits(_T_668, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_27 of rvclkhdr_27 @[lib.scala 399:23] rvclkhdr_27.clock <= clock rvclkhdr_27.reset <= reset @@ -2229,9 +2229,9 @@ circuit ifu_bp_ctl : when _T_669 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_2 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_670 = eq(btb_wr_addr, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:98] - node _T_671 = and(_T_670, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_672 = bits(_T_671, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_670 = eq(btb_wr_addr, UInt<2>("h03")) @[ifu_bp_ctl.scala 433:98] + node _T_671 = and(_T_670, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_672 = bits(_T_671, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_28 of rvclkhdr_28 @[lib.scala 399:23] rvclkhdr_28.clock <= clock rvclkhdr_28.reset <= reset @@ -2242,9 +2242,9 @@ circuit ifu_bp_ctl : when _T_672 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_3 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_673 = eq(btb_wr_addr, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:98] - node _T_674 = and(_T_673, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_675 = bits(_T_674, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_673 = eq(btb_wr_addr, UInt<3>("h04")) @[ifu_bp_ctl.scala 433:98] + node _T_674 = and(_T_673, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_675 = bits(_T_674, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_29 of rvclkhdr_29 @[lib.scala 399:23] rvclkhdr_29.clock <= clock rvclkhdr_29.reset <= reset @@ -2255,9 +2255,9 @@ circuit ifu_bp_ctl : when _T_675 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_4 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_676 = eq(btb_wr_addr, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:98] - node _T_677 = and(_T_676, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_678 = bits(_T_677, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_676 = eq(btb_wr_addr, UInt<3>("h05")) @[ifu_bp_ctl.scala 433:98] + node _T_677 = and(_T_676, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_678 = bits(_T_677, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_30 of rvclkhdr_30 @[lib.scala 399:23] rvclkhdr_30.clock <= clock rvclkhdr_30.reset <= reset @@ -2268,9 +2268,9 @@ circuit ifu_bp_ctl : when _T_678 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_5 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_679 = eq(btb_wr_addr, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:98] - node _T_680 = and(_T_679, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_681 = bits(_T_680, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_679 = eq(btb_wr_addr, UInt<3>("h06")) @[ifu_bp_ctl.scala 433:98] + node _T_680 = and(_T_679, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_681 = bits(_T_680, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_31 of rvclkhdr_31 @[lib.scala 399:23] rvclkhdr_31.clock <= clock rvclkhdr_31.reset <= reset @@ -2281,9 +2281,9 @@ circuit ifu_bp_ctl : when _T_681 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_6 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_682 = eq(btb_wr_addr, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:98] - node _T_683 = and(_T_682, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_684 = bits(_T_683, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_682 = eq(btb_wr_addr, UInt<3>("h07")) @[ifu_bp_ctl.scala 433:98] + node _T_683 = and(_T_682, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_684 = bits(_T_683, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_32 of rvclkhdr_32 @[lib.scala 399:23] rvclkhdr_32.clock <= clock rvclkhdr_32.reset <= reset @@ -2294,9 +2294,9 @@ circuit ifu_bp_ctl : when _T_684 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_7 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_685 = eq(btb_wr_addr, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:98] - node _T_686 = and(_T_685, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_687 = bits(_T_686, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_685 = eq(btb_wr_addr, UInt<4>("h08")) @[ifu_bp_ctl.scala 433:98] + node _T_686 = and(_T_685, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_687 = bits(_T_686, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_33 of rvclkhdr_33 @[lib.scala 399:23] rvclkhdr_33.clock <= clock rvclkhdr_33.reset <= reset @@ -2307,9 +2307,9 @@ circuit ifu_bp_ctl : when _T_687 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_8 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_688 = eq(btb_wr_addr, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:98] - node _T_689 = and(_T_688, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_690 = bits(_T_689, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_688 = eq(btb_wr_addr, UInt<4>("h09")) @[ifu_bp_ctl.scala 433:98] + node _T_689 = and(_T_688, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_690 = bits(_T_689, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_34 of rvclkhdr_34 @[lib.scala 399:23] rvclkhdr_34.clock <= clock rvclkhdr_34.reset <= reset @@ -2320,9 +2320,9 @@ circuit ifu_bp_ctl : when _T_690 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_9 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_691 = eq(btb_wr_addr, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:98] - node _T_692 = and(_T_691, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_693 = bits(_T_692, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_691 = eq(btb_wr_addr, UInt<4>("h0a")) @[ifu_bp_ctl.scala 433:98] + node _T_692 = and(_T_691, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_693 = bits(_T_692, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_35 of rvclkhdr_35 @[lib.scala 399:23] rvclkhdr_35.clock <= clock rvclkhdr_35.reset <= reset @@ -2333,9 +2333,9 @@ circuit ifu_bp_ctl : when _T_693 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_10 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_694 = eq(btb_wr_addr, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:98] - node _T_695 = and(_T_694, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_696 = bits(_T_695, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_694 = eq(btb_wr_addr, UInt<4>("h0b")) @[ifu_bp_ctl.scala 433:98] + node _T_695 = and(_T_694, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_696 = bits(_T_695, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_36 of rvclkhdr_36 @[lib.scala 399:23] rvclkhdr_36.clock <= clock rvclkhdr_36.reset <= reset @@ -2346,9 +2346,9 @@ circuit ifu_bp_ctl : when _T_696 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_11 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_697 = eq(btb_wr_addr, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:98] - node _T_698 = and(_T_697, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_699 = bits(_T_698, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_697 = eq(btb_wr_addr, UInt<4>("h0c")) @[ifu_bp_ctl.scala 433:98] + node _T_698 = and(_T_697, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_699 = bits(_T_698, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_37 of rvclkhdr_37 @[lib.scala 399:23] rvclkhdr_37.clock <= clock rvclkhdr_37.reset <= reset @@ -2359,9 +2359,9 @@ circuit ifu_bp_ctl : when _T_699 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_12 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_700 = eq(btb_wr_addr, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:98] - node _T_701 = and(_T_700, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_702 = bits(_T_701, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_700 = eq(btb_wr_addr, UInt<4>("h0d")) @[ifu_bp_ctl.scala 433:98] + node _T_701 = and(_T_700, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_702 = bits(_T_701, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_38 of rvclkhdr_38 @[lib.scala 399:23] rvclkhdr_38.clock <= clock rvclkhdr_38.reset <= reset @@ -2372,9 +2372,9 @@ circuit ifu_bp_ctl : when _T_702 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_13 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_703 = eq(btb_wr_addr, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:98] - node _T_704 = and(_T_703, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_705 = bits(_T_704, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_703 = eq(btb_wr_addr, UInt<4>("h0e")) @[ifu_bp_ctl.scala 433:98] + node _T_704 = and(_T_703, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_705 = bits(_T_704, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_39 of rvclkhdr_39 @[lib.scala 399:23] rvclkhdr_39.clock <= clock rvclkhdr_39.reset <= reset @@ -2385,9 +2385,9 @@ circuit ifu_bp_ctl : when _T_705 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_14 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_706 = eq(btb_wr_addr, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:98] - node _T_707 = and(_T_706, btb_wr_en_way1) @[ifu_bp_ctl.scala 443:107] - node _T_708 = bits(_T_707, 0, 0) @[ifu_bp_ctl.scala 443:125] + node _T_706 = eq(btb_wr_addr, UInt<4>("h0f")) @[ifu_bp_ctl.scala 433:98] + node _T_707 = and(_T_706, btb_wr_en_way1) @[ifu_bp_ctl.scala 433:107] + node _T_708 = bits(_T_707, 0, 0) @[ifu_bp_ctl.scala 433:125] inst rvclkhdr_40 of rvclkhdr_40 @[lib.scala 399:23] rvclkhdr_40.clock <= clock rvclkhdr_40.reset <= reset @@ -2398,38 +2398,38 @@ circuit ifu_bp_ctl : when _T_708 : @[Reg.scala 28:19] btb_bank0_rd_data_way1_out_15 <= btb_wr_data @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_709 = eq(btb_rd_addr_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 445:80] - node _T_710 = bits(_T_709, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_711 = eq(btb_rd_addr_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 445:80] - node _T_712 = bits(_T_711, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_713 = eq(btb_rd_addr_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 445:80] - node _T_714 = bits(_T_713, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_715 = eq(btb_rd_addr_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 445:80] - node _T_716 = bits(_T_715, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_717 = eq(btb_rd_addr_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 445:80] - node _T_718 = bits(_T_717, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_719 = eq(btb_rd_addr_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 445:80] - node _T_720 = bits(_T_719, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_721 = eq(btb_rd_addr_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 445:80] - node _T_722 = bits(_T_721, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_723 = eq(btb_rd_addr_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 445:80] - node _T_724 = bits(_T_723, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_725 = eq(btb_rd_addr_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 445:80] - node _T_726 = bits(_T_725, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_727 = eq(btb_rd_addr_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 445:80] - node _T_728 = bits(_T_727, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_729 = eq(btb_rd_addr_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 445:80] - node _T_730 = bits(_T_729, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_731 = eq(btb_rd_addr_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 445:80] - node _T_732 = bits(_T_731, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_733 = eq(btb_rd_addr_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 445:80] - node _T_734 = bits(_T_733, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_735 = eq(btb_rd_addr_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 445:80] - node _T_736 = bits(_T_735, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_737 = eq(btb_rd_addr_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 445:80] - node _T_738 = bits(_T_737, 0, 0) @[ifu_bp_ctl.scala 445:89] - node _T_739 = eq(btb_rd_addr_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 445:80] - node _T_740 = bits(_T_739, 0, 0) @[ifu_bp_ctl.scala 445:89] + node _T_709 = eq(btb_rd_addr_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:80] + node _T_710 = bits(_T_709, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_711 = eq(btb_rd_addr_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:80] + node _T_712 = bits(_T_711, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_713 = eq(btb_rd_addr_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:80] + node _T_714 = bits(_T_713, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_715 = eq(btb_rd_addr_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:80] + node _T_716 = bits(_T_715, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_717 = eq(btb_rd_addr_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:80] + node _T_718 = bits(_T_717, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_719 = eq(btb_rd_addr_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:80] + node _T_720 = bits(_T_719, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_721 = eq(btb_rd_addr_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:80] + node _T_722 = bits(_T_721, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_723 = eq(btb_rd_addr_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:80] + node _T_724 = bits(_T_723, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_725 = eq(btb_rd_addr_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:80] + node _T_726 = bits(_T_725, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_727 = eq(btb_rd_addr_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:80] + node _T_728 = bits(_T_727, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_729 = eq(btb_rd_addr_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:80] + node _T_730 = bits(_T_729, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_731 = eq(btb_rd_addr_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:80] + node _T_732 = bits(_T_731, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_733 = eq(btb_rd_addr_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:80] + node _T_734 = bits(_T_733, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_735 = eq(btb_rd_addr_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:80] + node _T_736 = bits(_T_735, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_737 = eq(btb_rd_addr_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:80] + node _T_738 = bits(_T_737, 0, 0) @[ifu_bp_ctl.scala 435:89] + node _T_739 = eq(btb_rd_addr_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:80] + node _T_740 = bits(_T_739, 0, 0) @[ifu_bp_ctl.scala 435:89] node _T_741 = mux(_T_710, btb_bank0_rd_data_way0_out_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_742 = mux(_T_712, btb_bank0_rd_data_way0_out_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_743 = mux(_T_714, btb_bank0_rd_data_way0_out_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2463,39 +2463,39 @@ circuit ifu_bp_ctl : node _T_771 = or(_T_770, _T_756) @[Mux.scala 27:72] wire _T_772 : UInt @[Mux.scala 27:72] _T_772 <= _T_771 @[Mux.scala 27:72] - btb_bank0_rd_data_way0_f <= _T_772 @[ifu_bp_ctl.scala 445:28] - node _T_773 = eq(btb_rd_addr_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 446:80] - node _T_774 = bits(_T_773, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_775 = eq(btb_rd_addr_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 446:80] - node _T_776 = bits(_T_775, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_777 = eq(btb_rd_addr_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 446:80] - node _T_778 = bits(_T_777, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_779 = eq(btb_rd_addr_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 446:80] - node _T_780 = bits(_T_779, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_781 = eq(btb_rd_addr_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 446:80] - node _T_782 = bits(_T_781, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_783 = eq(btb_rd_addr_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 446:80] - node _T_784 = bits(_T_783, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_785 = eq(btb_rd_addr_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 446:80] - node _T_786 = bits(_T_785, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_787 = eq(btb_rd_addr_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 446:80] - node _T_788 = bits(_T_787, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_789 = eq(btb_rd_addr_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 446:80] - node _T_790 = bits(_T_789, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_791 = eq(btb_rd_addr_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 446:80] - node _T_792 = bits(_T_791, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_793 = eq(btb_rd_addr_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 446:80] - node _T_794 = bits(_T_793, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_795 = eq(btb_rd_addr_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 446:80] - node _T_796 = bits(_T_795, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_797 = eq(btb_rd_addr_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 446:80] - node _T_798 = bits(_T_797, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_799 = eq(btb_rd_addr_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 446:80] - node _T_800 = bits(_T_799, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_801 = eq(btb_rd_addr_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 446:80] - node _T_802 = bits(_T_801, 0, 0) @[ifu_bp_ctl.scala 446:89] - node _T_803 = eq(btb_rd_addr_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 446:80] - node _T_804 = bits(_T_803, 0, 0) @[ifu_bp_ctl.scala 446:89] + btb_bank0_rd_data_way0_f <= _T_772 @[ifu_bp_ctl.scala 435:28] + node _T_773 = eq(btb_rd_addr_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 436:80] + node _T_774 = bits(_T_773, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_775 = eq(btb_rd_addr_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 436:80] + node _T_776 = bits(_T_775, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_777 = eq(btb_rd_addr_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 436:80] + node _T_778 = bits(_T_777, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_779 = eq(btb_rd_addr_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 436:80] + node _T_780 = bits(_T_779, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_781 = eq(btb_rd_addr_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 436:80] + node _T_782 = bits(_T_781, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_783 = eq(btb_rd_addr_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 436:80] + node _T_784 = bits(_T_783, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_785 = eq(btb_rd_addr_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 436:80] + node _T_786 = bits(_T_785, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_787 = eq(btb_rd_addr_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 436:80] + node _T_788 = bits(_T_787, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_789 = eq(btb_rd_addr_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 436:80] + node _T_790 = bits(_T_789, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_791 = eq(btb_rd_addr_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 436:80] + node _T_792 = bits(_T_791, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_793 = eq(btb_rd_addr_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 436:80] + node _T_794 = bits(_T_793, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_795 = eq(btb_rd_addr_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 436:80] + node _T_796 = bits(_T_795, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_797 = eq(btb_rd_addr_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 436:80] + node _T_798 = bits(_T_797, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_799 = eq(btb_rd_addr_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 436:80] + node _T_800 = bits(_T_799, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_801 = eq(btb_rd_addr_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 436:80] + node _T_802 = bits(_T_801, 0, 0) @[ifu_bp_ctl.scala 436:89] + node _T_803 = eq(btb_rd_addr_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 436:80] + node _T_804 = bits(_T_803, 0, 0) @[ifu_bp_ctl.scala 436:89] node _T_805 = mux(_T_774, btb_bank0_rd_data_way1_out_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_806 = mux(_T_776, btb_bank0_rd_data_way1_out_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_807 = mux(_T_778, btb_bank0_rd_data_way1_out_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2529,39 +2529,39 @@ circuit ifu_bp_ctl : node _T_835 = or(_T_834, _T_820) @[Mux.scala 27:72] wire _T_836 : UInt @[Mux.scala 27:72] _T_836 <= _T_835 @[Mux.scala 27:72] - btb_bank0_rd_data_way1_f <= _T_836 @[ifu_bp_ctl.scala 446:28] - node _T_837 = eq(btb_rd_addr_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 449:86] - node _T_838 = bits(_T_837, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_839 = eq(btb_rd_addr_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 449:86] - node _T_840 = bits(_T_839, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_841 = eq(btb_rd_addr_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 449:86] - node _T_842 = bits(_T_841, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_843 = eq(btb_rd_addr_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 449:86] - node _T_844 = bits(_T_843, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_845 = eq(btb_rd_addr_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 449:86] - node _T_846 = bits(_T_845, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_847 = eq(btb_rd_addr_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 449:86] - node _T_848 = bits(_T_847, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_849 = eq(btb_rd_addr_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 449:86] - node _T_850 = bits(_T_849, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_851 = eq(btb_rd_addr_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 449:86] - node _T_852 = bits(_T_851, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_853 = eq(btb_rd_addr_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 449:86] - node _T_854 = bits(_T_853, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_855 = eq(btb_rd_addr_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 449:86] - node _T_856 = bits(_T_855, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_857 = eq(btb_rd_addr_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 449:86] - node _T_858 = bits(_T_857, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_859 = eq(btb_rd_addr_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 449:86] - node _T_860 = bits(_T_859, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_861 = eq(btb_rd_addr_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 449:86] - node _T_862 = bits(_T_861, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_863 = eq(btb_rd_addr_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 449:86] - node _T_864 = bits(_T_863, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_865 = eq(btb_rd_addr_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 449:86] - node _T_866 = bits(_T_865, 0, 0) @[ifu_bp_ctl.scala 449:95] - node _T_867 = eq(btb_rd_addr_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 449:86] - node _T_868 = bits(_T_867, 0, 0) @[ifu_bp_ctl.scala 449:95] + btb_bank0_rd_data_way1_f <= _T_836 @[ifu_bp_ctl.scala 436:28] + node _T_837 = eq(btb_rd_addr_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 439:86] + node _T_838 = bits(_T_837, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_839 = eq(btb_rd_addr_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 439:86] + node _T_840 = bits(_T_839, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_841 = eq(btb_rd_addr_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 439:86] + node _T_842 = bits(_T_841, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_843 = eq(btb_rd_addr_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 439:86] + node _T_844 = bits(_T_843, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_845 = eq(btb_rd_addr_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 439:86] + node _T_846 = bits(_T_845, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_847 = eq(btb_rd_addr_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 439:86] + node _T_848 = bits(_T_847, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_849 = eq(btb_rd_addr_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 439:86] + node _T_850 = bits(_T_849, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_851 = eq(btb_rd_addr_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 439:86] + node _T_852 = bits(_T_851, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_853 = eq(btb_rd_addr_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 439:86] + node _T_854 = bits(_T_853, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_855 = eq(btb_rd_addr_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 439:86] + node _T_856 = bits(_T_855, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_857 = eq(btb_rd_addr_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 439:86] + node _T_858 = bits(_T_857, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_859 = eq(btb_rd_addr_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 439:86] + node _T_860 = bits(_T_859, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_861 = eq(btb_rd_addr_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 439:86] + node _T_862 = bits(_T_861, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_863 = eq(btb_rd_addr_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 439:86] + node _T_864 = bits(_T_863, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_865 = eq(btb_rd_addr_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 439:86] + node _T_866 = bits(_T_865, 0, 0) @[ifu_bp_ctl.scala 439:95] + node _T_867 = eq(btb_rd_addr_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 439:86] + node _T_868 = bits(_T_867, 0, 0) @[ifu_bp_ctl.scala 439:95] node _T_869 = mux(_T_838, btb_bank0_rd_data_way0_out_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_870 = mux(_T_840, btb_bank0_rd_data_way0_out_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_871 = mux(_T_842, btb_bank0_rd_data_way0_out_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2595,39 +2595,39 @@ circuit ifu_bp_ctl : node _T_899 = or(_T_898, _T_884) @[Mux.scala 27:72] wire _T_900 : UInt @[Mux.scala 27:72] _T_900 <= _T_899 @[Mux.scala 27:72] - btb_bank0_rd_data_way0_p1_f <= _T_900 @[ifu_bp_ctl.scala 449:31] - node _T_901 = eq(btb_rd_addr_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 450:86] - node _T_902 = bits(_T_901, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_903 = eq(btb_rd_addr_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 450:86] - node _T_904 = bits(_T_903, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_905 = eq(btb_rd_addr_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 450:86] - node _T_906 = bits(_T_905, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_907 = eq(btb_rd_addr_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 450:86] - node _T_908 = bits(_T_907, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_909 = eq(btb_rd_addr_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 450:86] - node _T_910 = bits(_T_909, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_911 = eq(btb_rd_addr_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 450:86] - node _T_912 = bits(_T_911, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_913 = eq(btb_rd_addr_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 450:86] - node _T_914 = bits(_T_913, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_915 = eq(btb_rd_addr_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 450:86] - node _T_916 = bits(_T_915, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_917 = eq(btb_rd_addr_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 450:86] - node _T_918 = bits(_T_917, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_919 = eq(btb_rd_addr_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 450:86] - node _T_920 = bits(_T_919, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_921 = eq(btb_rd_addr_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 450:86] - node _T_922 = bits(_T_921, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_923 = eq(btb_rd_addr_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 450:86] - node _T_924 = bits(_T_923, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_925 = eq(btb_rd_addr_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 450:86] - node _T_926 = bits(_T_925, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_927 = eq(btb_rd_addr_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 450:86] - node _T_928 = bits(_T_927, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_929 = eq(btb_rd_addr_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 450:86] - node _T_930 = bits(_T_929, 0, 0) @[ifu_bp_ctl.scala 450:95] - node _T_931 = eq(btb_rd_addr_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 450:86] - node _T_932 = bits(_T_931, 0, 0) @[ifu_bp_ctl.scala 450:95] + btb_bank0_rd_data_way0_p1_f <= _T_900 @[ifu_bp_ctl.scala 439:31] + node _T_901 = eq(btb_rd_addr_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 440:86] + node _T_902 = bits(_T_901, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_903 = eq(btb_rd_addr_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 440:86] + node _T_904 = bits(_T_903, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_905 = eq(btb_rd_addr_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 440:86] + node _T_906 = bits(_T_905, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_907 = eq(btb_rd_addr_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 440:86] + node _T_908 = bits(_T_907, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_909 = eq(btb_rd_addr_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 440:86] + node _T_910 = bits(_T_909, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_911 = eq(btb_rd_addr_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 440:86] + node _T_912 = bits(_T_911, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_913 = eq(btb_rd_addr_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 440:86] + node _T_914 = bits(_T_913, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_915 = eq(btb_rd_addr_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 440:86] + node _T_916 = bits(_T_915, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_917 = eq(btb_rd_addr_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 440:86] + node _T_918 = bits(_T_917, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_919 = eq(btb_rd_addr_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 440:86] + node _T_920 = bits(_T_919, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_921 = eq(btb_rd_addr_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 440:86] + node _T_922 = bits(_T_921, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_923 = eq(btb_rd_addr_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 440:86] + node _T_924 = bits(_T_923, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_925 = eq(btb_rd_addr_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 440:86] + node _T_926 = bits(_T_925, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_927 = eq(btb_rd_addr_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 440:86] + node _T_928 = bits(_T_927, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_929 = eq(btb_rd_addr_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 440:86] + node _T_930 = bits(_T_929, 0, 0) @[ifu_bp_ctl.scala 440:95] + node _T_931 = eq(btb_rd_addr_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 440:86] + node _T_932 = bits(_T_931, 0, 0) @[ifu_bp_ctl.scala 440:95] node _T_933 = mux(_T_902, btb_bank0_rd_data_way1_out_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_934 = mux(_T_904, btb_bank0_rd_data_way1_out_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_935 = mux(_T_906, btb_bank0_rd_data_way1_out_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2661,1169 +2661,1169 @@ circuit ifu_bp_ctl : node _T_963 = or(_T_962, _T_948) @[Mux.scala 27:72] wire _T_964 : UInt @[Mux.scala 27:72] _T_964 <= _T_963 @[Mux.scala 27:72] - btb_bank0_rd_data_way1_p1_f <= _T_964 @[ifu_bp_ctl.scala 450:31] - wire bht_bank_clken : UInt<1>[1][2] @[ifu_bp_ctl.scala 507:28] - wire bht_bank_clk : Clock[1][2] @[ifu_bp_ctl.scala 509:26] + btb_bank0_rd_data_way1_p1_f <= _T_964 @[ifu_bp_ctl.scala 440:31] + wire bht_bank_clken : UInt<1>[1][2] @[ifu_bp_ctl.scala 497:28] + wire bht_bank_clk : Clock[1][2] @[ifu_bp_ctl.scala 499:26] inst rvclkhdr_41 of rvclkhdr_41 @[lib.scala 343:22] rvclkhdr_41.clock <= clock rvclkhdr_41.reset <= reset rvclkhdr_41.io.clk <= clock @[lib.scala 344:17] rvclkhdr_41.io.en <= bht_bank_clken[0][0] @[lib.scala 345:16] rvclkhdr_41.io.scan_mode <= UInt<1>("h00") @[lib.scala 346:23] - bht_bank_clk[0][0] <= rvclkhdr_41.io.l1clk @[ifu_bp_ctl.scala 511:84] + bht_bank_clk[0][0] <= rvclkhdr_41.io.l1clk @[ifu_bp_ctl.scala 501:84] inst rvclkhdr_42 of rvclkhdr_42 @[lib.scala 343:22] rvclkhdr_42.clock <= clock rvclkhdr_42.reset <= reset rvclkhdr_42.io.clk <= clock @[lib.scala 344:17] rvclkhdr_42.io.en <= bht_bank_clken[1][0] @[lib.scala 345:16] rvclkhdr_42.io.scan_mode <= UInt<1>("h00") @[lib.scala 346:23] - bht_bank_clk[1][0] <= rvclkhdr_42.io.l1clk @[ifu_bp_ctl.scala 511:84] - node _T_965 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 516:40] - node _T_966 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 516:60] - node _T_967 = eq(_T_966, UInt<1>("h00")) @[ifu_bp_ctl.scala 516:109] - node _T_968 = or(_T_967, UInt<1>("h01")) @[ifu_bp_ctl.scala 516:117] - node _T_969 = and(_T_965, _T_968) @[ifu_bp_ctl.scala 516:44] - node _T_970 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 517:40] - node _T_971 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 517:60] - node _T_972 = eq(_T_971, UInt<1>("h00")) @[ifu_bp_ctl.scala 517:109] - node _T_973 = or(_T_972, UInt<1>("h01")) @[ifu_bp_ctl.scala 517:117] - node _T_974 = and(_T_970, _T_973) @[ifu_bp_ctl.scala 517:44] - node _T_975 = or(_T_969, _T_974) @[ifu_bp_ctl.scala 516:142] - bht_bank_clken[0][0] <= _T_975 @[ifu_bp_ctl.scala 516:26] - node _T_976 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 516:40] - node _T_977 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 516:60] - node _T_978 = eq(_T_977, UInt<1>("h00")) @[ifu_bp_ctl.scala 516:109] - node _T_979 = or(_T_978, UInt<1>("h01")) @[ifu_bp_ctl.scala 516:117] - node _T_980 = and(_T_976, _T_979) @[ifu_bp_ctl.scala 516:44] - node _T_981 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 517:40] - node _T_982 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 517:60] - node _T_983 = eq(_T_982, UInt<1>("h00")) @[ifu_bp_ctl.scala 517:109] - node _T_984 = or(_T_983, UInt<1>("h01")) @[ifu_bp_ctl.scala 517:117] - node _T_985 = and(_T_981, _T_984) @[ifu_bp_ctl.scala 517:44] - node _T_986 = or(_T_980, _T_985) @[ifu_bp_ctl.scala 516:142] - bht_bank_clken[1][0] <= _T_986 @[ifu_bp_ctl.scala 516:26] - node _T_987 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_988 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_989 = eq(_T_988, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:74] - node _T_990 = and(_T_987, _T_989) @[ifu_bp_ctl.scala 521:23] - node _T_991 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_992 = eq(_T_991, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_993 = or(_T_992, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_994 = and(_T_990, _T_993) @[ifu_bp_ctl.scala 521:81] - node _T_995 = bits(_T_994, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_0 = mux(_T_995, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_996 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_997 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_998 = eq(_T_997, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:74] - node _T_999 = and(_T_996, _T_998) @[ifu_bp_ctl.scala 521:23] - node _T_1000 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1001 = eq(_T_1000, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1002 = or(_T_1001, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1003 = and(_T_999, _T_1002) @[ifu_bp_ctl.scala 521:81] - node _T_1004 = bits(_T_1003, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_1 = mux(_T_1004, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1005 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1006 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1007 = eq(_T_1006, UInt<2>("h02")) @[ifu_bp_ctl.scala 521:74] - node _T_1008 = and(_T_1005, _T_1007) @[ifu_bp_ctl.scala 521:23] - node _T_1009 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1010 = eq(_T_1009, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1011 = or(_T_1010, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1012 = and(_T_1008, _T_1011) @[ifu_bp_ctl.scala 521:81] - node _T_1013 = bits(_T_1012, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_2 = mux(_T_1013, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1014 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1015 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1016 = eq(_T_1015, UInt<2>("h03")) @[ifu_bp_ctl.scala 521:74] - node _T_1017 = and(_T_1014, _T_1016) @[ifu_bp_ctl.scala 521:23] - node _T_1018 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1020 = or(_T_1019, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1021 = and(_T_1017, _T_1020) @[ifu_bp_ctl.scala 521:81] - node _T_1022 = bits(_T_1021, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_3 = mux(_T_1022, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1023 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1024 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1025 = eq(_T_1024, UInt<3>("h04")) @[ifu_bp_ctl.scala 521:74] - node _T_1026 = and(_T_1023, _T_1025) @[ifu_bp_ctl.scala 521:23] - node _T_1027 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1028 = eq(_T_1027, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1029 = or(_T_1028, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1030 = and(_T_1026, _T_1029) @[ifu_bp_ctl.scala 521:81] - node _T_1031 = bits(_T_1030, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_4 = mux(_T_1031, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1032 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1033 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1034 = eq(_T_1033, UInt<3>("h05")) @[ifu_bp_ctl.scala 521:74] - node _T_1035 = and(_T_1032, _T_1034) @[ifu_bp_ctl.scala 521:23] - node _T_1036 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1037 = eq(_T_1036, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1038 = or(_T_1037, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1039 = and(_T_1035, _T_1038) @[ifu_bp_ctl.scala 521:81] - node _T_1040 = bits(_T_1039, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_5 = mux(_T_1040, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1041 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1042 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1043 = eq(_T_1042, UInt<3>("h06")) @[ifu_bp_ctl.scala 521:74] - node _T_1044 = and(_T_1041, _T_1043) @[ifu_bp_ctl.scala 521:23] - node _T_1045 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1046 = eq(_T_1045, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1047 = or(_T_1046, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1048 = and(_T_1044, _T_1047) @[ifu_bp_ctl.scala 521:81] - node _T_1049 = bits(_T_1048, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_6 = mux(_T_1049, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1050 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1051 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1052 = eq(_T_1051, UInt<3>("h07")) @[ifu_bp_ctl.scala 521:74] - node _T_1053 = and(_T_1050, _T_1052) @[ifu_bp_ctl.scala 521:23] - node _T_1054 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1055 = eq(_T_1054, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1056 = or(_T_1055, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1057 = and(_T_1053, _T_1056) @[ifu_bp_ctl.scala 521:81] - node _T_1058 = bits(_T_1057, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_7 = mux(_T_1058, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1059 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1060 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1061 = eq(_T_1060, UInt<4>("h08")) @[ifu_bp_ctl.scala 521:74] - node _T_1062 = and(_T_1059, _T_1061) @[ifu_bp_ctl.scala 521:23] - node _T_1063 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1064 = eq(_T_1063, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1065 = or(_T_1064, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1066 = and(_T_1062, _T_1065) @[ifu_bp_ctl.scala 521:81] - node _T_1067 = bits(_T_1066, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_8 = mux(_T_1067, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1068 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1069 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1070 = eq(_T_1069, UInt<4>("h09")) @[ifu_bp_ctl.scala 521:74] - node _T_1071 = and(_T_1068, _T_1070) @[ifu_bp_ctl.scala 521:23] - node _T_1072 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1074 = or(_T_1073, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1075 = and(_T_1071, _T_1074) @[ifu_bp_ctl.scala 521:81] - node _T_1076 = bits(_T_1075, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_9 = mux(_T_1076, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1077 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1078 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1079 = eq(_T_1078, UInt<4>("h0a")) @[ifu_bp_ctl.scala 521:74] - node _T_1080 = and(_T_1077, _T_1079) @[ifu_bp_ctl.scala 521:23] - node _T_1081 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1082 = eq(_T_1081, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1083 = or(_T_1082, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1084 = and(_T_1080, _T_1083) @[ifu_bp_ctl.scala 521:81] - node _T_1085 = bits(_T_1084, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_10 = mux(_T_1085, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1086 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1087 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1088 = eq(_T_1087, UInt<4>("h0b")) @[ifu_bp_ctl.scala 521:74] - node _T_1089 = and(_T_1086, _T_1088) @[ifu_bp_ctl.scala 521:23] - node _T_1090 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1091 = eq(_T_1090, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1092 = or(_T_1091, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1093 = and(_T_1089, _T_1092) @[ifu_bp_ctl.scala 521:81] - node _T_1094 = bits(_T_1093, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_11 = mux(_T_1094, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1095 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1096 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1097 = eq(_T_1096, UInt<4>("h0c")) @[ifu_bp_ctl.scala 521:74] - node _T_1098 = and(_T_1095, _T_1097) @[ifu_bp_ctl.scala 521:23] - node _T_1099 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1100 = eq(_T_1099, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1101 = or(_T_1100, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1102 = and(_T_1098, _T_1101) @[ifu_bp_ctl.scala 521:81] - node _T_1103 = bits(_T_1102, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_12 = mux(_T_1103, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1104 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1105 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1106 = eq(_T_1105, UInt<4>("h0d")) @[ifu_bp_ctl.scala 521:74] - node _T_1107 = and(_T_1104, _T_1106) @[ifu_bp_ctl.scala 521:23] - node _T_1108 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1109 = eq(_T_1108, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1110 = or(_T_1109, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1111 = and(_T_1107, _T_1110) @[ifu_bp_ctl.scala 521:81] - node _T_1112 = bits(_T_1111, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_13 = mux(_T_1112, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1113 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1114 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1115 = eq(_T_1114, UInt<4>("h0e")) @[ifu_bp_ctl.scala 521:74] - node _T_1116 = and(_T_1113, _T_1115) @[ifu_bp_ctl.scala 521:23] - node _T_1117 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1118 = eq(_T_1117, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1119 = or(_T_1118, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1120 = and(_T_1116, _T_1119) @[ifu_bp_ctl.scala 521:81] - node _T_1121 = bits(_T_1120, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_14 = mux(_T_1121, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1122 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:20] - node _T_1123 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1124 = eq(_T_1123, UInt<4>("h0f")) @[ifu_bp_ctl.scala 521:74] - node _T_1125 = and(_T_1122, _T_1124) @[ifu_bp_ctl.scala 521:23] - node _T_1126 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1127 = eq(_T_1126, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1128 = or(_T_1127, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1129 = and(_T_1125, _T_1128) @[ifu_bp_ctl.scala 521:81] - node _T_1130 = bits(_T_1129, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_0_0_15 = mux(_T_1130, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1131 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1132 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1133 = eq(_T_1132, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:74] - node _T_1134 = and(_T_1131, _T_1133) @[ifu_bp_ctl.scala 521:23] - node _T_1135 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1136 = eq(_T_1135, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1137 = or(_T_1136, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1138 = and(_T_1134, _T_1137) @[ifu_bp_ctl.scala 521:81] - node _T_1139 = bits(_T_1138, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_0 = mux(_T_1139, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1140 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1141 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1142 = eq(_T_1141, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:74] - node _T_1143 = and(_T_1140, _T_1142) @[ifu_bp_ctl.scala 521:23] - node _T_1144 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1145 = eq(_T_1144, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1146 = or(_T_1145, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1147 = and(_T_1143, _T_1146) @[ifu_bp_ctl.scala 521:81] - node _T_1148 = bits(_T_1147, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_1 = mux(_T_1148, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1149 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1150 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1151 = eq(_T_1150, UInt<2>("h02")) @[ifu_bp_ctl.scala 521:74] - node _T_1152 = and(_T_1149, _T_1151) @[ifu_bp_ctl.scala 521:23] - node _T_1153 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1154 = eq(_T_1153, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1155 = or(_T_1154, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1156 = and(_T_1152, _T_1155) @[ifu_bp_ctl.scala 521:81] - node _T_1157 = bits(_T_1156, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_2 = mux(_T_1157, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1158 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1159 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1160 = eq(_T_1159, UInt<2>("h03")) @[ifu_bp_ctl.scala 521:74] - node _T_1161 = and(_T_1158, _T_1160) @[ifu_bp_ctl.scala 521:23] - node _T_1162 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1163 = eq(_T_1162, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1164 = or(_T_1163, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1165 = and(_T_1161, _T_1164) @[ifu_bp_ctl.scala 521:81] - node _T_1166 = bits(_T_1165, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_3 = mux(_T_1166, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1167 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1168 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1169 = eq(_T_1168, UInt<3>("h04")) @[ifu_bp_ctl.scala 521:74] - node _T_1170 = and(_T_1167, _T_1169) @[ifu_bp_ctl.scala 521:23] - node _T_1171 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1172 = eq(_T_1171, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1173 = or(_T_1172, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1174 = and(_T_1170, _T_1173) @[ifu_bp_ctl.scala 521:81] - node _T_1175 = bits(_T_1174, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_4 = mux(_T_1175, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1176 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1177 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1178 = eq(_T_1177, UInt<3>("h05")) @[ifu_bp_ctl.scala 521:74] - node _T_1179 = and(_T_1176, _T_1178) @[ifu_bp_ctl.scala 521:23] - node _T_1180 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1181 = eq(_T_1180, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1182 = or(_T_1181, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1183 = and(_T_1179, _T_1182) @[ifu_bp_ctl.scala 521:81] - node _T_1184 = bits(_T_1183, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_5 = mux(_T_1184, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1185 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1186 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1187 = eq(_T_1186, UInt<3>("h06")) @[ifu_bp_ctl.scala 521:74] - node _T_1188 = and(_T_1185, _T_1187) @[ifu_bp_ctl.scala 521:23] - node _T_1189 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1190 = eq(_T_1189, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1191 = or(_T_1190, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1192 = and(_T_1188, _T_1191) @[ifu_bp_ctl.scala 521:81] - node _T_1193 = bits(_T_1192, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_6 = mux(_T_1193, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1194 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1195 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1196 = eq(_T_1195, UInt<3>("h07")) @[ifu_bp_ctl.scala 521:74] - node _T_1197 = and(_T_1194, _T_1196) @[ifu_bp_ctl.scala 521:23] - node _T_1198 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1199 = eq(_T_1198, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1200 = or(_T_1199, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1201 = and(_T_1197, _T_1200) @[ifu_bp_ctl.scala 521:81] - node _T_1202 = bits(_T_1201, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_7 = mux(_T_1202, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1203 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1204 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1205 = eq(_T_1204, UInt<4>("h08")) @[ifu_bp_ctl.scala 521:74] - node _T_1206 = and(_T_1203, _T_1205) @[ifu_bp_ctl.scala 521:23] - node _T_1207 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1208 = eq(_T_1207, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1209 = or(_T_1208, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1210 = and(_T_1206, _T_1209) @[ifu_bp_ctl.scala 521:81] - node _T_1211 = bits(_T_1210, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_8 = mux(_T_1211, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1212 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1213 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1214 = eq(_T_1213, UInt<4>("h09")) @[ifu_bp_ctl.scala 521:74] - node _T_1215 = and(_T_1212, _T_1214) @[ifu_bp_ctl.scala 521:23] - node _T_1216 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1217 = eq(_T_1216, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1218 = or(_T_1217, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1219 = and(_T_1215, _T_1218) @[ifu_bp_ctl.scala 521:81] - node _T_1220 = bits(_T_1219, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_9 = mux(_T_1220, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1221 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1222 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1223 = eq(_T_1222, UInt<4>("h0a")) @[ifu_bp_ctl.scala 521:74] - node _T_1224 = and(_T_1221, _T_1223) @[ifu_bp_ctl.scala 521:23] - node _T_1225 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1226 = eq(_T_1225, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1227 = or(_T_1226, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1228 = and(_T_1224, _T_1227) @[ifu_bp_ctl.scala 521:81] - node _T_1229 = bits(_T_1228, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_10 = mux(_T_1229, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1230 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1231 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1232 = eq(_T_1231, UInt<4>("h0b")) @[ifu_bp_ctl.scala 521:74] - node _T_1233 = and(_T_1230, _T_1232) @[ifu_bp_ctl.scala 521:23] - node _T_1234 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1235 = eq(_T_1234, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1236 = or(_T_1235, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1237 = and(_T_1233, _T_1236) @[ifu_bp_ctl.scala 521:81] - node _T_1238 = bits(_T_1237, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_11 = mux(_T_1238, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1239 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1240 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1241 = eq(_T_1240, UInt<4>("h0c")) @[ifu_bp_ctl.scala 521:74] - node _T_1242 = and(_T_1239, _T_1241) @[ifu_bp_ctl.scala 521:23] - node _T_1243 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1244 = eq(_T_1243, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1245 = or(_T_1244, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1246 = and(_T_1242, _T_1245) @[ifu_bp_ctl.scala 521:81] - node _T_1247 = bits(_T_1246, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_12 = mux(_T_1247, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1248 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1249 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1250 = eq(_T_1249, UInt<4>("h0d")) @[ifu_bp_ctl.scala 521:74] - node _T_1251 = and(_T_1248, _T_1250) @[ifu_bp_ctl.scala 521:23] - node _T_1252 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1253 = eq(_T_1252, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1254 = or(_T_1253, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1255 = and(_T_1251, _T_1254) @[ifu_bp_ctl.scala 521:81] - node _T_1256 = bits(_T_1255, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_13 = mux(_T_1256, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1257 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1258 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1259 = eq(_T_1258, UInt<4>("h0e")) @[ifu_bp_ctl.scala 521:74] - node _T_1260 = and(_T_1257, _T_1259) @[ifu_bp_ctl.scala 521:23] - node _T_1261 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1262 = eq(_T_1261, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1263 = or(_T_1262, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1264 = and(_T_1260, _T_1263) @[ifu_bp_ctl.scala 521:81] - node _T_1265 = bits(_T_1264, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_14 = mux(_T_1265, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - node _T_1266 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:20] - node _T_1267 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] - node _T_1268 = eq(_T_1267, UInt<4>("h0f")) @[ifu_bp_ctl.scala 521:74] - node _T_1269 = and(_T_1266, _T_1268) @[ifu_bp_ctl.scala 521:23] - node _T_1270 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:96] - node _T_1271 = eq(_T_1270, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:155] - node _T_1272 = or(_T_1271, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:162] - node _T_1273 = and(_T_1269, _T_1272) @[ifu_bp_ctl.scala 521:81] - node _T_1274 = bits(_T_1273, 0, 0) @[ifu_bp_ctl.scala 521:185] - node bht_bank_wr_data_1_0_15 = mux(_T_1274, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 521:8] - wire bht_bank_sel : UInt<1>[16][1][2] @[ifu_bp_ctl.scala 523:26] - node _T_1275 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1276 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1277 = eq(_T_1276, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:97] - node _T_1278 = and(_T_1275, _T_1277) @[ifu_bp_ctl.scala 530:45] - node _T_1279 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1280 = eq(_T_1279, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1281 = or(_T_1280, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1282 = and(_T_1278, _T_1281) @[ifu_bp_ctl.scala 530:110] - node _T_1283 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1284 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1285 = eq(_T_1284, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:74] - node _T_1286 = and(_T_1283, _T_1285) @[ifu_bp_ctl.scala 531:22] - node _T_1287 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1288 = eq(_T_1287, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1289 = or(_T_1288, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1290 = and(_T_1286, _T_1289) @[ifu_bp_ctl.scala 531:87] - node _T_1291 = or(_T_1282, _T_1290) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][0] <= _T_1291 @[ifu_bp_ctl.scala 530:27] - node _T_1292 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1293 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1294 = eq(_T_1293, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:97] - node _T_1295 = and(_T_1292, _T_1294) @[ifu_bp_ctl.scala 530:45] - node _T_1296 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1297 = eq(_T_1296, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1298 = or(_T_1297, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1299 = and(_T_1295, _T_1298) @[ifu_bp_ctl.scala 530:110] - node _T_1300 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1301 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1302 = eq(_T_1301, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:74] - node _T_1303 = and(_T_1300, _T_1302) @[ifu_bp_ctl.scala 531:22] - node _T_1304 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1306 = or(_T_1305, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1307 = and(_T_1303, _T_1306) @[ifu_bp_ctl.scala 531:87] - node _T_1308 = or(_T_1299, _T_1307) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][1] <= _T_1308 @[ifu_bp_ctl.scala 530:27] - node _T_1309 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1310 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1311 = eq(_T_1310, UInt<2>("h02")) @[ifu_bp_ctl.scala 530:97] - node _T_1312 = and(_T_1309, _T_1311) @[ifu_bp_ctl.scala 530:45] - node _T_1313 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1315 = or(_T_1314, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1316 = and(_T_1312, _T_1315) @[ifu_bp_ctl.scala 530:110] - node _T_1317 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1318 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1319 = eq(_T_1318, UInt<2>("h02")) @[ifu_bp_ctl.scala 531:74] - node _T_1320 = and(_T_1317, _T_1319) @[ifu_bp_ctl.scala 531:22] - node _T_1321 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1322 = eq(_T_1321, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1323 = or(_T_1322, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1324 = and(_T_1320, _T_1323) @[ifu_bp_ctl.scala 531:87] - node _T_1325 = or(_T_1316, _T_1324) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][2] <= _T_1325 @[ifu_bp_ctl.scala 530:27] - node _T_1326 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1327 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1328 = eq(_T_1327, UInt<2>("h03")) @[ifu_bp_ctl.scala 530:97] - node _T_1329 = and(_T_1326, _T_1328) @[ifu_bp_ctl.scala 530:45] - node _T_1330 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1331 = eq(_T_1330, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1332 = or(_T_1331, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1333 = and(_T_1329, _T_1332) @[ifu_bp_ctl.scala 530:110] - node _T_1334 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1335 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1336 = eq(_T_1335, UInt<2>("h03")) @[ifu_bp_ctl.scala 531:74] - node _T_1337 = and(_T_1334, _T_1336) @[ifu_bp_ctl.scala 531:22] - node _T_1338 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1339 = eq(_T_1338, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1340 = or(_T_1339, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1341 = and(_T_1337, _T_1340) @[ifu_bp_ctl.scala 531:87] - node _T_1342 = or(_T_1333, _T_1341) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][3] <= _T_1342 @[ifu_bp_ctl.scala 530:27] - node _T_1343 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1344 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1345 = eq(_T_1344, UInt<3>("h04")) @[ifu_bp_ctl.scala 530:97] - node _T_1346 = and(_T_1343, _T_1345) @[ifu_bp_ctl.scala 530:45] - node _T_1347 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1349 = or(_T_1348, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1350 = and(_T_1346, _T_1349) @[ifu_bp_ctl.scala 530:110] - node _T_1351 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1352 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1353 = eq(_T_1352, UInt<3>("h04")) @[ifu_bp_ctl.scala 531:74] - node _T_1354 = and(_T_1351, _T_1353) @[ifu_bp_ctl.scala 531:22] - node _T_1355 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1356 = eq(_T_1355, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1357 = or(_T_1356, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1358 = and(_T_1354, _T_1357) @[ifu_bp_ctl.scala 531:87] - node _T_1359 = or(_T_1350, _T_1358) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][4] <= _T_1359 @[ifu_bp_ctl.scala 530:27] - node _T_1360 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1361 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1362 = eq(_T_1361, UInt<3>("h05")) @[ifu_bp_ctl.scala 530:97] - node _T_1363 = and(_T_1360, _T_1362) @[ifu_bp_ctl.scala 530:45] - node _T_1364 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1365 = eq(_T_1364, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1366 = or(_T_1365, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1367 = and(_T_1363, _T_1366) @[ifu_bp_ctl.scala 530:110] - node _T_1368 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1369 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1370 = eq(_T_1369, UInt<3>("h05")) @[ifu_bp_ctl.scala 531:74] - node _T_1371 = and(_T_1368, _T_1370) @[ifu_bp_ctl.scala 531:22] - node _T_1372 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1373 = eq(_T_1372, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1374 = or(_T_1373, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1375 = and(_T_1371, _T_1374) @[ifu_bp_ctl.scala 531:87] - node _T_1376 = or(_T_1367, _T_1375) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][5] <= _T_1376 @[ifu_bp_ctl.scala 530:27] - node _T_1377 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1378 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1379 = eq(_T_1378, UInt<3>("h06")) @[ifu_bp_ctl.scala 530:97] - node _T_1380 = and(_T_1377, _T_1379) @[ifu_bp_ctl.scala 530:45] - node _T_1381 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1382 = eq(_T_1381, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1383 = or(_T_1382, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1384 = and(_T_1380, _T_1383) @[ifu_bp_ctl.scala 530:110] - node _T_1385 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1386 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1387 = eq(_T_1386, UInt<3>("h06")) @[ifu_bp_ctl.scala 531:74] - node _T_1388 = and(_T_1385, _T_1387) @[ifu_bp_ctl.scala 531:22] - node _T_1389 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1390 = eq(_T_1389, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1391 = or(_T_1390, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1392 = and(_T_1388, _T_1391) @[ifu_bp_ctl.scala 531:87] - node _T_1393 = or(_T_1384, _T_1392) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][6] <= _T_1393 @[ifu_bp_ctl.scala 530:27] - node _T_1394 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1395 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1396 = eq(_T_1395, UInt<3>("h07")) @[ifu_bp_ctl.scala 530:97] - node _T_1397 = and(_T_1394, _T_1396) @[ifu_bp_ctl.scala 530:45] - node _T_1398 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1399 = eq(_T_1398, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1400 = or(_T_1399, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1401 = and(_T_1397, _T_1400) @[ifu_bp_ctl.scala 530:110] - node _T_1402 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1403 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1404 = eq(_T_1403, UInt<3>("h07")) @[ifu_bp_ctl.scala 531:74] - node _T_1405 = and(_T_1402, _T_1404) @[ifu_bp_ctl.scala 531:22] - node _T_1406 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1407 = eq(_T_1406, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1408 = or(_T_1407, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1409 = and(_T_1405, _T_1408) @[ifu_bp_ctl.scala 531:87] - node _T_1410 = or(_T_1401, _T_1409) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][7] <= _T_1410 @[ifu_bp_ctl.scala 530:27] - node _T_1411 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1412 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1413 = eq(_T_1412, UInt<4>("h08")) @[ifu_bp_ctl.scala 530:97] - node _T_1414 = and(_T_1411, _T_1413) @[ifu_bp_ctl.scala 530:45] - node _T_1415 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1416 = eq(_T_1415, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1417 = or(_T_1416, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1418 = and(_T_1414, _T_1417) @[ifu_bp_ctl.scala 530:110] - node _T_1419 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1420 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1421 = eq(_T_1420, UInt<4>("h08")) @[ifu_bp_ctl.scala 531:74] - node _T_1422 = and(_T_1419, _T_1421) @[ifu_bp_ctl.scala 531:22] - node _T_1423 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1424 = eq(_T_1423, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1425 = or(_T_1424, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1426 = and(_T_1422, _T_1425) @[ifu_bp_ctl.scala 531:87] - node _T_1427 = or(_T_1418, _T_1426) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][8] <= _T_1427 @[ifu_bp_ctl.scala 530:27] - node _T_1428 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1429 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1430 = eq(_T_1429, UInt<4>("h09")) @[ifu_bp_ctl.scala 530:97] - node _T_1431 = and(_T_1428, _T_1430) @[ifu_bp_ctl.scala 530:45] - node _T_1432 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1434 = or(_T_1433, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1435 = and(_T_1431, _T_1434) @[ifu_bp_ctl.scala 530:110] - node _T_1436 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1437 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1438 = eq(_T_1437, UInt<4>("h09")) @[ifu_bp_ctl.scala 531:74] - node _T_1439 = and(_T_1436, _T_1438) @[ifu_bp_ctl.scala 531:22] - node _T_1440 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1441 = eq(_T_1440, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1442 = or(_T_1441, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1443 = and(_T_1439, _T_1442) @[ifu_bp_ctl.scala 531:87] - node _T_1444 = or(_T_1435, _T_1443) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][9] <= _T_1444 @[ifu_bp_ctl.scala 530:27] - node _T_1445 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1446 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1447 = eq(_T_1446, UInt<4>("h0a")) @[ifu_bp_ctl.scala 530:97] - node _T_1448 = and(_T_1445, _T_1447) @[ifu_bp_ctl.scala 530:45] - node _T_1449 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1450 = eq(_T_1449, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1451 = or(_T_1450, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1452 = and(_T_1448, _T_1451) @[ifu_bp_ctl.scala 530:110] - node _T_1453 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1454 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1455 = eq(_T_1454, UInt<4>("h0a")) @[ifu_bp_ctl.scala 531:74] - node _T_1456 = and(_T_1453, _T_1455) @[ifu_bp_ctl.scala 531:22] - node _T_1457 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1458 = eq(_T_1457, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1459 = or(_T_1458, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1460 = and(_T_1456, _T_1459) @[ifu_bp_ctl.scala 531:87] - node _T_1461 = or(_T_1452, _T_1460) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][10] <= _T_1461 @[ifu_bp_ctl.scala 530:27] - node _T_1462 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1463 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1464 = eq(_T_1463, UInt<4>("h0b")) @[ifu_bp_ctl.scala 530:97] - node _T_1465 = and(_T_1462, _T_1464) @[ifu_bp_ctl.scala 530:45] - node _T_1466 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1467 = eq(_T_1466, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1468 = or(_T_1467, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1469 = and(_T_1465, _T_1468) @[ifu_bp_ctl.scala 530:110] - node _T_1470 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1471 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1472 = eq(_T_1471, UInt<4>("h0b")) @[ifu_bp_ctl.scala 531:74] - node _T_1473 = and(_T_1470, _T_1472) @[ifu_bp_ctl.scala 531:22] - node _T_1474 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1475 = eq(_T_1474, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1476 = or(_T_1475, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1477 = and(_T_1473, _T_1476) @[ifu_bp_ctl.scala 531:87] - node _T_1478 = or(_T_1469, _T_1477) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][11] <= _T_1478 @[ifu_bp_ctl.scala 530:27] - node _T_1479 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1480 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1481 = eq(_T_1480, UInt<4>("h0c")) @[ifu_bp_ctl.scala 530:97] - node _T_1482 = and(_T_1479, _T_1481) @[ifu_bp_ctl.scala 530:45] - node _T_1483 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1485 = or(_T_1484, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1486 = and(_T_1482, _T_1485) @[ifu_bp_ctl.scala 530:110] - node _T_1487 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1488 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1489 = eq(_T_1488, UInt<4>("h0c")) @[ifu_bp_ctl.scala 531:74] - node _T_1490 = and(_T_1487, _T_1489) @[ifu_bp_ctl.scala 531:22] - node _T_1491 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1492 = eq(_T_1491, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1493 = or(_T_1492, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1494 = and(_T_1490, _T_1493) @[ifu_bp_ctl.scala 531:87] - node _T_1495 = or(_T_1486, _T_1494) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][12] <= _T_1495 @[ifu_bp_ctl.scala 530:27] - node _T_1496 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1497 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1498 = eq(_T_1497, UInt<4>("h0d")) @[ifu_bp_ctl.scala 530:97] - node _T_1499 = and(_T_1496, _T_1498) @[ifu_bp_ctl.scala 530:45] - node _T_1500 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1501 = eq(_T_1500, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1502 = or(_T_1501, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1503 = and(_T_1499, _T_1502) @[ifu_bp_ctl.scala 530:110] - node _T_1504 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1505 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1506 = eq(_T_1505, UInt<4>("h0d")) @[ifu_bp_ctl.scala 531:74] - node _T_1507 = and(_T_1504, _T_1506) @[ifu_bp_ctl.scala 531:22] - node _T_1508 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1509 = eq(_T_1508, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1510 = or(_T_1509, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1511 = and(_T_1507, _T_1510) @[ifu_bp_ctl.scala 531:87] - node _T_1512 = or(_T_1503, _T_1511) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][13] <= _T_1512 @[ifu_bp_ctl.scala 530:27] - node _T_1513 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1514 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1515 = eq(_T_1514, UInt<4>("h0e")) @[ifu_bp_ctl.scala 530:97] - node _T_1516 = and(_T_1513, _T_1515) @[ifu_bp_ctl.scala 530:45] - node _T_1517 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1518 = eq(_T_1517, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1519 = or(_T_1518, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1520 = and(_T_1516, _T_1519) @[ifu_bp_ctl.scala 530:110] - node _T_1521 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1522 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1523 = eq(_T_1522, UInt<4>("h0e")) @[ifu_bp_ctl.scala 531:74] - node _T_1524 = and(_T_1521, _T_1523) @[ifu_bp_ctl.scala 531:22] - node _T_1525 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1526 = eq(_T_1525, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1527 = or(_T_1526, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1528 = and(_T_1524, _T_1527) @[ifu_bp_ctl.scala 531:87] - node _T_1529 = or(_T_1520, _T_1528) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][14] <= _T_1529 @[ifu_bp_ctl.scala 530:27] - node _T_1530 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 530:41] - node _T_1531 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1532 = eq(_T_1531, UInt<4>("h0f")) @[ifu_bp_ctl.scala 530:97] - node _T_1533 = and(_T_1530, _T_1532) @[ifu_bp_ctl.scala 530:45] - node _T_1534 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1536 = or(_T_1535, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1537 = and(_T_1533, _T_1536) @[ifu_bp_ctl.scala 530:110] - node _T_1538 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 531:18] - node _T_1539 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1540 = eq(_T_1539, UInt<4>("h0f")) @[ifu_bp_ctl.scala 531:74] - node _T_1541 = and(_T_1538, _T_1540) @[ifu_bp_ctl.scala 531:22] - node _T_1542 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1543 = eq(_T_1542, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1544 = or(_T_1543, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1545 = and(_T_1541, _T_1544) @[ifu_bp_ctl.scala 531:87] - node _T_1546 = or(_T_1537, _T_1545) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[0][0][15] <= _T_1546 @[ifu_bp_ctl.scala 530:27] - node _T_1547 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1548 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1549 = eq(_T_1548, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:97] - node _T_1550 = and(_T_1547, _T_1549) @[ifu_bp_ctl.scala 530:45] - node _T_1551 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1552 = eq(_T_1551, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1553 = or(_T_1552, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1554 = and(_T_1550, _T_1553) @[ifu_bp_ctl.scala 530:110] - node _T_1555 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1556 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1557 = eq(_T_1556, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:74] - node _T_1558 = and(_T_1555, _T_1557) @[ifu_bp_ctl.scala 531:22] - node _T_1559 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1560 = eq(_T_1559, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1561 = or(_T_1560, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1562 = and(_T_1558, _T_1561) @[ifu_bp_ctl.scala 531:87] - node _T_1563 = or(_T_1554, _T_1562) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][0] <= _T_1563 @[ifu_bp_ctl.scala 530:27] - node _T_1564 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1565 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1566 = eq(_T_1565, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:97] - node _T_1567 = and(_T_1564, _T_1566) @[ifu_bp_ctl.scala 530:45] - node _T_1568 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1569 = eq(_T_1568, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1570 = or(_T_1569, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1571 = and(_T_1567, _T_1570) @[ifu_bp_ctl.scala 530:110] - node _T_1572 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1573 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1574 = eq(_T_1573, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:74] - node _T_1575 = and(_T_1572, _T_1574) @[ifu_bp_ctl.scala 531:22] - node _T_1576 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1577 = eq(_T_1576, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1578 = or(_T_1577, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1579 = and(_T_1575, _T_1578) @[ifu_bp_ctl.scala 531:87] - node _T_1580 = or(_T_1571, _T_1579) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][1] <= _T_1580 @[ifu_bp_ctl.scala 530:27] - node _T_1581 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1582 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1583 = eq(_T_1582, UInt<2>("h02")) @[ifu_bp_ctl.scala 530:97] - node _T_1584 = and(_T_1581, _T_1583) @[ifu_bp_ctl.scala 530:45] - node _T_1585 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1586 = eq(_T_1585, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1587 = or(_T_1586, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1588 = and(_T_1584, _T_1587) @[ifu_bp_ctl.scala 530:110] - node _T_1589 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1590 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1591 = eq(_T_1590, UInt<2>("h02")) @[ifu_bp_ctl.scala 531:74] - node _T_1592 = and(_T_1589, _T_1591) @[ifu_bp_ctl.scala 531:22] - node _T_1593 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1594 = eq(_T_1593, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1595 = or(_T_1594, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1596 = and(_T_1592, _T_1595) @[ifu_bp_ctl.scala 531:87] - node _T_1597 = or(_T_1588, _T_1596) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][2] <= _T_1597 @[ifu_bp_ctl.scala 530:27] - node _T_1598 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1599 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1600 = eq(_T_1599, UInt<2>("h03")) @[ifu_bp_ctl.scala 530:97] - node _T_1601 = and(_T_1598, _T_1600) @[ifu_bp_ctl.scala 530:45] - node _T_1602 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1603 = eq(_T_1602, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1604 = or(_T_1603, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1605 = and(_T_1601, _T_1604) @[ifu_bp_ctl.scala 530:110] - node _T_1606 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1607 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1608 = eq(_T_1607, UInt<2>("h03")) @[ifu_bp_ctl.scala 531:74] - node _T_1609 = and(_T_1606, _T_1608) @[ifu_bp_ctl.scala 531:22] - node _T_1610 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1611 = eq(_T_1610, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1612 = or(_T_1611, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1613 = and(_T_1609, _T_1612) @[ifu_bp_ctl.scala 531:87] - node _T_1614 = or(_T_1605, _T_1613) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][3] <= _T_1614 @[ifu_bp_ctl.scala 530:27] - node _T_1615 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1616 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1617 = eq(_T_1616, UInt<3>("h04")) @[ifu_bp_ctl.scala 530:97] - node _T_1618 = and(_T_1615, _T_1617) @[ifu_bp_ctl.scala 530:45] - node _T_1619 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1620 = eq(_T_1619, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1621 = or(_T_1620, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1622 = and(_T_1618, _T_1621) @[ifu_bp_ctl.scala 530:110] - node _T_1623 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1624 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1625 = eq(_T_1624, UInt<3>("h04")) @[ifu_bp_ctl.scala 531:74] - node _T_1626 = and(_T_1623, _T_1625) @[ifu_bp_ctl.scala 531:22] - node _T_1627 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1628 = eq(_T_1627, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1629 = or(_T_1628, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1630 = and(_T_1626, _T_1629) @[ifu_bp_ctl.scala 531:87] - node _T_1631 = or(_T_1622, _T_1630) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][4] <= _T_1631 @[ifu_bp_ctl.scala 530:27] - node _T_1632 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1633 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1634 = eq(_T_1633, UInt<3>("h05")) @[ifu_bp_ctl.scala 530:97] - node _T_1635 = and(_T_1632, _T_1634) @[ifu_bp_ctl.scala 530:45] - node _T_1636 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1637 = eq(_T_1636, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1638 = or(_T_1637, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1639 = and(_T_1635, _T_1638) @[ifu_bp_ctl.scala 530:110] - node _T_1640 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1641 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1642 = eq(_T_1641, UInt<3>("h05")) @[ifu_bp_ctl.scala 531:74] - node _T_1643 = and(_T_1640, _T_1642) @[ifu_bp_ctl.scala 531:22] - node _T_1644 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1645 = eq(_T_1644, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1646 = or(_T_1645, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1647 = and(_T_1643, _T_1646) @[ifu_bp_ctl.scala 531:87] - node _T_1648 = or(_T_1639, _T_1647) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][5] <= _T_1648 @[ifu_bp_ctl.scala 530:27] - node _T_1649 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1650 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1651 = eq(_T_1650, UInt<3>("h06")) @[ifu_bp_ctl.scala 530:97] - node _T_1652 = and(_T_1649, _T_1651) @[ifu_bp_ctl.scala 530:45] - node _T_1653 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1654 = eq(_T_1653, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1655 = or(_T_1654, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1656 = and(_T_1652, _T_1655) @[ifu_bp_ctl.scala 530:110] - node _T_1657 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1658 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1659 = eq(_T_1658, UInt<3>("h06")) @[ifu_bp_ctl.scala 531:74] - node _T_1660 = and(_T_1657, _T_1659) @[ifu_bp_ctl.scala 531:22] - node _T_1661 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1662 = eq(_T_1661, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1663 = or(_T_1662, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1664 = and(_T_1660, _T_1663) @[ifu_bp_ctl.scala 531:87] - node _T_1665 = or(_T_1656, _T_1664) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][6] <= _T_1665 @[ifu_bp_ctl.scala 530:27] - node _T_1666 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1667 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1668 = eq(_T_1667, UInt<3>("h07")) @[ifu_bp_ctl.scala 530:97] - node _T_1669 = and(_T_1666, _T_1668) @[ifu_bp_ctl.scala 530:45] - node _T_1670 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1672 = or(_T_1671, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1673 = and(_T_1669, _T_1672) @[ifu_bp_ctl.scala 530:110] - node _T_1674 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1675 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1676 = eq(_T_1675, UInt<3>("h07")) @[ifu_bp_ctl.scala 531:74] - node _T_1677 = and(_T_1674, _T_1676) @[ifu_bp_ctl.scala 531:22] - node _T_1678 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1679 = eq(_T_1678, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1680 = or(_T_1679, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1681 = and(_T_1677, _T_1680) @[ifu_bp_ctl.scala 531:87] - node _T_1682 = or(_T_1673, _T_1681) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][7] <= _T_1682 @[ifu_bp_ctl.scala 530:27] - node _T_1683 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1684 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1685 = eq(_T_1684, UInt<4>("h08")) @[ifu_bp_ctl.scala 530:97] - node _T_1686 = and(_T_1683, _T_1685) @[ifu_bp_ctl.scala 530:45] - node _T_1687 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1688 = eq(_T_1687, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1689 = or(_T_1688, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1690 = and(_T_1686, _T_1689) @[ifu_bp_ctl.scala 530:110] - node _T_1691 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1692 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1693 = eq(_T_1692, UInt<4>("h08")) @[ifu_bp_ctl.scala 531:74] - node _T_1694 = and(_T_1691, _T_1693) @[ifu_bp_ctl.scala 531:22] - node _T_1695 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1696 = eq(_T_1695, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1697 = or(_T_1696, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1698 = and(_T_1694, _T_1697) @[ifu_bp_ctl.scala 531:87] - node _T_1699 = or(_T_1690, _T_1698) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][8] <= _T_1699 @[ifu_bp_ctl.scala 530:27] - node _T_1700 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1701 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1702 = eq(_T_1701, UInt<4>("h09")) @[ifu_bp_ctl.scala 530:97] - node _T_1703 = and(_T_1700, _T_1702) @[ifu_bp_ctl.scala 530:45] - node _T_1704 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1705 = eq(_T_1704, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1706 = or(_T_1705, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1707 = and(_T_1703, _T_1706) @[ifu_bp_ctl.scala 530:110] - node _T_1708 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1709 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1710 = eq(_T_1709, UInt<4>("h09")) @[ifu_bp_ctl.scala 531:74] - node _T_1711 = and(_T_1708, _T_1710) @[ifu_bp_ctl.scala 531:22] - node _T_1712 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1713 = eq(_T_1712, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1714 = or(_T_1713, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1715 = and(_T_1711, _T_1714) @[ifu_bp_ctl.scala 531:87] - node _T_1716 = or(_T_1707, _T_1715) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][9] <= _T_1716 @[ifu_bp_ctl.scala 530:27] - node _T_1717 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1718 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1719 = eq(_T_1718, UInt<4>("h0a")) @[ifu_bp_ctl.scala 530:97] - node _T_1720 = and(_T_1717, _T_1719) @[ifu_bp_ctl.scala 530:45] - node _T_1721 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1722 = eq(_T_1721, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1723 = or(_T_1722, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1724 = and(_T_1720, _T_1723) @[ifu_bp_ctl.scala 530:110] - node _T_1725 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1726 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1727 = eq(_T_1726, UInt<4>("h0a")) @[ifu_bp_ctl.scala 531:74] - node _T_1728 = and(_T_1725, _T_1727) @[ifu_bp_ctl.scala 531:22] - node _T_1729 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1730 = eq(_T_1729, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1731 = or(_T_1730, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1732 = and(_T_1728, _T_1731) @[ifu_bp_ctl.scala 531:87] - node _T_1733 = or(_T_1724, _T_1732) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][10] <= _T_1733 @[ifu_bp_ctl.scala 530:27] - node _T_1734 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1735 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1736 = eq(_T_1735, UInt<4>("h0b")) @[ifu_bp_ctl.scala 530:97] - node _T_1737 = and(_T_1734, _T_1736) @[ifu_bp_ctl.scala 530:45] - node _T_1738 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1739 = eq(_T_1738, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1740 = or(_T_1739, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1741 = and(_T_1737, _T_1740) @[ifu_bp_ctl.scala 530:110] - node _T_1742 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1743 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1744 = eq(_T_1743, UInt<4>("h0b")) @[ifu_bp_ctl.scala 531:74] - node _T_1745 = and(_T_1742, _T_1744) @[ifu_bp_ctl.scala 531:22] - node _T_1746 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1747 = eq(_T_1746, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1748 = or(_T_1747, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1749 = and(_T_1745, _T_1748) @[ifu_bp_ctl.scala 531:87] - node _T_1750 = or(_T_1741, _T_1749) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][11] <= _T_1750 @[ifu_bp_ctl.scala 530:27] - node _T_1751 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1752 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1753 = eq(_T_1752, UInt<4>("h0c")) @[ifu_bp_ctl.scala 530:97] - node _T_1754 = and(_T_1751, _T_1753) @[ifu_bp_ctl.scala 530:45] - node _T_1755 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1756 = eq(_T_1755, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1757 = or(_T_1756, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1758 = and(_T_1754, _T_1757) @[ifu_bp_ctl.scala 530:110] - node _T_1759 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1760 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1761 = eq(_T_1760, UInt<4>("h0c")) @[ifu_bp_ctl.scala 531:74] - node _T_1762 = and(_T_1759, _T_1761) @[ifu_bp_ctl.scala 531:22] - node _T_1763 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1764 = eq(_T_1763, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1765 = or(_T_1764, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1766 = and(_T_1762, _T_1765) @[ifu_bp_ctl.scala 531:87] - node _T_1767 = or(_T_1758, _T_1766) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][12] <= _T_1767 @[ifu_bp_ctl.scala 530:27] - node _T_1768 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1769 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1770 = eq(_T_1769, UInt<4>("h0d")) @[ifu_bp_ctl.scala 530:97] - node _T_1771 = and(_T_1768, _T_1770) @[ifu_bp_ctl.scala 530:45] - node _T_1772 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1773 = eq(_T_1772, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1774 = or(_T_1773, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1775 = and(_T_1771, _T_1774) @[ifu_bp_ctl.scala 530:110] - node _T_1776 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1777 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1778 = eq(_T_1777, UInt<4>("h0d")) @[ifu_bp_ctl.scala 531:74] - node _T_1779 = and(_T_1776, _T_1778) @[ifu_bp_ctl.scala 531:22] - node _T_1780 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1781 = eq(_T_1780, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1782 = or(_T_1781, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1783 = and(_T_1779, _T_1782) @[ifu_bp_ctl.scala 531:87] - node _T_1784 = or(_T_1775, _T_1783) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][13] <= _T_1784 @[ifu_bp_ctl.scala 530:27] - node _T_1785 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1786 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1787 = eq(_T_1786, UInt<4>("h0e")) @[ifu_bp_ctl.scala 530:97] - node _T_1788 = and(_T_1785, _T_1787) @[ifu_bp_ctl.scala 530:45] - node _T_1789 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1790 = eq(_T_1789, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1791 = or(_T_1790, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1792 = and(_T_1788, _T_1791) @[ifu_bp_ctl.scala 530:110] - node _T_1793 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1794 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1795 = eq(_T_1794, UInt<4>("h0e")) @[ifu_bp_ctl.scala 531:74] - node _T_1796 = and(_T_1793, _T_1795) @[ifu_bp_ctl.scala 531:22] - node _T_1797 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1798 = eq(_T_1797, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1799 = or(_T_1798, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1800 = and(_T_1796, _T_1799) @[ifu_bp_ctl.scala 531:87] - node _T_1801 = or(_T_1792, _T_1800) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][14] <= _T_1801 @[ifu_bp_ctl.scala 530:27] - node _T_1802 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 530:41] - node _T_1803 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:60] - node _T_1804 = eq(_T_1803, UInt<4>("h0f")) @[ifu_bp_ctl.scala 530:97] - node _T_1805 = and(_T_1802, _T_1804) @[ifu_bp_ctl.scala 530:45] - node _T_1806 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 530:126] - node _T_1807 = eq(_T_1806, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:186] - node _T_1808 = or(_T_1807, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:199] - node _T_1809 = and(_T_1805, _T_1808) @[ifu_bp_ctl.scala 530:110] - node _T_1810 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 531:18] - node _T_1811 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:37] - node _T_1812 = eq(_T_1811, UInt<4>("h0f")) @[ifu_bp_ctl.scala 531:74] - node _T_1813 = and(_T_1810, _T_1812) @[ifu_bp_ctl.scala 531:22] - node _T_1814 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 531:103] - node _T_1815 = eq(_T_1814, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:163] - node _T_1816 = or(_T_1815, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:176] - node _T_1817 = and(_T_1813, _T_1816) @[ifu_bp_ctl.scala 531:87] - node _T_1818 = or(_T_1809, _T_1817) @[ifu_bp_ctl.scala 530:223] - bht_bank_sel[1][0][15] <= _T_1818 @[ifu_bp_ctl.scala 530:27] - wire bht_bank_rd_data_out : UInt<2>[16][2] @[ifu_bp_ctl.scala 534:34] + bht_bank_clk[1][0] <= rvclkhdr_42.io.l1clk @[ifu_bp_ctl.scala 501:84] + node _T_965 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 506:40] + node _T_966 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 506:60] + node _T_967 = eq(_T_966, UInt<1>("h00")) @[ifu_bp_ctl.scala 506:109] + node _T_968 = or(_T_967, UInt<1>("h01")) @[ifu_bp_ctl.scala 506:117] + node _T_969 = and(_T_965, _T_968) @[ifu_bp_ctl.scala 506:44] + node _T_970 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 507:40] + node _T_971 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 507:60] + node _T_972 = eq(_T_971, UInt<1>("h00")) @[ifu_bp_ctl.scala 507:109] + node _T_973 = or(_T_972, UInt<1>("h01")) @[ifu_bp_ctl.scala 507:117] + node _T_974 = and(_T_970, _T_973) @[ifu_bp_ctl.scala 507:44] + node _T_975 = or(_T_969, _T_974) @[ifu_bp_ctl.scala 506:142] + bht_bank_clken[0][0] <= _T_975 @[ifu_bp_ctl.scala 506:26] + node _T_976 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 506:40] + node _T_977 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 506:60] + node _T_978 = eq(_T_977, UInt<1>("h00")) @[ifu_bp_ctl.scala 506:109] + node _T_979 = or(_T_978, UInt<1>("h01")) @[ifu_bp_ctl.scala 506:117] + node _T_980 = and(_T_976, _T_979) @[ifu_bp_ctl.scala 506:44] + node _T_981 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 507:40] + node _T_982 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 507:60] + node _T_983 = eq(_T_982, UInt<1>("h00")) @[ifu_bp_ctl.scala 507:109] + node _T_984 = or(_T_983, UInt<1>("h01")) @[ifu_bp_ctl.scala 507:117] + node _T_985 = and(_T_981, _T_984) @[ifu_bp_ctl.scala 507:44] + node _T_986 = or(_T_980, _T_985) @[ifu_bp_ctl.scala 506:142] + bht_bank_clken[1][0] <= _T_986 @[ifu_bp_ctl.scala 506:26] + node _T_987 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_988 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_989 = eq(_T_988, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:74] + node _T_990 = and(_T_987, _T_989) @[ifu_bp_ctl.scala 511:23] + node _T_991 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_992 = eq(_T_991, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_993 = or(_T_992, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_994 = and(_T_990, _T_993) @[ifu_bp_ctl.scala 511:81] + node _T_995 = bits(_T_994, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_0 = mux(_T_995, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_996 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_997 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_998 = eq(_T_997, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:74] + node _T_999 = and(_T_996, _T_998) @[ifu_bp_ctl.scala 511:23] + node _T_1000 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1001 = eq(_T_1000, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1002 = or(_T_1001, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1003 = and(_T_999, _T_1002) @[ifu_bp_ctl.scala 511:81] + node _T_1004 = bits(_T_1003, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_1 = mux(_T_1004, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1005 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1006 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1007 = eq(_T_1006, UInt<2>("h02")) @[ifu_bp_ctl.scala 511:74] + node _T_1008 = and(_T_1005, _T_1007) @[ifu_bp_ctl.scala 511:23] + node _T_1009 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1010 = eq(_T_1009, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1011 = or(_T_1010, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1012 = and(_T_1008, _T_1011) @[ifu_bp_ctl.scala 511:81] + node _T_1013 = bits(_T_1012, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_2 = mux(_T_1013, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1014 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1015 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1016 = eq(_T_1015, UInt<2>("h03")) @[ifu_bp_ctl.scala 511:74] + node _T_1017 = and(_T_1014, _T_1016) @[ifu_bp_ctl.scala 511:23] + node _T_1018 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1020 = or(_T_1019, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1021 = and(_T_1017, _T_1020) @[ifu_bp_ctl.scala 511:81] + node _T_1022 = bits(_T_1021, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_3 = mux(_T_1022, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1023 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1024 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1025 = eq(_T_1024, UInt<3>("h04")) @[ifu_bp_ctl.scala 511:74] + node _T_1026 = and(_T_1023, _T_1025) @[ifu_bp_ctl.scala 511:23] + node _T_1027 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1028 = eq(_T_1027, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1029 = or(_T_1028, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1030 = and(_T_1026, _T_1029) @[ifu_bp_ctl.scala 511:81] + node _T_1031 = bits(_T_1030, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_4 = mux(_T_1031, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1032 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1033 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1034 = eq(_T_1033, UInt<3>("h05")) @[ifu_bp_ctl.scala 511:74] + node _T_1035 = and(_T_1032, _T_1034) @[ifu_bp_ctl.scala 511:23] + node _T_1036 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1037 = eq(_T_1036, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1038 = or(_T_1037, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1039 = and(_T_1035, _T_1038) @[ifu_bp_ctl.scala 511:81] + node _T_1040 = bits(_T_1039, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_5 = mux(_T_1040, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1041 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1042 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1043 = eq(_T_1042, UInt<3>("h06")) @[ifu_bp_ctl.scala 511:74] + node _T_1044 = and(_T_1041, _T_1043) @[ifu_bp_ctl.scala 511:23] + node _T_1045 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1046 = eq(_T_1045, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1047 = or(_T_1046, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1048 = and(_T_1044, _T_1047) @[ifu_bp_ctl.scala 511:81] + node _T_1049 = bits(_T_1048, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_6 = mux(_T_1049, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1050 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1051 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1052 = eq(_T_1051, UInt<3>("h07")) @[ifu_bp_ctl.scala 511:74] + node _T_1053 = and(_T_1050, _T_1052) @[ifu_bp_ctl.scala 511:23] + node _T_1054 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1055 = eq(_T_1054, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1056 = or(_T_1055, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1057 = and(_T_1053, _T_1056) @[ifu_bp_ctl.scala 511:81] + node _T_1058 = bits(_T_1057, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_7 = mux(_T_1058, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1059 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1060 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1061 = eq(_T_1060, UInt<4>("h08")) @[ifu_bp_ctl.scala 511:74] + node _T_1062 = and(_T_1059, _T_1061) @[ifu_bp_ctl.scala 511:23] + node _T_1063 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1064 = eq(_T_1063, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1065 = or(_T_1064, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1066 = and(_T_1062, _T_1065) @[ifu_bp_ctl.scala 511:81] + node _T_1067 = bits(_T_1066, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_8 = mux(_T_1067, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1068 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1069 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1070 = eq(_T_1069, UInt<4>("h09")) @[ifu_bp_ctl.scala 511:74] + node _T_1071 = and(_T_1068, _T_1070) @[ifu_bp_ctl.scala 511:23] + node _T_1072 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1074 = or(_T_1073, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1075 = and(_T_1071, _T_1074) @[ifu_bp_ctl.scala 511:81] + node _T_1076 = bits(_T_1075, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_9 = mux(_T_1076, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1077 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1078 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1079 = eq(_T_1078, UInt<4>("h0a")) @[ifu_bp_ctl.scala 511:74] + node _T_1080 = and(_T_1077, _T_1079) @[ifu_bp_ctl.scala 511:23] + node _T_1081 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1082 = eq(_T_1081, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1083 = or(_T_1082, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1084 = and(_T_1080, _T_1083) @[ifu_bp_ctl.scala 511:81] + node _T_1085 = bits(_T_1084, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_10 = mux(_T_1085, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1086 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1087 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1088 = eq(_T_1087, UInt<4>("h0b")) @[ifu_bp_ctl.scala 511:74] + node _T_1089 = and(_T_1086, _T_1088) @[ifu_bp_ctl.scala 511:23] + node _T_1090 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1091 = eq(_T_1090, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1092 = or(_T_1091, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1093 = and(_T_1089, _T_1092) @[ifu_bp_ctl.scala 511:81] + node _T_1094 = bits(_T_1093, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_11 = mux(_T_1094, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1095 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1096 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1097 = eq(_T_1096, UInt<4>("h0c")) @[ifu_bp_ctl.scala 511:74] + node _T_1098 = and(_T_1095, _T_1097) @[ifu_bp_ctl.scala 511:23] + node _T_1099 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1100 = eq(_T_1099, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1101 = or(_T_1100, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1102 = and(_T_1098, _T_1101) @[ifu_bp_ctl.scala 511:81] + node _T_1103 = bits(_T_1102, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_12 = mux(_T_1103, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1104 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1105 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1106 = eq(_T_1105, UInt<4>("h0d")) @[ifu_bp_ctl.scala 511:74] + node _T_1107 = and(_T_1104, _T_1106) @[ifu_bp_ctl.scala 511:23] + node _T_1108 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1109 = eq(_T_1108, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1110 = or(_T_1109, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1111 = and(_T_1107, _T_1110) @[ifu_bp_ctl.scala 511:81] + node _T_1112 = bits(_T_1111, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_13 = mux(_T_1112, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1113 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1114 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1115 = eq(_T_1114, UInt<4>("h0e")) @[ifu_bp_ctl.scala 511:74] + node _T_1116 = and(_T_1113, _T_1115) @[ifu_bp_ctl.scala 511:23] + node _T_1117 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1118 = eq(_T_1117, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1119 = or(_T_1118, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1120 = and(_T_1116, _T_1119) @[ifu_bp_ctl.scala 511:81] + node _T_1121 = bits(_T_1120, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_14 = mux(_T_1121, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1122 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 511:20] + node _T_1123 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1124 = eq(_T_1123, UInt<4>("h0f")) @[ifu_bp_ctl.scala 511:74] + node _T_1125 = and(_T_1122, _T_1124) @[ifu_bp_ctl.scala 511:23] + node _T_1126 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1127 = eq(_T_1126, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1128 = or(_T_1127, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1129 = and(_T_1125, _T_1128) @[ifu_bp_ctl.scala 511:81] + node _T_1130 = bits(_T_1129, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_0_0_15 = mux(_T_1130, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1131 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1132 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1133 = eq(_T_1132, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:74] + node _T_1134 = and(_T_1131, _T_1133) @[ifu_bp_ctl.scala 511:23] + node _T_1135 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1136 = eq(_T_1135, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1137 = or(_T_1136, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1138 = and(_T_1134, _T_1137) @[ifu_bp_ctl.scala 511:81] + node _T_1139 = bits(_T_1138, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_0 = mux(_T_1139, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1140 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1141 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1142 = eq(_T_1141, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:74] + node _T_1143 = and(_T_1140, _T_1142) @[ifu_bp_ctl.scala 511:23] + node _T_1144 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1145 = eq(_T_1144, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1146 = or(_T_1145, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1147 = and(_T_1143, _T_1146) @[ifu_bp_ctl.scala 511:81] + node _T_1148 = bits(_T_1147, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_1 = mux(_T_1148, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1149 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1150 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1151 = eq(_T_1150, UInt<2>("h02")) @[ifu_bp_ctl.scala 511:74] + node _T_1152 = and(_T_1149, _T_1151) @[ifu_bp_ctl.scala 511:23] + node _T_1153 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1154 = eq(_T_1153, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1155 = or(_T_1154, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1156 = and(_T_1152, _T_1155) @[ifu_bp_ctl.scala 511:81] + node _T_1157 = bits(_T_1156, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_2 = mux(_T_1157, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1158 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1159 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1160 = eq(_T_1159, UInt<2>("h03")) @[ifu_bp_ctl.scala 511:74] + node _T_1161 = and(_T_1158, _T_1160) @[ifu_bp_ctl.scala 511:23] + node _T_1162 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1163 = eq(_T_1162, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1164 = or(_T_1163, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1165 = and(_T_1161, _T_1164) @[ifu_bp_ctl.scala 511:81] + node _T_1166 = bits(_T_1165, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_3 = mux(_T_1166, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1167 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1168 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1169 = eq(_T_1168, UInt<3>("h04")) @[ifu_bp_ctl.scala 511:74] + node _T_1170 = and(_T_1167, _T_1169) @[ifu_bp_ctl.scala 511:23] + node _T_1171 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1172 = eq(_T_1171, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1173 = or(_T_1172, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1174 = and(_T_1170, _T_1173) @[ifu_bp_ctl.scala 511:81] + node _T_1175 = bits(_T_1174, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_4 = mux(_T_1175, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1176 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1177 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1178 = eq(_T_1177, UInt<3>("h05")) @[ifu_bp_ctl.scala 511:74] + node _T_1179 = and(_T_1176, _T_1178) @[ifu_bp_ctl.scala 511:23] + node _T_1180 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1181 = eq(_T_1180, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1182 = or(_T_1181, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1183 = and(_T_1179, _T_1182) @[ifu_bp_ctl.scala 511:81] + node _T_1184 = bits(_T_1183, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_5 = mux(_T_1184, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1185 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1186 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1187 = eq(_T_1186, UInt<3>("h06")) @[ifu_bp_ctl.scala 511:74] + node _T_1188 = and(_T_1185, _T_1187) @[ifu_bp_ctl.scala 511:23] + node _T_1189 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1190 = eq(_T_1189, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1191 = or(_T_1190, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1192 = and(_T_1188, _T_1191) @[ifu_bp_ctl.scala 511:81] + node _T_1193 = bits(_T_1192, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_6 = mux(_T_1193, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1194 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1195 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1196 = eq(_T_1195, UInt<3>("h07")) @[ifu_bp_ctl.scala 511:74] + node _T_1197 = and(_T_1194, _T_1196) @[ifu_bp_ctl.scala 511:23] + node _T_1198 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1199 = eq(_T_1198, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1200 = or(_T_1199, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1201 = and(_T_1197, _T_1200) @[ifu_bp_ctl.scala 511:81] + node _T_1202 = bits(_T_1201, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_7 = mux(_T_1202, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1203 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1204 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1205 = eq(_T_1204, UInt<4>("h08")) @[ifu_bp_ctl.scala 511:74] + node _T_1206 = and(_T_1203, _T_1205) @[ifu_bp_ctl.scala 511:23] + node _T_1207 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1208 = eq(_T_1207, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1209 = or(_T_1208, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1210 = and(_T_1206, _T_1209) @[ifu_bp_ctl.scala 511:81] + node _T_1211 = bits(_T_1210, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_8 = mux(_T_1211, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1212 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1213 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1214 = eq(_T_1213, UInt<4>("h09")) @[ifu_bp_ctl.scala 511:74] + node _T_1215 = and(_T_1212, _T_1214) @[ifu_bp_ctl.scala 511:23] + node _T_1216 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1217 = eq(_T_1216, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1218 = or(_T_1217, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1219 = and(_T_1215, _T_1218) @[ifu_bp_ctl.scala 511:81] + node _T_1220 = bits(_T_1219, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_9 = mux(_T_1220, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1221 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1222 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1223 = eq(_T_1222, UInt<4>("h0a")) @[ifu_bp_ctl.scala 511:74] + node _T_1224 = and(_T_1221, _T_1223) @[ifu_bp_ctl.scala 511:23] + node _T_1225 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1226 = eq(_T_1225, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1227 = or(_T_1226, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1228 = and(_T_1224, _T_1227) @[ifu_bp_ctl.scala 511:81] + node _T_1229 = bits(_T_1228, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_10 = mux(_T_1229, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1230 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1231 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1232 = eq(_T_1231, UInt<4>("h0b")) @[ifu_bp_ctl.scala 511:74] + node _T_1233 = and(_T_1230, _T_1232) @[ifu_bp_ctl.scala 511:23] + node _T_1234 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1235 = eq(_T_1234, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1236 = or(_T_1235, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1237 = and(_T_1233, _T_1236) @[ifu_bp_ctl.scala 511:81] + node _T_1238 = bits(_T_1237, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_11 = mux(_T_1238, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1239 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1240 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1241 = eq(_T_1240, UInt<4>("h0c")) @[ifu_bp_ctl.scala 511:74] + node _T_1242 = and(_T_1239, _T_1241) @[ifu_bp_ctl.scala 511:23] + node _T_1243 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1244 = eq(_T_1243, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1245 = or(_T_1244, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1246 = and(_T_1242, _T_1245) @[ifu_bp_ctl.scala 511:81] + node _T_1247 = bits(_T_1246, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_12 = mux(_T_1247, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1248 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1249 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1250 = eq(_T_1249, UInt<4>("h0d")) @[ifu_bp_ctl.scala 511:74] + node _T_1251 = and(_T_1248, _T_1250) @[ifu_bp_ctl.scala 511:23] + node _T_1252 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1253 = eq(_T_1252, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1254 = or(_T_1253, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1255 = and(_T_1251, _T_1254) @[ifu_bp_ctl.scala 511:81] + node _T_1256 = bits(_T_1255, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_13 = mux(_T_1256, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1257 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1258 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1259 = eq(_T_1258, UInt<4>("h0e")) @[ifu_bp_ctl.scala 511:74] + node _T_1260 = and(_T_1257, _T_1259) @[ifu_bp_ctl.scala 511:23] + node _T_1261 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1262 = eq(_T_1261, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1263 = or(_T_1262, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1264 = and(_T_1260, _T_1263) @[ifu_bp_ctl.scala 511:81] + node _T_1265 = bits(_T_1264, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_14 = mux(_T_1265, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + node _T_1266 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 511:20] + node _T_1267 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:37] + node _T_1268 = eq(_T_1267, UInt<4>("h0f")) @[ifu_bp_ctl.scala 511:74] + node _T_1269 = and(_T_1266, _T_1268) @[ifu_bp_ctl.scala 511:23] + node _T_1270 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 511:96] + node _T_1271 = eq(_T_1270, UInt<1>("h00")) @[ifu_bp_ctl.scala 511:155] + node _T_1272 = or(_T_1271, UInt<1>("h01")) @[ifu_bp_ctl.scala 511:162] + node _T_1273 = and(_T_1269, _T_1272) @[ifu_bp_ctl.scala 511:81] + node _T_1274 = bits(_T_1273, 0, 0) @[ifu_bp_ctl.scala 511:185] + node bht_bank_wr_data_1_0_15 = mux(_T_1274, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 511:8] + wire bht_bank_sel : UInt<1>[16][1][2] @[ifu_bp_ctl.scala 513:26] + node _T_1275 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1276 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1277 = eq(_T_1276, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:97] + node _T_1278 = and(_T_1275, _T_1277) @[ifu_bp_ctl.scala 520:45] + node _T_1279 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1280 = eq(_T_1279, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1281 = or(_T_1280, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1282 = and(_T_1278, _T_1281) @[ifu_bp_ctl.scala 520:110] + node _T_1283 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1284 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1285 = eq(_T_1284, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:74] + node _T_1286 = and(_T_1283, _T_1285) @[ifu_bp_ctl.scala 521:22] + node _T_1287 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1288 = eq(_T_1287, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1289 = or(_T_1288, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1290 = and(_T_1286, _T_1289) @[ifu_bp_ctl.scala 521:87] + node _T_1291 = or(_T_1282, _T_1290) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][0] <= _T_1291 @[ifu_bp_ctl.scala 520:27] + node _T_1292 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1293 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1294 = eq(_T_1293, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:97] + node _T_1295 = and(_T_1292, _T_1294) @[ifu_bp_ctl.scala 520:45] + node _T_1296 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1297 = eq(_T_1296, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1298 = or(_T_1297, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1299 = and(_T_1295, _T_1298) @[ifu_bp_ctl.scala 520:110] + node _T_1300 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1301 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1302 = eq(_T_1301, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:74] + node _T_1303 = and(_T_1300, _T_1302) @[ifu_bp_ctl.scala 521:22] + node _T_1304 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1306 = or(_T_1305, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1307 = and(_T_1303, _T_1306) @[ifu_bp_ctl.scala 521:87] + node _T_1308 = or(_T_1299, _T_1307) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][1] <= _T_1308 @[ifu_bp_ctl.scala 520:27] + node _T_1309 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1310 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1311 = eq(_T_1310, UInt<2>("h02")) @[ifu_bp_ctl.scala 520:97] + node _T_1312 = and(_T_1309, _T_1311) @[ifu_bp_ctl.scala 520:45] + node _T_1313 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1315 = or(_T_1314, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1316 = and(_T_1312, _T_1315) @[ifu_bp_ctl.scala 520:110] + node _T_1317 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1318 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1319 = eq(_T_1318, UInt<2>("h02")) @[ifu_bp_ctl.scala 521:74] + node _T_1320 = and(_T_1317, _T_1319) @[ifu_bp_ctl.scala 521:22] + node _T_1321 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1322 = eq(_T_1321, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1323 = or(_T_1322, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1324 = and(_T_1320, _T_1323) @[ifu_bp_ctl.scala 521:87] + node _T_1325 = or(_T_1316, _T_1324) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][2] <= _T_1325 @[ifu_bp_ctl.scala 520:27] + node _T_1326 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1327 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1328 = eq(_T_1327, UInt<2>("h03")) @[ifu_bp_ctl.scala 520:97] + node _T_1329 = and(_T_1326, _T_1328) @[ifu_bp_ctl.scala 520:45] + node _T_1330 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1331 = eq(_T_1330, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1332 = or(_T_1331, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1333 = and(_T_1329, _T_1332) @[ifu_bp_ctl.scala 520:110] + node _T_1334 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1335 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1336 = eq(_T_1335, UInt<2>("h03")) @[ifu_bp_ctl.scala 521:74] + node _T_1337 = and(_T_1334, _T_1336) @[ifu_bp_ctl.scala 521:22] + node _T_1338 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1339 = eq(_T_1338, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1340 = or(_T_1339, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1341 = and(_T_1337, _T_1340) @[ifu_bp_ctl.scala 521:87] + node _T_1342 = or(_T_1333, _T_1341) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][3] <= _T_1342 @[ifu_bp_ctl.scala 520:27] + node _T_1343 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1344 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1345 = eq(_T_1344, UInt<3>("h04")) @[ifu_bp_ctl.scala 520:97] + node _T_1346 = and(_T_1343, _T_1345) @[ifu_bp_ctl.scala 520:45] + node _T_1347 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1349 = or(_T_1348, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1350 = and(_T_1346, _T_1349) @[ifu_bp_ctl.scala 520:110] + node _T_1351 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1352 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1353 = eq(_T_1352, UInt<3>("h04")) @[ifu_bp_ctl.scala 521:74] + node _T_1354 = and(_T_1351, _T_1353) @[ifu_bp_ctl.scala 521:22] + node _T_1355 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1356 = eq(_T_1355, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1357 = or(_T_1356, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1358 = and(_T_1354, _T_1357) @[ifu_bp_ctl.scala 521:87] + node _T_1359 = or(_T_1350, _T_1358) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][4] <= _T_1359 @[ifu_bp_ctl.scala 520:27] + node _T_1360 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1361 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1362 = eq(_T_1361, UInt<3>("h05")) @[ifu_bp_ctl.scala 520:97] + node _T_1363 = and(_T_1360, _T_1362) @[ifu_bp_ctl.scala 520:45] + node _T_1364 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1365 = eq(_T_1364, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1366 = or(_T_1365, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1367 = and(_T_1363, _T_1366) @[ifu_bp_ctl.scala 520:110] + node _T_1368 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1369 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1370 = eq(_T_1369, UInt<3>("h05")) @[ifu_bp_ctl.scala 521:74] + node _T_1371 = and(_T_1368, _T_1370) @[ifu_bp_ctl.scala 521:22] + node _T_1372 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1373 = eq(_T_1372, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1374 = or(_T_1373, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1375 = and(_T_1371, _T_1374) @[ifu_bp_ctl.scala 521:87] + node _T_1376 = or(_T_1367, _T_1375) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][5] <= _T_1376 @[ifu_bp_ctl.scala 520:27] + node _T_1377 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1378 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1379 = eq(_T_1378, UInt<3>("h06")) @[ifu_bp_ctl.scala 520:97] + node _T_1380 = and(_T_1377, _T_1379) @[ifu_bp_ctl.scala 520:45] + node _T_1381 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1382 = eq(_T_1381, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1383 = or(_T_1382, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1384 = and(_T_1380, _T_1383) @[ifu_bp_ctl.scala 520:110] + node _T_1385 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1386 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1387 = eq(_T_1386, UInt<3>("h06")) @[ifu_bp_ctl.scala 521:74] + node _T_1388 = and(_T_1385, _T_1387) @[ifu_bp_ctl.scala 521:22] + node _T_1389 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1390 = eq(_T_1389, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1391 = or(_T_1390, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1392 = and(_T_1388, _T_1391) @[ifu_bp_ctl.scala 521:87] + node _T_1393 = or(_T_1384, _T_1392) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][6] <= _T_1393 @[ifu_bp_ctl.scala 520:27] + node _T_1394 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1395 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1396 = eq(_T_1395, UInt<3>("h07")) @[ifu_bp_ctl.scala 520:97] + node _T_1397 = and(_T_1394, _T_1396) @[ifu_bp_ctl.scala 520:45] + node _T_1398 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1399 = eq(_T_1398, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1400 = or(_T_1399, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1401 = and(_T_1397, _T_1400) @[ifu_bp_ctl.scala 520:110] + node _T_1402 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1403 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1404 = eq(_T_1403, UInt<3>("h07")) @[ifu_bp_ctl.scala 521:74] + node _T_1405 = and(_T_1402, _T_1404) @[ifu_bp_ctl.scala 521:22] + node _T_1406 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1407 = eq(_T_1406, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1408 = or(_T_1407, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1409 = and(_T_1405, _T_1408) @[ifu_bp_ctl.scala 521:87] + node _T_1410 = or(_T_1401, _T_1409) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][7] <= _T_1410 @[ifu_bp_ctl.scala 520:27] + node _T_1411 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1412 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1413 = eq(_T_1412, UInt<4>("h08")) @[ifu_bp_ctl.scala 520:97] + node _T_1414 = and(_T_1411, _T_1413) @[ifu_bp_ctl.scala 520:45] + node _T_1415 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1416 = eq(_T_1415, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1417 = or(_T_1416, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1418 = and(_T_1414, _T_1417) @[ifu_bp_ctl.scala 520:110] + node _T_1419 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1420 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1421 = eq(_T_1420, UInt<4>("h08")) @[ifu_bp_ctl.scala 521:74] + node _T_1422 = and(_T_1419, _T_1421) @[ifu_bp_ctl.scala 521:22] + node _T_1423 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1424 = eq(_T_1423, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1425 = or(_T_1424, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1426 = and(_T_1422, _T_1425) @[ifu_bp_ctl.scala 521:87] + node _T_1427 = or(_T_1418, _T_1426) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][8] <= _T_1427 @[ifu_bp_ctl.scala 520:27] + node _T_1428 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1429 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1430 = eq(_T_1429, UInt<4>("h09")) @[ifu_bp_ctl.scala 520:97] + node _T_1431 = and(_T_1428, _T_1430) @[ifu_bp_ctl.scala 520:45] + node _T_1432 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1434 = or(_T_1433, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1435 = and(_T_1431, _T_1434) @[ifu_bp_ctl.scala 520:110] + node _T_1436 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1437 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1438 = eq(_T_1437, UInt<4>("h09")) @[ifu_bp_ctl.scala 521:74] + node _T_1439 = and(_T_1436, _T_1438) @[ifu_bp_ctl.scala 521:22] + node _T_1440 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1441 = eq(_T_1440, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1442 = or(_T_1441, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1443 = and(_T_1439, _T_1442) @[ifu_bp_ctl.scala 521:87] + node _T_1444 = or(_T_1435, _T_1443) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][9] <= _T_1444 @[ifu_bp_ctl.scala 520:27] + node _T_1445 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1446 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1447 = eq(_T_1446, UInt<4>("h0a")) @[ifu_bp_ctl.scala 520:97] + node _T_1448 = and(_T_1445, _T_1447) @[ifu_bp_ctl.scala 520:45] + node _T_1449 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1450 = eq(_T_1449, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1451 = or(_T_1450, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1452 = and(_T_1448, _T_1451) @[ifu_bp_ctl.scala 520:110] + node _T_1453 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1454 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1455 = eq(_T_1454, UInt<4>("h0a")) @[ifu_bp_ctl.scala 521:74] + node _T_1456 = and(_T_1453, _T_1455) @[ifu_bp_ctl.scala 521:22] + node _T_1457 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1458 = eq(_T_1457, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1459 = or(_T_1458, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1460 = and(_T_1456, _T_1459) @[ifu_bp_ctl.scala 521:87] + node _T_1461 = or(_T_1452, _T_1460) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][10] <= _T_1461 @[ifu_bp_ctl.scala 520:27] + node _T_1462 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1463 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1464 = eq(_T_1463, UInt<4>("h0b")) @[ifu_bp_ctl.scala 520:97] + node _T_1465 = and(_T_1462, _T_1464) @[ifu_bp_ctl.scala 520:45] + node _T_1466 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1467 = eq(_T_1466, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1468 = or(_T_1467, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1469 = and(_T_1465, _T_1468) @[ifu_bp_ctl.scala 520:110] + node _T_1470 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1471 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1472 = eq(_T_1471, UInt<4>("h0b")) @[ifu_bp_ctl.scala 521:74] + node _T_1473 = and(_T_1470, _T_1472) @[ifu_bp_ctl.scala 521:22] + node _T_1474 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1475 = eq(_T_1474, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1476 = or(_T_1475, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1477 = and(_T_1473, _T_1476) @[ifu_bp_ctl.scala 521:87] + node _T_1478 = or(_T_1469, _T_1477) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][11] <= _T_1478 @[ifu_bp_ctl.scala 520:27] + node _T_1479 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1480 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1481 = eq(_T_1480, UInt<4>("h0c")) @[ifu_bp_ctl.scala 520:97] + node _T_1482 = and(_T_1479, _T_1481) @[ifu_bp_ctl.scala 520:45] + node _T_1483 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1485 = or(_T_1484, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1486 = and(_T_1482, _T_1485) @[ifu_bp_ctl.scala 520:110] + node _T_1487 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1488 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1489 = eq(_T_1488, UInt<4>("h0c")) @[ifu_bp_ctl.scala 521:74] + node _T_1490 = and(_T_1487, _T_1489) @[ifu_bp_ctl.scala 521:22] + node _T_1491 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1492 = eq(_T_1491, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1493 = or(_T_1492, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1494 = and(_T_1490, _T_1493) @[ifu_bp_ctl.scala 521:87] + node _T_1495 = or(_T_1486, _T_1494) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][12] <= _T_1495 @[ifu_bp_ctl.scala 520:27] + node _T_1496 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1497 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1498 = eq(_T_1497, UInt<4>("h0d")) @[ifu_bp_ctl.scala 520:97] + node _T_1499 = and(_T_1496, _T_1498) @[ifu_bp_ctl.scala 520:45] + node _T_1500 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1501 = eq(_T_1500, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1502 = or(_T_1501, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1503 = and(_T_1499, _T_1502) @[ifu_bp_ctl.scala 520:110] + node _T_1504 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1505 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1506 = eq(_T_1505, UInt<4>("h0d")) @[ifu_bp_ctl.scala 521:74] + node _T_1507 = and(_T_1504, _T_1506) @[ifu_bp_ctl.scala 521:22] + node _T_1508 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1509 = eq(_T_1508, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1510 = or(_T_1509, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1511 = and(_T_1507, _T_1510) @[ifu_bp_ctl.scala 521:87] + node _T_1512 = or(_T_1503, _T_1511) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][13] <= _T_1512 @[ifu_bp_ctl.scala 520:27] + node _T_1513 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1514 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1515 = eq(_T_1514, UInt<4>("h0e")) @[ifu_bp_ctl.scala 520:97] + node _T_1516 = and(_T_1513, _T_1515) @[ifu_bp_ctl.scala 520:45] + node _T_1517 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1518 = eq(_T_1517, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1519 = or(_T_1518, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1520 = and(_T_1516, _T_1519) @[ifu_bp_ctl.scala 520:110] + node _T_1521 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1522 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1523 = eq(_T_1522, UInt<4>("h0e")) @[ifu_bp_ctl.scala 521:74] + node _T_1524 = and(_T_1521, _T_1523) @[ifu_bp_ctl.scala 521:22] + node _T_1525 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1526 = eq(_T_1525, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1527 = or(_T_1526, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1528 = and(_T_1524, _T_1527) @[ifu_bp_ctl.scala 521:87] + node _T_1529 = or(_T_1520, _T_1528) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][14] <= _T_1529 @[ifu_bp_ctl.scala 520:27] + node _T_1530 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 520:41] + node _T_1531 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1532 = eq(_T_1531, UInt<4>("h0f")) @[ifu_bp_ctl.scala 520:97] + node _T_1533 = and(_T_1530, _T_1532) @[ifu_bp_ctl.scala 520:45] + node _T_1534 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1536 = or(_T_1535, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1537 = and(_T_1533, _T_1536) @[ifu_bp_ctl.scala 520:110] + node _T_1538 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 521:18] + node _T_1539 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1540 = eq(_T_1539, UInt<4>("h0f")) @[ifu_bp_ctl.scala 521:74] + node _T_1541 = and(_T_1538, _T_1540) @[ifu_bp_ctl.scala 521:22] + node _T_1542 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1543 = eq(_T_1542, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1544 = or(_T_1543, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1545 = and(_T_1541, _T_1544) @[ifu_bp_ctl.scala 521:87] + node _T_1546 = or(_T_1537, _T_1545) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[0][0][15] <= _T_1546 @[ifu_bp_ctl.scala 520:27] + node _T_1547 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1548 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1549 = eq(_T_1548, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:97] + node _T_1550 = and(_T_1547, _T_1549) @[ifu_bp_ctl.scala 520:45] + node _T_1551 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1552 = eq(_T_1551, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1553 = or(_T_1552, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1554 = and(_T_1550, _T_1553) @[ifu_bp_ctl.scala 520:110] + node _T_1555 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1556 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1557 = eq(_T_1556, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:74] + node _T_1558 = and(_T_1555, _T_1557) @[ifu_bp_ctl.scala 521:22] + node _T_1559 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1560 = eq(_T_1559, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1561 = or(_T_1560, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1562 = and(_T_1558, _T_1561) @[ifu_bp_ctl.scala 521:87] + node _T_1563 = or(_T_1554, _T_1562) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][0] <= _T_1563 @[ifu_bp_ctl.scala 520:27] + node _T_1564 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1565 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1566 = eq(_T_1565, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:97] + node _T_1567 = and(_T_1564, _T_1566) @[ifu_bp_ctl.scala 520:45] + node _T_1568 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1569 = eq(_T_1568, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1570 = or(_T_1569, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1571 = and(_T_1567, _T_1570) @[ifu_bp_ctl.scala 520:110] + node _T_1572 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1573 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1574 = eq(_T_1573, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:74] + node _T_1575 = and(_T_1572, _T_1574) @[ifu_bp_ctl.scala 521:22] + node _T_1576 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1577 = eq(_T_1576, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1578 = or(_T_1577, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1579 = and(_T_1575, _T_1578) @[ifu_bp_ctl.scala 521:87] + node _T_1580 = or(_T_1571, _T_1579) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][1] <= _T_1580 @[ifu_bp_ctl.scala 520:27] + node _T_1581 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1582 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1583 = eq(_T_1582, UInt<2>("h02")) @[ifu_bp_ctl.scala 520:97] + node _T_1584 = and(_T_1581, _T_1583) @[ifu_bp_ctl.scala 520:45] + node _T_1585 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1586 = eq(_T_1585, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1587 = or(_T_1586, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1588 = and(_T_1584, _T_1587) @[ifu_bp_ctl.scala 520:110] + node _T_1589 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1590 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1591 = eq(_T_1590, UInt<2>("h02")) @[ifu_bp_ctl.scala 521:74] + node _T_1592 = and(_T_1589, _T_1591) @[ifu_bp_ctl.scala 521:22] + node _T_1593 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1594 = eq(_T_1593, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1595 = or(_T_1594, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1596 = and(_T_1592, _T_1595) @[ifu_bp_ctl.scala 521:87] + node _T_1597 = or(_T_1588, _T_1596) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][2] <= _T_1597 @[ifu_bp_ctl.scala 520:27] + node _T_1598 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1599 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1600 = eq(_T_1599, UInt<2>("h03")) @[ifu_bp_ctl.scala 520:97] + node _T_1601 = and(_T_1598, _T_1600) @[ifu_bp_ctl.scala 520:45] + node _T_1602 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1603 = eq(_T_1602, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1604 = or(_T_1603, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1605 = and(_T_1601, _T_1604) @[ifu_bp_ctl.scala 520:110] + node _T_1606 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1607 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1608 = eq(_T_1607, UInt<2>("h03")) @[ifu_bp_ctl.scala 521:74] + node _T_1609 = and(_T_1606, _T_1608) @[ifu_bp_ctl.scala 521:22] + node _T_1610 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1611 = eq(_T_1610, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1612 = or(_T_1611, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1613 = and(_T_1609, _T_1612) @[ifu_bp_ctl.scala 521:87] + node _T_1614 = or(_T_1605, _T_1613) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][3] <= _T_1614 @[ifu_bp_ctl.scala 520:27] + node _T_1615 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1616 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1617 = eq(_T_1616, UInt<3>("h04")) @[ifu_bp_ctl.scala 520:97] + node _T_1618 = and(_T_1615, _T_1617) @[ifu_bp_ctl.scala 520:45] + node _T_1619 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1620 = eq(_T_1619, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1621 = or(_T_1620, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1622 = and(_T_1618, _T_1621) @[ifu_bp_ctl.scala 520:110] + node _T_1623 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1624 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1625 = eq(_T_1624, UInt<3>("h04")) @[ifu_bp_ctl.scala 521:74] + node _T_1626 = and(_T_1623, _T_1625) @[ifu_bp_ctl.scala 521:22] + node _T_1627 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1628 = eq(_T_1627, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1629 = or(_T_1628, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1630 = and(_T_1626, _T_1629) @[ifu_bp_ctl.scala 521:87] + node _T_1631 = or(_T_1622, _T_1630) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][4] <= _T_1631 @[ifu_bp_ctl.scala 520:27] + node _T_1632 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1633 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1634 = eq(_T_1633, UInt<3>("h05")) @[ifu_bp_ctl.scala 520:97] + node _T_1635 = and(_T_1632, _T_1634) @[ifu_bp_ctl.scala 520:45] + node _T_1636 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1637 = eq(_T_1636, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1638 = or(_T_1637, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1639 = and(_T_1635, _T_1638) @[ifu_bp_ctl.scala 520:110] + node _T_1640 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1641 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1642 = eq(_T_1641, UInt<3>("h05")) @[ifu_bp_ctl.scala 521:74] + node _T_1643 = and(_T_1640, _T_1642) @[ifu_bp_ctl.scala 521:22] + node _T_1644 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1645 = eq(_T_1644, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1646 = or(_T_1645, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1647 = and(_T_1643, _T_1646) @[ifu_bp_ctl.scala 521:87] + node _T_1648 = or(_T_1639, _T_1647) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][5] <= _T_1648 @[ifu_bp_ctl.scala 520:27] + node _T_1649 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1650 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1651 = eq(_T_1650, UInt<3>("h06")) @[ifu_bp_ctl.scala 520:97] + node _T_1652 = and(_T_1649, _T_1651) @[ifu_bp_ctl.scala 520:45] + node _T_1653 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1654 = eq(_T_1653, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1655 = or(_T_1654, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1656 = and(_T_1652, _T_1655) @[ifu_bp_ctl.scala 520:110] + node _T_1657 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1658 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1659 = eq(_T_1658, UInt<3>("h06")) @[ifu_bp_ctl.scala 521:74] + node _T_1660 = and(_T_1657, _T_1659) @[ifu_bp_ctl.scala 521:22] + node _T_1661 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1662 = eq(_T_1661, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1663 = or(_T_1662, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1664 = and(_T_1660, _T_1663) @[ifu_bp_ctl.scala 521:87] + node _T_1665 = or(_T_1656, _T_1664) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][6] <= _T_1665 @[ifu_bp_ctl.scala 520:27] + node _T_1666 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1667 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1668 = eq(_T_1667, UInt<3>("h07")) @[ifu_bp_ctl.scala 520:97] + node _T_1669 = and(_T_1666, _T_1668) @[ifu_bp_ctl.scala 520:45] + node _T_1670 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1672 = or(_T_1671, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1673 = and(_T_1669, _T_1672) @[ifu_bp_ctl.scala 520:110] + node _T_1674 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1675 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1676 = eq(_T_1675, UInt<3>("h07")) @[ifu_bp_ctl.scala 521:74] + node _T_1677 = and(_T_1674, _T_1676) @[ifu_bp_ctl.scala 521:22] + node _T_1678 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1679 = eq(_T_1678, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1680 = or(_T_1679, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1681 = and(_T_1677, _T_1680) @[ifu_bp_ctl.scala 521:87] + node _T_1682 = or(_T_1673, _T_1681) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][7] <= _T_1682 @[ifu_bp_ctl.scala 520:27] + node _T_1683 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1684 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1685 = eq(_T_1684, UInt<4>("h08")) @[ifu_bp_ctl.scala 520:97] + node _T_1686 = and(_T_1683, _T_1685) @[ifu_bp_ctl.scala 520:45] + node _T_1687 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1688 = eq(_T_1687, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1689 = or(_T_1688, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1690 = and(_T_1686, _T_1689) @[ifu_bp_ctl.scala 520:110] + node _T_1691 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1692 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1693 = eq(_T_1692, UInt<4>("h08")) @[ifu_bp_ctl.scala 521:74] + node _T_1694 = and(_T_1691, _T_1693) @[ifu_bp_ctl.scala 521:22] + node _T_1695 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1696 = eq(_T_1695, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1697 = or(_T_1696, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1698 = and(_T_1694, _T_1697) @[ifu_bp_ctl.scala 521:87] + node _T_1699 = or(_T_1690, _T_1698) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][8] <= _T_1699 @[ifu_bp_ctl.scala 520:27] + node _T_1700 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1701 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1702 = eq(_T_1701, UInt<4>("h09")) @[ifu_bp_ctl.scala 520:97] + node _T_1703 = and(_T_1700, _T_1702) @[ifu_bp_ctl.scala 520:45] + node _T_1704 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1705 = eq(_T_1704, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1706 = or(_T_1705, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1707 = and(_T_1703, _T_1706) @[ifu_bp_ctl.scala 520:110] + node _T_1708 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1709 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1710 = eq(_T_1709, UInt<4>("h09")) @[ifu_bp_ctl.scala 521:74] + node _T_1711 = and(_T_1708, _T_1710) @[ifu_bp_ctl.scala 521:22] + node _T_1712 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1713 = eq(_T_1712, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1714 = or(_T_1713, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1715 = and(_T_1711, _T_1714) @[ifu_bp_ctl.scala 521:87] + node _T_1716 = or(_T_1707, _T_1715) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][9] <= _T_1716 @[ifu_bp_ctl.scala 520:27] + node _T_1717 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1718 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1719 = eq(_T_1718, UInt<4>("h0a")) @[ifu_bp_ctl.scala 520:97] + node _T_1720 = and(_T_1717, _T_1719) @[ifu_bp_ctl.scala 520:45] + node _T_1721 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1722 = eq(_T_1721, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1723 = or(_T_1722, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1724 = and(_T_1720, _T_1723) @[ifu_bp_ctl.scala 520:110] + node _T_1725 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1726 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1727 = eq(_T_1726, UInt<4>("h0a")) @[ifu_bp_ctl.scala 521:74] + node _T_1728 = and(_T_1725, _T_1727) @[ifu_bp_ctl.scala 521:22] + node _T_1729 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1730 = eq(_T_1729, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1731 = or(_T_1730, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1732 = and(_T_1728, _T_1731) @[ifu_bp_ctl.scala 521:87] + node _T_1733 = or(_T_1724, _T_1732) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][10] <= _T_1733 @[ifu_bp_ctl.scala 520:27] + node _T_1734 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1735 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1736 = eq(_T_1735, UInt<4>("h0b")) @[ifu_bp_ctl.scala 520:97] + node _T_1737 = and(_T_1734, _T_1736) @[ifu_bp_ctl.scala 520:45] + node _T_1738 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1739 = eq(_T_1738, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1740 = or(_T_1739, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1741 = and(_T_1737, _T_1740) @[ifu_bp_ctl.scala 520:110] + node _T_1742 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1743 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1744 = eq(_T_1743, UInt<4>("h0b")) @[ifu_bp_ctl.scala 521:74] + node _T_1745 = and(_T_1742, _T_1744) @[ifu_bp_ctl.scala 521:22] + node _T_1746 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1747 = eq(_T_1746, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1748 = or(_T_1747, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1749 = and(_T_1745, _T_1748) @[ifu_bp_ctl.scala 521:87] + node _T_1750 = or(_T_1741, _T_1749) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][11] <= _T_1750 @[ifu_bp_ctl.scala 520:27] + node _T_1751 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1752 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1753 = eq(_T_1752, UInt<4>("h0c")) @[ifu_bp_ctl.scala 520:97] + node _T_1754 = and(_T_1751, _T_1753) @[ifu_bp_ctl.scala 520:45] + node _T_1755 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1756 = eq(_T_1755, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1757 = or(_T_1756, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1758 = and(_T_1754, _T_1757) @[ifu_bp_ctl.scala 520:110] + node _T_1759 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1760 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1761 = eq(_T_1760, UInt<4>("h0c")) @[ifu_bp_ctl.scala 521:74] + node _T_1762 = and(_T_1759, _T_1761) @[ifu_bp_ctl.scala 521:22] + node _T_1763 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1764 = eq(_T_1763, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1765 = or(_T_1764, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1766 = and(_T_1762, _T_1765) @[ifu_bp_ctl.scala 521:87] + node _T_1767 = or(_T_1758, _T_1766) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][12] <= _T_1767 @[ifu_bp_ctl.scala 520:27] + node _T_1768 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1769 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1770 = eq(_T_1769, UInt<4>("h0d")) @[ifu_bp_ctl.scala 520:97] + node _T_1771 = and(_T_1768, _T_1770) @[ifu_bp_ctl.scala 520:45] + node _T_1772 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1773 = eq(_T_1772, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1774 = or(_T_1773, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1775 = and(_T_1771, _T_1774) @[ifu_bp_ctl.scala 520:110] + node _T_1776 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1777 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1778 = eq(_T_1777, UInt<4>("h0d")) @[ifu_bp_ctl.scala 521:74] + node _T_1779 = and(_T_1776, _T_1778) @[ifu_bp_ctl.scala 521:22] + node _T_1780 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1781 = eq(_T_1780, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1782 = or(_T_1781, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1783 = and(_T_1779, _T_1782) @[ifu_bp_ctl.scala 521:87] + node _T_1784 = or(_T_1775, _T_1783) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][13] <= _T_1784 @[ifu_bp_ctl.scala 520:27] + node _T_1785 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1786 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1787 = eq(_T_1786, UInt<4>("h0e")) @[ifu_bp_ctl.scala 520:97] + node _T_1788 = and(_T_1785, _T_1787) @[ifu_bp_ctl.scala 520:45] + node _T_1789 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1790 = eq(_T_1789, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1791 = or(_T_1790, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1792 = and(_T_1788, _T_1791) @[ifu_bp_ctl.scala 520:110] + node _T_1793 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1794 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1795 = eq(_T_1794, UInt<4>("h0e")) @[ifu_bp_ctl.scala 521:74] + node _T_1796 = and(_T_1793, _T_1795) @[ifu_bp_ctl.scala 521:22] + node _T_1797 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1798 = eq(_T_1797, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1799 = or(_T_1798, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1800 = and(_T_1796, _T_1799) @[ifu_bp_ctl.scala 521:87] + node _T_1801 = or(_T_1792, _T_1800) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][14] <= _T_1801 @[ifu_bp_ctl.scala 520:27] + node _T_1802 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 520:41] + node _T_1803 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:60] + node _T_1804 = eq(_T_1803, UInt<4>("h0f")) @[ifu_bp_ctl.scala 520:97] + node _T_1805 = and(_T_1802, _T_1804) @[ifu_bp_ctl.scala 520:45] + node _T_1806 = bits(mp_hashed, 7, 0) @[ifu_bp_ctl.scala 520:126] + node _T_1807 = eq(_T_1806, UInt<1>("h00")) @[ifu_bp_ctl.scala 520:186] + node _T_1808 = or(_T_1807, UInt<1>("h01")) @[ifu_bp_ctl.scala 520:199] + node _T_1809 = and(_T_1805, _T_1808) @[ifu_bp_ctl.scala 520:110] + node _T_1810 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 521:18] + node _T_1811 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:37] + node _T_1812 = eq(_T_1811, UInt<4>("h0f")) @[ifu_bp_ctl.scala 521:74] + node _T_1813 = and(_T_1810, _T_1812) @[ifu_bp_ctl.scala 521:22] + node _T_1814 = bits(br0_hashed_wb, 7, 0) @[ifu_bp_ctl.scala 521:103] + node _T_1815 = eq(_T_1814, UInt<1>("h00")) @[ifu_bp_ctl.scala 521:163] + node _T_1816 = or(_T_1815, UInt<1>("h01")) @[ifu_bp_ctl.scala 521:176] + node _T_1817 = and(_T_1813, _T_1816) @[ifu_bp_ctl.scala 521:87] + node _T_1818 = or(_T_1809, _T_1817) @[ifu_bp_ctl.scala 520:223] + bht_bank_sel[1][0][15] <= _T_1818 @[ifu_bp_ctl.scala 520:27] + wire bht_bank_rd_data_out : UInt<2>[16][2] @[ifu_bp_ctl.scala 524:34] node _T_1819 = and(bht_bank_sel[0][0][0], bht_bank_sel[0][0][0]) @[lib.scala 383:57] reg _T_1820 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1819 : @[Reg.scala 28:19] _T_1820 <= bht_bank_wr_data_0_0_0 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][0] <= _T_1820 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][0] <= _T_1820 @[ifu_bp_ctl.scala 526:39] node _T_1821 = and(bht_bank_sel[0][0][1], bht_bank_sel[0][0][1]) @[lib.scala 383:57] reg _T_1822 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1821 : @[Reg.scala 28:19] _T_1822 <= bht_bank_wr_data_0_0_1 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][1] <= _T_1822 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][1] <= _T_1822 @[ifu_bp_ctl.scala 526:39] node _T_1823 = and(bht_bank_sel[0][0][2], bht_bank_sel[0][0][2]) @[lib.scala 383:57] reg _T_1824 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1823 : @[Reg.scala 28:19] _T_1824 <= bht_bank_wr_data_0_0_2 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][2] <= _T_1824 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][2] <= _T_1824 @[ifu_bp_ctl.scala 526:39] node _T_1825 = and(bht_bank_sel[0][0][3], bht_bank_sel[0][0][3]) @[lib.scala 383:57] reg _T_1826 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1825 : @[Reg.scala 28:19] _T_1826 <= bht_bank_wr_data_0_0_3 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][3] <= _T_1826 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][3] <= _T_1826 @[ifu_bp_ctl.scala 526:39] node _T_1827 = and(bht_bank_sel[0][0][4], bht_bank_sel[0][0][4]) @[lib.scala 383:57] reg _T_1828 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1827 : @[Reg.scala 28:19] _T_1828 <= bht_bank_wr_data_0_0_4 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][4] <= _T_1828 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][4] <= _T_1828 @[ifu_bp_ctl.scala 526:39] node _T_1829 = and(bht_bank_sel[0][0][5], bht_bank_sel[0][0][5]) @[lib.scala 383:57] reg _T_1830 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1829 : @[Reg.scala 28:19] _T_1830 <= bht_bank_wr_data_0_0_5 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][5] <= _T_1830 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][5] <= _T_1830 @[ifu_bp_ctl.scala 526:39] node _T_1831 = and(bht_bank_sel[0][0][6], bht_bank_sel[0][0][6]) @[lib.scala 383:57] reg _T_1832 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1831 : @[Reg.scala 28:19] _T_1832 <= bht_bank_wr_data_0_0_6 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][6] <= _T_1832 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][6] <= _T_1832 @[ifu_bp_ctl.scala 526:39] node _T_1833 = and(bht_bank_sel[0][0][7], bht_bank_sel[0][0][7]) @[lib.scala 383:57] reg _T_1834 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1833 : @[Reg.scala 28:19] _T_1834 <= bht_bank_wr_data_0_0_7 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][7] <= _T_1834 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][7] <= _T_1834 @[ifu_bp_ctl.scala 526:39] node _T_1835 = and(bht_bank_sel[0][0][8], bht_bank_sel[0][0][8]) @[lib.scala 383:57] reg _T_1836 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1835 : @[Reg.scala 28:19] _T_1836 <= bht_bank_wr_data_0_0_8 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][8] <= _T_1836 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][8] <= _T_1836 @[ifu_bp_ctl.scala 526:39] node _T_1837 = and(bht_bank_sel[0][0][9], bht_bank_sel[0][0][9]) @[lib.scala 383:57] reg _T_1838 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1837 : @[Reg.scala 28:19] _T_1838 <= bht_bank_wr_data_0_0_9 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][9] <= _T_1838 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][9] <= _T_1838 @[ifu_bp_ctl.scala 526:39] node _T_1839 = and(bht_bank_sel[0][0][10], bht_bank_sel[0][0][10]) @[lib.scala 383:57] reg _T_1840 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1839 : @[Reg.scala 28:19] _T_1840 <= bht_bank_wr_data_0_0_10 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][10] <= _T_1840 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][10] <= _T_1840 @[ifu_bp_ctl.scala 526:39] node _T_1841 = and(bht_bank_sel[0][0][11], bht_bank_sel[0][0][11]) @[lib.scala 383:57] reg _T_1842 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1841 : @[Reg.scala 28:19] _T_1842 <= bht_bank_wr_data_0_0_11 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][11] <= _T_1842 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][11] <= _T_1842 @[ifu_bp_ctl.scala 526:39] node _T_1843 = and(bht_bank_sel[0][0][12], bht_bank_sel[0][0][12]) @[lib.scala 383:57] reg _T_1844 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1843 : @[Reg.scala 28:19] _T_1844 <= bht_bank_wr_data_0_0_12 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][12] <= _T_1844 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][12] <= _T_1844 @[ifu_bp_ctl.scala 526:39] node _T_1845 = and(bht_bank_sel[0][0][13], bht_bank_sel[0][0][13]) @[lib.scala 383:57] reg _T_1846 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1845 : @[Reg.scala 28:19] _T_1846 <= bht_bank_wr_data_0_0_13 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][13] <= _T_1846 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][13] <= _T_1846 @[ifu_bp_ctl.scala 526:39] node _T_1847 = and(bht_bank_sel[0][0][14], bht_bank_sel[0][0][14]) @[lib.scala 383:57] reg _T_1848 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1847 : @[Reg.scala 28:19] _T_1848 <= bht_bank_wr_data_0_0_14 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][14] <= _T_1848 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][14] <= _T_1848 @[ifu_bp_ctl.scala 526:39] node _T_1849 = and(bht_bank_sel[0][0][15], bht_bank_sel[0][0][15]) @[lib.scala 383:57] reg _T_1850 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1849 : @[Reg.scala 28:19] _T_1850 <= bht_bank_wr_data_0_0_15 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[0][15] <= _T_1850 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[0][15] <= _T_1850 @[ifu_bp_ctl.scala 526:39] node _T_1851 = and(bht_bank_sel[1][0][0], bht_bank_sel[1][0][0]) @[lib.scala 383:57] reg _T_1852 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1851 : @[Reg.scala 28:19] _T_1852 <= bht_bank_wr_data_1_0_0 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][0] <= _T_1852 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][0] <= _T_1852 @[ifu_bp_ctl.scala 526:39] node _T_1853 = and(bht_bank_sel[1][0][1], bht_bank_sel[1][0][1]) @[lib.scala 383:57] reg _T_1854 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1853 : @[Reg.scala 28:19] _T_1854 <= bht_bank_wr_data_1_0_1 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][1] <= _T_1854 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][1] <= _T_1854 @[ifu_bp_ctl.scala 526:39] node _T_1855 = and(bht_bank_sel[1][0][2], bht_bank_sel[1][0][2]) @[lib.scala 383:57] reg _T_1856 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1855 : @[Reg.scala 28:19] _T_1856 <= bht_bank_wr_data_1_0_2 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][2] <= _T_1856 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][2] <= _T_1856 @[ifu_bp_ctl.scala 526:39] node _T_1857 = and(bht_bank_sel[1][0][3], bht_bank_sel[1][0][3]) @[lib.scala 383:57] reg _T_1858 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1857 : @[Reg.scala 28:19] _T_1858 <= bht_bank_wr_data_1_0_3 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][3] <= _T_1858 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][3] <= _T_1858 @[ifu_bp_ctl.scala 526:39] node _T_1859 = and(bht_bank_sel[1][0][4], bht_bank_sel[1][0][4]) @[lib.scala 383:57] reg _T_1860 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1859 : @[Reg.scala 28:19] _T_1860 <= bht_bank_wr_data_1_0_4 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][4] <= _T_1860 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][4] <= _T_1860 @[ifu_bp_ctl.scala 526:39] node _T_1861 = and(bht_bank_sel[1][0][5], bht_bank_sel[1][0][5]) @[lib.scala 383:57] reg _T_1862 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1861 : @[Reg.scala 28:19] _T_1862 <= bht_bank_wr_data_1_0_5 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][5] <= _T_1862 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][5] <= _T_1862 @[ifu_bp_ctl.scala 526:39] node _T_1863 = and(bht_bank_sel[1][0][6], bht_bank_sel[1][0][6]) @[lib.scala 383:57] reg _T_1864 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1863 : @[Reg.scala 28:19] _T_1864 <= bht_bank_wr_data_1_0_6 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][6] <= _T_1864 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][6] <= _T_1864 @[ifu_bp_ctl.scala 526:39] node _T_1865 = and(bht_bank_sel[1][0][7], bht_bank_sel[1][0][7]) @[lib.scala 383:57] reg _T_1866 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1865 : @[Reg.scala 28:19] _T_1866 <= bht_bank_wr_data_1_0_7 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][7] <= _T_1866 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][7] <= _T_1866 @[ifu_bp_ctl.scala 526:39] node _T_1867 = and(bht_bank_sel[1][0][8], bht_bank_sel[1][0][8]) @[lib.scala 383:57] reg _T_1868 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1867 : @[Reg.scala 28:19] _T_1868 <= bht_bank_wr_data_1_0_8 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][8] <= _T_1868 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][8] <= _T_1868 @[ifu_bp_ctl.scala 526:39] node _T_1869 = and(bht_bank_sel[1][0][9], bht_bank_sel[1][0][9]) @[lib.scala 383:57] reg _T_1870 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1869 : @[Reg.scala 28:19] _T_1870 <= bht_bank_wr_data_1_0_9 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][9] <= _T_1870 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][9] <= _T_1870 @[ifu_bp_ctl.scala 526:39] node _T_1871 = and(bht_bank_sel[1][0][10], bht_bank_sel[1][0][10]) @[lib.scala 383:57] reg _T_1872 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1871 : @[Reg.scala 28:19] _T_1872 <= bht_bank_wr_data_1_0_10 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][10] <= _T_1872 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][10] <= _T_1872 @[ifu_bp_ctl.scala 526:39] node _T_1873 = and(bht_bank_sel[1][0][11], bht_bank_sel[1][0][11]) @[lib.scala 383:57] reg _T_1874 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1873 : @[Reg.scala 28:19] _T_1874 <= bht_bank_wr_data_1_0_11 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][11] <= _T_1874 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][11] <= _T_1874 @[ifu_bp_ctl.scala 526:39] node _T_1875 = and(bht_bank_sel[1][0][12], bht_bank_sel[1][0][12]) @[lib.scala 383:57] reg _T_1876 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1875 : @[Reg.scala 28:19] _T_1876 <= bht_bank_wr_data_1_0_12 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][12] <= _T_1876 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][12] <= _T_1876 @[ifu_bp_ctl.scala 526:39] node _T_1877 = and(bht_bank_sel[1][0][13], bht_bank_sel[1][0][13]) @[lib.scala 383:57] reg _T_1878 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1877 : @[Reg.scala 28:19] _T_1878 <= bht_bank_wr_data_1_0_13 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][13] <= _T_1878 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][13] <= _T_1878 @[ifu_bp_ctl.scala 526:39] node _T_1879 = and(bht_bank_sel[1][0][14], bht_bank_sel[1][0][14]) @[lib.scala 383:57] reg _T_1880 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1879 : @[Reg.scala 28:19] _T_1880 <= bht_bank_wr_data_1_0_14 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][14] <= _T_1880 @[ifu_bp_ctl.scala 536:39] + bht_bank_rd_data_out[1][14] <= _T_1880 @[ifu_bp_ctl.scala 526:39] node _T_1881 = and(bht_bank_sel[1][0][15], bht_bank_sel[1][0][15]) @[lib.scala 383:57] reg _T_1882 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1881 : @[Reg.scala 28:19] _T_1882 <= bht_bank_wr_data_1_0_15 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bht_bank_rd_data_out[1][15] <= _T_1882 @[ifu_bp_ctl.scala 536:39] - node _T_1883 = eq(bht_rd_addr_hashed_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 539:79] - node _T_1884 = bits(_T_1883, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1885 = eq(bht_rd_addr_hashed_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 539:79] - node _T_1886 = bits(_T_1885, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1887 = eq(bht_rd_addr_hashed_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 539:79] - node _T_1888 = bits(_T_1887, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1889 = eq(bht_rd_addr_hashed_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 539:79] - node _T_1890 = bits(_T_1889, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1891 = eq(bht_rd_addr_hashed_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 539:79] - node _T_1892 = bits(_T_1891, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1893 = eq(bht_rd_addr_hashed_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 539:79] - node _T_1894 = bits(_T_1893, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1895 = eq(bht_rd_addr_hashed_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 539:79] - node _T_1896 = bits(_T_1895, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1897 = eq(bht_rd_addr_hashed_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 539:79] - node _T_1898 = bits(_T_1897, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1899 = eq(bht_rd_addr_hashed_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 539:79] - node _T_1900 = bits(_T_1899, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1901 = eq(bht_rd_addr_hashed_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 539:79] - node _T_1902 = bits(_T_1901, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1903 = eq(bht_rd_addr_hashed_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 539:79] - node _T_1904 = bits(_T_1903, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1905 = eq(bht_rd_addr_hashed_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 539:79] - node _T_1906 = bits(_T_1905, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1907 = eq(bht_rd_addr_hashed_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 539:79] - node _T_1908 = bits(_T_1907, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1909 = eq(bht_rd_addr_hashed_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 539:79] - node _T_1910 = bits(_T_1909, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1911 = eq(bht_rd_addr_hashed_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 539:79] - node _T_1912 = bits(_T_1911, 0, 0) @[ifu_bp_ctl.scala 539:87] - node _T_1913 = eq(bht_rd_addr_hashed_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 539:79] - node _T_1914 = bits(_T_1913, 0, 0) @[ifu_bp_ctl.scala 539:87] + bht_bank_rd_data_out[1][15] <= _T_1882 @[ifu_bp_ctl.scala 526:39] + node _T_1883 = eq(bht_rd_addr_hashed_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 529:79] + node _T_1884 = bits(_T_1883, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1885 = eq(bht_rd_addr_hashed_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 529:79] + node _T_1886 = bits(_T_1885, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1887 = eq(bht_rd_addr_hashed_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 529:79] + node _T_1888 = bits(_T_1887, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1889 = eq(bht_rd_addr_hashed_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 529:79] + node _T_1890 = bits(_T_1889, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1891 = eq(bht_rd_addr_hashed_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 529:79] + node _T_1892 = bits(_T_1891, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1893 = eq(bht_rd_addr_hashed_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 529:79] + node _T_1894 = bits(_T_1893, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1895 = eq(bht_rd_addr_hashed_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 529:79] + node _T_1896 = bits(_T_1895, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1897 = eq(bht_rd_addr_hashed_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 529:79] + node _T_1898 = bits(_T_1897, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1899 = eq(bht_rd_addr_hashed_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 529:79] + node _T_1900 = bits(_T_1899, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1901 = eq(bht_rd_addr_hashed_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 529:79] + node _T_1902 = bits(_T_1901, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1903 = eq(bht_rd_addr_hashed_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 529:79] + node _T_1904 = bits(_T_1903, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1905 = eq(bht_rd_addr_hashed_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 529:79] + node _T_1906 = bits(_T_1905, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1907 = eq(bht_rd_addr_hashed_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 529:79] + node _T_1908 = bits(_T_1907, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1909 = eq(bht_rd_addr_hashed_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 529:79] + node _T_1910 = bits(_T_1909, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1911 = eq(bht_rd_addr_hashed_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 529:79] + node _T_1912 = bits(_T_1911, 0, 0) @[ifu_bp_ctl.scala 529:87] + node _T_1913 = eq(bht_rd_addr_hashed_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 529:79] + node _T_1914 = bits(_T_1913, 0, 0) @[ifu_bp_ctl.scala 529:87] node _T_1915 = mux(_T_1884, bht_bank_rd_data_out[0][0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1916 = mux(_T_1886, bht_bank_rd_data_out[0][1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1917 = mux(_T_1888, bht_bank_rd_data_out[0][2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3857,39 +3857,39 @@ circuit ifu_bp_ctl : node _T_1945 = or(_T_1944, _T_1930) @[Mux.scala 27:72] wire _T_1946 : UInt<2> @[Mux.scala 27:72] _T_1946 <= _T_1945 @[Mux.scala 27:72] - bht_bank0_rd_data_f <= _T_1946 @[ifu_bp_ctl.scala 539:23] - node _T_1947 = eq(bht_rd_addr_hashed_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 540:79] - node _T_1948 = bits(_T_1947, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1949 = eq(bht_rd_addr_hashed_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 540:79] - node _T_1950 = bits(_T_1949, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1951 = eq(bht_rd_addr_hashed_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 540:79] - node _T_1952 = bits(_T_1951, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1953 = eq(bht_rd_addr_hashed_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 540:79] - node _T_1954 = bits(_T_1953, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1955 = eq(bht_rd_addr_hashed_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 540:79] - node _T_1956 = bits(_T_1955, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1957 = eq(bht_rd_addr_hashed_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 540:79] - node _T_1958 = bits(_T_1957, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1959 = eq(bht_rd_addr_hashed_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 540:79] - node _T_1960 = bits(_T_1959, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1961 = eq(bht_rd_addr_hashed_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 540:79] - node _T_1962 = bits(_T_1961, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1963 = eq(bht_rd_addr_hashed_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 540:79] - node _T_1964 = bits(_T_1963, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1965 = eq(bht_rd_addr_hashed_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 540:79] - node _T_1966 = bits(_T_1965, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1967 = eq(bht_rd_addr_hashed_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 540:79] - node _T_1968 = bits(_T_1967, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1969 = eq(bht_rd_addr_hashed_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 540:79] - node _T_1970 = bits(_T_1969, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1971 = eq(bht_rd_addr_hashed_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 540:79] - node _T_1972 = bits(_T_1971, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1973 = eq(bht_rd_addr_hashed_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 540:79] - node _T_1974 = bits(_T_1973, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1975 = eq(bht_rd_addr_hashed_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 540:79] - node _T_1976 = bits(_T_1975, 0, 0) @[ifu_bp_ctl.scala 540:87] - node _T_1977 = eq(bht_rd_addr_hashed_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 540:79] - node _T_1978 = bits(_T_1977, 0, 0) @[ifu_bp_ctl.scala 540:87] + bht_bank0_rd_data_f <= _T_1946 @[ifu_bp_ctl.scala 529:23] + node _T_1947 = eq(bht_rd_addr_hashed_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 530:79] + node _T_1948 = bits(_T_1947, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1949 = eq(bht_rd_addr_hashed_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 530:79] + node _T_1950 = bits(_T_1949, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1951 = eq(bht_rd_addr_hashed_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 530:79] + node _T_1952 = bits(_T_1951, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1953 = eq(bht_rd_addr_hashed_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 530:79] + node _T_1954 = bits(_T_1953, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1955 = eq(bht_rd_addr_hashed_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 530:79] + node _T_1956 = bits(_T_1955, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1957 = eq(bht_rd_addr_hashed_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 530:79] + node _T_1958 = bits(_T_1957, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1959 = eq(bht_rd_addr_hashed_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 530:79] + node _T_1960 = bits(_T_1959, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1961 = eq(bht_rd_addr_hashed_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 530:79] + node _T_1962 = bits(_T_1961, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1963 = eq(bht_rd_addr_hashed_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 530:79] + node _T_1964 = bits(_T_1963, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1965 = eq(bht_rd_addr_hashed_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 530:79] + node _T_1966 = bits(_T_1965, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1967 = eq(bht_rd_addr_hashed_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 530:79] + node _T_1968 = bits(_T_1967, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1969 = eq(bht_rd_addr_hashed_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 530:79] + node _T_1970 = bits(_T_1969, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1971 = eq(bht_rd_addr_hashed_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 530:79] + node _T_1972 = bits(_T_1971, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1973 = eq(bht_rd_addr_hashed_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 530:79] + node _T_1974 = bits(_T_1973, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1975 = eq(bht_rd_addr_hashed_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 530:79] + node _T_1976 = bits(_T_1975, 0, 0) @[ifu_bp_ctl.scala 530:87] + node _T_1977 = eq(bht_rd_addr_hashed_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 530:79] + node _T_1978 = bits(_T_1977, 0, 0) @[ifu_bp_ctl.scala 530:87] node _T_1979 = mux(_T_1948, bht_bank_rd_data_out[1][0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1980 = mux(_T_1950, bht_bank_rd_data_out[1][1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1981 = mux(_T_1952, bht_bank_rd_data_out[1][2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3923,39 +3923,39 @@ circuit ifu_bp_ctl : node _T_2009 = or(_T_2008, _T_1994) @[Mux.scala 27:72] wire _T_2010 : UInt<2> @[Mux.scala 27:72] _T_2010 <= _T_2009 @[Mux.scala 27:72] - bht_bank1_rd_data_f <= _T_2010 @[ifu_bp_ctl.scala 540:23] - node _T_2011 = eq(bht_rd_addr_hashed_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 541:85] - node _T_2012 = bits(_T_2011, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2013 = eq(bht_rd_addr_hashed_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 541:85] - node _T_2014 = bits(_T_2013, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2015 = eq(bht_rd_addr_hashed_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 541:85] - node _T_2016 = bits(_T_2015, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2017 = eq(bht_rd_addr_hashed_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 541:85] - node _T_2018 = bits(_T_2017, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2019 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 541:85] - node _T_2020 = bits(_T_2019, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2021 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 541:85] - node _T_2022 = bits(_T_2021, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2023 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 541:85] - node _T_2024 = bits(_T_2023, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2025 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 541:85] - node _T_2026 = bits(_T_2025, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2027 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 541:85] - node _T_2028 = bits(_T_2027, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2029 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 541:85] - node _T_2030 = bits(_T_2029, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2031 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 541:85] - node _T_2032 = bits(_T_2031, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2033 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 541:85] - node _T_2034 = bits(_T_2033, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2035 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 541:85] - node _T_2036 = bits(_T_2035, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2037 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 541:85] - node _T_2038 = bits(_T_2037, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2039 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 541:85] - node _T_2040 = bits(_T_2039, 0, 0) @[ifu_bp_ctl.scala 541:93] - node _T_2041 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 541:85] - node _T_2042 = bits(_T_2041, 0, 0) @[ifu_bp_ctl.scala 541:93] + bht_bank1_rd_data_f <= _T_2010 @[ifu_bp_ctl.scala 530:23] + node _T_2011 = eq(bht_rd_addr_hashed_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 531:85] + node _T_2012 = bits(_T_2011, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2013 = eq(bht_rd_addr_hashed_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 531:85] + node _T_2014 = bits(_T_2013, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2015 = eq(bht_rd_addr_hashed_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 531:85] + node _T_2016 = bits(_T_2015, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2017 = eq(bht_rd_addr_hashed_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 531:85] + node _T_2018 = bits(_T_2017, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2019 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 531:85] + node _T_2020 = bits(_T_2019, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2021 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 531:85] + node _T_2022 = bits(_T_2021, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2023 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 531:85] + node _T_2024 = bits(_T_2023, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2025 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 531:85] + node _T_2026 = bits(_T_2025, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2027 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 531:85] + node _T_2028 = bits(_T_2027, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2029 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 531:85] + node _T_2030 = bits(_T_2029, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2031 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 531:85] + node _T_2032 = bits(_T_2031, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2033 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 531:85] + node _T_2034 = bits(_T_2033, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2035 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 531:85] + node _T_2036 = bits(_T_2035, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2037 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 531:85] + node _T_2038 = bits(_T_2037, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2039 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 531:85] + node _T_2040 = bits(_T_2039, 0, 0) @[ifu_bp_ctl.scala 531:93] + node _T_2041 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 531:85] + node _T_2042 = bits(_T_2041, 0, 0) @[ifu_bp_ctl.scala 531:93] node _T_2043 = mux(_T_2012, bht_bank_rd_data_out[0][0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2044 = mux(_T_2014, bht_bank_rd_data_out[0][1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2045 = mux(_T_2016, bht_bank_rd_data_out[0][2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3989,5 +3989,5 @@ circuit ifu_bp_ctl : node _T_2073 = or(_T_2072, _T_2058) @[Mux.scala 27:72] wire _T_2074 : UInt<2> @[Mux.scala 27:72] _T_2074 <= _T_2073 @[Mux.scala 27:72] - bht_bank0_rd_data_p1_f <= _T_2074 @[ifu_bp_ctl.scala 541:26] + bht_bank0_rd_data_p1_f <= _T_2074 @[ifu_bp_ctl.scala 531:26] diff --git a/ifu_bp_ctl.v b/ifu_bp_ctl.v index bc5b974a..192ebd53 100644 --- a/ifu_bp_ctl.v +++ b/ifu_bp_ctl.v @@ -251,66 +251,66 @@ module ifu_bp_ctl( wire [7:0] _T_11 = _T_8[8:1] ^ _T_8[16:9]; // @[lib.scala 51:47] wire [7:0] btb_rd_addr_p1_f = _T_11 ^ _T_8[24:17]; // @[lib.scala 51:85] wire _T_147 = ~io_ifc_fetch_addr_f[0]; // @[ifu_bp_ctl.scala 191:37] - wire _T_709 = btb_rd_addr_f == 8'h0; // @[ifu_bp_ctl.scala 445:80] + wire _T_709 = btb_rd_addr_f == 8'h0; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_0; // @[Reg.scala 27:20] wire [21:0] _T_741 = _T_709 ? btb_bank0_rd_data_way0_out_0 : 22'h0; // @[Mux.scala 27:72] - wire _T_711 = btb_rd_addr_f == 8'h1; // @[ifu_bp_ctl.scala 445:80] + wire _T_711 = btb_rd_addr_f == 8'h1; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_1; // @[Reg.scala 27:20] wire [21:0] _T_742 = _T_711 ? btb_bank0_rd_data_way0_out_1 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_757 = _T_741 | _T_742; // @[Mux.scala 27:72] - wire _T_713 = btb_rd_addr_f == 8'h2; // @[ifu_bp_ctl.scala 445:80] + wire _T_713 = btb_rd_addr_f == 8'h2; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_2; // @[Reg.scala 27:20] wire [21:0] _T_743 = _T_713 ? btb_bank0_rd_data_way0_out_2 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_758 = _T_757 | _T_743; // @[Mux.scala 27:72] - wire _T_715 = btb_rd_addr_f == 8'h3; // @[ifu_bp_ctl.scala 445:80] + wire _T_715 = btb_rd_addr_f == 8'h3; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_3; // @[Reg.scala 27:20] wire [21:0] _T_744 = _T_715 ? btb_bank0_rd_data_way0_out_3 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_759 = _T_758 | _T_744; // @[Mux.scala 27:72] - wire _T_717 = btb_rd_addr_f == 8'h4; // @[ifu_bp_ctl.scala 445:80] + wire _T_717 = btb_rd_addr_f == 8'h4; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_4; // @[Reg.scala 27:20] wire [21:0] _T_745 = _T_717 ? btb_bank0_rd_data_way0_out_4 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_760 = _T_759 | _T_745; // @[Mux.scala 27:72] - wire _T_719 = btb_rd_addr_f == 8'h5; // @[ifu_bp_ctl.scala 445:80] + wire _T_719 = btb_rd_addr_f == 8'h5; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_5; // @[Reg.scala 27:20] wire [21:0] _T_746 = _T_719 ? btb_bank0_rd_data_way0_out_5 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_761 = _T_760 | _T_746; // @[Mux.scala 27:72] - wire _T_721 = btb_rd_addr_f == 8'h6; // @[ifu_bp_ctl.scala 445:80] + wire _T_721 = btb_rd_addr_f == 8'h6; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_6; // @[Reg.scala 27:20] wire [21:0] _T_747 = _T_721 ? btb_bank0_rd_data_way0_out_6 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_762 = _T_761 | _T_747; // @[Mux.scala 27:72] - wire _T_723 = btb_rd_addr_f == 8'h7; // @[ifu_bp_ctl.scala 445:80] + wire _T_723 = btb_rd_addr_f == 8'h7; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_7; // @[Reg.scala 27:20] wire [21:0] _T_748 = _T_723 ? btb_bank0_rd_data_way0_out_7 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_763 = _T_762 | _T_748; // @[Mux.scala 27:72] - wire _T_725 = btb_rd_addr_f == 8'h8; // @[ifu_bp_ctl.scala 445:80] + wire _T_725 = btb_rd_addr_f == 8'h8; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_8; // @[Reg.scala 27:20] wire [21:0] _T_749 = _T_725 ? btb_bank0_rd_data_way0_out_8 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_764 = _T_763 | _T_749; // @[Mux.scala 27:72] - wire _T_727 = btb_rd_addr_f == 8'h9; // @[ifu_bp_ctl.scala 445:80] + wire _T_727 = btb_rd_addr_f == 8'h9; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_9; // @[Reg.scala 27:20] wire [21:0] _T_750 = _T_727 ? btb_bank0_rd_data_way0_out_9 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_765 = _T_764 | _T_750; // @[Mux.scala 27:72] - wire _T_729 = btb_rd_addr_f == 8'ha; // @[ifu_bp_ctl.scala 445:80] + wire _T_729 = btb_rd_addr_f == 8'ha; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_10; // @[Reg.scala 27:20] wire [21:0] _T_751 = _T_729 ? btb_bank0_rd_data_way0_out_10 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_766 = _T_765 | _T_751; // @[Mux.scala 27:72] - wire _T_731 = btb_rd_addr_f == 8'hb; // @[ifu_bp_ctl.scala 445:80] + wire _T_731 = btb_rd_addr_f == 8'hb; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_11; // @[Reg.scala 27:20] wire [21:0] _T_752 = _T_731 ? btb_bank0_rd_data_way0_out_11 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_767 = _T_766 | _T_752; // @[Mux.scala 27:72] - wire _T_733 = btb_rd_addr_f == 8'hc; // @[ifu_bp_ctl.scala 445:80] + wire _T_733 = btb_rd_addr_f == 8'hc; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_12; // @[Reg.scala 27:20] wire [21:0] _T_753 = _T_733 ? btb_bank0_rd_data_way0_out_12 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_768 = _T_767 | _T_753; // @[Mux.scala 27:72] - wire _T_735 = btb_rd_addr_f == 8'hd; // @[ifu_bp_ctl.scala 445:80] + wire _T_735 = btb_rd_addr_f == 8'hd; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_13; // @[Reg.scala 27:20] wire [21:0] _T_754 = _T_735 ? btb_bank0_rd_data_way0_out_13 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_769 = _T_768 | _T_754; // @[Mux.scala 27:72] - wire _T_737 = btb_rd_addr_f == 8'he; // @[ifu_bp_ctl.scala 445:80] + wire _T_737 = btb_rd_addr_f == 8'he; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_14; // @[Reg.scala 27:20] wire [21:0] _T_755 = _T_737 ? btb_bank0_rd_data_way0_out_14 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_770 = _T_769 | _T_755; // @[Mux.scala 27:72] - wire _T_739 = btb_rd_addr_f == 8'hf; // @[ifu_bp_ctl.scala 445:80] + wire _T_739 = btb_rd_addr_f == 8'hf; // @[ifu_bp_ctl.scala 435:80] reg [21:0] btb_bank0_rd_data_way0_out_15; // @[Reg.scala 27:20] wire [21:0] _T_756 = _T_739 ? btb_bank0_rd_data_way0_out_15 : 22'h0; // @[Mux.scala 27:72] wire [21:0] btb_bank0_rd_data_way0_f = _T_770 | _T_756; // @[Mux.scala 27:72] @@ -392,51 +392,51 @@ module ifu_bp_ctl( wire [21:0] _T_130 = tag_match_way1_expanded_f[1] ? btb_bank0_rd_data_way1_f : 22'h0; // @[Mux.scala 27:72] wire [21:0] btb_bank0o_rd_data_f = _T_129 | _T_130; // @[Mux.scala 27:72] wire [21:0] _T_149 = _T_147 ? btb_bank0o_rd_data_f : 22'h0; // @[Mux.scala 27:72] - wire _T_837 = btb_rd_addr_p1_f == 8'h0; // @[ifu_bp_ctl.scala 449:86] + wire _T_837 = btb_rd_addr_p1_f == 8'h0; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_869 = _T_837 ? btb_bank0_rd_data_way0_out_0 : 22'h0; // @[Mux.scala 27:72] - wire _T_839 = btb_rd_addr_p1_f == 8'h1; // @[ifu_bp_ctl.scala 449:86] + wire _T_839 = btb_rd_addr_p1_f == 8'h1; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_870 = _T_839 ? btb_bank0_rd_data_way0_out_1 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_885 = _T_869 | _T_870; // @[Mux.scala 27:72] - wire _T_841 = btb_rd_addr_p1_f == 8'h2; // @[ifu_bp_ctl.scala 449:86] + wire _T_841 = btb_rd_addr_p1_f == 8'h2; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_871 = _T_841 ? btb_bank0_rd_data_way0_out_2 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_886 = _T_885 | _T_871; // @[Mux.scala 27:72] - wire _T_843 = btb_rd_addr_p1_f == 8'h3; // @[ifu_bp_ctl.scala 449:86] + wire _T_843 = btb_rd_addr_p1_f == 8'h3; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_872 = _T_843 ? btb_bank0_rd_data_way0_out_3 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_887 = _T_886 | _T_872; // @[Mux.scala 27:72] - wire _T_845 = btb_rd_addr_p1_f == 8'h4; // @[ifu_bp_ctl.scala 449:86] + wire _T_845 = btb_rd_addr_p1_f == 8'h4; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_873 = _T_845 ? btb_bank0_rd_data_way0_out_4 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_888 = _T_887 | _T_873; // @[Mux.scala 27:72] - wire _T_847 = btb_rd_addr_p1_f == 8'h5; // @[ifu_bp_ctl.scala 449:86] + wire _T_847 = btb_rd_addr_p1_f == 8'h5; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_874 = _T_847 ? btb_bank0_rd_data_way0_out_5 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_889 = _T_888 | _T_874; // @[Mux.scala 27:72] - wire _T_849 = btb_rd_addr_p1_f == 8'h6; // @[ifu_bp_ctl.scala 449:86] + wire _T_849 = btb_rd_addr_p1_f == 8'h6; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_875 = _T_849 ? btb_bank0_rd_data_way0_out_6 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_890 = _T_889 | _T_875; // @[Mux.scala 27:72] - wire _T_851 = btb_rd_addr_p1_f == 8'h7; // @[ifu_bp_ctl.scala 449:86] + wire _T_851 = btb_rd_addr_p1_f == 8'h7; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_876 = _T_851 ? btb_bank0_rd_data_way0_out_7 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_891 = _T_890 | _T_876; // @[Mux.scala 27:72] - wire _T_853 = btb_rd_addr_p1_f == 8'h8; // @[ifu_bp_ctl.scala 449:86] + wire _T_853 = btb_rd_addr_p1_f == 8'h8; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_877 = _T_853 ? btb_bank0_rd_data_way0_out_8 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_892 = _T_891 | _T_877; // @[Mux.scala 27:72] - wire _T_855 = btb_rd_addr_p1_f == 8'h9; // @[ifu_bp_ctl.scala 449:86] + wire _T_855 = btb_rd_addr_p1_f == 8'h9; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_878 = _T_855 ? btb_bank0_rd_data_way0_out_9 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_893 = _T_892 | _T_878; // @[Mux.scala 27:72] - wire _T_857 = btb_rd_addr_p1_f == 8'ha; // @[ifu_bp_ctl.scala 449:86] + wire _T_857 = btb_rd_addr_p1_f == 8'ha; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_879 = _T_857 ? btb_bank0_rd_data_way0_out_10 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_894 = _T_893 | _T_879; // @[Mux.scala 27:72] - wire _T_859 = btb_rd_addr_p1_f == 8'hb; // @[ifu_bp_ctl.scala 449:86] + wire _T_859 = btb_rd_addr_p1_f == 8'hb; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_880 = _T_859 ? btb_bank0_rd_data_way0_out_11 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_895 = _T_894 | _T_880; // @[Mux.scala 27:72] - wire _T_861 = btb_rd_addr_p1_f == 8'hc; // @[ifu_bp_ctl.scala 449:86] + wire _T_861 = btb_rd_addr_p1_f == 8'hc; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_881 = _T_861 ? btb_bank0_rd_data_way0_out_12 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_896 = _T_895 | _T_881; // @[Mux.scala 27:72] - wire _T_863 = btb_rd_addr_p1_f == 8'hd; // @[ifu_bp_ctl.scala 449:86] + wire _T_863 = btb_rd_addr_p1_f == 8'hd; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_882 = _T_863 ? btb_bank0_rd_data_way0_out_13 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_897 = _T_896 | _T_882; // @[Mux.scala 27:72] - wire _T_865 = btb_rd_addr_p1_f == 8'he; // @[ifu_bp_ctl.scala 449:86] + wire _T_865 = btb_rd_addr_p1_f == 8'he; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_883 = _T_865 ? btb_bank0_rd_data_way0_out_14 : 22'h0; // @[Mux.scala 27:72] wire [21:0] _T_898 = _T_897 | _T_883; // @[Mux.scala 27:72] - wire _T_867 = btb_rd_addr_p1_f == 8'hf; // @[ifu_bp_ctl.scala 449:86] + wire _T_867 = btb_rd_addr_p1_f == 8'hf; // @[ifu_bp_ctl.scala 439:86] wire [21:0] _T_884 = _T_867 ? btb_bank0_rd_data_way0_out_15 : 22'h0; // @[Mux.scala 27:72] wire [21:0] btb_bank0_rd_data_way0_p1_f = _T_898 | _T_884; // @[Mux.scala 27:72] wire [4:0] _T_35 = _T_8[13:9] ^ _T_8[18:14]; // @[lib.scala 42:111] @@ -514,132 +514,132 @@ module ifu_bp_ctl( wire [9:0] _T_582 = {btb_rd_addr_f,2'h0}; // @[Cat.scala 29:58] reg [7:0] fghr; // @[Reg.scala 27:20] wire [7:0] bht_rd_addr_hashed_f = _T_582[9:2] ^ fghr; // @[lib.scala 56:35] - wire _T_1947 = bht_rd_addr_hashed_f == 8'h0; // @[ifu_bp_ctl.scala 540:79] + wire _T_1947 = bht_rd_addr_hashed_f == 8'h0; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_0; // @[Reg.scala 27:20] wire [1:0] _T_1979 = _T_1947 ? bht_bank_rd_data_out_1_0 : 2'h0; // @[Mux.scala 27:72] - wire _T_1949 = bht_rd_addr_hashed_f == 8'h1; // @[ifu_bp_ctl.scala 540:79] + wire _T_1949 = bht_rd_addr_hashed_f == 8'h1; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_1; // @[Reg.scala 27:20] wire [1:0] _T_1980 = _T_1949 ? bht_bank_rd_data_out_1_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1995 = _T_1979 | _T_1980; // @[Mux.scala 27:72] - wire _T_1951 = bht_rd_addr_hashed_f == 8'h2; // @[ifu_bp_ctl.scala 540:79] + wire _T_1951 = bht_rd_addr_hashed_f == 8'h2; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_2; // @[Reg.scala 27:20] wire [1:0] _T_1981 = _T_1951 ? bht_bank_rd_data_out_1_2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1996 = _T_1995 | _T_1981; // @[Mux.scala 27:72] - wire _T_1953 = bht_rd_addr_hashed_f == 8'h3; // @[ifu_bp_ctl.scala 540:79] + wire _T_1953 = bht_rd_addr_hashed_f == 8'h3; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_3; // @[Reg.scala 27:20] wire [1:0] _T_1982 = _T_1953 ? bht_bank_rd_data_out_1_3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1997 = _T_1996 | _T_1982; // @[Mux.scala 27:72] - wire _T_1955 = bht_rd_addr_hashed_f == 8'h4; // @[ifu_bp_ctl.scala 540:79] + wire _T_1955 = bht_rd_addr_hashed_f == 8'h4; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_4; // @[Reg.scala 27:20] wire [1:0] _T_1983 = _T_1955 ? bht_bank_rd_data_out_1_4 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1998 = _T_1997 | _T_1983; // @[Mux.scala 27:72] - wire _T_1957 = bht_rd_addr_hashed_f == 8'h5; // @[ifu_bp_ctl.scala 540:79] + wire _T_1957 = bht_rd_addr_hashed_f == 8'h5; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_5; // @[Reg.scala 27:20] wire [1:0] _T_1984 = _T_1957 ? bht_bank_rd_data_out_1_5 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1999 = _T_1998 | _T_1984; // @[Mux.scala 27:72] - wire _T_1959 = bht_rd_addr_hashed_f == 8'h6; // @[ifu_bp_ctl.scala 540:79] + wire _T_1959 = bht_rd_addr_hashed_f == 8'h6; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_6; // @[Reg.scala 27:20] wire [1:0] _T_1985 = _T_1959 ? bht_bank_rd_data_out_1_6 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2000 = _T_1999 | _T_1985; // @[Mux.scala 27:72] - wire _T_1961 = bht_rd_addr_hashed_f == 8'h7; // @[ifu_bp_ctl.scala 540:79] + wire _T_1961 = bht_rd_addr_hashed_f == 8'h7; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_7; // @[Reg.scala 27:20] wire [1:0] _T_1986 = _T_1961 ? bht_bank_rd_data_out_1_7 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2001 = _T_2000 | _T_1986; // @[Mux.scala 27:72] - wire _T_1963 = bht_rd_addr_hashed_f == 8'h8; // @[ifu_bp_ctl.scala 540:79] + wire _T_1963 = bht_rd_addr_hashed_f == 8'h8; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_8; // @[Reg.scala 27:20] wire [1:0] _T_1987 = _T_1963 ? bht_bank_rd_data_out_1_8 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2002 = _T_2001 | _T_1987; // @[Mux.scala 27:72] - wire _T_1965 = bht_rd_addr_hashed_f == 8'h9; // @[ifu_bp_ctl.scala 540:79] + wire _T_1965 = bht_rd_addr_hashed_f == 8'h9; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_9; // @[Reg.scala 27:20] wire [1:0] _T_1988 = _T_1965 ? bht_bank_rd_data_out_1_9 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2003 = _T_2002 | _T_1988; // @[Mux.scala 27:72] - wire _T_1967 = bht_rd_addr_hashed_f == 8'ha; // @[ifu_bp_ctl.scala 540:79] + wire _T_1967 = bht_rd_addr_hashed_f == 8'ha; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_10; // @[Reg.scala 27:20] wire [1:0] _T_1989 = _T_1967 ? bht_bank_rd_data_out_1_10 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2004 = _T_2003 | _T_1989; // @[Mux.scala 27:72] - wire _T_1969 = bht_rd_addr_hashed_f == 8'hb; // @[ifu_bp_ctl.scala 540:79] + wire _T_1969 = bht_rd_addr_hashed_f == 8'hb; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_11; // @[Reg.scala 27:20] wire [1:0] _T_1990 = _T_1969 ? bht_bank_rd_data_out_1_11 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2005 = _T_2004 | _T_1990; // @[Mux.scala 27:72] - wire _T_1971 = bht_rd_addr_hashed_f == 8'hc; // @[ifu_bp_ctl.scala 540:79] + wire _T_1971 = bht_rd_addr_hashed_f == 8'hc; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_12; // @[Reg.scala 27:20] wire [1:0] _T_1991 = _T_1971 ? bht_bank_rd_data_out_1_12 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2006 = _T_2005 | _T_1991; // @[Mux.scala 27:72] - wire _T_1973 = bht_rd_addr_hashed_f == 8'hd; // @[ifu_bp_ctl.scala 540:79] + wire _T_1973 = bht_rd_addr_hashed_f == 8'hd; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_13; // @[Reg.scala 27:20] wire [1:0] _T_1992 = _T_1973 ? bht_bank_rd_data_out_1_13 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2007 = _T_2006 | _T_1992; // @[Mux.scala 27:72] - wire _T_1975 = bht_rd_addr_hashed_f == 8'he; // @[ifu_bp_ctl.scala 540:79] + wire _T_1975 = bht_rd_addr_hashed_f == 8'he; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_14; // @[Reg.scala 27:20] wire [1:0] _T_1993 = _T_1975 ? bht_bank_rd_data_out_1_14 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2008 = _T_2007 | _T_1993; // @[Mux.scala 27:72] - wire _T_1977 = bht_rd_addr_hashed_f == 8'hf; // @[ifu_bp_ctl.scala 540:79] + wire _T_1977 = bht_rd_addr_hashed_f == 8'hf; // @[ifu_bp_ctl.scala 530:79] reg [1:0] bht_bank_rd_data_out_1_15; // @[Reg.scala 27:20] wire [1:0] _T_1994 = _T_1977 ? bht_bank_rd_data_out_1_15 : 2'h0; // @[Mux.scala 27:72] wire [1:0] bht_bank1_rd_data_f = _T_2008 | _T_1994; // @[Mux.scala 27:72] wire [1:0] _T_253 = _T_147 ? bht_bank1_rd_data_f : 2'h0; // @[Mux.scala 27:72] wire [9:0] _T_585 = {btb_rd_addr_p1_f,2'h0}; // @[Cat.scala 29:58] wire [7:0] bht_rd_addr_hashed_p1_f = _T_585[9:2] ^ fghr; // @[lib.scala 56:35] - wire _T_2011 = bht_rd_addr_hashed_p1_f == 8'h0; // @[ifu_bp_ctl.scala 541:85] + wire _T_2011 = bht_rd_addr_hashed_p1_f == 8'h0; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_0; // @[Reg.scala 27:20] wire [1:0] _T_2043 = _T_2011 ? bht_bank_rd_data_out_0_0 : 2'h0; // @[Mux.scala 27:72] - wire _T_2013 = bht_rd_addr_hashed_p1_f == 8'h1; // @[ifu_bp_ctl.scala 541:85] + wire _T_2013 = bht_rd_addr_hashed_p1_f == 8'h1; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_1; // @[Reg.scala 27:20] wire [1:0] _T_2044 = _T_2013 ? bht_bank_rd_data_out_0_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2059 = _T_2043 | _T_2044; // @[Mux.scala 27:72] - wire _T_2015 = bht_rd_addr_hashed_p1_f == 8'h2; // @[ifu_bp_ctl.scala 541:85] + wire _T_2015 = bht_rd_addr_hashed_p1_f == 8'h2; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_2; // @[Reg.scala 27:20] wire [1:0] _T_2045 = _T_2015 ? bht_bank_rd_data_out_0_2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2060 = _T_2059 | _T_2045; // @[Mux.scala 27:72] - wire _T_2017 = bht_rd_addr_hashed_p1_f == 8'h3; // @[ifu_bp_ctl.scala 541:85] + wire _T_2017 = bht_rd_addr_hashed_p1_f == 8'h3; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_3; // @[Reg.scala 27:20] wire [1:0] _T_2046 = _T_2017 ? bht_bank_rd_data_out_0_3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2061 = _T_2060 | _T_2046; // @[Mux.scala 27:72] - wire _T_2019 = bht_rd_addr_hashed_p1_f == 8'h4; // @[ifu_bp_ctl.scala 541:85] + wire _T_2019 = bht_rd_addr_hashed_p1_f == 8'h4; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_4; // @[Reg.scala 27:20] wire [1:0] _T_2047 = _T_2019 ? bht_bank_rd_data_out_0_4 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2062 = _T_2061 | _T_2047; // @[Mux.scala 27:72] - wire _T_2021 = bht_rd_addr_hashed_p1_f == 8'h5; // @[ifu_bp_ctl.scala 541:85] + wire _T_2021 = bht_rd_addr_hashed_p1_f == 8'h5; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_5; // @[Reg.scala 27:20] wire [1:0] _T_2048 = _T_2021 ? bht_bank_rd_data_out_0_5 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2063 = _T_2062 | _T_2048; // @[Mux.scala 27:72] - wire _T_2023 = bht_rd_addr_hashed_p1_f == 8'h6; // @[ifu_bp_ctl.scala 541:85] + wire _T_2023 = bht_rd_addr_hashed_p1_f == 8'h6; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_6; // @[Reg.scala 27:20] wire [1:0] _T_2049 = _T_2023 ? bht_bank_rd_data_out_0_6 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2064 = _T_2063 | _T_2049; // @[Mux.scala 27:72] - wire _T_2025 = bht_rd_addr_hashed_p1_f == 8'h7; // @[ifu_bp_ctl.scala 541:85] + wire _T_2025 = bht_rd_addr_hashed_p1_f == 8'h7; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_7; // @[Reg.scala 27:20] wire [1:0] _T_2050 = _T_2025 ? bht_bank_rd_data_out_0_7 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2065 = _T_2064 | _T_2050; // @[Mux.scala 27:72] - wire _T_2027 = bht_rd_addr_hashed_p1_f == 8'h8; // @[ifu_bp_ctl.scala 541:85] + wire _T_2027 = bht_rd_addr_hashed_p1_f == 8'h8; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_8; // @[Reg.scala 27:20] wire [1:0] _T_2051 = _T_2027 ? bht_bank_rd_data_out_0_8 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2066 = _T_2065 | _T_2051; // @[Mux.scala 27:72] - wire _T_2029 = bht_rd_addr_hashed_p1_f == 8'h9; // @[ifu_bp_ctl.scala 541:85] + wire _T_2029 = bht_rd_addr_hashed_p1_f == 8'h9; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_9; // @[Reg.scala 27:20] wire [1:0] _T_2052 = _T_2029 ? bht_bank_rd_data_out_0_9 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2067 = _T_2066 | _T_2052; // @[Mux.scala 27:72] - wire _T_2031 = bht_rd_addr_hashed_p1_f == 8'ha; // @[ifu_bp_ctl.scala 541:85] + wire _T_2031 = bht_rd_addr_hashed_p1_f == 8'ha; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_10; // @[Reg.scala 27:20] wire [1:0] _T_2053 = _T_2031 ? bht_bank_rd_data_out_0_10 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2068 = _T_2067 | _T_2053; // @[Mux.scala 27:72] - wire _T_2033 = bht_rd_addr_hashed_p1_f == 8'hb; // @[ifu_bp_ctl.scala 541:85] + wire _T_2033 = bht_rd_addr_hashed_p1_f == 8'hb; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_11; // @[Reg.scala 27:20] wire [1:0] _T_2054 = _T_2033 ? bht_bank_rd_data_out_0_11 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2069 = _T_2068 | _T_2054; // @[Mux.scala 27:72] - wire _T_2035 = bht_rd_addr_hashed_p1_f == 8'hc; // @[ifu_bp_ctl.scala 541:85] + wire _T_2035 = bht_rd_addr_hashed_p1_f == 8'hc; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_12; // @[Reg.scala 27:20] wire [1:0] _T_2055 = _T_2035 ? bht_bank_rd_data_out_0_12 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2070 = _T_2069 | _T_2055; // @[Mux.scala 27:72] - wire _T_2037 = bht_rd_addr_hashed_p1_f == 8'hd; // @[ifu_bp_ctl.scala 541:85] + wire _T_2037 = bht_rd_addr_hashed_p1_f == 8'hd; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_13; // @[Reg.scala 27:20] wire [1:0] _T_2056 = _T_2037 ? bht_bank_rd_data_out_0_13 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2071 = _T_2070 | _T_2056; // @[Mux.scala 27:72] - wire _T_2039 = bht_rd_addr_hashed_p1_f == 8'he; // @[ifu_bp_ctl.scala 541:85] + wire _T_2039 = bht_rd_addr_hashed_p1_f == 8'he; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_14; // @[Reg.scala 27:20] wire [1:0] _T_2057 = _T_2039 ? bht_bank_rd_data_out_0_14 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_2072 = _T_2071 | _T_2057; // @[Mux.scala 27:72] - wire _T_2041 = bht_rd_addr_hashed_p1_f == 8'hf; // @[ifu_bp_ctl.scala 541:85] + wire _T_2041 = bht_rd_addr_hashed_p1_f == 8'hf; // @[ifu_bp_ctl.scala 531:85] reg [1:0] bht_bank_rd_data_out_0_15; // @[Reg.scala 27:20] wire [1:0] _T_2058 = _T_2041 ? bht_bank_rd_data_out_0_15 : 2'h0; // @[Mux.scala 27:72] wire [1:0] bht_bank0_rd_data_p1_f = _T_2072 | _T_2058; // @[Mux.scala 27:72] @@ -658,7 +658,7 @@ module ifu_bp_ctl( wire _T_215 = |_T_214; // @[ifu_bp_ctl.scala 260:58] wire eoc_mask = _T_212 | _T_215; // @[ifu_bp_ctl.scala 260:25] wire [1:0] _T_611 = {eoc_mask,1'h1}; // @[Cat.scala 29:58] - wire [1:0] vwayhit_f = _T_609 & _T_611; // @[ifu_bp_ctl.scala 441:71] + wire [1:0] vwayhit_f = _T_609 & _T_611; // @[ifu_bp_ctl.scala 431:71] wire _T_260 = _T_258 & vwayhit_f[1]; // @[ifu_bp_ctl.scala 298:69] wire [1:0] _T_1915 = _T_1947 ? bht_bank_rd_data_out_0_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_1916 = _T_1949 ? bht_bank_rd_data_out_0_1 : 2'h0; // @[Mux.scala 27:72] @@ -923,217 +923,217 @@ module ifu_bp_ctl( wire [31:0] rets_in_6 = _T_533 | _T_534; // @[Mux.scala 27:72] wire _T_552 = ~dec_tlu_error_wb; // @[ifu_bp_ctl.scala 395:35] wire btb_valid = exu_mp_valid & _T_552; // @[ifu_bp_ctl.scala 395:32] - wire _T_553 = io_exu_bp_exu_mp_pkt_bits_pcall | io_exu_bp_exu_mp_pkt_bits_pja; // @[ifu_bp_ctl.scala 409:89] - wire _T_554 = io_exu_bp_exu_mp_pkt_bits_pret | io_exu_bp_exu_mp_pkt_bits_pja; // @[ifu_bp_ctl.scala 409:113] + wire _T_553 = io_exu_bp_exu_mp_pkt_bits_pcall | io_exu_bp_exu_mp_pkt_bits_pja; // @[ifu_bp_ctl.scala 399:89] + wire _T_554 = io_exu_bp_exu_mp_pkt_bits_pret | io_exu_bp_exu_mp_pkt_bits_pja; // @[ifu_bp_ctl.scala 399:113] wire [21:0] btb_wr_data = {io_exu_bp_exu_mp_btag,io_exu_bp_exu_mp_pkt_bits_toffset,io_exu_bp_exu_mp_pkt_bits_pc4,io_exu_bp_exu_mp_pkt_bits_boffset,_T_553,_T_554,btb_valid}; // @[Cat.scala 29:58] - wire _T_560 = exu_mp_valid & io_exu_bp_exu_mp_pkt_bits_ataken; // @[ifu_bp_ctl.scala 410:41] - wire _T_561 = ~io_exu_bp_exu_mp_pkt_valid; // @[ifu_bp_ctl.scala 410:59] - wire exu_mp_valid_write = _T_560 & _T_561; // @[ifu_bp_ctl.scala 410:57] - wire middle_of_bank = io_exu_bp_exu_mp_pkt_bits_pc4 ^ io_exu_bp_exu_mp_pkt_bits_boffset; // @[ifu_bp_ctl.scala 411:35] - wire _T_562 = ~io_exu_bp_exu_mp_pkt_bits_pcall; // @[ifu_bp_ctl.scala 414:43] - wire _T_563 = exu_mp_valid & _T_562; // @[ifu_bp_ctl.scala 414:41] - wire _T_564 = ~io_exu_bp_exu_mp_pkt_bits_pret; // @[ifu_bp_ctl.scala 414:58] - wire _T_565 = _T_563 & _T_564; // @[ifu_bp_ctl.scala 414:56] - wire _T_566 = ~io_exu_bp_exu_mp_pkt_bits_pja; // @[ifu_bp_ctl.scala 414:72] - wire _T_567 = _T_565 & _T_566; // @[ifu_bp_ctl.scala 414:70] + wire _T_560 = exu_mp_valid & io_exu_bp_exu_mp_pkt_bits_ataken; // @[ifu_bp_ctl.scala 400:41] + wire _T_561 = ~io_exu_bp_exu_mp_pkt_valid; // @[ifu_bp_ctl.scala 400:59] + wire exu_mp_valid_write = _T_560 & _T_561; // @[ifu_bp_ctl.scala 400:57] + wire middle_of_bank = io_exu_bp_exu_mp_pkt_bits_pc4 ^ io_exu_bp_exu_mp_pkt_bits_boffset; // @[ifu_bp_ctl.scala 401:35] + wire _T_562 = ~io_exu_bp_exu_mp_pkt_bits_pcall; // @[ifu_bp_ctl.scala 404:43] + wire _T_563 = exu_mp_valid & _T_562; // @[ifu_bp_ctl.scala 404:41] + wire _T_564 = ~io_exu_bp_exu_mp_pkt_bits_pret; // @[ifu_bp_ctl.scala 404:58] + wire _T_565 = _T_563 & _T_564; // @[ifu_bp_ctl.scala 404:56] + wire _T_566 = ~io_exu_bp_exu_mp_pkt_bits_pja; // @[ifu_bp_ctl.scala 404:72] + wire _T_567 = _T_565 & _T_566; // @[ifu_bp_ctl.scala 404:70] wire [1:0] _T_569 = _T_567 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_570 = ~middle_of_bank; // @[ifu_bp_ctl.scala 414:106] + wire _T_570 = ~middle_of_bank; // @[ifu_bp_ctl.scala 404:106] wire [1:0] _T_571 = {middle_of_bank,_T_570}; // @[Cat.scala 29:58] - wire [1:0] bht_wr_en0 = _T_569 & _T_571; // @[ifu_bp_ctl.scala 414:84] + wire [1:0] bht_wr_en0 = _T_569 & _T_571; // @[ifu_bp_ctl.scala 404:84] wire [1:0] _T_573 = io_dec_bp_dec_tlu_br0_r_pkt_valid ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_574 = ~io_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[ifu_bp_ctl.scala 415:75] + wire _T_574 = ~io_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[ifu_bp_ctl.scala 405:75] wire [1:0] _T_575 = {io_dec_bp_dec_tlu_br0_r_pkt_bits_middle,_T_574}; // @[Cat.scala 29:58] - wire [1:0] bht_wr_en2 = _T_573 & _T_575; // @[ifu_bp_ctl.scala 415:46] + wire [1:0] bht_wr_en2 = _T_573 & _T_575; // @[ifu_bp_ctl.scala 405:46] wire [9:0] _T_576 = {io_exu_bp_exu_mp_index,2'h0}; // @[Cat.scala 29:58] wire [7:0] mp_hashed = _T_576[9:2] ^ io_exu_bp_exu_mp_eghr; // @[lib.scala 56:35] wire [9:0] _T_579 = {io_exu_bp_exu_i0_br_index_r,2'h0}; // @[Cat.scala 29:58] wire [7:0] br0_hashed_wb = _T_579[9:2] ^ io_exu_bp_exu_i0_br_fghr_r; // @[lib.scala 56:35] - wire _T_589 = _T_170 & exu_mp_valid_write; // @[ifu_bp_ctl.scala 434:39] - wire _T_591 = _T_589 & _T_552; // @[ifu_bp_ctl.scala 434:60] - wire _T_592 = ~io_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[ifu_bp_ctl.scala 434:87] - wire _T_593 = _T_592 & dec_tlu_error_wb; // @[ifu_bp_ctl.scala 434:104] - wire btb_wr_en_way0 = _T_591 | _T_593; // @[ifu_bp_ctl.scala 434:83] - wire _T_594 = io_exu_bp_exu_mp_pkt_bits_way & exu_mp_valid_write; // @[ifu_bp_ctl.scala 435:36] - wire _T_596 = _T_594 & _T_552; // @[ifu_bp_ctl.scala 435:57] - wire _T_597 = io_dec_bp_dec_tlu_br0_r_pkt_bits_way & dec_tlu_error_wb; // @[ifu_bp_ctl.scala 435:98] - wire btb_wr_en_way1 = _T_596 | _T_597; // @[ifu_bp_ctl.scala 435:80] - wire [7:0] btb_wr_addr = dec_tlu_error_wb ? io_exu_bp_exu_i0_br_index_r : io_exu_bp_exu_mp_index; // @[ifu_bp_ctl.scala 438:24] - wire _T_613 = btb_wr_addr == 8'h0; // @[ifu_bp_ctl.scala 442:98] - wire _T_614 = _T_613 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_616 = btb_wr_addr == 8'h1; // @[ifu_bp_ctl.scala 442:98] - wire _T_617 = _T_616 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_619 = btb_wr_addr == 8'h2; // @[ifu_bp_ctl.scala 442:98] - wire _T_620 = _T_619 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_622 = btb_wr_addr == 8'h3; // @[ifu_bp_ctl.scala 442:98] - wire _T_623 = _T_622 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_625 = btb_wr_addr == 8'h4; // @[ifu_bp_ctl.scala 442:98] - wire _T_626 = _T_625 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_628 = btb_wr_addr == 8'h5; // @[ifu_bp_ctl.scala 442:98] - wire _T_629 = _T_628 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_631 = btb_wr_addr == 8'h6; // @[ifu_bp_ctl.scala 442:98] - wire _T_632 = _T_631 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_634 = btb_wr_addr == 8'h7; // @[ifu_bp_ctl.scala 442:98] - wire _T_635 = _T_634 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_637 = btb_wr_addr == 8'h8; // @[ifu_bp_ctl.scala 442:98] - wire _T_638 = _T_637 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_640 = btb_wr_addr == 8'h9; // @[ifu_bp_ctl.scala 442:98] - wire _T_641 = _T_640 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_643 = btb_wr_addr == 8'ha; // @[ifu_bp_ctl.scala 442:98] - wire _T_644 = _T_643 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_646 = btb_wr_addr == 8'hb; // @[ifu_bp_ctl.scala 442:98] - wire _T_647 = _T_646 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_649 = btb_wr_addr == 8'hc; // @[ifu_bp_ctl.scala 442:98] - wire _T_650 = _T_649 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_652 = btb_wr_addr == 8'hd; // @[ifu_bp_ctl.scala 442:98] - wire _T_653 = _T_652 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_655 = btb_wr_addr == 8'he; // @[ifu_bp_ctl.scala 442:98] - wire _T_656 = _T_655 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_658 = btb_wr_addr == 8'hf; // @[ifu_bp_ctl.scala 442:98] - wire _T_659 = _T_658 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 442:107] - wire _T_662 = _T_613 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_665 = _T_616 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_668 = _T_619 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_671 = _T_622 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_674 = _T_625 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_677 = _T_628 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_680 = _T_631 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_683 = _T_634 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_686 = _T_637 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_689 = _T_640 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_692 = _T_643 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_695 = _T_646 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_698 = _T_649 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_701 = _T_652 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_704 = _T_655 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_707 = _T_658 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 443:107] - wire _T_967 = mp_hashed == 8'h0; // @[ifu_bp_ctl.scala 516:109] - wire _T_972 = br0_hashed_wb == 8'h0; // @[ifu_bp_ctl.scala 517:109] - wire _T_990 = bht_wr_en2[0] & _T_972; // @[ifu_bp_ctl.scala 521:23] - wire _T_998 = br0_hashed_wb == 8'h1; // @[ifu_bp_ctl.scala 521:74] - wire _T_999 = bht_wr_en2[0] & _T_998; // @[ifu_bp_ctl.scala 521:23] - wire _T_1007 = br0_hashed_wb == 8'h2; // @[ifu_bp_ctl.scala 521:74] - wire _T_1008 = bht_wr_en2[0] & _T_1007; // @[ifu_bp_ctl.scala 521:23] - wire _T_1016 = br0_hashed_wb == 8'h3; // @[ifu_bp_ctl.scala 521:74] - wire _T_1017 = bht_wr_en2[0] & _T_1016; // @[ifu_bp_ctl.scala 521:23] - wire _T_1025 = br0_hashed_wb == 8'h4; // @[ifu_bp_ctl.scala 521:74] - wire _T_1026 = bht_wr_en2[0] & _T_1025; // @[ifu_bp_ctl.scala 521:23] - wire _T_1034 = br0_hashed_wb == 8'h5; // @[ifu_bp_ctl.scala 521:74] - wire _T_1035 = bht_wr_en2[0] & _T_1034; // @[ifu_bp_ctl.scala 521:23] - wire _T_1043 = br0_hashed_wb == 8'h6; // @[ifu_bp_ctl.scala 521:74] - wire _T_1044 = bht_wr_en2[0] & _T_1043; // @[ifu_bp_ctl.scala 521:23] - wire _T_1052 = br0_hashed_wb == 8'h7; // @[ifu_bp_ctl.scala 521:74] - wire _T_1053 = bht_wr_en2[0] & _T_1052; // @[ifu_bp_ctl.scala 521:23] - wire _T_1061 = br0_hashed_wb == 8'h8; // @[ifu_bp_ctl.scala 521:74] - wire _T_1062 = bht_wr_en2[0] & _T_1061; // @[ifu_bp_ctl.scala 521:23] - wire _T_1070 = br0_hashed_wb == 8'h9; // @[ifu_bp_ctl.scala 521:74] - wire _T_1071 = bht_wr_en2[0] & _T_1070; // @[ifu_bp_ctl.scala 521:23] - wire _T_1079 = br0_hashed_wb == 8'ha; // @[ifu_bp_ctl.scala 521:74] - wire _T_1080 = bht_wr_en2[0] & _T_1079; // @[ifu_bp_ctl.scala 521:23] - wire _T_1088 = br0_hashed_wb == 8'hb; // @[ifu_bp_ctl.scala 521:74] - wire _T_1089 = bht_wr_en2[0] & _T_1088; // @[ifu_bp_ctl.scala 521:23] - wire _T_1097 = br0_hashed_wb == 8'hc; // @[ifu_bp_ctl.scala 521:74] - wire _T_1098 = bht_wr_en2[0] & _T_1097; // @[ifu_bp_ctl.scala 521:23] - wire _T_1106 = br0_hashed_wb == 8'hd; // @[ifu_bp_ctl.scala 521:74] - wire _T_1107 = bht_wr_en2[0] & _T_1106; // @[ifu_bp_ctl.scala 521:23] - wire _T_1115 = br0_hashed_wb == 8'he; // @[ifu_bp_ctl.scala 521:74] - wire _T_1116 = bht_wr_en2[0] & _T_1115; // @[ifu_bp_ctl.scala 521:23] - wire _T_1124 = br0_hashed_wb == 8'hf; // @[ifu_bp_ctl.scala 521:74] - wire _T_1125 = bht_wr_en2[0] & _T_1124; // @[ifu_bp_ctl.scala 521:23] - wire _T_1134 = bht_wr_en2[1] & _T_972; // @[ifu_bp_ctl.scala 521:23] - wire _T_1143 = bht_wr_en2[1] & _T_998; // @[ifu_bp_ctl.scala 521:23] - wire _T_1152 = bht_wr_en2[1] & _T_1007; // @[ifu_bp_ctl.scala 521:23] - wire _T_1161 = bht_wr_en2[1] & _T_1016; // @[ifu_bp_ctl.scala 521:23] - wire _T_1170 = bht_wr_en2[1] & _T_1025; // @[ifu_bp_ctl.scala 521:23] - wire _T_1179 = bht_wr_en2[1] & _T_1034; // @[ifu_bp_ctl.scala 521:23] - wire _T_1188 = bht_wr_en2[1] & _T_1043; // @[ifu_bp_ctl.scala 521:23] - wire _T_1197 = bht_wr_en2[1] & _T_1052; // @[ifu_bp_ctl.scala 521:23] - wire _T_1206 = bht_wr_en2[1] & _T_1061; // @[ifu_bp_ctl.scala 521:23] - wire _T_1215 = bht_wr_en2[1] & _T_1070; // @[ifu_bp_ctl.scala 521:23] - wire _T_1224 = bht_wr_en2[1] & _T_1079; // @[ifu_bp_ctl.scala 521:23] - wire _T_1233 = bht_wr_en2[1] & _T_1088; // @[ifu_bp_ctl.scala 521:23] - wire _T_1242 = bht_wr_en2[1] & _T_1097; // @[ifu_bp_ctl.scala 521:23] - wire _T_1251 = bht_wr_en2[1] & _T_1106; // @[ifu_bp_ctl.scala 521:23] - wire _T_1260 = bht_wr_en2[1] & _T_1115; // @[ifu_bp_ctl.scala 521:23] - wire _T_1269 = bht_wr_en2[1] & _T_1124; // @[ifu_bp_ctl.scala 521:23] - wire _T_1278 = bht_wr_en0[0] & _T_967; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_0 = _T_1278 | _T_990; // @[ifu_bp_ctl.scala 530:223] - wire _T_1294 = mp_hashed == 8'h1; // @[ifu_bp_ctl.scala 530:97] - wire _T_1295 = bht_wr_en0[0] & _T_1294; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_1 = _T_1295 | _T_999; // @[ifu_bp_ctl.scala 530:223] - wire _T_1311 = mp_hashed == 8'h2; // @[ifu_bp_ctl.scala 530:97] - wire _T_1312 = bht_wr_en0[0] & _T_1311; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_2 = _T_1312 | _T_1008; // @[ifu_bp_ctl.scala 530:223] - wire _T_1328 = mp_hashed == 8'h3; // @[ifu_bp_ctl.scala 530:97] - wire _T_1329 = bht_wr_en0[0] & _T_1328; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_3 = _T_1329 | _T_1017; // @[ifu_bp_ctl.scala 530:223] - wire _T_1345 = mp_hashed == 8'h4; // @[ifu_bp_ctl.scala 530:97] - wire _T_1346 = bht_wr_en0[0] & _T_1345; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_4 = _T_1346 | _T_1026; // @[ifu_bp_ctl.scala 530:223] - wire _T_1362 = mp_hashed == 8'h5; // @[ifu_bp_ctl.scala 530:97] - wire _T_1363 = bht_wr_en0[0] & _T_1362; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_5 = _T_1363 | _T_1035; // @[ifu_bp_ctl.scala 530:223] - wire _T_1379 = mp_hashed == 8'h6; // @[ifu_bp_ctl.scala 530:97] - wire _T_1380 = bht_wr_en0[0] & _T_1379; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_6 = _T_1380 | _T_1044; // @[ifu_bp_ctl.scala 530:223] - wire _T_1396 = mp_hashed == 8'h7; // @[ifu_bp_ctl.scala 530:97] - wire _T_1397 = bht_wr_en0[0] & _T_1396; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_7 = _T_1397 | _T_1053; // @[ifu_bp_ctl.scala 530:223] - wire _T_1413 = mp_hashed == 8'h8; // @[ifu_bp_ctl.scala 530:97] - wire _T_1414 = bht_wr_en0[0] & _T_1413; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_8 = _T_1414 | _T_1062; // @[ifu_bp_ctl.scala 530:223] - wire _T_1430 = mp_hashed == 8'h9; // @[ifu_bp_ctl.scala 530:97] - wire _T_1431 = bht_wr_en0[0] & _T_1430; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_9 = _T_1431 | _T_1071; // @[ifu_bp_ctl.scala 530:223] - wire _T_1447 = mp_hashed == 8'ha; // @[ifu_bp_ctl.scala 530:97] - wire _T_1448 = bht_wr_en0[0] & _T_1447; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_10 = _T_1448 | _T_1080; // @[ifu_bp_ctl.scala 530:223] - wire _T_1464 = mp_hashed == 8'hb; // @[ifu_bp_ctl.scala 530:97] - wire _T_1465 = bht_wr_en0[0] & _T_1464; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_11 = _T_1465 | _T_1089; // @[ifu_bp_ctl.scala 530:223] - wire _T_1481 = mp_hashed == 8'hc; // @[ifu_bp_ctl.scala 530:97] - wire _T_1482 = bht_wr_en0[0] & _T_1481; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_12 = _T_1482 | _T_1098; // @[ifu_bp_ctl.scala 530:223] - wire _T_1498 = mp_hashed == 8'hd; // @[ifu_bp_ctl.scala 530:97] - wire _T_1499 = bht_wr_en0[0] & _T_1498; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_13 = _T_1499 | _T_1107; // @[ifu_bp_ctl.scala 530:223] - wire _T_1515 = mp_hashed == 8'he; // @[ifu_bp_ctl.scala 530:97] - wire _T_1516 = bht_wr_en0[0] & _T_1515; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_14 = _T_1516 | _T_1116; // @[ifu_bp_ctl.scala 530:223] - wire _T_1532 = mp_hashed == 8'hf; // @[ifu_bp_ctl.scala 530:97] - wire _T_1533 = bht_wr_en0[0] & _T_1532; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_0_0_15 = _T_1533 | _T_1125; // @[ifu_bp_ctl.scala 530:223] - wire _T_1550 = bht_wr_en0[1] & _T_967; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_0 = _T_1550 | _T_1134; // @[ifu_bp_ctl.scala 530:223] - wire _T_1567 = bht_wr_en0[1] & _T_1294; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_1 = _T_1567 | _T_1143; // @[ifu_bp_ctl.scala 530:223] - wire _T_1584 = bht_wr_en0[1] & _T_1311; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_2 = _T_1584 | _T_1152; // @[ifu_bp_ctl.scala 530:223] - wire _T_1601 = bht_wr_en0[1] & _T_1328; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_3 = _T_1601 | _T_1161; // @[ifu_bp_ctl.scala 530:223] - wire _T_1618 = bht_wr_en0[1] & _T_1345; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_4 = _T_1618 | _T_1170; // @[ifu_bp_ctl.scala 530:223] - wire _T_1635 = bht_wr_en0[1] & _T_1362; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_5 = _T_1635 | _T_1179; // @[ifu_bp_ctl.scala 530:223] - wire _T_1652 = bht_wr_en0[1] & _T_1379; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_6 = _T_1652 | _T_1188; // @[ifu_bp_ctl.scala 530:223] - wire _T_1669 = bht_wr_en0[1] & _T_1396; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_7 = _T_1669 | _T_1197; // @[ifu_bp_ctl.scala 530:223] - wire _T_1686 = bht_wr_en0[1] & _T_1413; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_8 = _T_1686 | _T_1206; // @[ifu_bp_ctl.scala 530:223] - wire _T_1703 = bht_wr_en0[1] & _T_1430; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_9 = _T_1703 | _T_1215; // @[ifu_bp_ctl.scala 530:223] - wire _T_1720 = bht_wr_en0[1] & _T_1447; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_10 = _T_1720 | _T_1224; // @[ifu_bp_ctl.scala 530:223] - wire _T_1737 = bht_wr_en0[1] & _T_1464; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_11 = _T_1737 | _T_1233; // @[ifu_bp_ctl.scala 530:223] - wire _T_1754 = bht_wr_en0[1] & _T_1481; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_12 = _T_1754 | _T_1242; // @[ifu_bp_ctl.scala 530:223] - wire _T_1771 = bht_wr_en0[1] & _T_1498; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_13 = _T_1771 | _T_1251; // @[ifu_bp_ctl.scala 530:223] - wire _T_1788 = bht_wr_en0[1] & _T_1515; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_14 = _T_1788 | _T_1260; // @[ifu_bp_ctl.scala 530:223] - wire _T_1805 = bht_wr_en0[1] & _T_1532; // @[ifu_bp_ctl.scala 530:45] - wire bht_bank_sel_1_0_15 = _T_1805 | _T_1269; // @[ifu_bp_ctl.scala 530:223] + wire _T_589 = _T_170 & exu_mp_valid_write; // @[ifu_bp_ctl.scala 424:39] + wire _T_591 = _T_589 & _T_552; // @[ifu_bp_ctl.scala 424:60] + wire _T_592 = ~io_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[ifu_bp_ctl.scala 424:87] + wire _T_593 = _T_592 & dec_tlu_error_wb; // @[ifu_bp_ctl.scala 424:104] + wire btb_wr_en_way0 = _T_591 | _T_593; // @[ifu_bp_ctl.scala 424:83] + wire _T_594 = io_exu_bp_exu_mp_pkt_bits_way & exu_mp_valid_write; // @[ifu_bp_ctl.scala 425:36] + wire _T_596 = _T_594 & _T_552; // @[ifu_bp_ctl.scala 425:57] + wire _T_597 = io_dec_bp_dec_tlu_br0_r_pkt_bits_way & dec_tlu_error_wb; // @[ifu_bp_ctl.scala 425:98] + wire btb_wr_en_way1 = _T_596 | _T_597; // @[ifu_bp_ctl.scala 425:80] + wire [7:0] btb_wr_addr = dec_tlu_error_wb ? io_exu_bp_exu_i0_br_index_r : io_exu_bp_exu_mp_index; // @[ifu_bp_ctl.scala 428:24] + wire _T_613 = btb_wr_addr == 8'h0; // @[ifu_bp_ctl.scala 432:98] + wire _T_614 = _T_613 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_616 = btb_wr_addr == 8'h1; // @[ifu_bp_ctl.scala 432:98] + wire _T_617 = _T_616 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_619 = btb_wr_addr == 8'h2; // @[ifu_bp_ctl.scala 432:98] + wire _T_620 = _T_619 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_622 = btb_wr_addr == 8'h3; // @[ifu_bp_ctl.scala 432:98] + wire _T_623 = _T_622 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_625 = btb_wr_addr == 8'h4; // @[ifu_bp_ctl.scala 432:98] + wire _T_626 = _T_625 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_628 = btb_wr_addr == 8'h5; // @[ifu_bp_ctl.scala 432:98] + wire _T_629 = _T_628 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_631 = btb_wr_addr == 8'h6; // @[ifu_bp_ctl.scala 432:98] + wire _T_632 = _T_631 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_634 = btb_wr_addr == 8'h7; // @[ifu_bp_ctl.scala 432:98] + wire _T_635 = _T_634 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_637 = btb_wr_addr == 8'h8; // @[ifu_bp_ctl.scala 432:98] + wire _T_638 = _T_637 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_640 = btb_wr_addr == 8'h9; // @[ifu_bp_ctl.scala 432:98] + wire _T_641 = _T_640 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_643 = btb_wr_addr == 8'ha; // @[ifu_bp_ctl.scala 432:98] + wire _T_644 = _T_643 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_646 = btb_wr_addr == 8'hb; // @[ifu_bp_ctl.scala 432:98] + wire _T_647 = _T_646 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_649 = btb_wr_addr == 8'hc; // @[ifu_bp_ctl.scala 432:98] + wire _T_650 = _T_649 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_652 = btb_wr_addr == 8'hd; // @[ifu_bp_ctl.scala 432:98] + wire _T_653 = _T_652 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_655 = btb_wr_addr == 8'he; // @[ifu_bp_ctl.scala 432:98] + wire _T_656 = _T_655 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_658 = btb_wr_addr == 8'hf; // @[ifu_bp_ctl.scala 432:98] + wire _T_659 = _T_658 & btb_wr_en_way0; // @[ifu_bp_ctl.scala 432:107] + wire _T_662 = _T_613 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_665 = _T_616 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_668 = _T_619 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_671 = _T_622 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_674 = _T_625 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_677 = _T_628 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_680 = _T_631 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_683 = _T_634 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_686 = _T_637 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_689 = _T_640 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_692 = _T_643 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_695 = _T_646 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_698 = _T_649 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_701 = _T_652 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_704 = _T_655 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_707 = _T_658 & btb_wr_en_way1; // @[ifu_bp_ctl.scala 433:107] + wire _T_967 = mp_hashed == 8'h0; // @[ifu_bp_ctl.scala 506:109] + wire _T_972 = br0_hashed_wb == 8'h0; // @[ifu_bp_ctl.scala 507:109] + wire _T_990 = bht_wr_en2[0] & _T_972; // @[ifu_bp_ctl.scala 511:23] + wire _T_998 = br0_hashed_wb == 8'h1; // @[ifu_bp_ctl.scala 511:74] + wire _T_999 = bht_wr_en2[0] & _T_998; // @[ifu_bp_ctl.scala 511:23] + wire _T_1007 = br0_hashed_wb == 8'h2; // @[ifu_bp_ctl.scala 511:74] + wire _T_1008 = bht_wr_en2[0] & _T_1007; // @[ifu_bp_ctl.scala 511:23] + wire _T_1016 = br0_hashed_wb == 8'h3; // @[ifu_bp_ctl.scala 511:74] + wire _T_1017 = bht_wr_en2[0] & _T_1016; // @[ifu_bp_ctl.scala 511:23] + wire _T_1025 = br0_hashed_wb == 8'h4; // @[ifu_bp_ctl.scala 511:74] + wire _T_1026 = bht_wr_en2[0] & _T_1025; // @[ifu_bp_ctl.scala 511:23] + wire _T_1034 = br0_hashed_wb == 8'h5; // @[ifu_bp_ctl.scala 511:74] + wire _T_1035 = bht_wr_en2[0] & _T_1034; // @[ifu_bp_ctl.scala 511:23] + wire _T_1043 = br0_hashed_wb == 8'h6; // @[ifu_bp_ctl.scala 511:74] + wire _T_1044 = bht_wr_en2[0] & _T_1043; // @[ifu_bp_ctl.scala 511:23] + wire _T_1052 = br0_hashed_wb == 8'h7; // @[ifu_bp_ctl.scala 511:74] + wire _T_1053 = bht_wr_en2[0] & _T_1052; // @[ifu_bp_ctl.scala 511:23] + wire _T_1061 = br0_hashed_wb == 8'h8; // @[ifu_bp_ctl.scala 511:74] + wire _T_1062 = bht_wr_en2[0] & _T_1061; // @[ifu_bp_ctl.scala 511:23] + wire _T_1070 = br0_hashed_wb == 8'h9; // @[ifu_bp_ctl.scala 511:74] + wire _T_1071 = bht_wr_en2[0] & _T_1070; // @[ifu_bp_ctl.scala 511:23] + wire _T_1079 = br0_hashed_wb == 8'ha; // @[ifu_bp_ctl.scala 511:74] + wire _T_1080 = bht_wr_en2[0] & _T_1079; // @[ifu_bp_ctl.scala 511:23] + wire _T_1088 = br0_hashed_wb == 8'hb; // @[ifu_bp_ctl.scala 511:74] + wire _T_1089 = bht_wr_en2[0] & _T_1088; // @[ifu_bp_ctl.scala 511:23] + wire _T_1097 = br0_hashed_wb == 8'hc; // @[ifu_bp_ctl.scala 511:74] + wire _T_1098 = bht_wr_en2[0] & _T_1097; // @[ifu_bp_ctl.scala 511:23] + wire _T_1106 = br0_hashed_wb == 8'hd; // @[ifu_bp_ctl.scala 511:74] + wire _T_1107 = bht_wr_en2[0] & _T_1106; // @[ifu_bp_ctl.scala 511:23] + wire _T_1115 = br0_hashed_wb == 8'he; // @[ifu_bp_ctl.scala 511:74] + wire _T_1116 = bht_wr_en2[0] & _T_1115; // @[ifu_bp_ctl.scala 511:23] + wire _T_1124 = br0_hashed_wb == 8'hf; // @[ifu_bp_ctl.scala 511:74] + wire _T_1125 = bht_wr_en2[0] & _T_1124; // @[ifu_bp_ctl.scala 511:23] + wire _T_1134 = bht_wr_en2[1] & _T_972; // @[ifu_bp_ctl.scala 511:23] + wire _T_1143 = bht_wr_en2[1] & _T_998; // @[ifu_bp_ctl.scala 511:23] + wire _T_1152 = bht_wr_en2[1] & _T_1007; // @[ifu_bp_ctl.scala 511:23] + wire _T_1161 = bht_wr_en2[1] & _T_1016; // @[ifu_bp_ctl.scala 511:23] + wire _T_1170 = bht_wr_en2[1] & _T_1025; // @[ifu_bp_ctl.scala 511:23] + wire _T_1179 = bht_wr_en2[1] & _T_1034; // @[ifu_bp_ctl.scala 511:23] + wire _T_1188 = bht_wr_en2[1] & _T_1043; // @[ifu_bp_ctl.scala 511:23] + wire _T_1197 = bht_wr_en2[1] & _T_1052; // @[ifu_bp_ctl.scala 511:23] + wire _T_1206 = bht_wr_en2[1] & _T_1061; // @[ifu_bp_ctl.scala 511:23] + wire _T_1215 = bht_wr_en2[1] & _T_1070; // @[ifu_bp_ctl.scala 511:23] + wire _T_1224 = bht_wr_en2[1] & _T_1079; // @[ifu_bp_ctl.scala 511:23] + wire _T_1233 = bht_wr_en2[1] & _T_1088; // @[ifu_bp_ctl.scala 511:23] + wire _T_1242 = bht_wr_en2[1] & _T_1097; // @[ifu_bp_ctl.scala 511:23] + wire _T_1251 = bht_wr_en2[1] & _T_1106; // @[ifu_bp_ctl.scala 511:23] + wire _T_1260 = bht_wr_en2[1] & _T_1115; // @[ifu_bp_ctl.scala 511:23] + wire _T_1269 = bht_wr_en2[1] & _T_1124; // @[ifu_bp_ctl.scala 511:23] + wire _T_1278 = bht_wr_en0[0] & _T_967; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_0 = _T_1278 | _T_990; // @[ifu_bp_ctl.scala 520:223] + wire _T_1294 = mp_hashed == 8'h1; // @[ifu_bp_ctl.scala 520:97] + wire _T_1295 = bht_wr_en0[0] & _T_1294; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_1 = _T_1295 | _T_999; // @[ifu_bp_ctl.scala 520:223] + wire _T_1311 = mp_hashed == 8'h2; // @[ifu_bp_ctl.scala 520:97] + wire _T_1312 = bht_wr_en0[0] & _T_1311; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_2 = _T_1312 | _T_1008; // @[ifu_bp_ctl.scala 520:223] + wire _T_1328 = mp_hashed == 8'h3; // @[ifu_bp_ctl.scala 520:97] + wire _T_1329 = bht_wr_en0[0] & _T_1328; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_3 = _T_1329 | _T_1017; // @[ifu_bp_ctl.scala 520:223] + wire _T_1345 = mp_hashed == 8'h4; // @[ifu_bp_ctl.scala 520:97] + wire _T_1346 = bht_wr_en0[0] & _T_1345; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_4 = _T_1346 | _T_1026; // @[ifu_bp_ctl.scala 520:223] + wire _T_1362 = mp_hashed == 8'h5; // @[ifu_bp_ctl.scala 520:97] + wire _T_1363 = bht_wr_en0[0] & _T_1362; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_5 = _T_1363 | _T_1035; // @[ifu_bp_ctl.scala 520:223] + wire _T_1379 = mp_hashed == 8'h6; // @[ifu_bp_ctl.scala 520:97] + wire _T_1380 = bht_wr_en0[0] & _T_1379; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_6 = _T_1380 | _T_1044; // @[ifu_bp_ctl.scala 520:223] + wire _T_1396 = mp_hashed == 8'h7; // @[ifu_bp_ctl.scala 520:97] + wire _T_1397 = bht_wr_en0[0] & _T_1396; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_7 = _T_1397 | _T_1053; // @[ifu_bp_ctl.scala 520:223] + wire _T_1413 = mp_hashed == 8'h8; // @[ifu_bp_ctl.scala 520:97] + wire _T_1414 = bht_wr_en0[0] & _T_1413; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_8 = _T_1414 | _T_1062; // @[ifu_bp_ctl.scala 520:223] + wire _T_1430 = mp_hashed == 8'h9; // @[ifu_bp_ctl.scala 520:97] + wire _T_1431 = bht_wr_en0[0] & _T_1430; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_9 = _T_1431 | _T_1071; // @[ifu_bp_ctl.scala 520:223] + wire _T_1447 = mp_hashed == 8'ha; // @[ifu_bp_ctl.scala 520:97] + wire _T_1448 = bht_wr_en0[0] & _T_1447; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_10 = _T_1448 | _T_1080; // @[ifu_bp_ctl.scala 520:223] + wire _T_1464 = mp_hashed == 8'hb; // @[ifu_bp_ctl.scala 520:97] + wire _T_1465 = bht_wr_en0[0] & _T_1464; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_11 = _T_1465 | _T_1089; // @[ifu_bp_ctl.scala 520:223] + wire _T_1481 = mp_hashed == 8'hc; // @[ifu_bp_ctl.scala 520:97] + wire _T_1482 = bht_wr_en0[0] & _T_1481; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_12 = _T_1482 | _T_1098; // @[ifu_bp_ctl.scala 520:223] + wire _T_1498 = mp_hashed == 8'hd; // @[ifu_bp_ctl.scala 520:97] + wire _T_1499 = bht_wr_en0[0] & _T_1498; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_13 = _T_1499 | _T_1107; // @[ifu_bp_ctl.scala 520:223] + wire _T_1515 = mp_hashed == 8'he; // @[ifu_bp_ctl.scala 520:97] + wire _T_1516 = bht_wr_en0[0] & _T_1515; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_14 = _T_1516 | _T_1116; // @[ifu_bp_ctl.scala 520:223] + wire _T_1532 = mp_hashed == 8'hf; // @[ifu_bp_ctl.scala 520:97] + wire _T_1533 = bht_wr_en0[0] & _T_1532; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_0_0_15 = _T_1533 | _T_1125; // @[ifu_bp_ctl.scala 520:223] + wire _T_1550 = bht_wr_en0[1] & _T_967; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_0 = _T_1550 | _T_1134; // @[ifu_bp_ctl.scala 520:223] + wire _T_1567 = bht_wr_en0[1] & _T_1294; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_1 = _T_1567 | _T_1143; // @[ifu_bp_ctl.scala 520:223] + wire _T_1584 = bht_wr_en0[1] & _T_1311; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_2 = _T_1584 | _T_1152; // @[ifu_bp_ctl.scala 520:223] + wire _T_1601 = bht_wr_en0[1] & _T_1328; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_3 = _T_1601 | _T_1161; // @[ifu_bp_ctl.scala 520:223] + wire _T_1618 = bht_wr_en0[1] & _T_1345; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_4 = _T_1618 | _T_1170; // @[ifu_bp_ctl.scala 520:223] + wire _T_1635 = bht_wr_en0[1] & _T_1362; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_5 = _T_1635 | _T_1179; // @[ifu_bp_ctl.scala 520:223] + wire _T_1652 = bht_wr_en0[1] & _T_1379; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_6 = _T_1652 | _T_1188; // @[ifu_bp_ctl.scala 520:223] + wire _T_1669 = bht_wr_en0[1] & _T_1396; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_7 = _T_1669 | _T_1197; // @[ifu_bp_ctl.scala 520:223] + wire _T_1686 = bht_wr_en0[1] & _T_1413; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_8 = _T_1686 | _T_1206; // @[ifu_bp_ctl.scala 520:223] + wire _T_1703 = bht_wr_en0[1] & _T_1430; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_9 = _T_1703 | _T_1215; // @[ifu_bp_ctl.scala 520:223] + wire _T_1720 = bht_wr_en0[1] & _T_1447; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_10 = _T_1720 | _T_1224; // @[ifu_bp_ctl.scala 520:223] + wire _T_1737 = bht_wr_en0[1] & _T_1464; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_11 = _T_1737 | _T_1233; // @[ifu_bp_ctl.scala 520:223] + wire _T_1754 = bht_wr_en0[1] & _T_1481; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_12 = _T_1754 | _T_1242; // @[ifu_bp_ctl.scala 520:223] + wire _T_1771 = bht_wr_en0[1] & _T_1498; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_13 = _T_1771 | _T_1251; // @[ifu_bp_ctl.scala 520:223] + wire _T_1788 = bht_wr_en0[1] & _T_1515; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_14 = _T_1788 | _T_1260; // @[ifu_bp_ctl.scala 520:223] + wire _T_1805 = bht_wr_en0[1] & _T_1532; // @[ifu_bp_ctl.scala 520:45] + wire bht_bank_sel_1_0_15 = _T_1805 | _T_1269; // @[ifu_bp_ctl.scala 520:223] rvclkhdr rvclkhdr ( // @[lib.scala 399:23] .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en) diff --git a/src/main/scala/ifu/ifu_bp_ctl.scala b/src/main/scala/ifu/ifu_bp_ctl.scala index e1f2aa2f..1e893bb6 100644 --- a/src/main/scala/ifu/ifu_bp_ctl.scala +++ b/src/main/scala/ifu/ifu_bp_ctl.scala @@ -395,16 +395,6 @@ if(!BTB_FULLYA) { val btb_valid = exu_mp_valid & (!dec_tlu_error_wb) val btb_wr_tag = io.exu_bp.exu_mp_btag -// if(BTB_FULLYA) { -// // Enable for write on each way -// val btb_wr_en_way0 = ((!exu_mp_way) & exu_mp_valid_write & (!dec_tlu_error_wb)) | ((!dec_tlu_way_wb) & dec_tlu_error_wb) -// val btb_wr_en_way1 = (exu_mp_way & exu_mp_valid_write & (!dec_tlu_error_wb)) | (dec_tlu_way_wb & dec_tlu_error_wb) -// -// // Writing is always done from dec or exu check if the dec have a valid data -// val btb_wr_addr = Mux(dec_tlu_error_wb.asBool, btb_error_addr_wb, exu_mp_addr) -// vwayhit_f := Mux1H(Seq(!io.ifc_fetch_addr_f(0).asBool -> wayhit_f, -// io.ifc_fetch_addr_f(0).asBool -> Cat(wayhit_p1_f(0), wayhit_f(1)))) & Cat(eoc_mask, 1.U(1.W)) -// } // Making the data to write into the BTB according the structure discribed above val btb_wr_data = Cat(btb_wr_tag, exu_mp_tgt, exu_mp_pc4, exu_mp_boffset, exu_mp_call | exu_mp_ja, exu_mp_ret | exu_mp_ja, btb_valid) val exu_mp_valid_write = exu_mp_valid & exu_mp_ataken & !io.exu_bp.exu_mp_pkt.valid diff --git a/target/scala-2.12/classes/ifu/bp_MAIN$.class b/target/scala-2.12/classes/ifu/bp_MAIN$.class index 1c3efea5..9a253587 100644 Binary files a/target/scala-2.12/classes/ifu/bp_MAIN$.class and b/target/scala-2.12/classes/ifu/bp_MAIN$.class differ diff --git a/target/scala-2.12/classes/ifu/bp_MAIN$delayedInit$body.class b/target/scala-2.12/classes/ifu/bp_MAIN$delayedInit$body.class index a6a1c3a5..64ce27d8 100644 Binary files a/target/scala-2.12/classes/ifu/bp_MAIN$delayedInit$body.class and b/target/scala-2.12/classes/ifu/bp_MAIN$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_bp_ctl.class b/target/scala-2.12/classes/ifu/ifu_bp_ctl.class index 249dd6fe..81b03619 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_bp_ctl.class and b/target/scala-2.12/classes/ifu/ifu_bp_ctl.class differ