From a9c909f64820a1acb323eeff6ca09ff5f47a5238 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Mon, 14 Dec 2020 11:58:20 +0500 Subject: [PATCH] Reset dontTouch for script --- dbg.anno.json | 12 + dbg.fir | 1915 ++++++++--------- dbg.v | 1456 ++++++------- firrtl_black_box_resource_files.f | 4 +- src/main/scala/dbg/dbg.scala | 54 +- target/scala-2.12/classes/dbg/db_obj$.class | Bin 0 -> 3835 bytes .../classes/dbg/db_obj$delayedInit$body.class | Bin 0 -> 718 bytes target/scala-2.12/classes/dbg/db_obj.class | Bin 0 -> 763 bytes target/scala-2.12/classes/dbg/dbg.class | Bin 275764 -> 275716 bytes 9 files changed, 1723 insertions(+), 1718 deletions(-) create mode 100644 target/scala-2.12/classes/dbg/db_obj$.class create mode 100644 target/scala-2.12/classes/dbg/db_obj$delayedInit$body.class create mode 100644 target/scala-2.12/classes/dbg/db_obj.class diff --git a/dbg.anno.json b/dbg.anno.json index 02722551..d52e2ddf 100644 --- a/dbg.anno.json +++ b/dbg.anno.json @@ -66,6 +66,18 @@ "target":"dbg.gated_latch", "resourceId":"/vsrc/gated_latch.v" }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~dbg|dbg>rst_not" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~dbg|dbg>rst_temp" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~dbg|dbg>dbg_dm_rst_l" + }, { "class":"firrtl.options.TargetDirAnnotation", "directory":"." diff --git a/dbg.fir b/dbg.fir index c9834437..7e454e1f 100644 --- a/dbg.fir +++ b/dbg.fir @@ -14,15 +14,15 @@ circuit dbg : input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch @[lib.scala 318:26] + inst clkhdr of gated_latch @[lib.scala 334:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 319:14] - clkhdr.CK <= io.clk @[lib.scala 320:18] - clkhdr.EN <= io.en @[lib.scala 321:18] - clkhdr.SE <= io.scan_mode @[lib.scala 322:18] + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] extmodule gated_latch_1 : output Q : Clock @@ -38,15 +38,15 @@ circuit dbg : input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_1 @[lib.scala 318:26] + inst clkhdr of gated_latch_1 @[lib.scala 334:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 319:14] - clkhdr.CK <= io.clk @[lib.scala 320:18] - clkhdr.EN <= io.en @[lib.scala 321:18] - clkhdr.SE <= io.scan_mode @[lib.scala 322:18] + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] extmodule gated_latch_2 : output Q : Clock @@ -62,15 +62,15 @@ circuit dbg : input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_2 @[lib.scala 318:26] + inst clkhdr of gated_latch_2 @[lib.scala 334:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 319:14] - clkhdr.CK <= io.clk @[lib.scala 320:18] - clkhdr.EN <= io.en @[lib.scala 321:18] - clkhdr.SE <= io.scan_mode @[lib.scala 322:18] + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] extmodule gated_latch_3 : output Q : Clock @@ -86,15 +86,15 @@ circuit dbg : input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_3 @[lib.scala 318:26] + inst clkhdr of gated_latch_3 @[lib.scala 334:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 319:14] - clkhdr.CK <= io.clk @[lib.scala 320:18] - clkhdr.EN <= io.en @[lib.scala 321:18] - clkhdr.SE <= io.scan_mode @[lib.scala 322:18] + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] extmodule gated_latch_4 : output Q : Clock @@ -110,15 +110,15 @@ circuit dbg : input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_4 @[lib.scala 318:26] + inst clkhdr of gated_latch_4 @[lib.scala 334:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 319:14] - clkhdr.CK <= io.clk @[lib.scala 320:18] - clkhdr.EN <= io.en @[lib.scala 321:18] - clkhdr.SE <= io.scan_mode @[lib.scala 322:18] + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] extmodule gated_latch_5 : output Q : Clock @@ -134,15 +134,15 @@ circuit dbg : input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_5 @[lib.scala 318:26] + inst clkhdr of gated_latch_5 @[lib.scala 334:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 319:14] - clkhdr.CK <= io.clk @[lib.scala 320:18] - clkhdr.EN <= io.en @[lib.scala 321:18] - clkhdr.SE <= io.scan_mode @[lib.scala 322:18] + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] extmodule gated_latch_6 : output Q : Clock @@ -158,15 +158,15 @@ circuit dbg : input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_6 @[lib.scala 318:26] + inst clkhdr of gated_latch_6 @[lib.scala 334:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 319:14] - clkhdr.CK <= io.clk @[lib.scala 320:18] - clkhdr.EN <= io.en @[lib.scala 321:18] - clkhdr.SE <= io.scan_mode @[lib.scala 322:18] + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] extmodule gated_latch_7 : output Q : Clock @@ -182,15 +182,15 @@ circuit dbg : input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_7 @[lib.scala 318:26] + inst clkhdr of gated_latch_7 @[lib.scala 334:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 319:14] - clkhdr.CK <= io.clk @[lib.scala 320:18] - clkhdr.EN <= io.en @[lib.scala 321:18] - clkhdr.SE <= io.scan_mode @[lib.scala 322:18] + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] module dbg : input clock : Clock @@ -262,71 +262,73 @@ circuit dbg : node _T_5 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 96:63] node _T_6 = or(_T_4, _T_5) @[dbg.scala 96:51] node sb_free_clken = or(_T_6, io.clk_override) @[dbg.scala 96:86] - inst rvclkhdr of rvclkhdr @[lib.scala 327:22] + inst rvclkhdr of rvclkhdr @[lib.scala 343:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset - rvclkhdr.io.clk <= clock @[lib.scala 328:17] - rvclkhdr.io.en <= dbg_free_clken @[lib.scala 329:16] - rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 330:23] - inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 327:22] + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= dbg_free_clken @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 343:22] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset - rvclkhdr_1.io.clk <= clock @[lib.scala 328:17] - rvclkhdr_1.io.en <= sb_free_clken @[lib.scala 329:16] - rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 330:23] - node _T_7 = bits(io.dbg_rst_l, 0, 0) @[dbg.scala 99:41] - node _T_8 = bits(dmcontrol_reg, 0, 0) @[dbg.scala 99:60] - node _T_9 = or(_T_8, io.scan_mode) @[dbg.scala 99:64] - node dbg_dm_rst_l = and(_T_7, _T_9) @[dbg.scala 99:44] - node _T_10 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 100:39] - node _T_11 = eq(_T_10, UInt<1>("h00")) @[dbg.scala 100:25] - node _T_12 = bits(_T_11, 0, 0) @[dbg.scala 100:50] - io.dbg_core_rst_l <= _T_12 @[dbg.scala 100:21] - node _T_13 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 101:36] - node _T_14 = and(_T_13, io.dmi_reg_en) @[dbg.scala 101:49] - node _T_15 = and(_T_14, io.dmi_reg_wr_en) @[dbg.scala 101:65] - node _T_16 = eq(sb_state, UInt<4>("h00")) @[dbg.scala 101:96] - node sbcs_wren = and(_T_15, _T_16) @[dbg.scala 101:84] - node _T_17 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 102:60] - node _T_18 = and(sbcs_wren, _T_17) @[dbg.scala 102:42] - node _T_19 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 102:79] - node _T_20 = and(_T_19, io.dmi_reg_en) @[dbg.scala 102:102] - node _T_21 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 103:23] - node _T_22 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 103:55] - node _T_23 = or(_T_21, _T_22) @[dbg.scala 103:36] - node _T_24 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 103:87] - node _T_25 = or(_T_23, _T_24) @[dbg.scala 103:68] - node _T_26 = and(_T_20, _T_25) @[dbg.scala 102:118] - node sbcs_sbbusyerror_wren = or(_T_18, _T_26) @[dbg.scala 102:66] - node _T_27 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 105:61] - node _T_28 = and(sbcs_wren, _T_27) @[dbg.scala 105:43] - node sbcs_sbbusyerror_din = not(_T_28) @[dbg.scala 105:31] - node _T_29 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 106:80] - reg temp_sbcs_22 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_29, UInt<1>("h00"))) @[Reg.scala 27:20] + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= sb_free_clken @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_7 = bits(io.dbg_rst_l, 0, 0) @[dbg.scala 99:42] + node _T_8 = bits(dmcontrol_reg, 0, 0) @[dbg.scala 99:61] + node _T_9 = or(_T_8, io.scan_mode) @[dbg.scala 99:65] + node _T_10 = and(_T_7, _T_9) @[dbg.scala 99:45] + node dbg_dm_rst_l = asAsyncReset(_T_10) @[dbg.scala 99:94] + node _T_11 = asUInt(dbg_dm_rst_l) @[dbg.scala 101:38] + node _T_12 = asUInt(reset) @[dbg.scala 101:55] + node _T_13 = and(_T_11, _T_12) @[dbg.scala 101:41] + node rst_temp = asAsyncReset(_T_13) @[dbg.scala 101:71] + node _T_14 = asUInt(dbg_dm_rst_l) @[dbg.scala 103:32] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[dbg.scala 103:18] + node rst_not = asAsyncReset(_T_15) @[dbg.scala 103:52] + node _T_16 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 105:39] + node _T_17 = eq(_T_16, UInt<1>("h00")) @[dbg.scala 105:25] + node _T_18 = bits(_T_17, 0, 0) @[dbg.scala 105:50] + io.dbg_core_rst_l <= _T_18 @[dbg.scala 105:21] + node _T_19 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 106:36] + node _T_20 = and(_T_19, io.dmi_reg_en) @[dbg.scala 106:49] + node _T_21 = and(_T_20, io.dmi_reg_wr_en) @[dbg.scala 106:65] + node _T_22 = eq(sb_state, UInt<4>("h00")) @[dbg.scala 106:96] + node sbcs_wren = and(_T_21, _T_22) @[dbg.scala 106:84] + node _T_23 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 107:60] + node _T_24 = and(sbcs_wren, _T_23) @[dbg.scala 107:42] + node _T_25 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 107:79] + node _T_26 = and(_T_25, io.dmi_reg_en) @[dbg.scala 107:102] + node _T_27 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 108:23] + node _T_28 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 108:55] + node _T_29 = or(_T_27, _T_28) @[dbg.scala 108:36] + node _T_30 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 108:87] + node _T_31 = or(_T_29, _T_30) @[dbg.scala 108:68] + node _T_32 = and(_T_26, _T_31) @[dbg.scala 107:118] + node sbcs_sbbusyerror_wren = or(_T_24, _T_32) @[dbg.scala 107:66] + node _T_33 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 110:61] + node _T_34 = and(sbcs_wren, _T_33) @[dbg.scala 110:43] + node sbcs_sbbusyerror_din = not(_T_34) @[dbg.scala 110:31] + reg temp_sbcs_22 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sbbusyerror_wren : @[Reg.scala 28:19] temp_sbcs_22 <= sbcs_sbbusyerror_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_30 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 110:80] - reg temp_sbcs_21 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_30, UInt<1>("h00"))) @[Reg.scala 27:20] + reg temp_sbcs_21 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sbbusy_wren : @[Reg.scala 28:19] temp_sbcs_21 <= sbcs_sbbusy_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_31 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 114:80] - node _T_32 = bits(io.dmi_reg_wdata, 20, 20) @[dbg.scala 115:31] - reg temp_sbcs_20 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_31, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_35 = bits(io.dmi_reg_wdata, 20, 20) @[dbg.scala 120:31] + reg temp_sbcs_20 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_wren : @[Reg.scala 28:19] - temp_sbcs_20 <= _T_32 @[Reg.scala 28:23] + temp_sbcs_20 <= _T_35 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_33 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 118:83] - node _T_34 = bits(io.dmi_reg_wdata, 19, 15) @[dbg.scala 119:31] - reg temp_sbcs_19_15 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_33, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_36 = bits(io.dmi_reg_wdata, 19, 15) @[dbg.scala 124:31] + reg temp_sbcs_19_15 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_wren : @[Reg.scala 28:19] - temp_sbcs_19_15 <= _T_34 @[Reg.scala 28:23] + temp_sbcs_19_15 <= _T_36 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_35 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 122:57] - node _T_36 = asAsyncReset(_T_35) @[dbg.scala 122:84] - node _T_37 = bits(sbcs_sberror_din, 2, 0) @[dbg.scala 123:31] - reg temp_sbcs_14_12 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_36, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_37 = bits(sbcs_sberror_din, 2, 0) @[dbg.scala 128:31] + reg temp_sbcs_14_12 : UInt, rvclkhdr_1.io.l1clk with : (reset => (rst_not, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sberror_wren : @[Reg.scala 28:19] temp_sbcs_14_12 <= _T_37 @[Reg.scala 28:23] skip @[Reg.scala 28:19] @@ -338,961 +340,944 @@ circuit dbg : node _T_43 = cat(_T_42, temp_sbcs_22) @[Cat.scala 29:58] node _T_44 = cat(_T_43, _T_41) @[Cat.scala 29:58] node _T_45 = cat(_T_44, _T_40) @[Cat.scala 29:58] - sbcs_reg <= _T_45 @[dbg.scala 125:12] - node _T_46 = bits(sbcs_reg, 19, 17) @[dbg.scala 127:33] - node _T_47 = eq(_T_46, UInt<3>("h01")) @[dbg.scala 127:42] - node _T_48 = bits(sbaddress0_reg, 0, 0) @[dbg.scala 127:77] - node _T_49 = and(_T_47, _T_48) @[dbg.scala 127:61] - node _T_50 = bits(sbcs_reg, 19, 17) @[dbg.scala 128:14] - node _T_51 = eq(_T_50, UInt<3>("h02")) @[dbg.scala 128:23] - node _T_52 = bits(sbaddress0_reg, 1, 0) @[dbg.scala 128:58] - node _T_53 = orr(_T_52) @[dbg.scala 128:65] - node _T_54 = and(_T_51, _T_53) @[dbg.scala 128:42] - node _T_55 = or(_T_49, _T_54) @[dbg.scala 127:81] - node _T_56 = bits(sbcs_reg, 19, 17) @[dbg.scala 129:14] - node _T_57 = eq(_T_56, UInt<3>("h03")) @[dbg.scala 129:23] - node _T_58 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 129:58] - node _T_59 = orr(_T_58) @[dbg.scala 129:65] - node _T_60 = and(_T_57, _T_59) @[dbg.scala 129:42] - node sbcs_unaligned = or(_T_55, _T_60) @[dbg.scala 128:69] - node sbcs_illegal_size = bits(sbcs_reg, 19, 19) @[dbg.scala 131:35] - node _T_61 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:42] - node _T_62 = eq(_T_61, UInt<1>("h00")) @[dbg.scala 132:51] + sbcs_reg <= _T_45 @[dbg.scala 130:12] + node _T_46 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:33] + node _T_47 = eq(_T_46, UInt<3>("h01")) @[dbg.scala 132:42] + node _T_48 = bits(sbaddress0_reg, 0, 0) @[dbg.scala 132:77] + node _T_49 = and(_T_47, _T_48) @[dbg.scala 132:61] + node _T_50 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:14] + node _T_51 = eq(_T_50, UInt<3>("h02")) @[dbg.scala 133:23] + node _T_52 = bits(sbaddress0_reg, 1, 0) @[dbg.scala 133:58] + node _T_53 = orr(_T_52) @[dbg.scala 133:65] + node _T_54 = and(_T_51, _T_53) @[dbg.scala 133:42] + node _T_55 = or(_T_49, _T_54) @[dbg.scala 132:81] + node _T_56 = bits(sbcs_reg, 19, 17) @[dbg.scala 134:14] + node _T_57 = eq(_T_56, UInt<3>("h03")) @[dbg.scala 134:23] + node _T_58 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 134:58] + node _T_59 = orr(_T_58) @[dbg.scala 134:65] + node _T_60 = and(_T_57, _T_59) @[dbg.scala 134:42] + node sbcs_unaligned = or(_T_55, _T_60) @[dbg.scala 133:69] + node sbcs_illegal_size = bits(sbcs_reg, 19, 19) @[dbg.scala 136:35] + node _T_61 = bits(sbcs_reg, 19, 17) @[dbg.scala 137:42] + node _T_62 = eq(_T_61, UInt<1>("h00")) @[dbg.scala 137:51] node _T_63 = bits(_T_62, 0, 0) @[Bitwise.scala 72:15] node _T_64 = mux(_T_63, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_65 = and(_T_64, UInt<4>("h01")) @[dbg.scala 132:64] - node _T_66 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:100] - node _T_67 = eq(_T_66, UInt<1>("h01")) @[dbg.scala 132:109] + node _T_65 = and(_T_64, UInt<4>("h01")) @[dbg.scala 137:64] + node _T_66 = bits(sbcs_reg, 19, 17) @[dbg.scala 137:100] + node _T_67 = eq(_T_66, UInt<1>("h01")) @[dbg.scala 137:109] node _T_68 = bits(_T_67, 0, 0) @[Bitwise.scala 72:15] node _T_69 = mux(_T_68, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_70 = and(_T_69, UInt<4>("h02")) @[dbg.scala 132:122] - node _T_71 = or(_T_65, _T_70) @[dbg.scala 132:81] - node _T_72 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:22] - node _T_73 = eq(_T_72, UInt<2>("h02")) @[dbg.scala 133:31] + node _T_70 = and(_T_69, UInt<4>("h02")) @[dbg.scala 137:122] + node _T_71 = or(_T_65, _T_70) @[dbg.scala 137:81] + node _T_72 = bits(sbcs_reg, 19, 17) @[dbg.scala 138:22] + node _T_73 = eq(_T_72, UInt<2>("h02")) @[dbg.scala 138:31] node _T_74 = bits(_T_73, 0, 0) @[Bitwise.scala 72:15] node _T_75 = mux(_T_74, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_76 = and(_T_75, UInt<4>("h04")) @[dbg.scala 133:44] - node _T_77 = or(_T_71, _T_76) @[dbg.scala 132:139] - node _T_78 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:80] - node _T_79 = eq(_T_78, UInt<2>("h03")) @[dbg.scala 133:89] + node _T_76 = and(_T_75, UInt<4>("h04")) @[dbg.scala 138:44] + node _T_77 = or(_T_71, _T_76) @[dbg.scala 137:139] + node _T_78 = bits(sbcs_reg, 19, 17) @[dbg.scala 138:80] + node _T_79 = eq(_T_78, UInt<2>("h03")) @[dbg.scala 138:89] node _T_80 = bits(_T_79, 0, 0) @[Bitwise.scala 72:15] node _T_81 = mux(_T_80, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_82 = and(_T_81, UInt<4>("h08")) @[dbg.scala 133:102] - node sbaddress0_incr = or(_T_77, _T_82) @[dbg.scala 133:61] - node _T_83 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 135:41] - node _T_84 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 135:79] - node sbdata0_reg_wren0 = and(_T_83, _T_84) @[dbg.scala 135:60] - node _T_85 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 136:37] - node _T_86 = and(_T_85, sb_state_en) @[dbg.scala 136:60] - node _T_87 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 136:76] - node sbdata0_reg_wren1 = and(_T_86, _T_87) @[dbg.scala 136:74] - node sbdata0_reg_wren = or(sbdata0_reg_wren0, sbdata0_reg_wren1) @[dbg.scala 137:44] - node _T_88 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 138:41] - node _T_89 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 138:79] - node sbdata1_reg_wren0 = and(_T_88, _T_89) @[dbg.scala 138:60] - node _T_90 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 139:37] - node _T_91 = and(_T_90, sb_state_en) @[dbg.scala 139:60] - node _T_92 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 139:76] - node sbdata1_reg_wren1 = and(_T_91, _T_92) @[dbg.scala 139:74] - node sbdata1_reg_wren = or(sbdata1_reg_wren0, sbdata1_reg_wren1) @[dbg.scala 140:44] + node _T_82 = and(_T_81, UInt<4>("h08")) @[dbg.scala 138:102] + node sbaddress0_incr = or(_T_77, _T_82) @[dbg.scala 138:61] + node _T_83 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 140:41] + node _T_84 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 140:79] + node sbdata0_reg_wren0 = and(_T_83, _T_84) @[dbg.scala 140:60] + node _T_85 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 141:37] + node _T_86 = and(_T_85, sb_state_en) @[dbg.scala 141:60] + node _T_87 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 141:76] + node sbdata0_reg_wren1 = and(_T_86, _T_87) @[dbg.scala 141:74] + node sbdata0_reg_wren = or(sbdata0_reg_wren0, sbdata0_reg_wren1) @[dbg.scala 142:44] + node _T_88 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 143:41] + node _T_89 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 143:79] + node sbdata1_reg_wren0 = and(_T_88, _T_89) @[dbg.scala 143:60] + node _T_90 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 144:37] + node _T_91 = and(_T_90, sb_state_en) @[dbg.scala 144:60] + node _T_92 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 144:76] + node sbdata1_reg_wren1 = and(_T_91, _T_92) @[dbg.scala 144:74] + node sbdata1_reg_wren = or(sbdata1_reg_wren0, sbdata1_reg_wren1) @[dbg.scala 145:44] node _T_93 = bits(sbdata0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] node _T_94 = mux(_T_93, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_95 = and(_T_94, io.dmi_reg_wdata) @[dbg.scala 141:49] + node _T_95 = and(_T_94, io.dmi_reg_wdata) @[dbg.scala 146:49] node _T_96 = bits(sbdata0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] node _T_97 = mux(_T_96, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_98 = bits(sb_bus_rdata, 31, 0) @[dbg.scala 142:47] - node _T_99 = and(_T_97, _T_98) @[dbg.scala 142:33] - node sbdata0_din = or(_T_95, _T_99) @[dbg.scala 141:68] + node _T_98 = bits(sb_bus_rdata, 31, 0) @[dbg.scala 147:47] + node _T_99 = and(_T_97, _T_98) @[dbg.scala 147:33] + node sbdata0_din = or(_T_95, _T_99) @[dbg.scala 146:68] node _T_100 = bits(sbdata1_reg_wren0, 0, 0) @[Bitwise.scala 72:15] node _T_101 = mux(_T_100, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_102 = and(_T_101, io.dmi_reg_wdata) @[dbg.scala 144:49] + node _T_102 = and(_T_101, io.dmi_reg_wdata) @[dbg.scala 149:49] node _T_103 = bits(sbdata1_reg_wren1, 0, 0) @[Bitwise.scala 72:15] node _T_104 = mux(_T_103, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_105 = bits(sb_bus_rdata, 63, 32) @[dbg.scala 145:47] - node _T_106 = and(_T_104, _T_105) @[dbg.scala 145:33] - node sbdata1_din = or(_T_102, _T_106) @[dbg.scala 144:68] - node _T_107 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 147:58] - inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 352:23] + node _T_105 = bits(sb_bus_rdata, 63, 32) @[dbg.scala 150:47] + node _T_106 = and(_T_104, _T_105) @[dbg.scala 150:33] + node sbdata1_din = or(_T_102, _T_106) @[dbg.scala 149:68] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= _T_107 - rvclkhdr_2.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_2.io.en <= sbdata0_reg_wren @[lib.scala 355:17] - rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg sbdata0_reg : UInt, rvclkhdr_2.io.l1clk with : (reset => (_T_107, UInt<1>("h00"))) @[lib.scala 358:16] - sbdata0_reg <= sbdata0_din @[lib.scala 358:16] - node _T_108 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 151:58] - inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 352:23] + rvclkhdr_2.reset <= dbg_dm_rst_l + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= sbdata0_reg_wren @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg sbdata0_reg : UInt, rvclkhdr_2.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + sbdata0_reg <= sbdata0_din @[lib.scala 374:16] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] rvclkhdr_3.clock <= clock - rvclkhdr_3.reset <= _T_108 - rvclkhdr_3.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_3.io.en <= sbdata1_reg_wren @[lib.scala 355:17] - rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg sbdata1_reg : UInt, rvclkhdr_3.io.l1clk with : (reset => (_T_108, UInt<1>("h00"))) @[lib.scala 358:16] - sbdata1_reg <= sbdata1_din @[lib.scala 358:16] - node _T_109 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 155:44] - node _T_110 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 155:82] - node sbaddress0_reg_wren0 = and(_T_109, _T_110) @[dbg.scala 155:63] - node sbaddress0_reg_wren = or(sbaddress0_reg_wren0, sbaddress0_reg_wren1) @[dbg.scala 156:50] - node _T_111 = bits(sbaddress0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_112 = mux(_T_111, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_113 = and(_T_112, io.dmi_reg_wdata) @[dbg.scala 157:59] - node _T_114 = bits(sbaddress0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_115 = mux(_T_114, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_116 = cat(UInt<28>("h00"), sbaddress0_incr) @[Cat.scala 29:58] - node _T_117 = add(sbaddress0_reg, _T_116) @[dbg.scala 158:54] - node _T_118 = tail(_T_117, 1) @[dbg.scala 158:54] - node _T_119 = and(_T_115, _T_118) @[dbg.scala 158:36] - node sbaddress0_reg_din = or(_T_113, _T_119) @[dbg.scala 157:78] - node _T_120 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 159:58] - inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 352:23] + rvclkhdr_3.reset <= dbg_dm_rst_l + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= sbdata1_reg_wren @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg sbdata1_reg : UInt, rvclkhdr_3.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + sbdata1_reg <= sbdata1_din @[lib.scala 374:16] + node _T_107 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 160:44] + node _T_108 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 160:82] + node sbaddress0_reg_wren0 = and(_T_107, _T_108) @[dbg.scala 160:63] + node sbaddress0_reg_wren = or(sbaddress0_reg_wren0, sbaddress0_reg_wren1) @[dbg.scala 161:50] + node _T_109 = bits(sbaddress0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_110 = mux(_T_109, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_111 = and(_T_110, io.dmi_reg_wdata) @[dbg.scala 162:59] + node _T_112 = bits(sbaddress0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_113 = mux(_T_112, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_114 = cat(UInt<28>("h00"), sbaddress0_incr) @[Cat.scala 29:58] + node _T_115 = add(sbaddress0_reg, _T_114) @[dbg.scala 163:54] + node _T_116 = tail(_T_115, 1) @[dbg.scala 163:54] + node _T_117 = and(_T_113, _T_116) @[dbg.scala 163:36] + node sbaddress0_reg_din = or(_T_111, _T_117) @[dbg.scala 162:78] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] rvclkhdr_4.clock <= clock - rvclkhdr_4.reset <= _T_120 - rvclkhdr_4.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_4.io.en <= sbaddress0_reg_wren @[lib.scala 355:17] - rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_121 : UInt, rvclkhdr_4.io.l1clk with : (reset => (_T_120, UInt<1>("h00"))) @[lib.scala 358:16] - _T_121 <= sbaddress0_reg_din @[lib.scala 358:16] - sbaddress0_reg <= _T_121 @[dbg.scala 159:18] - node _T_122 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 163:43] - node _T_123 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 163:81] - node _T_124 = and(_T_122, _T_123) @[dbg.scala 163:62] - node _T_125 = bits(sbcs_reg, 20, 20) @[dbg.scala 163:104] - node sbreadonaddr_access = and(_T_124, _T_125) @[dbg.scala 163:94] - node _T_126 = eq(io.dmi_reg_wr_en, UInt<1>("h00")) @[dbg.scala 164:45] - node _T_127 = and(io.dmi_reg_en, _T_126) @[dbg.scala 164:43] - node _T_128 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 164:82] - node _T_129 = and(_T_127, _T_128) @[dbg.scala 164:63] - node _T_130 = bits(sbcs_reg, 15, 15) @[dbg.scala 164:105] - node sbreadondata_access = and(_T_129, _T_130) @[dbg.scala 164:95] - node _T_131 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 165:40] - node _T_132 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 165:78] - node sbdata0wr_access = and(_T_131, _T_132) @[dbg.scala 165:59] - node _T_133 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 166:41] - node _T_134 = and(_T_133, io.dmi_reg_en) @[dbg.scala 166:54] - node dmcontrol_wren = and(_T_134, io.dmi_reg_wr_en) @[dbg.scala 166:70] - node _T_135 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 167:76] - node _T_136 = bits(io.dmi_reg_wdata, 31, 30) @[dbg.scala 169:27] - node _T_137 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 169:53] - node _T_138 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 169:75] - node _T_139 = cat(_T_136, _T_137) @[Cat.scala 29:58] - node _T_140 = cat(_T_139, _T_138) @[Cat.scala 29:58] - reg dm_temp : UInt, rvclkhdr.io.l1clk with : (reset => (_T_135, UInt<1>("h00"))) @[Reg.scala 27:20] + rvclkhdr_4.reset <= dbg_dm_rst_l + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= sbaddress0_reg_wren @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_118 : UInt, rvclkhdr_4.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + _T_118 <= sbaddress0_reg_din @[lib.scala 374:16] + sbaddress0_reg <= _T_118 @[dbg.scala 164:18] + node _T_119 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 168:43] + node _T_120 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 168:81] + node _T_121 = and(_T_119, _T_120) @[dbg.scala 168:62] + node _T_122 = bits(sbcs_reg, 20, 20) @[dbg.scala 168:104] + node sbreadonaddr_access = and(_T_121, _T_122) @[dbg.scala 168:94] + node _T_123 = eq(io.dmi_reg_wr_en, UInt<1>("h00")) @[dbg.scala 169:45] + node _T_124 = and(io.dmi_reg_en, _T_123) @[dbg.scala 169:43] + node _T_125 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 169:82] + node _T_126 = and(_T_124, _T_125) @[dbg.scala 169:63] + node _T_127 = bits(sbcs_reg, 15, 15) @[dbg.scala 169:105] + node sbreadondata_access = and(_T_126, _T_127) @[dbg.scala 169:95] + node _T_128 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 170:40] + node _T_129 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 170:78] + node sbdata0wr_access = and(_T_128, _T_129) @[dbg.scala 170:59] + node _T_130 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 171:41] + node _T_131 = and(_T_130, io.dmi_reg_en) @[dbg.scala 171:54] + node dmcontrol_wren = and(_T_131, io.dmi_reg_wr_en) @[dbg.scala 171:70] + node _T_132 = bits(io.dmi_reg_wdata, 31, 30) @[dbg.scala 174:27] + node _T_133 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 174:53] + node _T_134 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 174:75] + node _T_135 = cat(_T_132, _T_133) @[Cat.scala 29:58] + node _T_136 = cat(_T_135, _T_134) @[Cat.scala 29:58] + reg dm_temp : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when dmcontrol_wren : @[Reg.scala 28:19] - dm_temp <= _T_140 @[Reg.scala 28:23] + dm_temp <= _T_136 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_141 = asAsyncReset(io.dbg_rst_l) @[dbg.scala 173:76] - node _T_142 = bits(io.dmi_reg_wdata, 0, 0) @[dbg.scala 174:31] - reg dm_temp_0 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_141, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_137 = asAsyncReset(io.dbg_rst_l) @[dbg.scala 178:76] + node _T_138 = bits(io.dmi_reg_wdata, 0, 0) @[dbg.scala 179:31] + reg dm_temp_0 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_137, UInt<1>("h00"))) @[Reg.scala 27:20] when dmcontrol_wren : @[Reg.scala 28:19] - dm_temp_0 <= _T_142 @[Reg.scala 28:23] + dm_temp_0 <= _T_138 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_143 = bits(dm_temp, 3, 2) @[dbg.scala 177:25] - node _T_144 = bits(dm_temp, 1, 1) @[dbg.scala 177:45] - node _T_145 = bits(dm_temp, 0, 0) @[dbg.scala 177:68] - node _T_146 = cat(UInt<26>("h00"), _T_145) @[Cat.scala 29:58] - node _T_147 = cat(_T_146, dm_temp_0) @[Cat.scala 29:58] - node _T_148 = cat(_T_143, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_149 = cat(_T_148, _T_144) @[Cat.scala 29:58] - node temp = cat(_T_149, _T_147) @[Cat.scala 29:58] - dmcontrol_reg <= temp @[dbg.scala 178:17] - node _T_150 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 180:85] - reg dmcontrol_wren_Q : UInt<1>, rvclkhdr.io.l1clk with : (reset => (_T_150, UInt<1>("h00"))) @[dbg.scala 181:12] - dmcontrol_wren_Q <= dmcontrol_wren @[dbg.scala 181:12] - node _T_151 = bits(dmstatus_havereset, 0, 0) @[Bitwise.scala 72:15] - node _T_152 = mux(_T_151, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_153 = bits(dmstatus_resumeack, 0, 0) @[Bitwise.scala 72:15] - node _T_154 = mux(_T_153, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_155 = bits(dmstatus_unavail, 0, 0) @[Bitwise.scala 72:15] - node _T_156 = mux(_T_155, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_157 = bits(dmstatus_running, 0, 0) @[Bitwise.scala 72:15] - node _T_158 = mux(_T_157, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_159 = bits(dmstatus_halted, 0, 0) @[Bitwise.scala 72:15] - node _T_160 = mux(_T_159, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_161 = cat(UInt<3>("h00"), UInt<4>("h02")) @[Cat.scala 29:58] - node _T_162 = cat(_T_158, _T_160) @[Cat.scala 29:58] - node _T_163 = cat(_T_162, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_164 = cat(_T_163, _T_161) @[Cat.scala 29:58] - node _T_165 = cat(UInt<2>("h00"), _T_156) @[Cat.scala 29:58] - node _T_166 = cat(UInt<12>("h00"), _T_152) @[Cat.scala 29:58] - node _T_167 = cat(_T_166, _T_154) @[Cat.scala 29:58] - node _T_168 = cat(_T_167, _T_165) @[Cat.scala 29:58] - node _T_169 = cat(_T_168, _T_164) @[Cat.scala 29:58] - dmstatus_reg <= _T_169 @[dbg.scala 184:16] - node _T_170 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 186:44] - node _T_171 = and(_T_170, io.dec_tlu_resume_ack) @[dbg.scala 186:66] - node _T_172 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 186:127] - node _T_173 = eq(_T_172, UInt<1>("h00")) @[dbg.scala 186:113] - node _T_174 = and(dmstatus_resumeack, _T_173) @[dbg.scala 186:111] - node dmstatus_resumeack_wren = or(_T_171, _T_174) @[dbg.scala 186:90] - node _T_175 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 187:43] - node dmstatus_resumeack_din = and(_T_175, io.dec_tlu_resume_ack) @[dbg.scala 187:65] - node _T_176 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 188:50] - node _T_177 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 188:81] - node _T_178 = and(_T_176, _T_177) @[dbg.scala 188:63] - node _T_179 = and(_T_178, io.dmi_reg_en) @[dbg.scala 188:85] - node dmstatus_havereset_wren = and(_T_179, io.dmi_reg_wr_en) @[dbg.scala 188:101] - node _T_180 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 189:49] - node _T_181 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 189:80] - node _T_182 = and(_T_180, _T_181) @[dbg.scala 189:62] - node _T_183 = and(_T_182, io.dmi_reg_en) @[dbg.scala 189:85] - node dmstatus_havereset_rst = and(_T_183, io.dmi_reg_wr_en) @[dbg.scala 189:101] - node temp_rst = asUInt(reset) @[dbg.scala 190:30] - node _T_184 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 191:37] - node _T_185 = eq(temp_rst, UInt<1>("h00")) @[dbg.scala 191:43] - node _T_186 = or(_T_184, _T_185) @[dbg.scala 191:41] - node _T_187 = bits(_T_186, 0, 0) @[dbg.scala 191:62] - dmstatus_unavail <= _T_187 @[dbg.scala 191:20] - node _T_188 = or(dmstatus_unavail, dmstatus_halted) @[dbg.scala 192:42] - node _T_189 = not(_T_188) @[dbg.scala 192:23] - dmstatus_running <= _T_189 @[dbg.scala 192:20] - node _T_190 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 193:84] - reg _T_191 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_190, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_139 = bits(dm_temp, 3, 2) @[dbg.scala 182:25] + node _T_140 = bits(dm_temp, 1, 1) @[dbg.scala 182:45] + node _T_141 = bits(dm_temp, 0, 0) @[dbg.scala 182:68] + node _T_142 = cat(UInt<26>("h00"), _T_141) @[Cat.scala 29:58] + node _T_143 = cat(_T_142, dm_temp_0) @[Cat.scala 29:58] + node _T_144 = cat(_T_139, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_145 = cat(_T_144, _T_140) @[Cat.scala 29:58] + node temp = cat(_T_145, _T_143) @[Cat.scala 29:58] + dmcontrol_reg <= temp @[dbg.scala 183:17] + reg dmcontrol_wren_Q : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 186:12] + dmcontrol_wren_Q <= dmcontrol_wren @[dbg.scala 186:12] + node _T_146 = bits(dmstatus_havereset, 0, 0) @[Bitwise.scala 72:15] + node _T_147 = mux(_T_146, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_148 = bits(dmstatus_resumeack, 0, 0) @[Bitwise.scala 72:15] + node _T_149 = mux(_T_148, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_150 = bits(dmstatus_unavail, 0, 0) @[Bitwise.scala 72:15] + node _T_151 = mux(_T_150, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_152 = bits(dmstatus_running, 0, 0) @[Bitwise.scala 72:15] + node _T_153 = mux(_T_152, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_154 = bits(dmstatus_halted, 0, 0) @[Bitwise.scala 72:15] + node _T_155 = mux(_T_154, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_156 = cat(UInt<3>("h00"), UInt<4>("h02")) @[Cat.scala 29:58] + node _T_157 = cat(_T_153, _T_155) @[Cat.scala 29:58] + node _T_158 = cat(_T_157, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_159 = cat(_T_158, _T_156) @[Cat.scala 29:58] + node _T_160 = cat(UInt<2>("h00"), _T_151) @[Cat.scala 29:58] + node _T_161 = cat(UInt<12>("h00"), _T_147) @[Cat.scala 29:58] + node _T_162 = cat(_T_161, _T_149) @[Cat.scala 29:58] + node _T_163 = cat(_T_162, _T_160) @[Cat.scala 29:58] + node _T_164 = cat(_T_163, _T_159) @[Cat.scala 29:58] + dmstatus_reg <= _T_164 @[dbg.scala 189:16] + node _T_165 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 191:44] + node _T_166 = and(_T_165, io.dec_tlu_resume_ack) @[dbg.scala 191:66] + node _T_167 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 191:127] + node _T_168 = eq(_T_167, UInt<1>("h00")) @[dbg.scala 191:113] + node _T_169 = and(dmstatus_resumeack, _T_168) @[dbg.scala 191:111] + node dmstatus_resumeack_wren = or(_T_166, _T_169) @[dbg.scala 191:90] + node _T_170 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 192:43] + node dmstatus_resumeack_din = and(_T_170, io.dec_tlu_resume_ack) @[dbg.scala 192:65] + node _T_171 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 193:50] + node _T_172 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 193:81] + node _T_173 = and(_T_171, _T_172) @[dbg.scala 193:63] + node _T_174 = and(_T_173, io.dmi_reg_en) @[dbg.scala 193:85] + node dmstatus_havereset_wren = and(_T_174, io.dmi_reg_wr_en) @[dbg.scala 193:101] + node _T_175 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 194:49] + node _T_176 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 194:80] + node _T_177 = and(_T_175, _T_176) @[dbg.scala 194:62] + node _T_178 = and(_T_177, io.dmi_reg_en) @[dbg.scala 194:85] + node dmstatus_havereset_rst = and(_T_178, io.dmi_reg_wr_en) @[dbg.scala 194:101] + node temp_rst = asUInt(reset) @[dbg.scala 195:30] + node _T_179 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 196:37] + node _T_180 = eq(temp_rst, UInt<1>("h00")) @[dbg.scala 196:43] + node _T_181 = or(_T_179, _T_180) @[dbg.scala 196:41] + node _T_182 = bits(_T_181, 0, 0) @[dbg.scala 196:62] + dmstatus_unavail <= _T_182 @[dbg.scala 196:20] + node _T_183 = or(dmstatus_unavail, dmstatus_halted) @[dbg.scala 197:42] + node _T_184 = not(_T_183) @[dbg.scala 197:23] + dmstatus_running <= _T_184 @[dbg.scala 197:20] + reg _T_185 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when dmstatus_resumeack_wren : @[Reg.scala 28:19] - _T_191 <= dmstatus_resumeack_din @[Reg.scala 28:23] + _T_185 <= dmstatus_resumeack_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dmstatus_resumeack <= _T_191 @[dbg.scala 193:22] - node _T_192 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 197:81] - node _T_193 = eq(io.dec_tlu_mpc_halted_only, UInt<1>("h00")) @[dbg.scala 198:37] - node _T_194 = and(io.dec_tlu_dbg_halted, _T_193) @[dbg.scala 198:35] - reg _T_195 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (_T_192, UInt<1>("h00"))) @[dbg.scala 198:12] - _T_195 <= _T_194 @[dbg.scala 198:12] - dmstatus_halted <= _T_195 @[dbg.scala 197:19] - node _T_196 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 201:84] - node _T_197 = mux(dmstatus_havereset_wren, UInt<1>("h01"), dmstatus_havereset) @[dbg.scala 202:16] - node _T_198 = eq(dmstatus_havereset_rst, UInt<1>("h00")) @[dbg.scala 202:72] - node _T_199 = and(_T_197, _T_198) @[dbg.scala 202:70] - reg _T_200 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (_T_196, UInt<1>("h00"))) @[dbg.scala 202:12] - _T_200 <= _T_199 @[dbg.scala 202:12] - dmstatus_havereset <= _T_200 @[dbg.scala 201:22] + dmstatus_resumeack <= _T_185 @[dbg.scala 198:22] + node _T_186 = eq(io.dec_tlu_mpc_halted_only, UInt<1>("h00")) @[dbg.scala 203:37] + node _T_187 = and(io.dec_tlu_dbg_halted, _T_186) @[dbg.scala 203:35] + reg _T_188 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 203:12] + _T_188 <= _T_187 @[dbg.scala 203:12] + dmstatus_halted <= _T_188 @[dbg.scala 202:19] + node _T_189 = mux(dmstatus_havereset_wren, UInt<1>("h01"), dmstatus_havereset) @[dbg.scala 207:16] + node _T_190 = eq(dmstatus_havereset_rst, UInt<1>("h00")) @[dbg.scala 207:72] + node _T_191 = and(_T_189, _T_190) @[dbg.scala 207:70] + reg _T_192 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 207:12] + _T_192 <= _T_191 @[dbg.scala 207:12] + dmstatus_havereset <= _T_192 @[dbg.scala 206:22] node haltsum0_reg = cat(UInt<31>("h00"), dmstatus_halted) @[Cat.scala 29:58] wire abstractcs_reg : UInt<32> abstractcs_reg <= UInt<32>("h02") - node _T_201 = bits(abstractcs_reg, 12, 12) @[dbg.scala 208:45] - node _T_202 = and(_T_201, io.dmi_reg_en) @[dbg.scala 208:50] - node _T_203 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 208:106] - node _T_204 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 208:138] - node _T_205 = or(_T_203, _T_204) @[dbg.scala 208:119] - node _T_206 = and(io.dmi_reg_wr_en, _T_205) @[dbg.scala 208:86] - node _T_207 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 208:171] - node _T_208 = or(_T_206, _T_207) @[dbg.scala 208:152] - node abstractcs_error_sel0 = and(_T_202, _T_208) @[dbg.scala 208:66] - node _T_209 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 209:45] - node _T_210 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 209:83] - node _T_211 = and(_T_209, _T_210) @[dbg.scala 209:64] - node _T_212 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 209:117] - node _T_213 = eq(_T_212, UInt<1>("h00")) @[dbg.scala 209:126] - node _T_214 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 209:154] - node _T_215 = eq(_T_214, UInt<2>("h02")) @[dbg.scala 209:163] - node _T_216 = or(_T_213, _T_215) @[dbg.scala 209:135] - node _T_217 = eq(_T_216, UInt<1>("h00")) @[dbg.scala 209:98] - node abstractcs_error_sel1 = and(_T_211, _T_217) @[dbg.scala 209:96] - node abstractcs_error_sel2 = and(io.core_dbg_cmd_done, io.core_dbg_cmd_fail) @[dbg.scala 210:52] - node _T_218 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 211:45] - node _T_219 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 211:83] - node _T_220 = and(_T_218, _T_219) @[dbg.scala 211:64] - node _T_221 = bits(dmstatus_reg, 9, 9) @[dbg.scala 211:111] - node _T_222 = eq(_T_221, UInt<1>("h00")) @[dbg.scala 211:98] - node abstractcs_error_sel3 = and(_T_220, _T_222) @[dbg.scala 211:96] - node _T_223 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 212:48] - node _T_224 = and(_T_223, io.dmi_reg_en) @[dbg.scala 212:61] - node _T_225 = and(_T_224, io.dmi_reg_wr_en) @[dbg.scala 212:77] - node _T_226 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 213:23] - node _T_227 = neq(_T_226, UInt<3>("h02")) @[dbg.scala 213:32] - node _T_228 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 213:71] - node _T_229 = eq(_T_228, UInt<2>("h02")) @[dbg.scala 213:80] - node _T_230 = bits(data1_reg, 1, 0) @[dbg.scala 213:104] - node _T_231 = orr(_T_230) @[dbg.scala 213:111] - node _T_232 = and(_T_229, _T_231) @[dbg.scala 213:92] - node _T_233 = or(_T_227, _T_232) @[dbg.scala 213:51] - node abstractcs_error_sel4 = and(_T_225, _T_233) @[dbg.scala 212:96] - node _T_234 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 215:48] - node _T_235 = and(_T_234, io.dmi_reg_en) @[dbg.scala 215:61] - node abstractcs_error_sel5 = and(_T_235, io.dmi_reg_wr_en) @[dbg.scala 215:77] - node _T_236 = or(abstractcs_error_sel0, abstractcs_error_sel1) @[dbg.scala 216:54] - node _T_237 = or(_T_236, abstractcs_error_sel2) @[dbg.scala 216:78] - node _T_238 = or(_T_237, abstractcs_error_sel3) @[dbg.scala 216:102] - node _T_239 = or(_T_238, abstractcs_error_sel4) @[dbg.scala 216:126] - node abstractcs_error_selor = or(_T_239, abstractcs_error_sel5) @[dbg.scala 216:150] - node _T_240 = bits(abstractcs_error_sel0, 0, 0) @[Bitwise.scala 72:15] - node _T_241 = mux(_T_240, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_242 = and(_T_241, UInt<3>("h01")) @[dbg.scala 217:62] - node _T_243 = bits(abstractcs_error_sel1, 0, 0) @[Bitwise.scala 72:15] + node _T_193 = bits(abstractcs_reg, 12, 12) @[dbg.scala 213:45] + node _T_194 = and(_T_193, io.dmi_reg_en) @[dbg.scala 213:50] + node _T_195 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 213:106] + node _T_196 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 213:138] + node _T_197 = or(_T_195, _T_196) @[dbg.scala 213:119] + node _T_198 = and(io.dmi_reg_wr_en, _T_197) @[dbg.scala 213:86] + node _T_199 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 213:171] + node _T_200 = or(_T_198, _T_199) @[dbg.scala 213:152] + node abstractcs_error_sel0 = and(_T_194, _T_200) @[dbg.scala 213:66] + node _T_201 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 214:45] + node _T_202 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 214:83] + node _T_203 = and(_T_201, _T_202) @[dbg.scala 214:64] + node _T_204 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 214:117] + node _T_205 = eq(_T_204, UInt<1>("h00")) @[dbg.scala 214:126] + node _T_206 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 214:154] + node _T_207 = eq(_T_206, UInt<2>("h02")) @[dbg.scala 214:163] + node _T_208 = or(_T_205, _T_207) @[dbg.scala 214:135] + node _T_209 = eq(_T_208, UInt<1>("h00")) @[dbg.scala 214:98] + node abstractcs_error_sel1 = and(_T_203, _T_209) @[dbg.scala 214:96] + node abstractcs_error_sel2 = and(io.core_dbg_cmd_done, io.core_dbg_cmd_fail) @[dbg.scala 215:52] + node _T_210 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 216:45] + node _T_211 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 216:83] + node _T_212 = and(_T_210, _T_211) @[dbg.scala 216:64] + node _T_213 = bits(dmstatus_reg, 9, 9) @[dbg.scala 216:111] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[dbg.scala 216:98] + node abstractcs_error_sel3 = and(_T_212, _T_214) @[dbg.scala 216:96] + node _T_215 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 217:48] + node _T_216 = and(_T_215, io.dmi_reg_en) @[dbg.scala 217:61] + node _T_217 = and(_T_216, io.dmi_reg_wr_en) @[dbg.scala 217:77] + node _T_218 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 218:23] + node _T_219 = neq(_T_218, UInt<3>("h02")) @[dbg.scala 218:32] + node _T_220 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 218:71] + node _T_221 = eq(_T_220, UInt<2>("h02")) @[dbg.scala 218:80] + node _T_222 = bits(data1_reg, 1, 0) @[dbg.scala 218:104] + node _T_223 = orr(_T_222) @[dbg.scala 218:111] + node _T_224 = and(_T_221, _T_223) @[dbg.scala 218:92] + node _T_225 = or(_T_219, _T_224) @[dbg.scala 218:51] + node abstractcs_error_sel4 = and(_T_217, _T_225) @[dbg.scala 217:96] + node _T_226 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 220:48] + node _T_227 = and(_T_226, io.dmi_reg_en) @[dbg.scala 220:61] + node abstractcs_error_sel5 = and(_T_227, io.dmi_reg_wr_en) @[dbg.scala 220:77] + node _T_228 = or(abstractcs_error_sel0, abstractcs_error_sel1) @[dbg.scala 221:54] + node _T_229 = or(_T_228, abstractcs_error_sel2) @[dbg.scala 221:78] + node _T_230 = or(_T_229, abstractcs_error_sel3) @[dbg.scala 221:102] + node _T_231 = or(_T_230, abstractcs_error_sel4) @[dbg.scala 221:126] + node abstractcs_error_selor = or(_T_231, abstractcs_error_sel5) @[dbg.scala 221:150] + node _T_232 = bits(abstractcs_error_sel0, 0, 0) @[Bitwise.scala 72:15] + node _T_233 = mux(_T_232, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_234 = and(_T_233, UInt<3>("h01")) @[dbg.scala 222:62] + node _T_235 = bits(abstractcs_error_sel1, 0, 0) @[Bitwise.scala 72:15] + node _T_236 = mux(_T_235, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_237 = and(_T_236, UInt<3>("h02")) @[dbg.scala 223:37] + node _T_238 = or(_T_234, _T_237) @[dbg.scala 222:79] + node _T_239 = bits(abstractcs_error_sel2, 0, 0) @[Bitwise.scala 72:15] + node _T_240 = mux(_T_239, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_241 = and(_T_240, UInt<3>("h03")) @[dbg.scala 224:37] + node _T_242 = or(_T_238, _T_241) @[dbg.scala 223:54] + node _T_243 = bits(abstractcs_error_sel3, 0, 0) @[Bitwise.scala 72:15] node _T_244 = mux(_T_243, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_245 = and(_T_244, UInt<3>("h02")) @[dbg.scala 218:37] - node _T_246 = or(_T_242, _T_245) @[dbg.scala 217:79] - node _T_247 = bits(abstractcs_error_sel2, 0, 0) @[Bitwise.scala 72:15] + node _T_245 = and(_T_244, UInt<3>("h04")) @[dbg.scala 225:37] + node _T_246 = or(_T_242, _T_245) @[dbg.scala 224:54] + node _T_247 = bits(abstractcs_error_sel4, 0, 0) @[Bitwise.scala 72:15] node _T_248 = mux(_T_247, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_249 = and(_T_248, UInt<3>("h03")) @[dbg.scala 219:37] - node _T_250 = or(_T_246, _T_249) @[dbg.scala 218:54] - node _T_251 = bits(abstractcs_error_sel3, 0, 0) @[Bitwise.scala 72:15] + node _T_249 = and(_T_248, UInt<3>("h07")) @[dbg.scala 226:37] + node _T_250 = or(_T_246, _T_249) @[dbg.scala 225:54] + node _T_251 = bits(abstractcs_error_sel5, 0, 0) @[Bitwise.scala 72:15] node _T_252 = mux(_T_251, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_253 = and(_T_252, UInt<3>("h04")) @[dbg.scala 220:37] - node _T_254 = or(_T_250, _T_253) @[dbg.scala 219:54] - node _T_255 = bits(abstractcs_error_sel4, 0, 0) @[Bitwise.scala 72:15] - node _T_256 = mux(_T_255, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_257 = and(_T_256, UInt<3>("h07")) @[dbg.scala 221:37] - node _T_258 = or(_T_254, _T_257) @[dbg.scala 220:54] - node _T_259 = bits(abstractcs_error_sel5, 0, 0) @[Bitwise.scala 72:15] - node _T_260 = mux(_T_259, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_261 = bits(io.dmi_reg_wdata, 10, 8) @[dbg.scala 222:57] - node _T_262 = not(_T_261) @[dbg.scala 222:40] - node _T_263 = and(_T_260, _T_262) @[dbg.scala 222:37] - node _T_264 = bits(abstractcs_reg, 10, 8) @[dbg.scala 222:91] - node _T_265 = and(_T_263, _T_264) @[dbg.scala 222:75] - node _T_266 = or(_T_258, _T_265) @[dbg.scala 221:54] - node _T_267 = not(abstractcs_error_selor) @[dbg.scala 223:15] - node _T_268 = bits(_T_267, 0, 0) @[Bitwise.scala 72:15] - node _T_269 = mux(_T_268, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_270 = bits(abstractcs_reg, 10, 8) @[dbg.scala 223:66] - node _T_271 = and(_T_269, _T_270) @[dbg.scala 223:50] - node abstractcs_error_din = or(_T_266, _T_271) @[dbg.scala 222:100] - node _T_272 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 225:80] - reg abs_temp_12 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_272, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_253 = bits(io.dmi_reg_wdata, 10, 8) @[dbg.scala 227:57] + node _T_254 = not(_T_253) @[dbg.scala 227:40] + node _T_255 = and(_T_252, _T_254) @[dbg.scala 227:37] + node _T_256 = bits(abstractcs_reg, 10, 8) @[dbg.scala 227:91] + node _T_257 = and(_T_255, _T_256) @[dbg.scala 227:75] + node _T_258 = or(_T_250, _T_257) @[dbg.scala 226:54] + node _T_259 = not(abstractcs_error_selor) @[dbg.scala 228:15] + node _T_260 = bits(_T_259, 0, 0) @[Bitwise.scala 72:15] + node _T_261 = mux(_T_260, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_262 = bits(abstractcs_reg, 10, 8) @[dbg.scala 228:66] + node _T_263 = and(_T_261, _T_262) @[dbg.scala 228:50] + node abstractcs_error_din = or(_T_258, _T_263) @[dbg.scala 227:100] + reg abs_temp_12 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when abstractcs_busy_wren : @[Reg.scala 28:19] abs_temp_12 <= abstractcs_busy_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_273 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 229:82] - node _T_274 = bits(abstractcs_error_din, 2, 0) @[dbg.scala 230:33] - reg abs_temp_10_8 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_273, UInt<1>("h00"))) @[dbg.scala 230:12] - abs_temp_10_8 <= _T_274 @[dbg.scala 230:12] - node _T_275 = cat(abs_temp_10_8, UInt<8>("h02")) @[Cat.scala 29:58] - node _T_276 = cat(UInt<19>("h00"), abs_temp_12) @[Cat.scala 29:58] - node _T_277 = cat(_T_276, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_278 = cat(_T_277, _T_275) @[Cat.scala 29:58] - abstractcs_reg <= _T_278 @[dbg.scala 233:18] - node _T_279 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 235:39] - node _T_280 = and(_T_279, io.dmi_reg_en) @[dbg.scala 235:52] - node _T_281 = and(_T_280, io.dmi_reg_wr_en) @[dbg.scala 235:68] - node _T_282 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 235:100] - node command_wren = and(_T_281, _T_282) @[dbg.scala 235:87] - node _T_283 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 236:41] - node _T_284 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 236:77] - node _T_285 = bits(io.dmi_reg_wdata, 16, 0) @[dbg.scala 236:113] - node _T_286 = cat(UInt<3>("h00"), _T_285) @[Cat.scala 29:58] - node _T_287 = cat(_T_283, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_288 = cat(_T_287, _T_284) @[Cat.scala 29:58] - node command_din = cat(_T_288, _T_286) @[Cat.scala 29:58] - node _T_289 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 237:58] - inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 352:23] + node _T_264 = bits(abstractcs_error_din, 2, 0) @[dbg.scala 235:33] + reg abs_temp_10_8 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 235:12] + abs_temp_10_8 <= _T_264 @[dbg.scala 235:12] + node _T_265 = cat(abs_temp_10_8, UInt<8>("h02")) @[Cat.scala 29:58] + node _T_266 = cat(UInt<19>("h00"), abs_temp_12) @[Cat.scala 29:58] + node _T_267 = cat(_T_266, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_268 = cat(_T_267, _T_265) @[Cat.scala 29:58] + abstractcs_reg <= _T_268 @[dbg.scala 238:18] + node _T_269 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 240:39] + node _T_270 = and(_T_269, io.dmi_reg_en) @[dbg.scala 240:52] + node _T_271 = and(_T_270, io.dmi_reg_wr_en) @[dbg.scala 240:68] + node _T_272 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 240:100] + node command_wren = and(_T_271, _T_272) @[dbg.scala 240:87] + node _T_273 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 241:41] + node _T_274 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 241:77] + node _T_275 = bits(io.dmi_reg_wdata, 16, 0) @[dbg.scala 241:113] + node _T_276 = cat(UInt<3>("h00"), _T_275) @[Cat.scala 29:58] + node _T_277 = cat(_T_273, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_278 = cat(_T_277, _T_274) @[Cat.scala 29:58] + node command_din = cat(_T_278, _T_276) @[Cat.scala 29:58] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 368:23] rvclkhdr_5.clock <= clock - rvclkhdr_5.reset <= _T_289 - rvclkhdr_5.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_5.io.en <= command_wren @[lib.scala 355:17] - rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg command_reg : UInt, rvclkhdr_5.io.l1clk with : (reset => (_T_289, UInt<1>("h00"))) @[lib.scala 358:16] - command_reg <= command_din @[lib.scala 358:16] - node _T_290 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 241:39] - node _T_291 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 241:77] - node _T_292 = and(_T_290, _T_291) @[dbg.scala 241:58] - node _T_293 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 241:102] - node data0_reg_wren0 = and(_T_292, _T_293) @[dbg.scala 241:89] - node _T_294 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 242:59] - node _T_295 = and(io.core_dbg_cmd_done, _T_294) @[dbg.scala 242:46] - node _T_296 = bits(command_reg, 16, 16) @[dbg.scala 242:95] - node _T_297 = eq(_T_296, UInt<1>("h00")) @[dbg.scala 242:83] - node data0_reg_wren1 = and(_T_295, _T_297) @[dbg.scala 242:81] - node data0_reg_wren = or(data0_reg_wren0, data0_reg_wren1) @[dbg.scala 244:40] - node _T_298 = bits(data0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_299 = mux(_T_298, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_300 = and(_T_299, io.dmi_reg_wdata) @[dbg.scala 245:45] - node _T_301 = bits(data0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_302 = mux(_T_301, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_303 = and(_T_302, io.core_dbg_rddata) @[dbg.scala 245:92] - node data0_din = or(_T_300, _T_303) @[dbg.scala 245:64] - node _T_304 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 246:56] - inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 352:23] + rvclkhdr_5.reset <= dbg_dm_rst_l + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= command_wren @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg command_reg : UInt, rvclkhdr_5.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + command_reg <= command_din @[lib.scala 374:16] + node _T_279 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 246:39] + node _T_280 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 246:77] + node _T_281 = and(_T_279, _T_280) @[dbg.scala 246:58] + node _T_282 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 246:102] + node data0_reg_wren0 = and(_T_281, _T_282) @[dbg.scala 246:89] + node _T_283 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 247:59] + node _T_284 = and(io.core_dbg_cmd_done, _T_283) @[dbg.scala 247:46] + node _T_285 = bits(command_reg, 16, 16) @[dbg.scala 247:95] + node _T_286 = eq(_T_285, UInt<1>("h00")) @[dbg.scala 247:83] + node data0_reg_wren1 = and(_T_284, _T_286) @[dbg.scala 247:81] + node data0_reg_wren = or(data0_reg_wren0, data0_reg_wren1) @[dbg.scala 249:40] + node _T_287 = bits(data0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_288 = mux(_T_287, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_289 = and(_T_288, io.dmi_reg_wdata) @[dbg.scala 250:45] + node _T_290 = bits(data0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_291 = mux(_T_290, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_292 = and(_T_291, io.core_dbg_rddata) @[dbg.scala 250:92] + node data0_din = or(_T_289, _T_292) @[dbg.scala 250:64] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 368:23] rvclkhdr_6.clock <= clock - rvclkhdr_6.reset <= _T_304 - rvclkhdr_6.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_6.io.en <= data0_reg_wren @[lib.scala 355:17] - rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg data0_reg : UInt, rvclkhdr_6.io.l1clk with : (reset => (_T_304, UInt<1>("h00"))) @[lib.scala 358:16] - data0_reg <= data0_din @[lib.scala 358:16] - node _T_305 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 250:39] - node _T_306 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 250:77] - node _T_307 = and(_T_305, _T_306) @[dbg.scala 250:58] - node _T_308 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 250:102] - node data1_reg_wren = and(_T_307, _T_308) @[dbg.scala 250:89] - node _T_309 = bits(data1_reg_wren, 0, 0) @[Bitwise.scala 72:15] - node _T_310 = mux(_T_309, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node data1_din = and(_T_310, io.dmi_reg_wdata) @[dbg.scala 251:44] - node _T_311 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 252:53] - inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 352:23] + rvclkhdr_6.reset <= dbg_dm_rst_l + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= data0_reg_wren @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg data0_reg : UInt, rvclkhdr_6.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + data0_reg <= data0_din @[lib.scala 374:16] + node _T_293 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 255:39] + node _T_294 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 255:77] + node _T_295 = and(_T_293, _T_294) @[dbg.scala 255:58] + node _T_296 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 255:102] + node data1_reg_wren = and(_T_295, _T_296) @[dbg.scala 255:89] + node _T_297 = bits(data1_reg_wren, 0, 0) @[Bitwise.scala 72:15] + node _T_298 = mux(_T_297, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node data1_din = and(_T_298, io.dmi_reg_wdata) @[dbg.scala 256:44] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 368:23] rvclkhdr_7.clock <= clock - rvclkhdr_7.reset <= _T_311 - rvclkhdr_7.io.clk <= clock @[lib.scala 354:18] - rvclkhdr_7.io.en <= data1_reg_wren @[lib.scala 355:17] - rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 356:24] - reg _T_312 : UInt, rvclkhdr_7.io.l1clk with : (reset => (_T_311, UInt<1>("h00"))) @[lib.scala 358:16] - _T_312 <= data1_din @[lib.scala 358:16] - data1_reg <= _T_312 @[dbg.scala 252:13] + rvclkhdr_7.reset <= dbg_dm_rst_l + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= data1_reg_wren @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_299 : UInt, rvclkhdr_7.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + _T_299 <= data1_din @[lib.scala 374:16] + data1_reg <= _T_299 @[dbg.scala 257:13] wire dbg_nxtstate : UInt<3> dbg_nxtstate <= UInt<3>("h00") - dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 257:16] - dbg_state_en <= UInt<1>("h00") @[dbg.scala 258:16] - abstractcs_busy_wren <= UInt<1>("h00") @[dbg.scala 259:24] - abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 260:23] - io.dbg_halt_req <= UInt<1>("h00") @[dbg.scala 261:19] - io.dbg_resume_req <= UInt<1>("h00") @[dbg.scala 262:21] - node _T_313 = eq(UInt<3>("h00"), dbg_state) @[Conditional.scala 37:30] - when _T_313 : @[Conditional.scala 40:58] - node _T_314 = bits(dmstatus_reg, 9, 9) @[dbg.scala 265:39] - node _T_315 = or(_T_314, io.dec_tlu_mpc_halted_only) @[dbg.scala 265:43] - node _T_316 = mux(_T_315, UInt<3>("h02"), UInt<3>("h01")) @[dbg.scala 265:26] - dbg_nxtstate <= _T_316 @[dbg.scala 265:20] - node _T_317 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 266:38] - node _T_318 = eq(io.dec_tlu_debug_mode, UInt<1>("h00")) @[dbg.scala 266:45] - node _T_319 = and(_T_317, _T_318) @[dbg.scala 266:43] - node _T_320 = bits(dmstatus_reg, 9, 9) @[dbg.scala 266:83] - node _T_321 = or(_T_319, _T_320) @[dbg.scala 266:69] - node _T_322 = or(_T_321, io.dec_tlu_mpc_halted_only) @[dbg.scala 266:87] - node _T_323 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 266:133] - node _T_324 = eq(_T_323, UInt<1>("h00")) @[dbg.scala 266:119] - node _T_325 = and(_T_322, _T_324) @[dbg.scala 266:117] - dbg_state_en <= _T_325 @[dbg.scala 266:20] - node _T_326 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 267:40] - node _T_327 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 267:61] - node _T_328 = eq(_T_327, UInt<1>("h00")) @[dbg.scala 267:47] - node _T_329 = and(_T_326, _T_328) @[dbg.scala 267:45] - node _T_330 = bits(_T_329, 0, 0) @[dbg.scala 267:72] - io.dbg_halt_req <= _T_330 @[dbg.scala 267:23] + dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 262:16] + dbg_state_en <= UInt<1>("h00") @[dbg.scala 263:16] + abstractcs_busy_wren <= UInt<1>("h00") @[dbg.scala 264:24] + abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 265:23] + io.dbg_halt_req <= UInt<1>("h00") @[dbg.scala 266:19] + io.dbg_resume_req <= UInt<1>("h00") @[dbg.scala 267:21] + node _T_300 = eq(UInt<3>("h00"), dbg_state) @[Conditional.scala 37:30] + when _T_300 : @[Conditional.scala 40:58] + node _T_301 = bits(dmstatus_reg, 9, 9) @[dbg.scala 270:39] + node _T_302 = or(_T_301, io.dec_tlu_mpc_halted_only) @[dbg.scala 270:43] + node _T_303 = mux(_T_302, UInt<3>("h02"), UInt<3>("h01")) @[dbg.scala 270:26] + dbg_nxtstate <= _T_303 @[dbg.scala 270:20] + node _T_304 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 271:38] + node _T_305 = eq(io.dec_tlu_debug_mode, UInt<1>("h00")) @[dbg.scala 271:45] + node _T_306 = and(_T_304, _T_305) @[dbg.scala 271:43] + node _T_307 = bits(dmstatus_reg, 9, 9) @[dbg.scala 271:83] + node _T_308 = or(_T_306, _T_307) @[dbg.scala 271:69] + node _T_309 = or(_T_308, io.dec_tlu_mpc_halted_only) @[dbg.scala 271:87] + node _T_310 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 271:133] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[dbg.scala 271:119] + node _T_312 = and(_T_309, _T_311) @[dbg.scala 271:117] + dbg_state_en <= _T_312 @[dbg.scala 271:20] + node _T_313 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 272:40] + node _T_314 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 272:61] + node _T_315 = eq(_T_314, UInt<1>("h00")) @[dbg.scala 272:47] + node _T_316 = and(_T_313, _T_315) @[dbg.scala 272:45] + node _T_317 = bits(_T_316, 0, 0) @[dbg.scala 272:72] + io.dbg_halt_req <= _T_317 @[dbg.scala 272:23] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_331 = eq(UInt<3>("h01"), dbg_state) @[Conditional.scala 37:30] - when _T_331 : @[Conditional.scala 39:67] - node _T_332 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 270:40] - node _T_333 = mux(_T_332, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 270:26] - dbg_nxtstate <= _T_333 @[dbg.scala 270:20] - node _T_334 = bits(dmstatus_reg, 9, 9) @[dbg.scala 271:35] - node _T_335 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 271:54] - node _T_336 = or(_T_334, _T_335) @[dbg.scala 271:39] - dbg_state_en <= _T_336 @[dbg.scala 271:20] - node _T_337 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 272:59] - node _T_338 = and(dmcontrol_wren_Q, _T_337) @[dbg.scala 272:44] - node _T_339 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 272:81] - node _T_340 = not(_T_339) @[dbg.scala 272:67] - node _T_341 = and(_T_338, _T_340) @[dbg.scala 272:64] - node _T_342 = bits(_T_341, 0, 0) @[dbg.scala 272:102] - io.dbg_halt_req <= _T_342 @[dbg.scala 272:23] + node _T_318 = eq(UInt<3>("h01"), dbg_state) @[Conditional.scala 37:30] + when _T_318 : @[Conditional.scala 39:67] + node _T_319 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 275:40] + node _T_320 = mux(_T_319, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 275:26] + dbg_nxtstate <= _T_320 @[dbg.scala 275:20] + node _T_321 = bits(dmstatus_reg, 9, 9) @[dbg.scala 276:35] + node _T_322 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 276:54] + node _T_323 = or(_T_321, _T_322) @[dbg.scala 276:39] + dbg_state_en <= _T_323 @[dbg.scala 276:20] + node _T_324 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 277:59] + node _T_325 = and(dmcontrol_wren_Q, _T_324) @[dbg.scala 277:44] + node _T_326 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 277:81] + node _T_327 = not(_T_326) @[dbg.scala 277:67] + node _T_328 = and(_T_325, _T_327) @[dbg.scala 277:64] + node _T_329 = bits(_T_328, 0, 0) @[dbg.scala 277:102] + io.dbg_halt_req <= _T_329 @[dbg.scala 277:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_343 = eq(UInt<3>("h02"), dbg_state) @[Conditional.scala 37:30] - when _T_343 : @[Conditional.scala 39:67] - node _T_344 = bits(dmstatus_reg, 9, 9) @[dbg.scala 275:39] - node _T_345 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 275:59] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[dbg.scala 275:45] - node _T_347 = and(_T_344, _T_346) @[dbg.scala 275:43] - node _T_348 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 276:26] - node _T_349 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 276:47] - node _T_350 = eq(_T_349, UInt<1>("h00")) @[dbg.scala 276:33] - node _T_351 = and(_T_348, _T_350) @[dbg.scala 276:31] - node _T_352 = mux(_T_351, UInt<3>("h06"), UInt<3>("h03")) @[dbg.scala 276:12] - node _T_353 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 277:26] - node _T_354 = mux(_T_353, UInt<3>("h01"), UInt<3>("h00")) @[dbg.scala 277:12] - node _T_355 = mux(_T_347, _T_352, _T_354) @[dbg.scala 275:26] - dbg_nxtstate <= _T_355 @[dbg.scala 275:20] - node _T_356 = bits(dmstatus_reg, 9, 9) @[dbg.scala 278:35] - node _T_357 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 278:54] - node _T_358 = and(_T_356, _T_357) @[dbg.scala 278:39] - node _T_359 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 278:75] - node _T_360 = eq(_T_359, UInt<1>("h00")) @[dbg.scala 278:61] - node _T_361 = and(_T_358, _T_360) @[dbg.scala 278:59] - node _T_362 = and(_T_361, dmcontrol_wren_Q) @[dbg.scala 278:80] - node _T_363 = or(_T_362, command_wren) @[dbg.scala 278:99] - node _T_364 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 279:22] - node _T_365 = or(_T_363, _T_364) @[dbg.scala 278:114] - node _T_366 = bits(dmstatus_reg, 9, 9) @[dbg.scala 279:42] - node _T_367 = or(_T_366, io.dec_tlu_mpc_halted_only) @[dbg.scala 279:46] - node _T_368 = eq(_T_367, UInt<1>("h00")) @[dbg.scala 279:28] - node _T_369 = or(_T_365, _T_368) @[dbg.scala 279:26] - dbg_state_en <= _T_369 @[dbg.scala 278:20] - node _T_370 = eq(dbg_nxtstate, UInt<3>("h03")) @[dbg.scala 280:60] - node _T_371 = and(dbg_state_en, _T_370) @[dbg.scala 280:44] - abstractcs_busy_wren <= _T_371 @[dbg.scala 280:28] - abstractcs_busy_din <= UInt<1>("h01") @[dbg.scala 281:27] - node _T_372 = eq(dbg_nxtstate, UInt<3>("h06")) @[dbg.scala 282:58] - node _T_373 = and(dbg_state_en, _T_372) @[dbg.scala 282:42] - node _T_374 = bits(_T_373, 0, 0) @[dbg.scala 282:87] - io.dbg_resume_req <= _T_374 @[dbg.scala 282:25] - node _T_375 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 283:59] - node _T_376 = and(dmcontrol_wren_Q, _T_375) @[dbg.scala 283:44] - node _T_377 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 283:81] - node _T_378 = not(_T_377) @[dbg.scala 283:67] - node _T_379 = and(_T_376, _T_378) @[dbg.scala 283:64] - node _T_380 = bits(_T_379, 0, 0) @[dbg.scala 283:102] - io.dbg_halt_req <= _T_380 @[dbg.scala 283:23] + node _T_330 = eq(UInt<3>("h02"), dbg_state) @[Conditional.scala 37:30] + when _T_330 : @[Conditional.scala 39:67] + node _T_331 = bits(dmstatus_reg, 9, 9) @[dbg.scala 280:39] + node _T_332 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 280:59] + node _T_333 = eq(_T_332, UInt<1>("h00")) @[dbg.scala 280:45] + node _T_334 = and(_T_331, _T_333) @[dbg.scala 280:43] + node _T_335 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 281:26] + node _T_336 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 281:47] + node _T_337 = eq(_T_336, UInt<1>("h00")) @[dbg.scala 281:33] + node _T_338 = and(_T_335, _T_337) @[dbg.scala 281:31] + node _T_339 = mux(_T_338, UInt<3>("h06"), UInt<3>("h03")) @[dbg.scala 281:12] + node _T_340 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 282:26] + node _T_341 = mux(_T_340, UInt<3>("h01"), UInt<3>("h00")) @[dbg.scala 282:12] + node _T_342 = mux(_T_334, _T_339, _T_341) @[dbg.scala 280:26] + dbg_nxtstate <= _T_342 @[dbg.scala 280:20] + node _T_343 = bits(dmstatus_reg, 9, 9) @[dbg.scala 283:35] + node _T_344 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 283:54] + node _T_345 = and(_T_343, _T_344) @[dbg.scala 283:39] + node _T_346 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 283:75] + node _T_347 = eq(_T_346, UInt<1>("h00")) @[dbg.scala 283:61] + node _T_348 = and(_T_345, _T_347) @[dbg.scala 283:59] + node _T_349 = and(_T_348, dmcontrol_wren_Q) @[dbg.scala 283:80] + node _T_350 = or(_T_349, command_wren) @[dbg.scala 283:99] + node _T_351 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 284:22] + node _T_352 = or(_T_350, _T_351) @[dbg.scala 283:114] + node _T_353 = bits(dmstatus_reg, 9, 9) @[dbg.scala 284:42] + node _T_354 = or(_T_353, io.dec_tlu_mpc_halted_only) @[dbg.scala 284:46] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[dbg.scala 284:28] + node _T_356 = or(_T_352, _T_355) @[dbg.scala 284:26] + dbg_state_en <= _T_356 @[dbg.scala 283:20] + node _T_357 = eq(dbg_nxtstate, UInt<3>("h03")) @[dbg.scala 285:60] + node _T_358 = and(dbg_state_en, _T_357) @[dbg.scala 285:44] + abstractcs_busy_wren <= _T_358 @[dbg.scala 285:28] + abstractcs_busy_din <= UInt<1>("h01") @[dbg.scala 286:27] + node _T_359 = eq(dbg_nxtstate, UInt<3>("h06")) @[dbg.scala 287:58] + node _T_360 = and(dbg_state_en, _T_359) @[dbg.scala 287:42] + node _T_361 = bits(_T_360, 0, 0) @[dbg.scala 287:87] + io.dbg_resume_req <= _T_361 @[dbg.scala 287:25] + node _T_362 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 288:59] + node _T_363 = and(dmcontrol_wren_Q, _T_362) @[dbg.scala 288:44] + node _T_364 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 288:81] + node _T_365 = not(_T_364) @[dbg.scala 288:67] + node _T_366 = and(_T_363, _T_365) @[dbg.scala 288:64] + node _T_367 = bits(_T_366, 0, 0) @[dbg.scala 288:102] + io.dbg_halt_req <= _T_367 @[dbg.scala 288:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_381 = eq(UInt<3>("h03"), dbg_state) @[Conditional.scala 37:30] - when _T_381 : @[Conditional.scala 39:67] - node _T_382 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 286:40] - node _T_383 = bits(abstractcs_reg, 10, 8) @[dbg.scala 286:77] - node _T_384 = orr(_T_383) @[dbg.scala 286:85] - node _T_385 = mux(_T_384, UInt<3>("h05"), UInt<3>("h04")) @[dbg.scala 286:62] - node _T_386 = mux(_T_382, UInt<3>("h00"), _T_385) @[dbg.scala 286:26] - dbg_nxtstate <= _T_386 @[dbg.scala 286:20] - node _T_387 = bits(abstractcs_reg, 10, 8) @[dbg.scala 287:71] - node _T_388 = orr(_T_387) @[dbg.scala 287:79] - node _T_389 = or(io.dbg_dec.dbg_ib.dbg_cmd_valid, _T_388) @[dbg.scala 287:55] - node _T_390 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 287:98] - node _T_391 = or(_T_389, _T_390) @[dbg.scala 287:83] - dbg_state_en <= _T_391 @[dbg.scala 287:20] - node _T_392 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 288:59] - node _T_393 = and(dmcontrol_wren_Q, _T_392) @[dbg.scala 288:44] - node _T_394 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 288:81] - node _T_395 = not(_T_394) @[dbg.scala 288:67] - node _T_396 = and(_T_393, _T_395) @[dbg.scala 288:64] - node _T_397 = bits(_T_396, 0, 0) @[dbg.scala 288:102] - io.dbg_halt_req <= _T_397 @[dbg.scala 288:23] + node _T_368 = eq(UInt<3>("h03"), dbg_state) @[Conditional.scala 37:30] + when _T_368 : @[Conditional.scala 39:67] + node _T_369 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 291:40] + node _T_370 = bits(abstractcs_reg, 10, 8) @[dbg.scala 291:77] + node _T_371 = orr(_T_370) @[dbg.scala 291:85] + node _T_372 = mux(_T_371, UInt<3>("h05"), UInt<3>("h04")) @[dbg.scala 291:62] + node _T_373 = mux(_T_369, UInt<3>("h00"), _T_372) @[dbg.scala 291:26] + dbg_nxtstate <= _T_373 @[dbg.scala 291:20] + node _T_374 = bits(abstractcs_reg, 10, 8) @[dbg.scala 292:71] + node _T_375 = orr(_T_374) @[dbg.scala 292:79] + node _T_376 = or(io.dbg_dec.dbg_ib.dbg_cmd_valid, _T_375) @[dbg.scala 292:55] + node _T_377 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 292:98] + node _T_378 = or(_T_376, _T_377) @[dbg.scala 292:83] + dbg_state_en <= _T_378 @[dbg.scala 292:20] + node _T_379 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 293:59] + node _T_380 = and(dmcontrol_wren_Q, _T_379) @[dbg.scala 293:44] + node _T_381 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 293:81] + node _T_382 = not(_T_381) @[dbg.scala 293:67] + node _T_383 = and(_T_380, _T_382) @[dbg.scala 293:64] + node _T_384 = bits(_T_383, 0, 0) @[dbg.scala 293:102] + io.dbg_halt_req <= _T_384 @[dbg.scala 293:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_398 = eq(UInt<3>("h04"), dbg_state) @[Conditional.scala 37:30] - when _T_398 : @[Conditional.scala 39:67] - node _T_399 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 291:40] - node _T_400 = mux(_T_399, UInt<3>("h00"), UInt<3>("h05")) @[dbg.scala 291:26] - dbg_nxtstate <= _T_400 @[dbg.scala 291:20] - node _T_401 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 292:59] - node _T_402 = or(io.core_dbg_cmd_done, _T_401) @[dbg.scala 292:44] - dbg_state_en <= _T_402 @[dbg.scala 292:20] - node _T_403 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 293:59] - node _T_404 = and(dmcontrol_wren_Q, _T_403) @[dbg.scala 293:44] - node _T_405 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 293:81] - node _T_406 = not(_T_405) @[dbg.scala 293:67] - node _T_407 = and(_T_404, _T_406) @[dbg.scala 293:64] - node _T_408 = bits(_T_407, 0, 0) @[dbg.scala 293:102] - io.dbg_halt_req <= _T_408 @[dbg.scala 293:23] + node _T_385 = eq(UInt<3>("h04"), dbg_state) @[Conditional.scala 37:30] + when _T_385 : @[Conditional.scala 39:67] + node _T_386 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 296:40] + node _T_387 = mux(_T_386, UInt<3>("h00"), UInt<3>("h05")) @[dbg.scala 296:26] + dbg_nxtstate <= _T_387 @[dbg.scala 296:20] + node _T_388 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 297:59] + node _T_389 = or(io.core_dbg_cmd_done, _T_388) @[dbg.scala 297:44] + dbg_state_en <= _T_389 @[dbg.scala 297:20] + node _T_390 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 298:59] + node _T_391 = and(dmcontrol_wren_Q, _T_390) @[dbg.scala 298:44] + node _T_392 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 298:81] + node _T_393 = not(_T_392) @[dbg.scala 298:67] + node _T_394 = and(_T_391, _T_393) @[dbg.scala 298:64] + node _T_395 = bits(_T_394, 0, 0) @[dbg.scala 298:102] + io.dbg_halt_req <= _T_395 @[dbg.scala 298:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_409 = eq(UInt<3>("h05"), dbg_state) @[Conditional.scala 37:30] - when _T_409 : @[Conditional.scala 39:67] - node _T_410 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 296:40] - node _T_411 = mux(_T_410, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 296:26] - dbg_nxtstate <= _T_411 @[dbg.scala 296:20] - dbg_state_en <= UInt<1>("h01") @[dbg.scala 297:20] - abstractcs_busy_wren <= dbg_state_en @[dbg.scala 298:28] - abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 299:27] - node _T_412 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 300:59] - node _T_413 = and(dmcontrol_wren_Q, _T_412) @[dbg.scala 300:44] - node _T_414 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 300:81] - node _T_415 = not(_T_414) @[dbg.scala 300:67] - node _T_416 = and(_T_413, _T_415) @[dbg.scala 300:64] - node _T_417 = bits(_T_416, 0, 0) @[dbg.scala 300:102] - io.dbg_halt_req <= _T_417 @[dbg.scala 300:23] + node _T_396 = eq(UInt<3>("h05"), dbg_state) @[Conditional.scala 37:30] + when _T_396 : @[Conditional.scala 39:67] + node _T_397 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 301:40] + node _T_398 = mux(_T_397, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 301:26] + dbg_nxtstate <= _T_398 @[dbg.scala 301:20] + dbg_state_en <= UInt<1>("h01") @[dbg.scala 302:20] + abstractcs_busy_wren <= dbg_state_en @[dbg.scala 303:28] + abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 304:27] + node _T_399 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 305:59] + node _T_400 = and(dmcontrol_wren_Q, _T_399) @[dbg.scala 305:44] + node _T_401 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 305:81] + node _T_402 = not(_T_401) @[dbg.scala 305:67] + node _T_403 = and(_T_400, _T_402) @[dbg.scala 305:64] + node _T_404 = bits(_T_403, 0, 0) @[dbg.scala 305:102] + io.dbg_halt_req <= _T_404 @[dbg.scala 305:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_418 = eq(UInt<3>("h06"), dbg_state) @[Conditional.scala 37:30] - when _T_418 : @[Conditional.scala 39:67] - dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 303:20] - node _T_419 = bits(dmstatus_reg, 17, 17) @[dbg.scala 304:35] - node _T_420 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 304:55] - node _T_421 = or(_T_419, _T_420) @[dbg.scala 304:40] - dbg_state_en <= _T_421 @[dbg.scala 304:20] - node _T_422 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 305:59] - node _T_423 = and(dmcontrol_wren_Q, _T_422) @[dbg.scala 305:44] - node _T_424 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 305:81] - node _T_425 = not(_T_424) @[dbg.scala 305:67] - node _T_426 = and(_T_423, _T_425) @[dbg.scala 305:64] - node _T_427 = bits(_T_426, 0, 0) @[dbg.scala 305:102] - io.dbg_halt_req <= _T_427 @[dbg.scala 305:23] + node _T_405 = eq(UInt<3>("h06"), dbg_state) @[Conditional.scala 37:30] + when _T_405 : @[Conditional.scala 39:67] + dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 308:20] + node _T_406 = bits(dmstatus_reg, 17, 17) @[dbg.scala 309:35] + node _T_407 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 309:55] + node _T_408 = or(_T_406, _T_407) @[dbg.scala 309:40] + dbg_state_en <= _T_408 @[dbg.scala 309:20] + node _T_409 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 310:59] + node _T_410 = and(dmcontrol_wren_Q, _T_409) @[dbg.scala 310:44] + node _T_411 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 310:81] + node _T_412 = not(_T_411) @[dbg.scala 310:67] + node _T_413 = and(_T_410, _T_412) @[dbg.scala 310:64] + node _T_414 = bits(_T_413, 0, 0) @[dbg.scala 310:102] + io.dbg_halt_req <= _T_414 @[dbg.scala 310:23] skip @[Conditional.scala 39:67] - node _T_428 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 308:52] - node _T_429 = bits(_T_428, 0, 0) @[Bitwise.scala 72:15] - node _T_430 = mux(_T_429, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_431 = and(_T_430, data0_reg) @[dbg.scala 308:71] - node _T_432 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 308:110] - node _T_433 = bits(_T_432, 0, 0) @[Bitwise.scala 72:15] - node _T_434 = mux(_T_433, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_435 = and(_T_434, data1_reg) @[dbg.scala 308:122] - node _T_436 = or(_T_431, _T_435) @[dbg.scala 308:83] - node _T_437 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 309:30] - node _T_438 = bits(_T_437, 0, 0) @[Bitwise.scala 72:15] - node _T_439 = mux(_T_438, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_440 = and(_T_439, dmcontrol_reg) @[dbg.scala 309:43] - node _T_441 = or(_T_436, _T_440) @[dbg.scala 308:134] - node _T_442 = eq(io.dmi_reg_addr, UInt<5>("h011")) @[dbg.scala 309:86] - node _T_443 = bits(_T_442, 0, 0) @[Bitwise.scala 72:15] - node _T_444 = mux(_T_443, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_445 = and(_T_444, dmstatus_reg) @[dbg.scala 309:99] - node _T_446 = or(_T_441, _T_445) @[dbg.scala 309:59] - node _T_447 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 310:30] - node _T_448 = bits(_T_447, 0, 0) @[Bitwise.scala 72:15] - node _T_449 = mux(_T_448, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_450 = and(_T_449, abstractcs_reg) @[dbg.scala 310:43] - node _T_451 = or(_T_446, _T_450) @[dbg.scala 309:114] - node _T_452 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 310:87] - node _T_453 = bits(_T_452, 0, 0) @[Bitwise.scala 72:15] - node _T_454 = mux(_T_453, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_455 = and(_T_454, command_reg) @[dbg.scala 310:100] - node _T_456 = or(_T_451, _T_455) @[dbg.scala 310:60] - node _T_457 = eq(io.dmi_reg_addr, UInt<7>("h040")) @[dbg.scala 311:30] - node _T_458 = bits(_T_457, 0, 0) @[Bitwise.scala 72:15] - node _T_459 = mux(_T_458, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_460 = and(_T_459, haltsum0_reg) @[dbg.scala 311:43] - node _T_461 = or(_T_456, _T_460) @[dbg.scala 310:114] - node _T_462 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 311:85] - node _T_463 = bits(_T_462, 0, 0) @[Bitwise.scala 72:15] - node _T_464 = mux(_T_463, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_465 = and(_T_464, sbcs_reg) @[dbg.scala 311:98] - node _T_466 = or(_T_461, _T_465) @[dbg.scala 311:58] - node _T_467 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 312:30] - node _T_468 = bits(_T_467, 0, 0) @[Bitwise.scala 72:15] - node _T_469 = mux(_T_468, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_470 = and(_T_469, sbaddress0_reg) @[dbg.scala 312:43] - node _T_471 = or(_T_466, _T_470) @[dbg.scala 311:109] - node _T_472 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 312:87] - node _T_473 = bits(_T_472, 0, 0) @[Bitwise.scala 72:15] - node _T_474 = mux(_T_473, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_475 = and(_T_474, sbdata0_reg) @[dbg.scala 312:100] - node _T_476 = or(_T_471, _T_475) @[dbg.scala 312:60] - node _T_477 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 313:30] - node _T_478 = bits(_T_477, 0, 0) @[Bitwise.scala 72:15] - node _T_479 = mux(_T_478, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_480 = and(_T_479, sbdata1_reg) @[dbg.scala 313:43] - node dmi_reg_rdata_din = or(_T_476, _T_480) @[dbg.scala 312:114] - node _T_481 = and(dbg_dm_rst_l, temp_rst) @[dbg.scala 315:62] - node _T_482 = asAsyncReset(_T_481) @[dbg.scala 315:86] - reg _T_483 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_482, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_415 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 313:52] + node _T_416 = bits(_T_415, 0, 0) @[Bitwise.scala 72:15] + node _T_417 = mux(_T_416, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_418 = and(_T_417, data0_reg) @[dbg.scala 313:71] + node _T_419 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 313:110] + node _T_420 = bits(_T_419, 0, 0) @[Bitwise.scala 72:15] + node _T_421 = mux(_T_420, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_422 = and(_T_421, data1_reg) @[dbg.scala 313:122] + node _T_423 = or(_T_418, _T_422) @[dbg.scala 313:83] + node _T_424 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 314:30] + node _T_425 = bits(_T_424, 0, 0) @[Bitwise.scala 72:15] + node _T_426 = mux(_T_425, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_427 = and(_T_426, dmcontrol_reg) @[dbg.scala 314:43] + node _T_428 = or(_T_423, _T_427) @[dbg.scala 313:134] + node _T_429 = eq(io.dmi_reg_addr, UInt<5>("h011")) @[dbg.scala 314:86] + node _T_430 = bits(_T_429, 0, 0) @[Bitwise.scala 72:15] + node _T_431 = mux(_T_430, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_432 = and(_T_431, dmstatus_reg) @[dbg.scala 314:99] + node _T_433 = or(_T_428, _T_432) @[dbg.scala 314:59] + node _T_434 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 315:30] + node _T_435 = bits(_T_434, 0, 0) @[Bitwise.scala 72:15] + node _T_436 = mux(_T_435, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_437 = and(_T_436, abstractcs_reg) @[dbg.scala 315:43] + node _T_438 = or(_T_433, _T_437) @[dbg.scala 314:114] + node _T_439 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 315:87] + node _T_440 = bits(_T_439, 0, 0) @[Bitwise.scala 72:15] + node _T_441 = mux(_T_440, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_442 = and(_T_441, command_reg) @[dbg.scala 315:100] + node _T_443 = or(_T_438, _T_442) @[dbg.scala 315:60] + node _T_444 = eq(io.dmi_reg_addr, UInt<7>("h040")) @[dbg.scala 316:30] + node _T_445 = bits(_T_444, 0, 0) @[Bitwise.scala 72:15] + node _T_446 = mux(_T_445, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_447 = and(_T_446, haltsum0_reg) @[dbg.scala 316:43] + node _T_448 = or(_T_443, _T_447) @[dbg.scala 315:114] + node _T_449 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 316:85] + node _T_450 = bits(_T_449, 0, 0) @[Bitwise.scala 72:15] + node _T_451 = mux(_T_450, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_452 = and(_T_451, sbcs_reg) @[dbg.scala 316:98] + node _T_453 = or(_T_448, _T_452) @[dbg.scala 316:58] + node _T_454 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 317:30] + node _T_455 = bits(_T_454, 0, 0) @[Bitwise.scala 72:15] + node _T_456 = mux(_T_455, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_457 = and(_T_456, sbaddress0_reg) @[dbg.scala 317:43] + node _T_458 = or(_T_453, _T_457) @[dbg.scala 316:109] + node _T_459 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 317:87] + node _T_460 = bits(_T_459, 0, 0) @[Bitwise.scala 72:15] + node _T_461 = mux(_T_460, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_462 = and(_T_461, sbdata0_reg) @[dbg.scala 317:100] + node _T_463 = or(_T_458, _T_462) @[dbg.scala 317:60] + node _T_464 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 318:30] + node _T_465 = bits(_T_464, 0, 0) @[Bitwise.scala 72:15] + node _T_466 = mux(_T_465, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_467 = and(_T_466, sbdata1_reg) @[dbg.scala 318:43] + node dmi_reg_rdata_din = or(_T_463, _T_467) @[dbg.scala 317:114] + reg _T_468 : UInt, rvclkhdr.io.l1clk with : (reset => (rst_temp, UInt<1>("h00"))) @[Reg.scala 27:20] when dbg_state_en : @[Reg.scala 28:19] - _T_483 <= dbg_nxtstate @[Reg.scala 28:23] + _T_468 <= dbg_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dbg_state <= _T_483 @[dbg.scala 315:13] - node _T_484 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 320:82] - reg _T_485 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_484, UInt<1>("h00"))) @[Reg.scala 27:20] + dbg_state <= _T_468 @[dbg.scala 320:13] + reg _T_469 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when io.dmi_reg_en : @[Reg.scala 28:19] - _T_485 <= dmi_reg_rdata_din @[Reg.scala 28:23] + _T_469 <= dmi_reg_rdata_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.dmi_reg_rdata <= _T_485 @[dbg.scala 320:20] - node _T_486 = bits(command_reg, 31, 24) @[dbg.scala 324:53] - node _T_487 = eq(_T_486, UInt<2>("h02")) @[dbg.scala 324:62] - node _T_488 = bits(data1_reg, 31, 2) @[dbg.scala 324:88] - node _T_489 = cat(_T_488, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_490 = bits(command_reg, 11, 0) @[dbg.scala 324:138] - node _T_491 = cat(UInt<20>("h00"), _T_490) @[Cat.scala 29:58] - node _T_492 = mux(_T_487, _T_489, _T_491) @[dbg.scala 324:40] - io.dbg_dec.dbg_ib.dbg_cmd_addr <= _T_492 @[dbg.scala 324:34] - node _T_493 = bits(data0_reg, 31, 0) @[dbg.scala 325:50] - io.dbg_dec.dbg_dctl.dbg_cmd_wrdata <= _T_493 @[dbg.scala 325:38] - node _T_494 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 326:50] - node _T_495 = bits(abstractcs_reg, 10, 8) @[dbg.scala 326:91] - node _T_496 = orr(_T_495) @[dbg.scala 326:99] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[dbg.scala 326:75] - node _T_498 = and(_T_494, _T_497) @[dbg.scala 326:73] - node _T_499 = and(_T_498, io.dbg_dma_io.dma_dbg_ready) @[dbg.scala 326:104] - node _T_500 = bits(_T_499, 0, 0) @[dbg.scala 326:141] - io.dbg_dec.dbg_ib.dbg_cmd_valid <= _T_500 @[dbg.scala 326:35] - node _T_501 = bits(command_reg, 16, 16) @[dbg.scala 327:49] - node _T_502 = bits(_T_501, 0, 0) @[dbg.scala 327:60] - io.dbg_dec.dbg_ib.dbg_cmd_write <= _T_502 @[dbg.scala 327:35] - node _T_503 = bits(command_reg, 31, 24) @[dbg.scala 328:53] - node _T_504 = eq(_T_503, UInt<2>("h02")) @[dbg.scala 328:62] - node _T_505 = bits(command_reg, 15, 12) @[dbg.scala 328:113] - node _T_506 = eq(_T_505, UInt<1>("h00")) @[dbg.scala 328:122] - node _T_507 = cat(UInt<1>("h00"), _T_506) @[Cat.scala 29:58] - node _T_508 = mux(_T_504, UInt<2>("h02"), _T_507) @[dbg.scala 328:40] - io.dbg_dec.dbg_ib.dbg_cmd_type <= _T_508 @[dbg.scala 328:34] - node _T_509 = bits(command_reg, 21, 20) @[dbg.scala 329:33] - io.dbg_cmd_size <= _T_509 @[dbg.scala 329:19] - node _T_510 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 330:47] - node _T_511 = bits(abstractcs_reg, 10, 8) @[dbg.scala 330:88] - node _T_512 = orr(_T_511) @[dbg.scala 330:96] - node _T_513 = eq(_T_512, UInt<1>("h00")) @[dbg.scala 330:72] - node _T_514 = and(_T_510, _T_513) @[dbg.scala 330:70] - node _T_515 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 330:114] - node _T_516 = or(_T_514, _T_515) @[dbg.scala 330:101] - node _T_517 = bits(_T_516, 0, 0) @[dbg.scala 330:143] - io.dbg_dma_io.dbg_dma_bubble <= _T_517 @[dbg.scala 330:32] + io.dmi_reg_rdata <= _T_469 @[dbg.scala 325:20] + node _T_470 = bits(command_reg, 31, 24) @[dbg.scala 329:53] + node _T_471 = eq(_T_470, UInt<2>("h02")) @[dbg.scala 329:62] + node _T_472 = bits(data1_reg, 31, 2) @[dbg.scala 329:88] + node _T_473 = cat(_T_472, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_474 = bits(command_reg, 11, 0) @[dbg.scala 329:138] + node _T_475 = cat(UInt<20>("h00"), _T_474) @[Cat.scala 29:58] + node _T_476 = mux(_T_471, _T_473, _T_475) @[dbg.scala 329:40] + io.dbg_dec.dbg_ib.dbg_cmd_addr <= _T_476 @[dbg.scala 329:34] + node _T_477 = bits(data0_reg, 31, 0) @[dbg.scala 330:50] + io.dbg_dec.dbg_dctl.dbg_cmd_wrdata <= _T_477 @[dbg.scala 330:38] + node _T_478 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 331:50] + node _T_479 = bits(abstractcs_reg, 10, 8) @[dbg.scala 331:91] + node _T_480 = orr(_T_479) @[dbg.scala 331:99] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[dbg.scala 331:75] + node _T_482 = and(_T_478, _T_481) @[dbg.scala 331:73] + node _T_483 = and(_T_482, io.dbg_dma_io.dma_dbg_ready) @[dbg.scala 331:104] + node _T_484 = bits(_T_483, 0, 0) @[dbg.scala 331:141] + io.dbg_dec.dbg_ib.dbg_cmd_valid <= _T_484 @[dbg.scala 331:35] + node _T_485 = bits(command_reg, 16, 16) @[dbg.scala 332:49] + node _T_486 = bits(_T_485, 0, 0) @[dbg.scala 332:60] + io.dbg_dec.dbg_ib.dbg_cmd_write <= _T_486 @[dbg.scala 332:35] + node _T_487 = bits(command_reg, 31, 24) @[dbg.scala 333:53] + node _T_488 = eq(_T_487, UInt<2>("h02")) @[dbg.scala 333:62] + node _T_489 = bits(command_reg, 15, 12) @[dbg.scala 333:113] + node _T_490 = eq(_T_489, UInt<1>("h00")) @[dbg.scala 333:122] + node _T_491 = cat(UInt<1>("h00"), _T_490) @[Cat.scala 29:58] + node _T_492 = mux(_T_488, UInt<2>("h02"), _T_491) @[dbg.scala 333:40] + io.dbg_dec.dbg_ib.dbg_cmd_type <= _T_492 @[dbg.scala 333:34] + node _T_493 = bits(command_reg, 21, 20) @[dbg.scala 334:33] + io.dbg_cmd_size <= _T_493 @[dbg.scala 334:19] + node _T_494 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 335:47] + node _T_495 = bits(abstractcs_reg, 10, 8) @[dbg.scala 335:88] + node _T_496 = orr(_T_495) @[dbg.scala 335:96] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[dbg.scala 335:72] + node _T_498 = and(_T_494, _T_497) @[dbg.scala 335:70] + node _T_499 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 335:114] + node _T_500 = or(_T_498, _T_499) @[dbg.scala 335:101] + node _T_501 = bits(_T_500, 0, 0) @[dbg.scala 335:143] + io.dbg_dma_io.dbg_dma_bubble <= _T_501 @[dbg.scala 335:32] wire sb_nxtstate : UInt<4> sb_nxtstate <= UInt<4>("h00") - sb_nxtstate <= UInt<4>("h00") @[dbg.scala 333:15] - sbcs_sbbusy_wren <= UInt<1>("h00") @[dbg.scala 335:20] - sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 336:19] - sbcs_sberror_wren <= UInt<1>("h00") @[dbg.scala 337:21] - sbcs_sberror_din <= UInt<3>("h00") @[dbg.scala 338:20] - sbaddress0_reg_wren1 <= UInt<1>("h00") @[dbg.scala 339:24] - node _T_518 = eq(UInt<4>("h00"), sb_state) @[Conditional.scala 37:30] - when _T_518 : @[Conditional.scala 40:58] - node _T_519 = mux(sbdata0wr_access, UInt<4>("h02"), UInt<4>("h01")) @[dbg.scala 342:25] - sb_nxtstate <= _T_519 @[dbg.scala 342:19] - node _T_520 = or(sbdata0wr_access, sbreadondata_access) @[dbg.scala 343:39] - node _T_521 = or(_T_520, sbreadonaddr_access) @[dbg.scala 343:61] - sb_state_en <= _T_521 @[dbg.scala 343:19] - sbcs_sbbusy_wren <= sb_state_en @[dbg.scala 344:24] - sbcs_sbbusy_din <= UInt<1>("h01") @[dbg.scala 345:23] - node _T_522 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 346:56] - node _T_523 = orr(_T_522) @[dbg.scala 346:65] - node _T_524 = and(sbcs_wren, _T_523) @[dbg.scala 346:38] - sbcs_sberror_wren <= _T_524 @[dbg.scala 346:25] - node _T_525 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 347:44] - node _T_526 = not(_T_525) @[dbg.scala 347:27] - node _T_527 = bits(sbcs_reg, 14, 12) @[dbg.scala 347:63] - node _T_528 = and(_T_526, _T_527) @[dbg.scala 347:53] - sbcs_sberror_din <= _T_528 @[dbg.scala 347:24] + sb_nxtstate <= UInt<4>("h00") @[dbg.scala 338:15] + sbcs_sbbusy_wren <= UInt<1>("h00") @[dbg.scala 340:20] + sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 341:19] + sbcs_sberror_wren <= UInt<1>("h00") @[dbg.scala 342:21] + sbcs_sberror_din <= UInt<3>("h00") @[dbg.scala 343:20] + sbaddress0_reg_wren1 <= UInt<1>("h00") @[dbg.scala 344:24] + node _T_502 = eq(UInt<4>("h00"), sb_state) @[Conditional.scala 37:30] + when _T_502 : @[Conditional.scala 40:58] + node _T_503 = mux(sbdata0wr_access, UInt<4>("h02"), UInt<4>("h01")) @[dbg.scala 347:25] + sb_nxtstate <= _T_503 @[dbg.scala 347:19] + node _T_504 = or(sbdata0wr_access, sbreadondata_access) @[dbg.scala 348:39] + node _T_505 = or(_T_504, sbreadonaddr_access) @[dbg.scala 348:61] + sb_state_en <= _T_505 @[dbg.scala 348:19] + sbcs_sbbusy_wren <= sb_state_en @[dbg.scala 349:24] + sbcs_sbbusy_din <= UInt<1>("h01") @[dbg.scala 350:23] + node _T_506 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 351:56] + node _T_507 = orr(_T_506) @[dbg.scala 351:65] + node _T_508 = and(sbcs_wren, _T_507) @[dbg.scala 351:38] + sbcs_sberror_wren <= _T_508 @[dbg.scala 351:25] + node _T_509 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 352:44] + node _T_510 = not(_T_509) @[dbg.scala 352:27] + node _T_511 = bits(sbcs_reg, 14, 12) @[dbg.scala 352:63] + node _T_512 = and(_T_510, _T_511) @[dbg.scala 352:53] + sbcs_sberror_din <= _T_512 @[dbg.scala 352:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_529 = eq(UInt<4>("h01"), sb_state) @[Conditional.scala 37:30] - when _T_529 : @[Conditional.scala 39:67] - node _T_530 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 350:41] - node _T_531 = mux(_T_530, UInt<4>("h09"), UInt<4>("h03")) @[dbg.scala 350:25] - sb_nxtstate <= _T_531 @[dbg.scala 350:19] - node _T_532 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 351:40] - node _T_533 = or(_T_532, sbcs_illegal_size) @[dbg.scala 351:57] - sb_state_en <= _T_533 @[dbg.scala 351:19] - node _T_534 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 352:43] - sbcs_sberror_wren <= _T_534 @[dbg.scala 352:25] - node _T_535 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 353:30] - sbcs_sberror_din <= _T_535 @[dbg.scala 353:24] + node _T_513 = eq(UInt<4>("h01"), sb_state) @[Conditional.scala 37:30] + when _T_513 : @[Conditional.scala 39:67] + node _T_514 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 355:41] + node _T_515 = mux(_T_514, UInt<4>("h09"), UInt<4>("h03")) @[dbg.scala 355:25] + sb_nxtstate <= _T_515 @[dbg.scala 355:19] + node _T_516 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 356:40] + node _T_517 = or(_T_516, sbcs_illegal_size) @[dbg.scala 356:57] + sb_state_en <= _T_517 @[dbg.scala 356:19] + node _T_518 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 357:43] + sbcs_sberror_wren <= _T_518 @[dbg.scala 357:25] + node _T_519 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 358:30] + sbcs_sberror_din <= _T_519 @[dbg.scala 358:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_536 = eq(UInt<4>("h02"), sb_state) @[Conditional.scala 37:30] - when _T_536 : @[Conditional.scala 39:67] - node _T_537 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 356:41] - node _T_538 = mux(_T_537, UInt<4>("h09"), UInt<4>("h04")) @[dbg.scala 356:25] - sb_nxtstate <= _T_538 @[dbg.scala 356:19] - node _T_539 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 357:40] - node _T_540 = or(_T_539, sbcs_illegal_size) @[dbg.scala 357:57] - sb_state_en <= _T_540 @[dbg.scala 357:19] - node _T_541 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 358:43] - sbcs_sberror_wren <= _T_541 @[dbg.scala 358:25] - node _T_542 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 359:30] - sbcs_sberror_din <= _T_542 @[dbg.scala 359:24] + node _T_520 = eq(UInt<4>("h02"), sb_state) @[Conditional.scala 37:30] + when _T_520 : @[Conditional.scala 39:67] + node _T_521 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 361:41] + node _T_522 = mux(_T_521, UInt<4>("h09"), UInt<4>("h04")) @[dbg.scala 361:25] + sb_nxtstate <= _T_522 @[dbg.scala 361:19] + node _T_523 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 362:40] + node _T_524 = or(_T_523, sbcs_illegal_size) @[dbg.scala 362:57] + sb_state_en <= _T_524 @[dbg.scala 362:19] + node _T_525 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 363:43] + sbcs_sberror_wren <= _T_525 @[dbg.scala 363:25] + node _T_526 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 364:30] + sbcs_sberror_din <= _T_526 @[dbg.scala 364:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_543 = eq(UInt<4>("h03"), sb_state) @[Conditional.scala 37:30] - when _T_543 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h07") @[dbg.scala 362:19] - node _T_544 = and(sb_bus_cmd_read, io.dbg_bus_clk_en) @[dbg.scala 363:38] - sb_state_en <= _T_544 @[dbg.scala 363:19] + node _T_527 = eq(UInt<4>("h03"), sb_state) @[Conditional.scala 37:30] + when _T_527 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h07") @[dbg.scala 367:19] + node _T_528 = and(sb_bus_cmd_read, io.dbg_bus_clk_en) @[dbg.scala 368:38] + sb_state_en <= _T_528 @[dbg.scala 368:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_545 = eq(UInt<4>("h04"), sb_state) @[Conditional.scala 37:30] - when _T_545 : @[Conditional.scala 39:67] - node _T_546 = and(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 366:48] - node _T_547 = mux(sb_bus_cmd_write_data, UInt<4>("h05"), UInt<4>("h06")) @[dbg.scala 366:95] - node _T_548 = mux(_T_546, UInt<4>("h08"), _T_547) @[dbg.scala 366:25] - sb_nxtstate <= _T_548 @[dbg.scala 366:19] - node _T_549 = or(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 367:45] - node _T_550 = and(_T_549, io.dbg_bus_clk_en) @[dbg.scala 367:70] - sb_state_en <= _T_550 @[dbg.scala 367:19] + node _T_529 = eq(UInt<4>("h04"), sb_state) @[Conditional.scala 37:30] + when _T_529 : @[Conditional.scala 39:67] + node _T_530 = and(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 371:48] + node _T_531 = mux(sb_bus_cmd_write_data, UInt<4>("h05"), UInt<4>("h06")) @[dbg.scala 371:95] + node _T_532 = mux(_T_530, UInt<4>("h08"), _T_531) @[dbg.scala 371:25] + sb_nxtstate <= _T_532 @[dbg.scala 371:19] + node _T_533 = or(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 372:45] + node _T_534 = and(_T_533, io.dbg_bus_clk_en) @[dbg.scala 372:70] + sb_state_en <= _T_534 @[dbg.scala 372:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_551 = eq(UInt<4>("h05"), sb_state) @[Conditional.scala 37:30] - when _T_551 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h08") @[dbg.scala 370:19] - node _T_552 = and(sb_bus_cmd_write_addr, io.dbg_bus_clk_en) @[dbg.scala 371:44] - sb_state_en <= _T_552 @[dbg.scala 371:19] + node _T_535 = eq(UInt<4>("h05"), sb_state) @[Conditional.scala 37:30] + when _T_535 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h08") @[dbg.scala 375:19] + node _T_536 = and(sb_bus_cmd_write_addr, io.dbg_bus_clk_en) @[dbg.scala 376:44] + sb_state_en <= _T_536 @[dbg.scala 376:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_553 = eq(UInt<4>("h06"), sb_state) @[Conditional.scala 37:30] - when _T_553 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h08") @[dbg.scala 374:19] - node _T_554 = and(sb_bus_cmd_write_data, io.dbg_bus_clk_en) @[dbg.scala 375:44] - sb_state_en <= _T_554 @[dbg.scala 375:19] + node _T_537 = eq(UInt<4>("h06"), sb_state) @[Conditional.scala 37:30] + when _T_537 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h08") @[dbg.scala 379:19] + node _T_538 = and(sb_bus_cmd_write_data, io.dbg_bus_clk_en) @[dbg.scala 380:44] + sb_state_en <= _T_538 @[dbg.scala 380:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_555 = eq(UInt<4>("h07"), sb_state) @[Conditional.scala 37:30] - when _T_555 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h09") @[dbg.scala 378:19] - node _T_556 = and(sb_bus_rsp_read, io.dbg_bus_clk_en) @[dbg.scala 379:38] - sb_state_en <= _T_556 @[dbg.scala 379:19] - node _T_557 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 380:40] - sbcs_sberror_wren <= _T_557 @[dbg.scala 380:25] - sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 381:24] + node _T_539 = eq(UInt<4>("h07"), sb_state) @[Conditional.scala 37:30] + when _T_539 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h09") @[dbg.scala 383:19] + node _T_540 = and(sb_bus_rsp_read, io.dbg_bus_clk_en) @[dbg.scala 384:38] + sb_state_en <= _T_540 @[dbg.scala 384:19] + node _T_541 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 385:40] + sbcs_sberror_wren <= _T_541 @[dbg.scala 385:25] + sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 386:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_558 = eq(UInt<4>("h08"), sb_state) @[Conditional.scala 37:30] - when _T_558 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h09") @[dbg.scala 384:19] - node _T_559 = and(sb_bus_rsp_write, io.dbg_bus_clk_en) @[dbg.scala 385:39] - sb_state_en <= _T_559 @[dbg.scala 385:19] - node _T_560 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 386:40] - sbcs_sberror_wren <= _T_560 @[dbg.scala 386:25] - sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 387:24] + node _T_542 = eq(UInt<4>("h08"), sb_state) @[Conditional.scala 37:30] + when _T_542 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h09") @[dbg.scala 389:19] + node _T_543 = and(sb_bus_rsp_write, io.dbg_bus_clk_en) @[dbg.scala 390:39] + sb_state_en <= _T_543 @[dbg.scala 390:19] + node _T_544 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 391:40] + sbcs_sberror_wren <= _T_544 @[dbg.scala 391:25] + sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 392:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_561 = eq(UInt<4>("h09"), sb_state) @[Conditional.scala 37:30] - when _T_561 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h00") @[dbg.scala 390:19] - sb_state_en <= UInt<1>("h01") @[dbg.scala 391:19] - sbcs_sbbusy_wren <= UInt<1>("h01") @[dbg.scala 392:24] - sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 393:23] - node _T_562 = bits(sbcs_reg, 16, 16) @[dbg.scala 394:39] - sbaddress0_reg_wren1 <= _T_562 @[dbg.scala 394:28] + node _T_545 = eq(UInt<4>("h09"), sb_state) @[Conditional.scala 37:30] + when _T_545 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h00") @[dbg.scala 395:19] + sb_state_en <= UInt<1>("h01") @[dbg.scala 396:19] + sbcs_sbbusy_wren <= UInt<1>("h01") @[dbg.scala 397:24] + sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 398:23] + node _T_546 = bits(sbcs_reg, 16, 16) @[dbg.scala 399:39] + sbaddress0_reg_wren1 <= _T_546 @[dbg.scala 399:28] skip @[Conditional.scala 39:67] - node _T_563 = asAsyncReset(dbg_dm_rst_l) @[dbg.scala 397:73] - reg _T_564 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_563, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_547 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sb_state_en : @[Reg.scala 28:19] - _T_564 <= sb_nxtstate @[Reg.scala 28:23] + _T_547 <= sb_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - sb_state <= _T_564 @[dbg.scala 397:12] - node _T_565 = and(io.sb_axi.ar.valid, io.sb_axi.ar.ready) @[dbg.scala 401:41] - sb_bus_cmd_read <= _T_565 @[dbg.scala 401:19] - node _T_566 = and(io.sb_axi.aw.valid, io.sb_axi.aw.ready) @[dbg.scala 402:47] - sb_bus_cmd_write_addr <= _T_566 @[dbg.scala 402:25] - node _T_567 = and(io.sb_axi.w.valid, io.sb_axi.w.ready) @[dbg.scala 403:46] - sb_bus_cmd_write_data <= _T_567 @[dbg.scala 403:25] - node _T_568 = and(io.sb_axi.r.valid, io.sb_axi.r.ready) @[dbg.scala 404:40] - sb_bus_rsp_read <= _T_568 @[dbg.scala 404:19] - node _T_569 = and(io.sb_axi.b.valid, io.sb_axi.b.ready) @[dbg.scala 405:41] - sb_bus_rsp_write <= _T_569 @[dbg.scala 405:20] - node _T_570 = bits(io.sb_axi.r.bits.resp, 1, 0) @[dbg.scala 406:62] - node _T_571 = orr(_T_570) @[dbg.scala 406:69] - node _T_572 = and(sb_bus_rsp_read, _T_571) @[dbg.scala 406:39] - node _T_573 = bits(io.sb_axi.b.bits.resp, 1, 0) @[dbg.scala 406:115] - node _T_574 = orr(_T_573) @[dbg.scala 406:122] - node _T_575 = and(sb_bus_rsp_write, _T_574) @[dbg.scala 406:92] - node _T_576 = or(_T_572, _T_575) @[dbg.scala 406:73] - sb_bus_rsp_error <= _T_576 @[dbg.scala 406:20] - node _T_577 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 407:36] - node _T_578 = eq(sb_state, UInt<4>("h05")) @[dbg.scala 407:71] - node _T_579 = or(_T_577, _T_578) @[dbg.scala 407:59] - node _T_580 = bits(_T_579, 0, 0) @[dbg.scala 407:106] - io.sb_axi.aw.valid <= _T_580 @[dbg.scala 407:22] - io.sb_axi.aw.bits.addr <= sbaddress0_reg @[dbg.scala 408:26] - io.sb_axi.aw.bits.id <= UInt<1>("h00") @[dbg.scala 409:24] - node _T_581 = bits(sbcs_reg, 19, 17) @[dbg.scala 410:37] - io.sb_axi.aw.bits.size <= _T_581 @[dbg.scala 410:26] - io.sb_axi.aw.bits.prot <= UInt<1>("h00") @[dbg.scala 411:26] - io.sb_axi.aw.bits.cache <= UInt<4>("h0f") @[dbg.scala 412:27] - node _T_582 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 413:45] - io.sb_axi.aw.bits.region <= _T_582 @[dbg.scala 413:28] - io.sb_axi.aw.bits.len <= UInt<1>("h00") @[dbg.scala 414:25] - io.sb_axi.aw.bits.burst <= UInt<2>("h01") @[dbg.scala 415:27] - io.sb_axi.aw.bits.qos <= UInt<1>("h00") @[dbg.scala 416:25] - io.sb_axi.aw.bits.lock <= UInt<1>("h00") @[dbg.scala 417:26] - node _T_583 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 418:35] - node _T_584 = eq(sb_state, UInt<4>("h06")) @[dbg.scala 418:70] - node _T_585 = or(_T_583, _T_584) @[dbg.scala 418:58] - node _T_586 = bits(_T_585, 0, 0) @[dbg.scala 418:105] - io.sb_axi.w.valid <= _T_586 @[dbg.scala 418:21] - node _T_587 = bits(sbcs_reg, 19, 17) @[dbg.scala 419:46] - node _T_588 = eq(_T_587, UInt<1>("h00")) @[dbg.scala 419:55] - node _T_589 = bits(_T_588, 0, 0) @[Bitwise.scala 72:15] - node _T_590 = mux(_T_589, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_591 = bits(sbdata0_reg, 7, 0) @[dbg.scala 419:87] - node _T_592 = cat(_T_591, _T_591) @[Cat.scala 29:58] + sb_state <= _T_547 @[dbg.scala 402:12] + node _T_548 = and(io.sb_axi.ar.valid, io.sb_axi.ar.ready) @[dbg.scala 406:41] + sb_bus_cmd_read <= _T_548 @[dbg.scala 406:19] + node _T_549 = and(io.sb_axi.aw.valid, io.sb_axi.aw.ready) @[dbg.scala 407:47] + sb_bus_cmd_write_addr <= _T_549 @[dbg.scala 407:25] + node _T_550 = and(io.sb_axi.w.valid, io.sb_axi.w.ready) @[dbg.scala 408:46] + sb_bus_cmd_write_data <= _T_550 @[dbg.scala 408:25] + node _T_551 = and(io.sb_axi.r.valid, io.sb_axi.r.ready) @[dbg.scala 409:40] + sb_bus_rsp_read <= _T_551 @[dbg.scala 409:19] + node _T_552 = and(io.sb_axi.b.valid, io.sb_axi.b.ready) @[dbg.scala 410:41] + sb_bus_rsp_write <= _T_552 @[dbg.scala 410:20] + node _T_553 = bits(io.sb_axi.r.bits.resp, 1, 0) @[dbg.scala 411:62] + node _T_554 = orr(_T_553) @[dbg.scala 411:69] + node _T_555 = and(sb_bus_rsp_read, _T_554) @[dbg.scala 411:39] + node _T_556 = bits(io.sb_axi.b.bits.resp, 1, 0) @[dbg.scala 411:115] + node _T_557 = orr(_T_556) @[dbg.scala 411:122] + node _T_558 = and(sb_bus_rsp_write, _T_557) @[dbg.scala 411:92] + node _T_559 = or(_T_555, _T_558) @[dbg.scala 411:73] + sb_bus_rsp_error <= _T_559 @[dbg.scala 411:20] + node _T_560 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 412:36] + node _T_561 = eq(sb_state, UInt<4>("h05")) @[dbg.scala 412:71] + node _T_562 = or(_T_560, _T_561) @[dbg.scala 412:59] + node _T_563 = bits(_T_562, 0, 0) @[dbg.scala 412:106] + io.sb_axi.aw.valid <= _T_563 @[dbg.scala 412:22] + io.sb_axi.aw.bits.addr <= sbaddress0_reg @[dbg.scala 413:26] + io.sb_axi.aw.bits.id <= UInt<1>("h00") @[dbg.scala 414:24] + node _T_564 = bits(sbcs_reg, 19, 17) @[dbg.scala 415:37] + io.sb_axi.aw.bits.size <= _T_564 @[dbg.scala 415:26] + io.sb_axi.aw.bits.prot <= UInt<1>("h00") @[dbg.scala 416:26] + io.sb_axi.aw.bits.cache <= UInt<4>("h0f") @[dbg.scala 417:27] + node _T_565 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 418:45] + io.sb_axi.aw.bits.region <= _T_565 @[dbg.scala 418:28] + io.sb_axi.aw.bits.len <= UInt<1>("h00") @[dbg.scala 419:25] + io.sb_axi.aw.bits.burst <= UInt<2>("h01") @[dbg.scala 420:27] + io.sb_axi.aw.bits.qos <= UInt<1>("h00") @[dbg.scala 421:25] + io.sb_axi.aw.bits.lock <= UInt<1>("h00") @[dbg.scala 422:26] + node _T_566 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 423:35] + node _T_567 = eq(sb_state, UInt<4>("h06")) @[dbg.scala 423:70] + node _T_568 = or(_T_566, _T_567) @[dbg.scala 423:58] + node _T_569 = bits(_T_568, 0, 0) @[dbg.scala 423:105] + io.sb_axi.w.valid <= _T_569 @[dbg.scala 423:21] + node _T_570 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:46] + node _T_571 = eq(_T_570, UInt<1>("h00")) @[dbg.scala 424:55] + node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] + node _T_573 = mux(_T_572, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_574 = bits(sbdata0_reg, 7, 0) @[dbg.scala 424:87] + node _T_575 = cat(_T_574, _T_574) @[Cat.scala 29:58] + node _T_576 = cat(_T_575, _T_575) @[Cat.scala 29:58] + node _T_577 = cat(_T_576, _T_576) @[Cat.scala 29:58] + node _T_578 = and(_T_573, _T_577) @[dbg.scala 424:65] + node _T_579 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:116] + node _T_580 = eq(_T_579, UInt<1>("h01")) @[dbg.scala 424:125] + node _T_581 = bits(_T_580, 0, 0) @[Bitwise.scala 72:15] + node _T_582 = mux(_T_581, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_583 = bits(sbdata0_reg, 15, 0) @[dbg.scala 424:159] + node _T_584 = cat(_T_583, _T_583) @[Cat.scala 29:58] + node _T_585 = cat(_T_584, _T_584) @[Cat.scala 29:58] + node _T_586 = and(_T_582, _T_585) @[dbg.scala 424:138] + node _T_587 = or(_T_578, _T_586) @[dbg.scala 424:96] + node _T_588 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:23] + node _T_589 = eq(_T_588, UInt<2>("h02")) @[dbg.scala 425:32] + node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] + node _T_591 = mux(_T_590, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_592 = bits(sbdata0_reg, 31, 0) @[dbg.scala 425:67] node _T_593 = cat(_T_592, _T_592) @[Cat.scala 29:58] - node _T_594 = cat(_T_593, _T_593) @[Cat.scala 29:58] - node _T_595 = and(_T_590, _T_594) @[dbg.scala 419:65] - node _T_596 = bits(sbcs_reg, 19, 17) @[dbg.scala 419:116] - node _T_597 = eq(_T_596, UInt<1>("h01")) @[dbg.scala 419:125] + node _T_594 = and(_T_591, _T_593) @[dbg.scala 425:45] + node _T_595 = or(_T_587, _T_594) @[dbg.scala 424:168] + node _T_596 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:97] + node _T_597 = eq(_T_596, UInt<2>("h03")) @[dbg.scala 425:106] node _T_598 = bits(_T_597, 0, 0) @[Bitwise.scala 72:15] node _T_599 = mux(_T_598, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_600 = bits(sbdata0_reg, 15, 0) @[dbg.scala 419:159] - node _T_601 = cat(_T_600, _T_600) @[Cat.scala 29:58] - node _T_602 = cat(_T_601, _T_601) @[Cat.scala 29:58] - node _T_603 = and(_T_599, _T_602) @[dbg.scala 419:138] - node _T_604 = or(_T_595, _T_603) @[dbg.scala 419:96] - node _T_605 = bits(sbcs_reg, 19, 17) @[dbg.scala 420:23] - node _T_606 = eq(_T_605, UInt<2>("h02")) @[dbg.scala 420:32] + node _T_600 = bits(sbdata1_reg, 31, 0) @[dbg.scala 425:136] + node _T_601 = bits(sbdata0_reg, 31, 0) @[dbg.scala 425:156] + node _T_602 = cat(_T_600, _T_601) @[Cat.scala 29:58] + node _T_603 = and(_T_599, _T_602) @[dbg.scala 425:119] + node _T_604 = or(_T_595, _T_603) @[dbg.scala 425:77] + io.sb_axi.w.bits.data <= _T_604 @[dbg.scala 424:25] + node _T_605 = bits(sbcs_reg, 19, 17) @[dbg.scala 427:45] + node _T_606 = eq(_T_605, UInt<1>("h00")) @[dbg.scala 427:54] node _T_607 = bits(_T_606, 0, 0) @[Bitwise.scala 72:15] - node _T_608 = mux(_T_607, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_609 = bits(sbdata0_reg, 31, 0) @[dbg.scala 420:67] - node _T_610 = cat(_T_609, _T_609) @[Cat.scala 29:58] - node _T_611 = and(_T_608, _T_610) @[dbg.scala 420:45] - node _T_612 = or(_T_604, _T_611) @[dbg.scala 419:168] - node _T_613 = bits(sbcs_reg, 19, 17) @[dbg.scala 420:97] - node _T_614 = eq(_T_613, UInt<2>("h03")) @[dbg.scala 420:106] - node _T_615 = bits(_T_614, 0, 0) @[Bitwise.scala 72:15] - node _T_616 = mux(_T_615, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_617 = bits(sbdata1_reg, 31, 0) @[dbg.scala 420:136] - node _T_618 = bits(sbdata0_reg, 31, 0) @[dbg.scala 420:156] - node _T_619 = cat(_T_617, _T_618) @[Cat.scala 29:58] - node _T_620 = and(_T_616, _T_619) @[dbg.scala 420:119] - node _T_621 = or(_T_612, _T_620) @[dbg.scala 420:77] - io.sb_axi.w.bits.data <= _T_621 @[dbg.scala 419:25] - node _T_622 = bits(sbcs_reg, 19, 17) @[dbg.scala 422:45] - node _T_623 = eq(_T_622, UInt<1>("h00")) @[dbg.scala 422:54] - node _T_624 = bits(_T_623, 0, 0) @[Bitwise.scala 72:15] - node _T_625 = mux(_T_624, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_626 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 422:99] - node _T_627 = dshl(UInt<8>("h01"), _T_626) @[dbg.scala 422:82] - node _T_628 = and(_T_625, _T_627) @[dbg.scala 422:67] - node _T_629 = bits(sbcs_reg, 19, 17) @[dbg.scala 423:22] - node _T_630 = eq(_T_629, UInt<1>("h01")) @[dbg.scala 423:31] - node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] - node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_633 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 423:80] - node _T_634 = cat(_T_633, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_635 = dshl(UInt<8>("h03"), _T_634) @[dbg.scala 423:59] - node _T_636 = and(_T_632, _T_635) @[dbg.scala 423:44] - node _T_637 = or(_T_628, _T_636) @[dbg.scala 422:107] - node _T_638 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:22] - node _T_639 = eq(_T_638, UInt<2>("h02")) @[dbg.scala 424:31] - node _T_640 = bits(_T_639, 0, 0) @[Bitwise.scala 72:15] - node _T_641 = mux(_T_640, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_642 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 424:80] - node _T_643 = cat(_T_642, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_644 = dshl(UInt<8>("h0f"), _T_643) @[dbg.scala 424:59] - node _T_645 = and(_T_641, _T_644) @[dbg.scala 424:44] - node _T_646 = or(_T_637, _T_645) @[dbg.scala 423:97] - node _T_647 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:22] - node _T_648 = eq(_T_647, UInt<2>("h03")) @[dbg.scala 425:31] - node _T_649 = bits(_T_648, 0, 0) @[Bitwise.scala 72:15] - node _T_650 = mux(_T_649, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_651 = and(_T_650, UInt<8>("h0ff")) @[dbg.scala 425:44] - node _T_652 = or(_T_646, _T_651) @[dbg.scala 424:100] - io.sb_axi.w.bits.strb <= _T_652 @[dbg.scala 422:25] - io.sb_axi.w.bits.last <= UInt<1>("h01") @[dbg.scala 427:25] - node _T_653 = eq(sb_state, UInt<4>("h03")) @[dbg.scala 428:35] - node _T_654 = bits(_T_653, 0, 0) @[dbg.scala 428:64] - io.sb_axi.ar.valid <= _T_654 @[dbg.scala 428:22] - io.sb_axi.ar.bits.addr <= sbaddress0_reg @[dbg.scala 429:26] - io.sb_axi.ar.bits.id <= UInt<1>("h00") @[dbg.scala 430:24] - node _T_655 = bits(sbcs_reg, 19, 17) @[dbg.scala 431:37] - io.sb_axi.ar.bits.size <= _T_655 @[dbg.scala 431:26] - io.sb_axi.ar.bits.prot <= UInt<1>("h00") @[dbg.scala 432:26] - io.sb_axi.ar.bits.cache <= UInt<1>("h00") @[dbg.scala 433:27] - node _T_656 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 434:45] - io.sb_axi.ar.bits.region <= _T_656 @[dbg.scala 434:28] - io.sb_axi.ar.bits.len <= UInt<1>("h00") @[dbg.scala 435:25] - io.sb_axi.ar.bits.burst <= UInt<2>("h01") @[dbg.scala 436:27] - io.sb_axi.ar.bits.qos <= UInt<1>("h00") @[dbg.scala 437:25] - io.sb_axi.ar.bits.lock <= UInt<1>("h00") @[dbg.scala 438:26] - io.sb_axi.b.ready <= UInt<1>("h01") @[dbg.scala 439:21] - io.sb_axi.r.ready <= UInt<1>("h01") @[dbg.scala 440:21] - node _T_657 = bits(sbcs_reg, 19, 17) @[dbg.scala 441:37] - node _T_658 = eq(_T_657, UInt<1>("h00")) @[dbg.scala 441:46] - node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] - node _T_660 = mux(_T_659, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_661 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 441:84] - node _T_662 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 441:115] - node _T_663 = mul(UInt<4>("h08"), _T_662) @[dbg.scala 441:99] - node _T_664 = dshr(_T_661, _T_663) @[dbg.scala 441:92] - node _T_665 = and(_T_664, UInt<64>("h0ff")) @[dbg.scala 441:123] - node _T_666 = and(_T_660, _T_665) @[dbg.scala 441:59] - node _T_667 = bits(sbcs_reg, 19, 17) @[dbg.scala 442:23] - node _T_668 = eq(_T_667, UInt<1>("h01")) @[dbg.scala 442:32] - node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] - node _T_670 = mux(_T_669, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_671 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 442:70] - node _T_672 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 442:102] - node _T_673 = mul(UInt<5>("h010"), _T_672) @[dbg.scala 442:86] - node _T_674 = dshr(_T_671, _T_673) @[dbg.scala 442:78] - node _T_675 = and(_T_674, UInt<64>("h0ffff")) @[dbg.scala 442:110] - node _T_676 = and(_T_670, _T_675) @[dbg.scala 442:45] - node _T_677 = or(_T_666, _T_676) @[dbg.scala 441:140] - node _T_678 = bits(sbcs_reg, 19, 17) @[dbg.scala 443:23] - node _T_679 = eq(_T_678, UInt<2>("h02")) @[dbg.scala 443:32] - node _T_680 = bits(_T_679, 0, 0) @[Bitwise.scala 72:15] - node _T_681 = mux(_T_680, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_682 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 443:70] - node _T_683 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 443:102] - node _T_684 = mul(UInt<6>("h020"), _T_683) @[dbg.scala 443:86] - node _T_685 = dshr(_T_682, _T_684) @[dbg.scala 443:78] - node _T_686 = and(_T_685, UInt<64>("h0ffffffff")) @[dbg.scala 443:107] - node _T_687 = and(_T_681, _T_686) @[dbg.scala 443:45] - node _T_688 = or(_T_677, _T_687) @[dbg.scala 442:129] - node _T_689 = bits(sbcs_reg, 19, 17) @[dbg.scala 444:23] - node _T_690 = eq(_T_689, UInt<2>("h03")) @[dbg.scala 444:32] - node _T_691 = bits(_T_690, 0, 0) @[Bitwise.scala 72:15] - node _T_692 = mux(_T_691, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_693 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 444:68] - node _T_694 = and(_T_692, _T_693) @[dbg.scala 444:45] - node _T_695 = or(_T_688, _T_694) @[dbg.scala 443:131] - sb_bus_rdata <= _T_695 @[dbg.scala 441:16] - io.dbg_dma.dbg_ib.dbg_cmd_addr <= io.dbg_dec.dbg_ib.dbg_cmd_addr @[dbg.scala 447:39] - io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[dbg.scala 448:39] - io.dbg_dma.dbg_ib.dbg_cmd_valid <= io.dbg_dec.dbg_ib.dbg_cmd_valid @[dbg.scala 449:39] - io.dbg_dma.dbg_ib.dbg_cmd_write <= io.dbg_dec.dbg_ib.dbg_cmd_write @[dbg.scala 450:39] - io.dbg_dma.dbg_ib.dbg_cmd_type <= io.dbg_dec.dbg_ib.dbg_cmd_type @[dbg.scala 451:39] + node _T_608 = mux(_T_607, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_609 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 427:99] + node _T_610 = dshl(UInt<8>("h01"), _T_609) @[dbg.scala 427:82] + node _T_611 = and(_T_608, _T_610) @[dbg.scala 427:67] + node _T_612 = bits(sbcs_reg, 19, 17) @[dbg.scala 428:22] + node _T_613 = eq(_T_612, UInt<1>("h01")) @[dbg.scala 428:31] + node _T_614 = bits(_T_613, 0, 0) @[Bitwise.scala 72:15] + node _T_615 = mux(_T_614, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_616 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 428:80] + node _T_617 = cat(_T_616, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_618 = dshl(UInt<8>("h03"), _T_617) @[dbg.scala 428:59] + node _T_619 = and(_T_615, _T_618) @[dbg.scala 428:44] + node _T_620 = or(_T_611, _T_619) @[dbg.scala 427:107] + node _T_621 = bits(sbcs_reg, 19, 17) @[dbg.scala 429:22] + node _T_622 = eq(_T_621, UInt<2>("h02")) @[dbg.scala 429:31] + node _T_623 = bits(_T_622, 0, 0) @[Bitwise.scala 72:15] + node _T_624 = mux(_T_623, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_625 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 429:80] + node _T_626 = cat(_T_625, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_627 = dshl(UInt<8>("h0f"), _T_626) @[dbg.scala 429:59] + node _T_628 = and(_T_624, _T_627) @[dbg.scala 429:44] + node _T_629 = or(_T_620, _T_628) @[dbg.scala 428:97] + node _T_630 = bits(sbcs_reg, 19, 17) @[dbg.scala 430:22] + node _T_631 = eq(_T_630, UInt<2>("h03")) @[dbg.scala 430:31] + node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] + node _T_633 = mux(_T_632, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_634 = and(_T_633, UInt<8>("h0ff")) @[dbg.scala 430:44] + node _T_635 = or(_T_629, _T_634) @[dbg.scala 429:100] + io.sb_axi.w.bits.strb <= _T_635 @[dbg.scala 427:25] + io.sb_axi.w.bits.last <= UInt<1>("h01") @[dbg.scala 432:25] + node _T_636 = eq(sb_state, UInt<4>("h03")) @[dbg.scala 433:35] + node _T_637 = bits(_T_636, 0, 0) @[dbg.scala 433:64] + io.sb_axi.ar.valid <= _T_637 @[dbg.scala 433:22] + io.sb_axi.ar.bits.addr <= sbaddress0_reg @[dbg.scala 434:26] + io.sb_axi.ar.bits.id <= UInt<1>("h00") @[dbg.scala 435:24] + node _T_638 = bits(sbcs_reg, 19, 17) @[dbg.scala 436:37] + io.sb_axi.ar.bits.size <= _T_638 @[dbg.scala 436:26] + io.sb_axi.ar.bits.prot <= UInt<1>("h00") @[dbg.scala 437:26] + io.sb_axi.ar.bits.cache <= UInt<1>("h00") @[dbg.scala 438:27] + node _T_639 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 439:45] + io.sb_axi.ar.bits.region <= _T_639 @[dbg.scala 439:28] + io.sb_axi.ar.bits.len <= UInt<1>("h00") @[dbg.scala 440:25] + io.sb_axi.ar.bits.burst <= UInt<2>("h01") @[dbg.scala 441:27] + io.sb_axi.ar.bits.qos <= UInt<1>("h00") @[dbg.scala 442:25] + io.sb_axi.ar.bits.lock <= UInt<1>("h00") @[dbg.scala 443:26] + io.sb_axi.b.ready <= UInt<1>("h01") @[dbg.scala 444:21] + io.sb_axi.r.ready <= UInt<1>("h01") @[dbg.scala 445:21] + node _T_640 = bits(sbcs_reg, 19, 17) @[dbg.scala 446:37] + node _T_641 = eq(_T_640, UInt<1>("h00")) @[dbg.scala 446:46] + node _T_642 = bits(_T_641, 0, 0) @[Bitwise.scala 72:15] + node _T_643 = mux(_T_642, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_644 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 446:84] + node _T_645 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 446:115] + node _T_646 = mul(UInt<4>("h08"), _T_645) @[dbg.scala 446:99] + node _T_647 = dshr(_T_644, _T_646) @[dbg.scala 446:92] + node _T_648 = and(_T_647, UInt<64>("h0ff")) @[dbg.scala 446:123] + node _T_649 = and(_T_643, _T_648) @[dbg.scala 446:59] + node _T_650 = bits(sbcs_reg, 19, 17) @[dbg.scala 447:23] + node _T_651 = eq(_T_650, UInt<1>("h01")) @[dbg.scala 447:32] + node _T_652 = bits(_T_651, 0, 0) @[Bitwise.scala 72:15] + node _T_653 = mux(_T_652, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_654 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 447:70] + node _T_655 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 447:102] + node _T_656 = mul(UInt<5>("h010"), _T_655) @[dbg.scala 447:86] + node _T_657 = dshr(_T_654, _T_656) @[dbg.scala 447:78] + node _T_658 = and(_T_657, UInt<64>("h0ffff")) @[dbg.scala 447:110] + node _T_659 = and(_T_653, _T_658) @[dbg.scala 447:45] + node _T_660 = or(_T_649, _T_659) @[dbg.scala 446:140] + node _T_661 = bits(sbcs_reg, 19, 17) @[dbg.scala 448:23] + node _T_662 = eq(_T_661, UInt<2>("h02")) @[dbg.scala 448:32] + node _T_663 = bits(_T_662, 0, 0) @[Bitwise.scala 72:15] + node _T_664 = mux(_T_663, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_665 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 448:70] + node _T_666 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 448:102] + node _T_667 = mul(UInt<6>("h020"), _T_666) @[dbg.scala 448:86] + node _T_668 = dshr(_T_665, _T_667) @[dbg.scala 448:78] + node _T_669 = and(_T_668, UInt<64>("h0ffffffff")) @[dbg.scala 448:107] + node _T_670 = and(_T_664, _T_669) @[dbg.scala 448:45] + node _T_671 = or(_T_660, _T_670) @[dbg.scala 447:129] + node _T_672 = bits(sbcs_reg, 19, 17) @[dbg.scala 449:23] + node _T_673 = eq(_T_672, UInt<2>("h03")) @[dbg.scala 449:32] + node _T_674 = bits(_T_673, 0, 0) @[Bitwise.scala 72:15] + node _T_675 = mux(_T_674, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_676 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 449:68] + node _T_677 = and(_T_675, _T_676) @[dbg.scala 449:45] + node _T_678 = or(_T_671, _T_677) @[dbg.scala 448:131] + sb_bus_rdata <= _T_678 @[dbg.scala 446:16] + io.dbg_dma.dbg_ib.dbg_cmd_addr <= io.dbg_dec.dbg_ib.dbg_cmd_addr @[dbg.scala 452:39] + io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[dbg.scala 453:39] + io.dbg_dma.dbg_ib.dbg_cmd_valid <= io.dbg_dec.dbg_ib.dbg_cmd_valid @[dbg.scala 454:39] + io.dbg_dma.dbg_ib.dbg_cmd_write <= io.dbg_dec.dbg_ib.dbg_cmd_write @[dbg.scala 455:39] + io.dbg_dma.dbg_ib.dbg_cmd_type <= io.dbg_dec.dbg_ib.dbg_cmd_type @[dbg.scala 456:39] diff --git a/dbg.v b/dbg.v index bcfa40f7..82f45fbd 100644 --- a/dbg.v +++ b/dbg.v @@ -4,20 +4,20 @@ module rvclkhdr( input io_en, input io_scan_mode ); - wire clkhdr_Q; // @[lib.scala 318:26] - wire clkhdr_CK; // @[lib.scala 318:26] - wire clkhdr_EN; // @[lib.scala 318:26] - wire clkhdr_SE; // @[lib.scala 318:26] - gated_latch clkhdr ( // @[lib.scala 318:26] + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] .Q(clkhdr_Q), .CK(clkhdr_CK), .EN(clkhdr_EN), .SE(clkhdr_SE) ); - assign io_l1clk = clkhdr_Q; // @[lib.scala 319:14] - assign clkhdr_CK = io_clk; // @[lib.scala 320:18] - assign clkhdr_EN = io_en; // @[lib.scala 321:18] - assign clkhdr_SE = io_scan_mode; // @[lib.scala 322:18] + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] endmodule module dbg( input clock, @@ -151,609 +151,609 @@ module dbg( wire _T_4 = io_dmi_reg_en | sb_state_en; // @[dbg.scala 96:37] wire _T_5 = sb_state != 4'h0; // @[dbg.scala 96:63] wire _T_6 = _T_4 | _T_5; // @[dbg.scala 96:51] - wire rvclkhdr_io_l1clk; // @[lib.scala 327:22] - wire rvclkhdr_io_clk; // @[lib.scala 327:22] - wire rvclkhdr_io_en; // @[lib.scala 327:22] - wire rvclkhdr_io_scan_mode; // @[lib.scala 327:22] - wire rvclkhdr_1_io_l1clk; // @[lib.scala 327:22] - wire rvclkhdr_1_io_clk; // @[lib.scala 327:22] - wire rvclkhdr_1_io_en; // @[lib.scala 327:22] - wire rvclkhdr_1_io_scan_mode; // @[lib.scala 327:22] - wire _T_9 = dmcontrol_reg[0] | io_scan_mode; // @[dbg.scala 99:64] - wire dbg_dm_rst_l = io_dbg_rst_l & _T_9; // @[dbg.scala 99:44] - wire _T_11 = ~dmcontrol_reg[1]; // @[dbg.scala 100:25] - wire _T_13 = io_dmi_reg_addr == 7'h38; // @[dbg.scala 101:36] - wire _T_14 = _T_13 & io_dmi_reg_en; // @[dbg.scala 101:49] - wire _T_15 = _T_14 & io_dmi_reg_wr_en; // @[dbg.scala 101:65] - wire _T_16 = sb_state == 4'h0; // @[dbg.scala 101:96] - wire sbcs_wren = _T_15 & _T_16; // @[dbg.scala 101:84] - wire _T_18 = sbcs_wren & io_dmi_reg_wdata[22]; // @[dbg.scala 102:42] - wire _T_20 = _T_5 & io_dmi_reg_en; // @[dbg.scala 102:102] - wire _T_21 = io_dmi_reg_addr == 7'h39; // @[dbg.scala 103:23] - wire _T_22 = io_dmi_reg_addr == 7'h3c; // @[dbg.scala 103:55] - wire _T_23 = _T_21 | _T_22; // @[dbg.scala 103:36] - wire _T_24 = io_dmi_reg_addr == 7'h3d; // @[dbg.scala 103:87] - wire _T_25 = _T_23 | _T_24; // @[dbg.scala 103:68] - wire _T_26 = _T_20 & _T_25; // @[dbg.scala 102:118] - wire sbcs_sbbusyerror_wren = _T_18 | _T_26; // @[dbg.scala 102:66] - wire sbcs_sbbusyerror_din = ~_T_18; // @[dbg.scala 105:31] - wire _T_29 = io_dbg_rst_l & _T_9; // @[dbg.scala 106:80] + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire _T_9 = dmcontrol_reg[0] | io_scan_mode; // @[dbg.scala 99:65] + wire dbg_dm_rst_l = io_dbg_rst_l & _T_9; // @[dbg.scala 99:94] + wire _T_11 = io_dbg_rst_l & _T_9; // @[dbg.scala 101:38] + wire rst_temp = _T_11 & reset; // @[dbg.scala 101:71] + wire rst_not = ~_T_11; // @[dbg.scala 103:52] + wire _T_17 = ~dmcontrol_reg[1]; // @[dbg.scala 105:25] + wire _T_19 = io_dmi_reg_addr == 7'h38; // @[dbg.scala 106:36] + wire _T_20 = _T_19 & io_dmi_reg_en; // @[dbg.scala 106:49] + wire _T_21 = _T_20 & io_dmi_reg_wr_en; // @[dbg.scala 106:65] + wire _T_22 = sb_state == 4'h0; // @[dbg.scala 106:96] + wire sbcs_wren = _T_21 & _T_22; // @[dbg.scala 106:84] + wire _T_24 = sbcs_wren & io_dmi_reg_wdata[22]; // @[dbg.scala 107:42] + wire _T_26 = _T_5 & io_dmi_reg_en; // @[dbg.scala 107:102] + wire _T_27 = io_dmi_reg_addr == 7'h39; // @[dbg.scala 108:23] + wire _T_28 = io_dmi_reg_addr == 7'h3c; // @[dbg.scala 108:55] + wire _T_29 = _T_27 | _T_28; // @[dbg.scala 108:36] + wire _T_30 = io_dmi_reg_addr == 7'h3d; // @[dbg.scala 108:87] + wire _T_31 = _T_29 | _T_30; // @[dbg.scala 108:68] + wire _T_32 = _T_26 & _T_31; // @[dbg.scala 107:118] + wire sbcs_sbbusyerror_wren = _T_24 | _T_32; // @[dbg.scala 107:66] + wire sbcs_sbbusyerror_din = ~_T_24; // @[dbg.scala 110:31] reg temp_sbcs_22; // @[Reg.scala 27:20] reg temp_sbcs_21; // @[Reg.scala 27:20] reg temp_sbcs_20; // @[Reg.scala 27:20] reg [4:0] temp_sbcs_19_15; // @[Reg.scala 27:20] - wire _T_36 = ~dbg_dm_rst_l; // @[dbg.scala 122:84] reg [2:0] temp_sbcs_14_12; // @[Reg.scala 27:20] wire [19:0] _T_40 = {temp_sbcs_19_15,temp_sbcs_14_12,12'h40f}; // @[Cat.scala 29:58] wire [11:0] _T_44 = {9'h40,temp_sbcs_22,temp_sbcs_21,temp_sbcs_20}; // @[Cat.scala 29:58] - wire _T_47 = sbcs_reg[19:17] == 3'h1; // @[dbg.scala 127:42] - wire _T_49 = _T_47 & sbaddress0_reg[0]; // @[dbg.scala 127:61] - wire _T_51 = sbcs_reg[19:17] == 3'h2; // @[dbg.scala 128:23] - wire _T_53 = |sbaddress0_reg[1:0]; // @[dbg.scala 128:65] - wire _T_54 = _T_51 & _T_53; // @[dbg.scala 128:42] - wire _T_55 = _T_49 | _T_54; // @[dbg.scala 127:81] - wire _T_57 = sbcs_reg[19:17] == 3'h3; // @[dbg.scala 129:23] - wire _T_59 = |sbaddress0_reg[2:0]; // @[dbg.scala 129:65] - wire _T_60 = _T_57 & _T_59; // @[dbg.scala 129:42] - wire sbcs_unaligned = _T_55 | _T_60; // @[dbg.scala 128:69] - wire sbcs_illegal_size = sbcs_reg[19]; // @[dbg.scala 131:35] - wire _T_62 = sbcs_reg[19:17] == 3'h0; // @[dbg.scala 132:51] + wire _T_47 = sbcs_reg[19:17] == 3'h1; // @[dbg.scala 132:42] + wire _T_49 = _T_47 & sbaddress0_reg[0]; // @[dbg.scala 132:61] + wire _T_51 = sbcs_reg[19:17] == 3'h2; // @[dbg.scala 133:23] + wire _T_53 = |sbaddress0_reg[1:0]; // @[dbg.scala 133:65] + wire _T_54 = _T_51 & _T_53; // @[dbg.scala 133:42] + wire _T_55 = _T_49 | _T_54; // @[dbg.scala 132:81] + wire _T_57 = sbcs_reg[19:17] == 3'h3; // @[dbg.scala 134:23] + wire _T_59 = |sbaddress0_reg[2:0]; // @[dbg.scala 134:65] + wire _T_60 = _T_57 & _T_59; // @[dbg.scala 134:42] + wire sbcs_unaligned = _T_55 | _T_60; // @[dbg.scala 133:69] + wire sbcs_illegal_size = sbcs_reg[19]; // @[dbg.scala 136:35] + wire _T_62 = sbcs_reg[19:17] == 3'h0; // @[dbg.scala 137:51] wire [3:0] _T_64 = _T_62 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_65 = _T_64 & 4'h1; // @[dbg.scala 132:64] + wire [3:0] _T_65 = _T_64 & 4'h1; // @[dbg.scala 137:64] wire [3:0] _T_69 = _T_47 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_70 = _T_69 & 4'h2; // @[dbg.scala 132:122] - wire [3:0] _T_71 = _T_65 | _T_70; // @[dbg.scala 132:81] + wire [3:0] _T_70 = _T_69 & 4'h2; // @[dbg.scala 137:122] + wire [3:0] _T_71 = _T_65 | _T_70; // @[dbg.scala 137:81] wire [3:0] _T_75 = _T_51 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_76 = _T_75 & 4'h4; // @[dbg.scala 133:44] - wire [3:0] _T_77 = _T_71 | _T_76; // @[dbg.scala 132:139] + wire [3:0] _T_76 = _T_75 & 4'h4; // @[dbg.scala 138:44] + wire [3:0] _T_77 = _T_71 | _T_76; // @[dbg.scala 137:139] wire [3:0] _T_81 = _T_57 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_82 = _T_81 & 4'h8; // @[dbg.scala 133:102] - wire [3:0] sbaddress0_incr = _T_77 | _T_82; // @[dbg.scala 133:61] - wire _T_83 = io_dmi_reg_en & io_dmi_reg_wr_en; // @[dbg.scala 135:41] - wire sbdata0_reg_wren0 = _T_83 & _T_22; // @[dbg.scala 135:60] - wire _T_85 = sb_state == 4'h7; // @[dbg.scala 136:37] - wire _T_86 = _T_85 & sb_state_en; // @[dbg.scala 136:60] - wire _T_87 = ~sbcs_sberror_wren; // @[dbg.scala 136:76] - wire sbdata0_reg_wren1 = _T_86 & _T_87; // @[dbg.scala 136:74] - wire sbdata1_reg_wren0 = _T_83 & _T_24; // @[dbg.scala 138:60] + wire [3:0] _T_82 = _T_81 & 4'h8; // @[dbg.scala 138:102] + wire [3:0] sbaddress0_incr = _T_77 | _T_82; // @[dbg.scala 138:61] + wire _T_83 = io_dmi_reg_en & io_dmi_reg_wr_en; // @[dbg.scala 140:41] + wire sbdata0_reg_wren0 = _T_83 & _T_28; // @[dbg.scala 140:60] + wire _T_85 = sb_state == 4'h7; // @[dbg.scala 141:37] + wire _T_86 = _T_85 & sb_state_en; // @[dbg.scala 141:60] + wire _T_87 = ~sbcs_sberror_wren; // @[dbg.scala 141:76] + wire sbdata0_reg_wren1 = _T_86 & _T_87; // @[dbg.scala 141:74] + wire sbdata1_reg_wren0 = _T_83 & _T_30; // @[dbg.scala 143:60] wire [31:0] _T_94 = sbdata0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_95 = _T_94 & io_dmi_reg_wdata; // @[dbg.scala 141:49] + wire [31:0] _T_95 = _T_94 & io_dmi_reg_wdata; // @[dbg.scala 146:49] wire [31:0] _T_97 = sbdata0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_99 = _T_97 & sb_bus_rdata[31:0]; // @[dbg.scala 142:33] + wire [31:0] _T_99 = _T_97 & sb_bus_rdata[31:0]; // @[dbg.scala 147:33] wire [31:0] _T_101 = sbdata1_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_102 = _T_101 & io_dmi_reg_wdata; // @[dbg.scala 144:49] - wire [31:0] _T_106 = _T_97 & sb_bus_rdata[63:32]; // @[dbg.scala 145:33] - wire rvclkhdr_2_io_l1clk; // @[lib.scala 352:23] - wire rvclkhdr_2_io_clk; // @[lib.scala 352:23] - wire rvclkhdr_2_io_en; // @[lib.scala 352:23] - wire rvclkhdr_2_io_scan_mode; // @[lib.scala 352:23] - reg [31:0] sbdata0_reg; // @[lib.scala 358:16] - wire rvclkhdr_3_io_l1clk; // @[lib.scala 352:23] - wire rvclkhdr_3_io_clk; // @[lib.scala 352:23] - wire rvclkhdr_3_io_en; // @[lib.scala 352:23] - wire rvclkhdr_3_io_scan_mode; // @[lib.scala 352:23] - reg [31:0] sbdata1_reg; // @[lib.scala 358:16] - wire sbaddress0_reg_wren0 = _T_83 & _T_21; // @[dbg.scala 155:63] - wire [31:0] _T_112 = sbaddress0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_113 = _T_112 & io_dmi_reg_wdata; // @[dbg.scala 157:59] - wire [31:0] _T_115 = sbaddress0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_116 = {28'h0,sbaddress0_incr}; // @[Cat.scala 29:58] - wire [31:0] _T_118 = sbaddress0_reg + _T_116; // @[dbg.scala 158:54] - wire [31:0] _T_119 = _T_115 & _T_118; // @[dbg.scala 158:36] - wire rvclkhdr_4_io_l1clk; // @[lib.scala 352:23] - wire rvclkhdr_4_io_clk; // @[lib.scala 352:23] - wire rvclkhdr_4_io_en; // @[lib.scala 352:23] - wire rvclkhdr_4_io_scan_mode; // @[lib.scala 352:23] - reg [31:0] _T_121; // @[lib.scala 358:16] - wire sbreadonaddr_access = sbaddress0_reg_wren0 & sbcs_reg[20]; // @[dbg.scala 163:94] - wire _T_126 = ~io_dmi_reg_wr_en; // @[dbg.scala 164:45] - wire _T_127 = io_dmi_reg_en & _T_126; // @[dbg.scala 164:43] - wire _T_129 = _T_127 & _T_22; // @[dbg.scala 164:63] - wire sbreadondata_access = _T_129 & sbcs_reg[15]; // @[dbg.scala 164:95] - wire _T_133 = io_dmi_reg_addr == 7'h10; // @[dbg.scala 166:41] - wire _T_134 = _T_133 & io_dmi_reg_en; // @[dbg.scala 166:54] - wire dmcontrol_wren = _T_134 & io_dmi_reg_wr_en; // @[dbg.scala 166:70] - wire [3:0] _T_140 = {io_dmi_reg_wdata[31:30],io_dmi_reg_wdata[28],io_dmi_reg_wdata[1]}; // @[Cat.scala 29:58] + wire [31:0] _T_102 = _T_101 & io_dmi_reg_wdata; // @[dbg.scala 149:49] + wire [31:0] _T_106 = _T_97 & sb_bus_rdata[63:32]; // @[dbg.scala 150:33] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] sbdata0_reg; // @[lib.scala 374:16] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] sbdata1_reg; // @[lib.scala 374:16] + wire sbaddress0_reg_wren0 = _T_83 & _T_27; // @[dbg.scala 160:63] + wire [31:0] _T_110 = sbaddress0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_111 = _T_110 & io_dmi_reg_wdata; // @[dbg.scala 162:59] + wire [31:0] _T_113 = sbaddress0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_114 = {28'h0,sbaddress0_incr}; // @[Cat.scala 29:58] + wire [31:0] _T_116 = sbaddress0_reg + _T_114; // @[dbg.scala 163:54] + wire [31:0] _T_117 = _T_113 & _T_116; // @[dbg.scala 163:36] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] _T_118; // @[lib.scala 374:16] + wire sbreadonaddr_access = sbaddress0_reg_wren0 & sbcs_reg[20]; // @[dbg.scala 168:94] + wire _T_123 = ~io_dmi_reg_wr_en; // @[dbg.scala 169:45] + wire _T_124 = io_dmi_reg_en & _T_123; // @[dbg.scala 169:43] + wire _T_126 = _T_124 & _T_28; // @[dbg.scala 169:63] + wire sbreadondata_access = _T_126 & sbcs_reg[15]; // @[dbg.scala 169:95] + wire _T_130 = io_dmi_reg_addr == 7'h10; // @[dbg.scala 171:41] + wire _T_131 = _T_130 & io_dmi_reg_en; // @[dbg.scala 171:54] + wire dmcontrol_wren = _T_131 & io_dmi_reg_wr_en; // @[dbg.scala 171:70] + wire [3:0] _T_136 = {io_dmi_reg_wdata[31:30],io_dmi_reg_wdata[28],io_dmi_reg_wdata[1]}; // @[Cat.scala 29:58] reg [3:0] dm_temp; // @[Reg.scala 27:20] reg dm_temp_0; // @[Reg.scala 27:20] - wire [27:0] _T_147 = {26'h0,dm_temp[0],dm_temp_0}; // @[Cat.scala 29:58] - wire [3:0] _T_149 = {dm_temp[3:2],1'h0,dm_temp[1]}; // @[Cat.scala 29:58] - reg dmcontrol_wren_Q; // @[dbg.scala 181:12] - wire [1:0] _T_152 = dmstatus_havereset ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_154 = dmstatus_resumeack ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_156 = dmstatus_unavail ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_158 = dmstatus_running ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_160 = dmstatus_halted ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [11:0] _T_164 = {_T_158,_T_160,1'h1,7'h2}; // @[Cat.scala 29:58] - wire [19:0] _T_168 = {12'h0,_T_152,_T_154,2'h0,_T_156}; // @[Cat.scala 29:58] - wire _T_170 = dbg_state == 3'h6; // @[dbg.scala 186:44] - wire _T_171 = _T_170 & io_dec_tlu_resume_ack; // @[dbg.scala 186:66] - wire _T_173 = ~dmcontrol_reg[30]; // @[dbg.scala 186:113] - wire _T_174 = dmstatus_resumeack & _T_173; // @[dbg.scala 186:111] - wire dmstatus_resumeack_wren = _T_171 | _T_174; // @[dbg.scala 186:90] - wire _T_178 = _T_133 & io_dmi_reg_wdata[1]; // @[dbg.scala 188:63] - wire _T_179 = _T_178 & io_dmi_reg_en; // @[dbg.scala 188:85] - wire dmstatus_havereset_wren = _T_179 & io_dmi_reg_wr_en; // @[dbg.scala 188:101] - wire _T_182 = _T_133 & io_dmi_reg_wdata[28]; // @[dbg.scala 189:62] - wire _T_183 = _T_182 & io_dmi_reg_en; // @[dbg.scala 189:85] - wire dmstatus_havereset_rst = _T_183 & io_dmi_reg_wr_en; // @[dbg.scala 189:101] - wire _T_185 = ~reset; // @[dbg.scala 191:43] - wire _T_188 = dmstatus_unavail | dmstatus_halted; // @[dbg.scala 192:42] - reg _T_191; // @[Reg.scala 27:20] - wire _T_193 = ~io_dec_tlu_mpc_halted_only; // @[dbg.scala 198:37] - reg _T_195; // @[dbg.scala 198:12] - wire _T_197 = dmstatus_havereset_wren | dmstatus_havereset; // @[dbg.scala 202:16] - wire _T_198 = ~dmstatus_havereset_rst; // @[dbg.scala 202:72] - reg _T_200; // @[dbg.scala 202:12] + wire [27:0] _T_143 = {26'h0,dm_temp[0],dm_temp_0}; // @[Cat.scala 29:58] + wire [3:0] _T_145 = {dm_temp[3:2],1'h0,dm_temp[1]}; // @[Cat.scala 29:58] + reg dmcontrol_wren_Q; // @[dbg.scala 186:12] + wire [1:0] _T_147 = dmstatus_havereset ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_149 = dmstatus_resumeack ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_151 = dmstatus_unavail ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_153 = dmstatus_running ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_155 = dmstatus_halted ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_159 = {_T_153,_T_155,1'h1,7'h2}; // @[Cat.scala 29:58] + wire [19:0] _T_163 = {12'h0,_T_147,_T_149,2'h0,_T_151}; // @[Cat.scala 29:58] + wire _T_165 = dbg_state == 3'h6; // @[dbg.scala 191:44] + wire _T_166 = _T_165 & io_dec_tlu_resume_ack; // @[dbg.scala 191:66] + wire _T_168 = ~dmcontrol_reg[30]; // @[dbg.scala 191:113] + wire _T_169 = dmstatus_resumeack & _T_168; // @[dbg.scala 191:111] + wire dmstatus_resumeack_wren = _T_166 | _T_169; // @[dbg.scala 191:90] + wire _T_173 = _T_130 & io_dmi_reg_wdata[1]; // @[dbg.scala 193:63] + wire _T_174 = _T_173 & io_dmi_reg_en; // @[dbg.scala 193:85] + wire dmstatus_havereset_wren = _T_174 & io_dmi_reg_wr_en; // @[dbg.scala 193:101] + wire _T_177 = _T_130 & io_dmi_reg_wdata[28]; // @[dbg.scala 194:62] + wire _T_178 = _T_177 & io_dmi_reg_en; // @[dbg.scala 194:85] + wire dmstatus_havereset_rst = _T_178 & io_dmi_reg_wr_en; // @[dbg.scala 194:101] + wire _T_180 = ~reset; // @[dbg.scala 196:43] + wire _T_183 = dmstatus_unavail | dmstatus_halted; // @[dbg.scala 197:42] + reg _T_185; // @[Reg.scala 27:20] + wire _T_186 = ~io_dec_tlu_mpc_halted_only; // @[dbg.scala 203:37] + reg _T_188; // @[dbg.scala 203:12] + wire _T_189 = dmstatus_havereset_wren | dmstatus_havereset; // @[dbg.scala 207:16] + wire _T_190 = ~dmstatus_havereset_rst; // @[dbg.scala 207:72] + reg _T_192; // @[dbg.scala 207:12] wire [31:0] haltsum0_reg = {31'h0,dmstatus_halted}; // @[Cat.scala 29:58] wire [31:0] abstractcs_reg; - wire _T_202 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 208:50] - wire _T_203 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 208:106] - wire _T_204 = io_dmi_reg_addr == 7'h17; // @[dbg.scala 208:138] - wire _T_205 = _T_203 | _T_204; // @[dbg.scala 208:119] - wire _T_206 = io_dmi_reg_wr_en & _T_205; // @[dbg.scala 208:86] - wire _T_207 = io_dmi_reg_addr == 7'h4; // @[dbg.scala 208:171] - wire _T_208 = _T_206 | _T_207; // @[dbg.scala 208:152] - wire abstractcs_error_sel0 = _T_202 & _T_208; // @[dbg.scala 208:66] - wire _T_211 = _T_83 & _T_204; // @[dbg.scala 209:64] - wire _T_213 = io_dmi_reg_wdata[31:24] == 8'h0; // @[dbg.scala 209:126] - wire _T_215 = io_dmi_reg_wdata[31:24] == 8'h2; // @[dbg.scala 209:163] - wire _T_216 = _T_213 | _T_215; // @[dbg.scala 209:135] - wire _T_217 = ~_T_216; // @[dbg.scala 209:98] - wire abstractcs_error_sel1 = _T_211 & _T_217; // @[dbg.scala 209:96] - wire abstractcs_error_sel2 = io_core_dbg_cmd_done & io_core_dbg_cmd_fail; // @[dbg.scala 210:52] - wire _T_222 = ~dmstatus_reg[9]; // @[dbg.scala 211:98] - wire abstractcs_error_sel3 = _T_211 & _T_222; // @[dbg.scala 211:96] - wire _T_224 = _T_204 & io_dmi_reg_en; // @[dbg.scala 212:61] - wire _T_225 = _T_224 & io_dmi_reg_wr_en; // @[dbg.scala 212:77] - wire _T_227 = io_dmi_reg_wdata[22:20] != 3'h2; // @[dbg.scala 213:32] - wire _T_231 = |data1_reg[1:0]; // @[dbg.scala 213:111] - wire _T_232 = _T_215 & _T_231; // @[dbg.scala 213:92] - wire _T_233 = _T_227 | _T_232; // @[dbg.scala 213:51] - wire abstractcs_error_sel4 = _T_225 & _T_233; // @[dbg.scala 212:96] - wire _T_235 = _T_203 & io_dmi_reg_en; // @[dbg.scala 215:61] - wire abstractcs_error_sel5 = _T_235 & io_dmi_reg_wr_en; // @[dbg.scala 215:77] - wire _T_236 = abstractcs_error_sel0 | abstractcs_error_sel1; // @[dbg.scala 216:54] - wire _T_237 = _T_236 | abstractcs_error_sel2; // @[dbg.scala 216:78] - wire _T_238 = _T_237 | abstractcs_error_sel3; // @[dbg.scala 216:102] - wire _T_239 = _T_238 | abstractcs_error_sel4; // @[dbg.scala 216:126] - wire abstractcs_error_selor = _T_239 | abstractcs_error_sel5; // @[dbg.scala 216:150] - wire [2:0] _T_241 = abstractcs_error_sel0 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_242 = _T_241 & 3'h1; // @[dbg.scala 217:62] - wire [2:0] _T_244 = abstractcs_error_sel1 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_245 = _T_244 & 3'h2; // @[dbg.scala 218:37] - wire [2:0] _T_246 = _T_242 | _T_245; // @[dbg.scala 217:79] - wire [2:0] _T_248 = abstractcs_error_sel2 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_249 = _T_248 & 3'h3; // @[dbg.scala 219:37] - wire [2:0] _T_250 = _T_246 | _T_249; // @[dbg.scala 218:54] - wire [2:0] _T_252 = abstractcs_error_sel3 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_253 = _T_252 & 3'h4; // @[dbg.scala 220:37] - wire [2:0] _T_254 = _T_250 | _T_253; // @[dbg.scala 219:54] - wire [2:0] _T_256 = abstractcs_error_sel4 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_258 = _T_254 | _T_256; // @[dbg.scala 220:54] - wire [2:0] _T_260 = abstractcs_error_sel5 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_262 = ~io_dmi_reg_wdata[10:8]; // @[dbg.scala 222:40] - wire [2:0] _T_263 = _T_260 & _T_262; // @[dbg.scala 222:37] - wire [2:0] _T_265 = _T_263 & abstractcs_reg[10:8]; // @[dbg.scala 222:75] - wire [2:0] _T_266 = _T_258 | _T_265; // @[dbg.scala 221:54] - wire _T_267 = ~abstractcs_error_selor; // @[dbg.scala 223:15] - wire [2:0] _T_269 = _T_267 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_271 = _T_269 & abstractcs_reg[10:8]; // @[dbg.scala 223:50] + wire _T_194 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 213:50] + wire _T_195 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 213:106] + wire _T_196 = io_dmi_reg_addr == 7'h17; // @[dbg.scala 213:138] + wire _T_197 = _T_195 | _T_196; // @[dbg.scala 213:119] + wire _T_198 = io_dmi_reg_wr_en & _T_197; // @[dbg.scala 213:86] + wire _T_199 = io_dmi_reg_addr == 7'h4; // @[dbg.scala 213:171] + wire _T_200 = _T_198 | _T_199; // @[dbg.scala 213:152] + wire abstractcs_error_sel0 = _T_194 & _T_200; // @[dbg.scala 213:66] + wire _T_203 = _T_83 & _T_196; // @[dbg.scala 214:64] + wire _T_205 = io_dmi_reg_wdata[31:24] == 8'h0; // @[dbg.scala 214:126] + wire _T_207 = io_dmi_reg_wdata[31:24] == 8'h2; // @[dbg.scala 214:163] + wire _T_208 = _T_205 | _T_207; // @[dbg.scala 214:135] + wire _T_209 = ~_T_208; // @[dbg.scala 214:98] + wire abstractcs_error_sel1 = _T_203 & _T_209; // @[dbg.scala 214:96] + wire abstractcs_error_sel2 = io_core_dbg_cmd_done & io_core_dbg_cmd_fail; // @[dbg.scala 215:52] + wire _T_214 = ~dmstatus_reg[9]; // @[dbg.scala 216:98] + wire abstractcs_error_sel3 = _T_203 & _T_214; // @[dbg.scala 216:96] + wire _T_216 = _T_196 & io_dmi_reg_en; // @[dbg.scala 217:61] + wire _T_217 = _T_216 & io_dmi_reg_wr_en; // @[dbg.scala 217:77] + wire _T_219 = io_dmi_reg_wdata[22:20] != 3'h2; // @[dbg.scala 218:32] + wire _T_223 = |data1_reg[1:0]; // @[dbg.scala 218:111] + wire _T_224 = _T_207 & _T_223; // @[dbg.scala 218:92] + wire _T_225 = _T_219 | _T_224; // @[dbg.scala 218:51] + wire abstractcs_error_sel4 = _T_217 & _T_225; // @[dbg.scala 217:96] + wire _T_227 = _T_195 & io_dmi_reg_en; // @[dbg.scala 220:61] + wire abstractcs_error_sel5 = _T_227 & io_dmi_reg_wr_en; // @[dbg.scala 220:77] + wire _T_228 = abstractcs_error_sel0 | abstractcs_error_sel1; // @[dbg.scala 221:54] + wire _T_229 = _T_228 | abstractcs_error_sel2; // @[dbg.scala 221:78] + wire _T_230 = _T_229 | abstractcs_error_sel3; // @[dbg.scala 221:102] + wire _T_231 = _T_230 | abstractcs_error_sel4; // @[dbg.scala 221:126] + wire abstractcs_error_selor = _T_231 | abstractcs_error_sel5; // @[dbg.scala 221:150] + wire [2:0] _T_233 = abstractcs_error_sel0 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_234 = _T_233 & 3'h1; // @[dbg.scala 222:62] + wire [2:0] _T_236 = abstractcs_error_sel1 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_237 = _T_236 & 3'h2; // @[dbg.scala 223:37] + wire [2:0] _T_238 = _T_234 | _T_237; // @[dbg.scala 222:79] + wire [2:0] _T_240 = abstractcs_error_sel2 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_241 = _T_240 & 3'h3; // @[dbg.scala 224:37] + wire [2:0] _T_242 = _T_238 | _T_241; // @[dbg.scala 223:54] + wire [2:0] _T_244 = abstractcs_error_sel3 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_245 = _T_244 & 3'h4; // @[dbg.scala 225:37] + wire [2:0] _T_246 = _T_242 | _T_245; // @[dbg.scala 224:54] + wire [2:0] _T_248 = abstractcs_error_sel4 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_250 = _T_246 | _T_248; // @[dbg.scala 225:54] + wire [2:0] _T_252 = abstractcs_error_sel5 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_254 = ~io_dmi_reg_wdata[10:8]; // @[dbg.scala 227:40] + wire [2:0] _T_255 = _T_252 & _T_254; // @[dbg.scala 227:37] + wire [2:0] _T_257 = _T_255 & abstractcs_reg[10:8]; // @[dbg.scala 227:75] + wire [2:0] _T_258 = _T_250 | _T_257; // @[dbg.scala 226:54] + wire _T_259 = ~abstractcs_error_selor; // @[dbg.scala 228:15] + wire [2:0] _T_261 = _T_259 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_263 = _T_261 & abstractcs_reg[10:8]; // @[dbg.scala 228:50] reg abs_temp_12; // @[Reg.scala 27:20] - reg [2:0] abs_temp_10_8; // @[dbg.scala 230:12] - wire [10:0] _T_275 = {abs_temp_10_8,8'h2}; // @[Cat.scala 29:58] - wire [20:0] _T_277 = {19'h0,abs_temp_12,1'h0}; // @[Cat.scala 29:58] - wire _T_282 = dbg_state == 3'h2; // @[dbg.scala 235:100] - wire command_wren = _T_225 & _T_282; // @[dbg.scala 235:87] - wire [19:0] _T_286 = {3'h0,io_dmi_reg_wdata[16:0]}; // @[Cat.scala 29:58] - wire [11:0] _T_288 = {io_dmi_reg_wdata[31:24],1'h0,io_dmi_reg_wdata[22:20]}; // @[Cat.scala 29:58] - wire rvclkhdr_5_io_l1clk; // @[lib.scala 352:23] - wire rvclkhdr_5_io_clk; // @[lib.scala 352:23] - wire rvclkhdr_5_io_en; // @[lib.scala 352:23] - wire rvclkhdr_5_io_scan_mode; // @[lib.scala 352:23] - reg [31:0] command_reg; // @[lib.scala 358:16] - wire _T_292 = _T_83 & _T_207; // @[dbg.scala 241:58] - wire data0_reg_wren0 = _T_292 & _T_282; // @[dbg.scala 241:89] - wire _T_294 = dbg_state == 3'h4; // @[dbg.scala 242:59] - wire _T_295 = io_core_dbg_cmd_done & _T_294; // @[dbg.scala 242:46] - wire _T_297 = ~command_reg[16]; // @[dbg.scala 242:83] - wire data0_reg_wren1 = _T_295 & _T_297; // @[dbg.scala 242:81] - wire [31:0] _T_299 = data0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_300 = _T_299 & io_dmi_reg_wdata; // @[dbg.scala 245:45] - wire [31:0] _T_302 = data0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_303 = _T_302 & io_core_dbg_rddata; // @[dbg.scala 245:92] - wire rvclkhdr_6_io_l1clk; // @[lib.scala 352:23] - wire rvclkhdr_6_io_clk; // @[lib.scala 352:23] - wire rvclkhdr_6_io_en; // @[lib.scala 352:23] - wire rvclkhdr_6_io_scan_mode; // @[lib.scala 352:23] - reg [31:0] data0_reg; // @[lib.scala 358:16] - wire _T_306 = io_dmi_reg_addr == 7'h5; // @[dbg.scala 250:77] - wire _T_307 = _T_83 & _T_306; // @[dbg.scala 250:58] - wire data1_reg_wren = _T_307 & _T_282; // @[dbg.scala 250:89] - wire [31:0] _T_310 = data1_reg_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire rvclkhdr_7_io_l1clk; // @[lib.scala 352:23] - wire rvclkhdr_7_io_clk; // @[lib.scala 352:23] - wire rvclkhdr_7_io_en; // @[lib.scala 352:23] - wire rvclkhdr_7_io_scan_mode; // @[lib.scala 352:23] - reg [31:0] _T_312; // @[lib.scala 358:16] + reg [2:0] abs_temp_10_8; // @[dbg.scala 235:12] + wire [10:0] _T_265 = {abs_temp_10_8,8'h2}; // @[Cat.scala 29:58] + wire [20:0] _T_267 = {19'h0,abs_temp_12,1'h0}; // @[Cat.scala 29:58] + wire _T_272 = dbg_state == 3'h2; // @[dbg.scala 240:100] + wire command_wren = _T_217 & _T_272; // @[dbg.scala 240:87] + wire [19:0] _T_276 = {3'h0,io_dmi_reg_wdata[16:0]}; // @[Cat.scala 29:58] + wire [11:0] _T_278 = {io_dmi_reg_wdata[31:24],1'h0,io_dmi_reg_wdata[22:20]}; // @[Cat.scala 29:58] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] command_reg; // @[lib.scala 374:16] + wire _T_281 = _T_83 & _T_199; // @[dbg.scala 246:58] + wire data0_reg_wren0 = _T_281 & _T_272; // @[dbg.scala 246:89] + wire _T_283 = dbg_state == 3'h4; // @[dbg.scala 247:59] + wire _T_284 = io_core_dbg_cmd_done & _T_283; // @[dbg.scala 247:46] + wire _T_286 = ~command_reg[16]; // @[dbg.scala 247:83] + wire data0_reg_wren1 = _T_284 & _T_286; // @[dbg.scala 247:81] + wire [31:0] _T_288 = data0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_289 = _T_288 & io_dmi_reg_wdata; // @[dbg.scala 250:45] + wire [31:0] _T_291 = data0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_292 = _T_291 & io_core_dbg_rddata; // @[dbg.scala 250:92] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] data0_reg; // @[lib.scala 374:16] + wire _T_294 = io_dmi_reg_addr == 7'h5; // @[dbg.scala 255:77] + wire _T_295 = _T_83 & _T_294; // @[dbg.scala 255:58] + wire data1_reg_wren = _T_295 & _T_272; // @[dbg.scala 255:89] + wire [31:0] _T_298 = data1_reg_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] _T_299; // @[lib.scala 374:16] wire [2:0] dbg_nxtstate; - wire _T_313 = 3'h0 == dbg_state; // @[Conditional.scala 37:30] - wire _T_315 = dmstatus_reg[9] | io_dec_tlu_mpc_halted_only; // @[dbg.scala 265:43] - wire [2:0] _T_316 = _T_315 ? 3'h2 : 3'h1; // @[dbg.scala 265:26] - wire _T_318 = ~io_dec_tlu_debug_mode; // @[dbg.scala 266:45] - wire _T_319 = dmcontrol_reg[31] & _T_318; // @[dbg.scala 266:43] - wire _T_321 = _T_319 | dmstatus_reg[9]; // @[dbg.scala 266:69] - wire _T_322 = _T_321 | io_dec_tlu_mpc_halted_only; // @[dbg.scala 266:87] - wire _T_325 = _T_322 & _T_11; // @[dbg.scala 266:117] - wire _T_329 = dmcontrol_reg[31] & _T_11; // @[dbg.scala 267:45] - wire _T_331 = 3'h1 == dbg_state; // @[Conditional.scala 37:30] - wire [2:0] _T_333 = dmcontrol_reg[1] ? 3'h0 : 3'h2; // @[dbg.scala 270:26] - wire _T_336 = dmstatus_reg[9] | dmcontrol_reg[1]; // @[dbg.scala 271:39] - wire _T_338 = dmcontrol_wren_Q & dmcontrol_reg[31]; // @[dbg.scala 272:44] - wire _T_341 = _T_338 & _T_11; // @[dbg.scala 272:64] - wire _T_343 = 3'h2 == dbg_state; // @[Conditional.scala 37:30] - wire _T_347 = dmstatus_reg[9] & _T_11; // @[dbg.scala 275:43] - wire _T_350 = ~dmcontrol_reg[31]; // @[dbg.scala 276:33] - wire _T_351 = dmcontrol_reg[30] & _T_350; // @[dbg.scala 276:31] - wire [2:0] _T_352 = _T_351 ? 3'h6 : 3'h3; // @[dbg.scala 276:12] - wire [2:0] _T_354 = dmcontrol_reg[31] ? 3'h1 : 3'h0; // @[dbg.scala 277:12] - wire [2:0] _T_355 = _T_347 ? _T_352 : _T_354; // @[dbg.scala 275:26] - wire _T_358 = dmstatus_reg[9] & dmcontrol_reg[30]; // @[dbg.scala 278:39] - wire _T_361 = _T_358 & _T_350; // @[dbg.scala 278:59] - wire _T_362 = _T_361 & dmcontrol_wren_Q; // @[dbg.scala 278:80] - wire _T_363 = _T_362 | command_wren; // @[dbg.scala 278:99] - wire _T_365 = _T_363 | dmcontrol_reg[1]; // @[dbg.scala 278:114] - wire _T_368 = ~_T_315; // @[dbg.scala 279:28] - wire _T_369 = _T_365 | _T_368; // @[dbg.scala 279:26] - wire _T_370 = dbg_nxtstate == 3'h3; // @[dbg.scala 280:60] - wire _T_371 = dbg_state_en & _T_370; // @[dbg.scala 280:44] - wire _T_372 = dbg_nxtstate == 3'h6; // @[dbg.scala 282:58] - wire _T_373 = dbg_state_en & _T_372; // @[dbg.scala 282:42] - wire _T_381 = 3'h3 == dbg_state; // @[Conditional.scala 37:30] - wire _T_384 = |abstractcs_reg[10:8]; // @[dbg.scala 286:85] - wire [2:0] _T_385 = _T_384 ? 3'h5 : 3'h4; // @[dbg.scala 286:62] - wire [2:0] _T_386 = dmcontrol_reg[1] ? 3'h0 : _T_385; // @[dbg.scala 286:26] - wire _T_389 = io_dbg_dec_dbg_ib_dbg_cmd_valid | _T_384; // @[dbg.scala 287:55] - wire _T_391 = _T_389 | dmcontrol_reg[1]; // @[dbg.scala 287:83] - wire _T_398 = 3'h4 == dbg_state; // @[Conditional.scala 37:30] - wire [2:0] _T_400 = dmcontrol_reg[1] ? 3'h0 : 3'h5; // @[dbg.scala 291:26] - wire _T_402 = io_core_dbg_cmd_done | dmcontrol_reg[1]; // @[dbg.scala 292:44] - wire _T_409 = 3'h5 == dbg_state; // @[Conditional.scala 37:30] - wire _T_418 = 3'h6 == dbg_state; // @[Conditional.scala 37:30] - wire _T_421 = dmstatus_reg[17] | dmcontrol_reg[1]; // @[dbg.scala 304:40] - wire _GEN_10 = _T_418 & _T_421; // @[Conditional.scala 39:67] - wire _GEN_11 = _T_418 & _T_341; // @[Conditional.scala 39:67] - wire [2:0] _GEN_12 = _T_409 ? _T_333 : 3'h0; // @[Conditional.scala 39:67] - wire _GEN_13 = _T_409 | _GEN_10; // @[Conditional.scala 39:67] - wire _GEN_14 = _T_409 & dbg_state_en; // @[Conditional.scala 39:67] - wire _GEN_16 = _T_409 ? _T_341 : _GEN_11; // @[Conditional.scala 39:67] - wire [2:0] _GEN_17 = _T_398 ? _T_400 : _GEN_12; // @[Conditional.scala 39:67] - wire _GEN_18 = _T_398 ? _T_402 : _GEN_13; // @[Conditional.scala 39:67] - wire _GEN_19 = _T_398 ? _T_341 : _GEN_16; // @[Conditional.scala 39:67] - wire _GEN_20 = _T_398 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] - wire [2:0] _GEN_22 = _T_381 ? _T_386 : _GEN_17; // @[Conditional.scala 39:67] - wire _GEN_23 = _T_381 ? _T_391 : _GEN_18; // @[Conditional.scala 39:67] - wire _GEN_24 = _T_381 ? _T_341 : _GEN_19; // @[Conditional.scala 39:67] - wire _GEN_25 = _T_381 ? 1'h0 : _GEN_20; // @[Conditional.scala 39:67] - wire [2:0] _GEN_27 = _T_343 ? _T_355 : _GEN_22; // @[Conditional.scala 39:67] - wire _GEN_28 = _T_343 ? _T_369 : _GEN_23; // @[Conditional.scala 39:67] - wire _GEN_29 = _T_343 ? _T_371 : _GEN_25; // @[Conditional.scala 39:67] - wire _GEN_31 = _T_343 & _T_373; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_343 ? _T_341 : _GEN_24; // @[Conditional.scala 39:67] - wire [2:0] _GEN_33 = _T_331 ? _T_333 : _GEN_27; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_331 ? _T_336 : _GEN_28; // @[Conditional.scala 39:67] - wire _GEN_35 = _T_331 ? _T_341 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_36 = _T_331 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_331 ? 1'h0 : _GEN_31; // @[Conditional.scala 39:67] - wire [31:0] _T_430 = _T_207 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_431 = _T_430 & data0_reg; // @[dbg.scala 308:71] - wire [31:0] _T_434 = _T_306 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_435 = _T_434 & data1_reg; // @[dbg.scala 308:122] - wire [31:0] _T_436 = _T_431 | _T_435; // @[dbg.scala 308:83] - wire [31:0] _T_439 = _T_133 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_440 = _T_439 & dmcontrol_reg; // @[dbg.scala 309:43] - wire [31:0] _T_441 = _T_436 | _T_440; // @[dbg.scala 308:134] - wire _T_442 = io_dmi_reg_addr == 7'h11; // @[dbg.scala 309:86] - wire [31:0] _T_444 = _T_442 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_445 = _T_444 & dmstatus_reg; // @[dbg.scala 309:99] - wire [31:0] _T_446 = _T_441 | _T_445; // @[dbg.scala 309:59] - wire [31:0] _T_449 = _T_203 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_450 = _T_449 & abstractcs_reg; // @[dbg.scala 310:43] - wire [31:0] _T_451 = _T_446 | _T_450; // @[dbg.scala 309:114] - wire [31:0] _T_454 = _T_204 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_455 = _T_454 & command_reg; // @[dbg.scala 310:100] - wire [31:0] _T_456 = _T_451 | _T_455; // @[dbg.scala 310:60] - wire _T_457 = io_dmi_reg_addr == 7'h40; // @[dbg.scala 311:30] - wire [31:0] _T_459 = _T_457 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_460 = _T_459 & haltsum0_reg; // @[dbg.scala 311:43] - wire [31:0] _T_461 = _T_456 | _T_460; // @[dbg.scala 310:114] - wire [31:0] _T_464 = _T_13 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_465 = _T_464 & sbcs_reg; // @[dbg.scala 311:98] - wire [31:0] _T_466 = _T_461 | _T_465; // @[dbg.scala 311:58] - wire [31:0] _T_469 = _T_21 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_470 = _T_469 & sbaddress0_reg; // @[dbg.scala 312:43] - wire [31:0] _T_471 = _T_466 | _T_470; // @[dbg.scala 311:109] - wire [31:0] _T_474 = _T_22 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_475 = _T_474 & sbdata0_reg; // @[dbg.scala 312:100] - wire [31:0] _T_476 = _T_471 | _T_475; // @[dbg.scala 312:60] - wire [31:0] _T_479 = _T_24 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_480 = _T_479 & sbdata1_reg; // @[dbg.scala 313:43] - wire [31:0] dmi_reg_rdata_din = _T_476 | _T_480; // @[dbg.scala 312:114] - wire _T_482 = dbg_dm_rst_l & reset; // @[dbg.scala 315:86] - reg [2:0] _T_483; // @[Reg.scala 27:20] - reg [31:0] _T_485; // @[Reg.scala 27:20] - wire _T_487 = command_reg[31:24] == 8'h2; // @[dbg.scala 324:62] - wire [31:0] _T_489 = {data1_reg[31:2],2'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_491 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] - wire _T_494 = dbg_state == 3'h3; // @[dbg.scala 326:50] - wire _T_497 = ~_T_384; // @[dbg.scala 326:75] - wire _T_498 = _T_494 & _T_497; // @[dbg.scala 326:73] - wire _T_506 = command_reg[15:12] == 4'h0; // @[dbg.scala 328:122] - wire [1:0] _T_507 = {1'h0,_T_506}; // @[Cat.scala 29:58] - wire _T_518 = 4'h0 == sb_state; // @[Conditional.scala 37:30] - wire _T_520 = sbdata0_reg_wren0 | sbreadondata_access; // @[dbg.scala 343:39] - wire _T_521 = _T_520 | sbreadonaddr_access; // @[dbg.scala 343:61] - wire _T_523 = |io_dmi_reg_wdata[14:12]; // @[dbg.scala 346:65] - wire _T_524 = sbcs_wren & _T_523; // @[dbg.scala 346:38] - wire [2:0] _T_526 = ~io_dmi_reg_wdata[14:12]; // @[dbg.scala 347:27] - wire [2:0] _T_528 = _T_526 & sbcs_reg[14:12]; // @[dbg.scala 347:53] - wire _T_529 = 4'h1 == sb_state; // @[Conditional.scala 37:30] - wire _T_530 = sbcs_unaligned | sbcs_illegal_size; // @[dbg.scala 350:41] - wire _T_532 = io_dbg_bus_clk_en | sbcs_unaligned; // @[dbg.scala 351:40] - wire _T_533 = _T_532 | sbcs_illegal_size; // @[dbg.scala 351:57] - wire _T_536 = 4'h2 == sb_state; // @[Conditional.scala 37:30] - wire _T_543 = 4'h3 == sb_state; // @[Conditional.scala 37:30] - wire _T_544 = sb_bus_cmd_read & io_dbg_bus_clk_en; // @[dbg.scala 363:38] - wire _T_545 = 4'h4 == sb_state; // @[Conditional.scala 37:30] - wire _T_546 = sb_bus_cmd_write_addr & sb_bus_cmd_write_data; // @[dbg.scala 366:48] - wire _T_549 = sb_bus_cmd_write_addr | sb_bus_cmd_write_data; // @[dbg.scala 367:45] - wire _T_550 = _T_549 & io_dbg_bus_clk_en; // @[dbg.scala 367:70] - wire _T_551 = 4'h5 == sb_state; // @[Conditional.scala 37:30] - wire _T_552 = sb_bus_cmd_write_addr & io_dbg_bus_clk_en; // @[dbg.scala 371:44] - wire _T_553 = 4'h6 == sb_state; // @[Conditional.scala 37:30] - wire _T_554 = sb_bus_cmd_write_data & io_dbg_bus_clk_en; // @[dbg.scala 375:44] - wire _T_555 = 4'h7 == sb_state; // @[Conditional.scala 37:30] - wire _T_556 = sb_bus_rsp_read & io_dbg_bus_clk_en; // @[dbg.scala 379:38] - wire _T_557 = sb_state_en & sb_bus_rsp_error; // @[dbg.scala 380:40] - wire _T_558 = 4'h8 == sb_state; // @[Conditional.scala 37:30] - wire _T_559 = sb_bus_rsp_write & io_dbg_bus_clk_en; // @[dbg.scala 385:39] - wire _T_561 = 4'h9 == sb_state; // @[Conditional.scala 37:30] - wire _GEN_50 = _T_561 & sbcs_reg[16]; // @[Conditional.scala 39:67] - wire _GEN_52 = _T_558 ? _T_559 : _T_561; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_558 & _T_557; // @[Conditional.scala 39:67] - wire _GEN_55 = _T_558 ? 1'h0 : _T_561; // @[Conditional.scala 39:67] - wire _GEN_57 = _T_558 ? 1'h0 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_555 ? _T_556 : _GEN_52; // @[Conditional.scala 39:67] - wire _GEN_60 = _T_555 ? _T_557 : _GEN_53; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_555 ? 1'h0 : _GEN_55; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_555 ? 1'h0 : _GEN_57; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_553 ? _T_554 : _GEN_59; // @[Conditional.scala 39:67] - wire _GEN_67 = _T_553 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_69 = _T_553 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_553 ? 1'h0 : _GEN_64; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_551 ? _T_552 : _GEN_66; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_551 ? 1'h0 : _GEN_67; // @[Conditional.scala 39:67] - wire _GEN_76 = _T_551 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] - wire _GEN_78 = _T_551 ? 1'h0 : _GEN_71; // @[Conditional.scala 39:67] - wire _GEN_80 = _T_545 ? _T_550 : _GEN_73; // @[Conditional.scala 39:67] - wire _GEN_81 = _T_545 ? 1'h0 : _GEN_74; // @[Conditional.scala 39:67] - wire _GEN_83 = _T_545 ? 1'h0 : _GEN_76; // @[Conditional.scala 39:67] - wire _GEN_85 = _T_545 ? 1'h0 : _GEN_78; // @[Conditional.scala 39:67] - wire _GEN_87 = _T_543 ? _T_544 : _GEN_80; // @[Conditional.scala 39:67] - wire _GEN_88 = _T_543 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] - wire _GEN_90 = _T_543 ? 1'h0 : _GEN_83; // @[Conditional.scala 39:67] - wire _GEN_92 = _T_543 ? 1'h0 : _GEN_85; // @[Conditional.scala 39:67] - wire _GEN_94 = _T_536 ? _T_533 : _GEN_87; // @[Conditional.scala 39:67] - wire _GEN_95 = _T_536 ? _T_530 : _GEN_88; // @[Conditional.scala 39:67] - wire _GEN_97 = _T_536 ? 1'h0 : _GEN_90; // @[Conditional.scala 39:67] - wire _GEN_99 = _T_536 ? 1'h0 : _GEN_92; // @[Conditional.scala 39:67] - wire _GEN_101 = _T_529 ? _T_533 : _GEN_94; // @[Conditional.scala 39:67] - wire _GEN_102 = _T_529 ? _T_530 : _GEN_95; // @[Conditional.scala 39:67] - wire _GEN_104 = _T_529 ? 1'h0 : _GEN_97; // @[Conditional.scala 39:67] - wire _GEN_106 = _T_529 ? 1'h0 : _GEN_99; // @[Conditional.scala 39:67] - reg [3:0] _T_564; // @[Reg.scala 27:20] - wire _T_571 = |io_sb_axi_r_bits_resp; // @[dbg.scala 406:69] - wire _T_572 = sb_bus_rsp_read & _T_571; // @[dbg.scala 406:39] - wire _T_574 = |io_sb_axi_b_bits_resp; // @[dbg.scala 406:122] - wire _T_575 = sb_bus_rsp_write & _T_574; // @[dbg.scala 406:92] - wire _T_577 = sb_state == 4'h4; // @[dbg.scala 407:36] - wire _T_578 = sb_state == 4'h5; // @[dbg.scala 407:71] - wire _T_584 = sb_state == 4'h6; // @[dbg.scala 418:70] - wire [63:0] _T_590 = _T_62 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_594 = {sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0]}; // @[Cat.scala 29:58] - wire [63:0] _T_595 = _T_590 & _T_594; // @[dbg.scala 419:65] - wire [63:0] _T_599 = _T_47 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_602 = {sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0]}; // @[Cat.scala 29:58] - wire [63:0] _T_603 = _T_599 & _T_602; // @[dbg.scala 419:138] - wire [63:0] _T_604 = _T_595 | _T_603; // @[dbg.scala 419:96] - wire [63:0] _T_608 = _T_51 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_610 = {sbdata0_reg,sbdata0_reg}; // @[Cat.scala 29:58] - wire [63:0] _T_611 = _T_608 & _T_610; // @[dbg.scala 420:45] - wire [63:0] _T_612 = _T_604 | _T_611; // @[dbg.scala 419:168] - wire [63:0] _T_616 = _T_57 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_619 = {sbdata1_reg,sbdata0_reg}; // @[Cat.scala 29:58] - wire [63:0] _T_620 = _T_616 & _T_619; // @[dbg.scala 420:119] - wire [7:0] _T_625 = _T_62 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [14:0] _T_627 = 15'h1 << sbaddress0_reg[2:0]; // @[dbg.scala 422:82] - wire [14:0] _GEN_115 = {{7'd0}, _T_625}; // @[dbg.scala 422:67] - wire [14:0] _T_628 = _GEN_115 & _T_627; // @[dbg.scala 422:67] - wire [7:0] _T_632 = _T_47 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_634 = {sbaddress0_reg[2:1],1'h0}; // @[Cat.scala 29:58] - wire [14:0] _T_635 = 15'h3 << _T_634; // @[dbg.scala 423:59] - wire [14:0] _GEN_116 = {{7'd0}, _T_632}; // @[dbg.scala 423:44] - wire [14:0] _T_636 = _GEN_116 & _T_635; // @[dbg.scala 423:44] - wire [14:0] _T_637 = _T_628 | _T_636; // @[dbg.scala 422:107] - wire [7:0] _T_641 = _T_51 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_643 = {sbaddress0_reg[2],2'h0}; // @[Cat.scala 29:58] - wire [14:0] _T_644 = 15'hf << _T_643; // @[dbg.scala 424:59] - wire [14:0] _GEN_117 = {{7'd0}, _T_641}; // @[dbg.scala 424:44] - wire [14:0] _T_645 = _GEN_117 & _T_644; // @[dbg.scala 424:44] - wire [14:0] _T_646 = _T_637 | _T_645; // @[dbg.scala 423:97] - wire [7:0] _T_650 = _T_57 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [14:0] _GEN_118 = {{7'd0}, _T_650}; // @[dbg.scala 424:100] - wire [14:0] _T_652 = _T_646 | _GEN_118; // @[dbg.scala 424:100] - wire [3:0] _GEN_119 = {{1'd0}, sbaddress0_reg[2:0]}; // @[dbg.scala 441:99] - wire [6:0] _T_663 = 4'h8 * _GEN_119; // @[dbg.scala 441:99] - wire [63:0] _T_664 = io_sb_axi_r_bits_data >> _T_663; // @[dbg.scala 441:92] - wire [63:0] _T_665 = _T_664 & 64'hff; // @[dbg.scala 441:123] - wire [63:0] _T_666 = _T_590 & _T_665; // @[dbg.scala 441:59] - wire [4:0] _GEN_120 = {{3'd0}, sbaddress0_reg[2:1]}; // @[dbg.scala 442:86] - wire [6:0] _T_673 = 5'h10 * _GEN_120; // @[dbg.scala 442:86] - wire [63:0] _T_674 = io_sb_axi_r_bits_data >> _T_673; // @[dbg.scala 442:78] - wire [63:0] _T_675 = _T_674 & 64'hffff; // @[dbg.scala 442:110] - wire [63:0] _T_676 = _T_599 & _T_675; // @[dbg.scala 442:45] - wire [63:0] _T_677 = _T_666 | _T_676; // @[dbg.scala 441:140] - wire [5:0] _GEN_121 = {{5'd0}, sbaddress0_reg[2]}; // @[dbg.scala 443:86] - wire [6:0] _T_684 = 6'h20 * _GEN_121; // @[dbg.scala 443:86] - wire [63:0] _T_685 = io_sb_axi_r_bits_data >> _T_684; // @[dbg.scala 443:78] - wire [63:0] _T_686 = _T_685 & 64'hffffffff; // @[dbg.scala 443:107] - wire [63:0] _T_687 = _T_608 & _T_686; // @[dbg.scala 443:45] - wire [63:0] _T_688 = _T_677 | _T_687; // @[dbg.scala 442:129] - wire [63:0] _T_694 = _T_616 & io_sb_axi_r_bits_data; // @[dbg.scala 444:45] - rvclkhdr rvclkhdr ( // @[lib.scala 327:22] + wire _T_300 = 3'h0 == dbg_state; // @[Conditional.scala 37:30] + wire _T_302 = dmstatus_reg[9] | io_dec_tlu_mpc_halted_only; // @[dbg.scala 270:43] + wire [2:0] _T_303 = _T_302 ? 3'h2 : 3'h1; // @[dbg.scala 270:26] + wire _T_305 = ~io_dec_tlu_debug_mode; // @[dbg.scala 271:45] + wire _T_306 = dmcontrol_reg[31] & _T_305; // @[dbg.scala 271:43] + wire _T_308 = _T_306 | dmstatus_reg[9]; // @[dbg.scala 271:69] + wire _T_309 = _T_308 | io_dec_tlu_mpc_halted_only; // @[dbg.scala 271:87] + wire _T_312 = _T_309 & _T_17; // @[dbg.scala 271:117] + wire _T_316 = dmcontrol_reg[31] & _T_17; // @[dbg.scala 272:45] + wire _T_318 = 3'h1 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_320 = dmcontrol_reg[1] ? 3'h0 : 3'h2; // @[dbg.scala 275:26] + wire _T_323 = dmstatus_reg[9] | dmcontrol_reg[1]; // @[dbg.scala 276:39] + wire _T_325 = dmcontrol_wren_Q & dmcontrol_reg[31]; // @[dbg.scala 277:44] + wire _T_328 = _T_325 & _T_17; // @[dbg.scala 277:64] + wire _T_330 = 3'h2 == dbg_state; // @[Conditional.scala 37:30] + wire _T_334 = dmstatus_reg[9] & _T_17; // @[dbg.scala 280:43] + wire _T_337 = ~dmcontrol_reg[31]; // @[dbg.scala 281:33] + wire _T_338 = dmcontrol_reg[30] & _T_337; // @[dbg.scala 281:31] + wire [2:0] _T_339 = _T_338 ? 3'h6 : 3'h3; // @[dbg.scala 281:12] + wire [2:0] _T_341 = dmcontrol_reg[31] ? 3'h1 : 3'h0; // @[dbg.scala 282:12] + wire [2:0] _T_342 = _T_334 ? _T_339 : _T_341; // @[dbg.scala 280:26] + wire _T_345 = dmstatus_reg[9] & dmcontrol_reg[30]; // @[dbg.scala 283:39] + wire _T_348 = _T_345 & _T_337; // @[dbg.scala 283:59] + wire _T_349 = _T_348 & dmcontrol_wren_Q; // @[dbg.scala 283:80] + wire _T_350 = _T_349 | command_wren; // @[dbg.scala 283:99] + wire _T_352 = _T_350 | dmcontrol_reg[1]; // @[dbg.scala 283:114] + wire _T_355 = ~_T_302; // @[dbg.scala 284:28] + wire _T_356 = _T_352 | _T_355; // @[dbg.scala 284:26] + wire _T_357 = dbg_nxtstate == 3'h3; // @[dbg.scala 285:60] + wire _T_358 = dbg_state_en & _T_357; // @[dbg.scala 285:44] + wire _T_359 = dbg_nxtstate == 3'h6; // @[dbg.scala 287:58] + wire _T_360 = dbg_state_en & _T_359; // @[dbg.scala 287:42] + wire _T_368 = 3'h3 == dbg_state; // @[Conditional.scala 37:30] + wire _T_371 = |abstractcs_reg[10:8]; // @[dbg.scala 291:85] + wire [2:0] _T_372 = _T_371 ? 3'h5 : 3'h4; // @[dbg.scala 291:62] + wire [2:0] _T_373 = dmcontrol_reg[1] ? 3'h0 : _T_372; // @[dbg.scala 291:26] + wire _T_376 = io_dbg_dec_dbg_ib_dbg_cmd_valid | _T_371; // @[dbg.scala 292:55] + wire _T_378 = _T_376 | dmcontrol_reg[1]; // @[dbg.scala 292:83] + wire _T_385 = 3'h4 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_387 = dmcontrol_reg[1] ? 3'h0 : 3'h5; // @[dbg.scala 296:26] + wire _T_389 = io_core_dbg_cmd_done | dmcontrol_reg[1]; // @[dbg.scala 297:44] + wire _T_396 = 3'h5 == dbg_state; // @[Conditional.scala 37:30] + wire _T_405 = 3'h6 == dbg_state; // @[Conditional.scala 37:30] + wire _T_408 = dmstatus_reg[17] | dmcontrol_reg[1]; // @[dbg.scala 309:40] + wire _GEN_10 = _T_405 & _T_408; // @[Conditional.scala 39:67] + wire _GEN_11 = _T_405 & _T_328; // @[Conditional.scala 39:67] + wire [2:0] _GEN_12 = _T_396 ? _T_320 : 3'h0; // @[Conditional.scala 39:67] + wire _GEN_13 = _T_396 | _GEN_10; // @[Conditional.scala 39:67] + wire _GEN_14 = _T_396 & dbg_state_en; // @[Conditional.scala 39:67] + wire _GEN_16 = _T_396 ? _T_328 : _GEN_11; // @[Conditional.scala 39:67] + wire [2:0] _GEN_17 = _T_385 ? _T_387 : _GEN_12; // @[Conditional.scala 39:67] + wire _GEN_18 = _T_385 ? _T_389 : _GEN_13; // @[Conditional.scala 39:67] + wire _GEN_19 = _T_385 ? _T_328 : _GEN_16; // @[Conditional.scala 39:67] + wire _GEN_20 = _T_385 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] + wire [2:0] _GEN_22 = _T_368 ? _T_373 : _GEN_17; // @[Conditional.scala 39:67] + wire _GEN_23 = _T_368 ? _T_378 : _GEN_18; // @[Conditional.scala 39:67] + wire _GEN_24 = _T_368 ? _T_328 : _GEN_19; // @[Conditional.scala 39:67] + wire _GEN_25 = _T_368 ? 1'h0 : _GEN_20; // @[Conditional.scala 39:67] + wire [2:0] _GEN_27 = _T_330 ? _T_342 : _GEN_22; // @[Conditional.scala 39:67] + wire _GEN_28 = _T_330 ? _T_356 : _GEN_23; // @[Conditional.scala 39:67] + wire _GEN_29 = _T_330 ? _T_358 : _GEN_25; // @[Conditional.scala 39:67] + wire _GEN_31 = _T_330 & _T_360; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_330 ? _T_328 : _GEN_24; // @[Conditional.scala 39:67] + wire [2:0] _GEN_33 = _T_318 ? _T_320 : _GEN_27; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_318 ? _T_323 : _GEN_28; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_318 ? _T_328 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_36 = _T_318 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_318 ? 1'h0 : _GEN_31; // @[Conditional.scala 39:67] + wire [31:0] _T_417 = _T_199 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_418 = _T_417 & data0_reg; // @[dbg.scala 313:71] + wire [31:0] _T_421 = _T_294 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_422 = _T_421 & data1_reg; // @[dbg.scala 313:122] + wire [31:0] _T_423 = _T_418 | _T_422; // @[dbg.scala 313:83] + wire [31:0] _T_426 = _T_130 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_427 = _T_426 & dmcontrol_reg; // @[dbg.scala 314:43] + wire [31:0] _T_428 = _T_423 | _T_427; // @[dbg.scala 313:134] + wire _T_429 = io_dmi_reg_addr == 7'h11; // @[dbg.scala 314:86] + wire [31:0] _T_431 = _T_429 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_432 = _T_431 & dmstatus_reg; // @[dbg.scala 314:99] + wire [31:0] _T_433 = _T_428 | _T_432; // @[dbg.scala 314:59] + wire [31:0] _T_436 = _T_195 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_437 = _T_436 & abstractcs_reg; // @[dbg.scala 315:43] + wire [31:0] _T_438 = _T_433 | _T_437; // @[dbg.scala 314:114] + wire [31:0] _T_441 = _T_196 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_442 = _T_441 & command_reg; // @[dbg.scala 315:100] + wire [31:0] _T_443 = _T_438 | _T_442; // @[dbg.scala 315:60] + wire _T_444 = io_dmi_reg_addr == 7'h40; // @[dbg.scala 316:30] + wire [31:0] _T_446 = _T_444 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_447 = _T_446 & haltsum0_reg; // @[dbg.scala 316:43] + wire [31:0] _T_448 = _T_443 | _T_447; // @[dbg.scala 315:114] + wire [31:0] _T_451 = _T_19 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_452 = _T_451 & sbcs_reg; // @[dbg.scala 316:98] + wire [31:0] _T_453 = _T_448 | _T_452; // @[dbg.scala 316:58] + wire [31:0] _T_456 = _T_27 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_457 = _T_456 & sbaddress0_reg; // @[dbg.scala 317:43] + wire [31:0] _T_458 = _T_453 | _T_457; // @[dbg.scala 316:109] + wire [31:0] _T_461 = _T_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_462 = _T_461 & sbdata0_reg; // @[dbg.scala 317:100] + wire [31:0] _T_463 = _T_458 | _T_462; // @[dbg.scala 317:60] + wire [31:0] _T_466 = _T_30 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_467 = _T_466 & sbdata1_reg; // @[dbg.scala 318:43] + wire [31:0] dmi_reg_rdata_din = _T_463 | _T_467; // @[dbg.scala 317:114] + reg [2:0] _T_468; // @[Reg.scala 27:20] + reg [31:0] _T_469; // @[Reg.scala 27:20] + wire _T_471 = command_reg[31:24] == 8'h2; // @[dbg.scala 329:62] + wire [31:0] _T_473 = {data1_reg[31:2],2'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_475 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] + wire _T_478 = dbg_state == 3'h3; // @[dbg.scala 331:50] + wire _T_481 = ~_T_371; // @[dbg.scala 331:75] + wire _T_482 = _T_478 & _T_481; // @[dbg.scala 331:73] + wire _T_490 = command_reg[15:12] == 4'h0; // @[dbg.scala 333:122] + wire [1:0] _T_491 = {1'h0,_T_490}; // @[Cat.scala 29:58] + wire _T_502 = 4'h0 == sb_state; // @[Conditional.scala 37:30] + wire _T_504 = sbdata0_reg_wren0 | sbreadondata_access; // @[dbg.scala 348:39] + wire _T_505 = _T_504 | sbreadonaddr_access; // @[dbg.scala 348:61] + wire _T_507 = |io_dmi_reg_wdata[14:12]; // @[dbg.scala 351:65] + wire _T_508 = sbcs_wren & _T_507; // @[dbg.scala 351:38] + wire [2:0] _T_510 = ~io_dmi_reg_wdata[14:12]; // @[dbg.scala 352:27] + wire [2:0] _T_512 = _T_510 & sbcs_reg[14:12]; // @[dbg.scala 352:53] + wire _T_513 = 4'h1 == sb_state; // @[Conditional.scala 37:30] + wire _T_514 = sbcs_unaligned | sbcs_illegal_size; // @[dbg.scala 355:41] + wire _T_516 = io_dbg_bus_clk_en | sbcs_unaligned; // @[dbg.scala 356:40] + wire _T_517 = _T_516 | sbcs_illegal_size; // @[dbg.scala 356:57] + wire _T_520 = 4'h2 == sb_state; // @[Conditional.scala 37:30] + wire _T_527 = 4'h3 == sb_state; // @[Conditional.scala 37:30] + wire _T_528 = sb_bus_cmd_read & io_dbg_bus_clk_en; // @[dbg.scala 368:38] + wire _T_529 = 4'h4 == sb_state; // @[Conditional.scala 37:30] + wire _T_530 = sb_bus_cmd_write_addr & sb_bus_cmd_write_data; // @[dbg.scala 371:48] + wire _T_533 = sb_bus_cmd_write_addr | sb_bus_cmd_write_data; // @[dbg.scala 372:45] + wire _T_534 = _T_533 & io_dbg_bus_clk_en; // @[dbg.scala 372:70] + wire _T_535 = 4'h5 == sb_state; // @[Conditional.scala 37:30] + wire _T_536 = sb_bus_cmd_write_addr & io_dbg_bus_clk_en; // @[dbg.scala 376:44] + wire _T_537 = 4'h6 == sb_state; // @[Conditional.scala 37:30] + wire _T_538 = sb_bus_cmd_write_data & io_dbg_bus_clk_en; // @[dbg.scala 380:44] + wire _T_539 = 4'h7 == sb_state; // @[Conditional.scala 37:30] + wire _T_540 = sb_bus_rsp_read & io_dbg_bus_clk_en; // @[dbg.scala 384:38] + wire _T_541 = sb_state_en & sb_bus_rsp_error; // @[dbg.scala 385:40] + wire _T_542 = 4'h8 == sb_state; // @[Conditional.scala 37:30] + wire _T_543 = sb_bus_rsp_write & io_dbg_bus_clk_en; // @[dbg.scala 390:39] + wire _T_545 = 4'h9 == sb_state; // @[Conditional.scala 37:30] + wire _GEN_50 = _T_545 & sbcs_reg[16]; // @[Conditional.scala 39:67] + wire _GEN_52 = _T_542 ? _T_543 : _T_545; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_542 & _T_541; // @[Conditional.scala 39:67] + wire _GEN_55 = _T_542 ? 1'h0 : _T_545; // @[Conditional.scala 39:67] + wire _GEN_57 = _T_542 ? 1'h0 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_539 ? _T_540 : _GEN_52; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_539 ? _T_541 : _GEN_53; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_539 ? 1'h0 : _GEN_55; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_539 ? 1'h0 : _GEN_57; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_537 ? _T_538 : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_537 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_537 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_537 ? 1'h0 : _GEN_64; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_535 ? _T_536 : _GEN_66; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_535 ? 1'h0 : _GEN_67; // @[Conditional.scala 39:67] + wire _GEN_76 = _T_535 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] + wire _GEN_78 = _T_535 ? 1'h0 : _GEN_71; // @[Conditional.scala 39:67] + wire _GEN_80 = _T_529 ? _T_534 : _GEN_73; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_529 ? 1'h0 : _GEN_74; // @[Conditional.scala 39:67] + wire _GEN_83 = _T_529 ? 1'h0 : _GEN_76; // @[Conditional.scala 39:67] + wire _GEN_85 = _T_529 ? 1'h0 : _GEN_78; // @[Conditional.scala 39:67] + wire _GEN_87 = _T_527 ? _T_528 : _GEN_80; // @[Conditional.scala 39:67] + wire _GEN_88 = _T_527 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] + wire _GEN_90 = _T_527 ? 1'h0 : _GEN_83; // @[Conditional.scala 39:67] + wire _GEN_92 = _T_527 ? 1'h0 : _GEN_85; // @[Conditional.scala 39:67] + wire _GEN_94 = _T_520 ? _T_517 : _GEN_87; // @[Conditional.scala 39:67] + wire _GEN_95 = _T_520 ? _T_514 : _GEN_88; // @[Conditional.scala 39:67] + wire _GEN_97 = _T_520 ? 1'h0 : _GEN_90; // @[Conditional.scala 39:67] + wire _GEN_99 = _T_520 ? 1'h0 : _GEN_92; // @[Conditional.scala 39:67] + wire _GEN_101 = _T_513 ? _T_517 : _GEN_94; // @[Conditional.scala 39:67] + wire _GEN_102 = _T_513 ? _T_514 : _GEN_95; // @[Conditional.scala 39:67] + wire _GEN_104 = _T_513 ? 1'h0 : _GEN_97; // @[Conditional.scala 39:67] + wire _GEN_106 = _T_513 ? 1'h0 : _GEN_99; // @[Conditional.scala 39:67] + reg [3:0] _T_547; // @[Reg.scala 27:20] + wire _T_554 = |io_sb_axi_r_bits_resp; // @[dbg.scala 411:69] + wire _T_555 = sb_bus_rsp_read & _T_554; // @[dbg.scala 411:39] + wire _T_557 = |io_sb_axi_b_bits_resp; // @[dbg.scala 411:122] + wire _T_558 = sb_bus_rsp_write & _T_557; // @[dbg.scala 411:92] + wire _T_560 = sb_state == 4'h4; // @[dbg.scala 412:36] + wire _T_561 = sb_state == 4'h5; // @[dbg.scala 412:71] + wire _T_567 = sb_state == 4'h6; // @[dbg.scala 423:70] + wire [63:0] _T_573 = _T_62 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_577 = {sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_578 = _T_573 & _T_577; // @[dbg.scala 424:65] + wire [63:0] _T_582 = _T_47 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_585 = {sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_586 = _T_582 & _T_585; // @[dbg.scala 424:138] + wire [63:0] _T_587 = _T_578 | _T_586; // @[dbg.scala 424:96] + wire [63:0] _T_591 = _T_51 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_593 = {sbdata0_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_594 = _T_591 & _T_593; // @[dbg.scala 425:45] + wire [63:0] _T_595 = _T_587 | _T_594; // @[dbg.scala 424:168] + wire [63:0] _T_599 = _T_57 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_602 = {sbdata1_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_603 = _T_599 & _T_602; // @[dbg.scala 425:119] + wire [7:0] _T_608 = _T_62 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _T_610 = 15'h1 << sbaddress0_reg[2:0]; // @[dbg.scala 427:82] + wire [14:0] _GEN_115 = {{7'd0}, _T_608}; // @[dbg.scala 427:67] + wire [14:0] _T_611 = _GEN_115 & _T_610; // @[dbg.scala 427:67] + wire [7:0] _T_615 = _T_47 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_617 = {sbaddress0_reg[2:1],1'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_618 = 15'h3 << _T_617; // @[dbg.scala 428:59] + wire [14:0] _GEN_116 = {{7'd0}, _T_615}; // @[dbg.scala 428:44] + wire [14:0] _T_619 = _GEN_116 & _T_618; // @[dbg.scala 428:44] + wire [14:0] _T_620 = _T_611 | _T_619; // @[dbg.scala 427:107] + wire [7:0] _T_624 = _T_51 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_626 = {sbaddress0_reg[2],2'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_627 = 15'hf << _T_626; // @[dbg.scala 429:59] + wire [14:0] _GEN_117 = {{7'd0}, _T_624}; // @[dbg.scala 429:44] + wire [14:0] _T_628 = _GEN_117 & _T_627; // @[dbg.scala 429:44] + wire [14:0] _T_629 = _T_620 | _T_628; // @[dbg.scala 428:97] + wire [7:0] _T_633 = _T_57 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _GEN_118 = {{7'd0}, _T_633}; // @[dbg.scala 429:100] + wire [14:0] _T_635 = _T_629 | _GEN_118; // @[dbg.scala 429:100] + wire [3:0] _GEN_119 = {{1'd0}, sbaddress0_reg[2:0]}; // @[dbg.scala 446:99] + wire [6:0] _T_646 = 4'h8 * _GEN_119; // @[dbg.scala 446:99] + wire [63:0] _T_647 = io_sb_axi_r_bits_data >> _T_646; // @[dbg.scala 446:92] + wire [63:0] _T_648 = _T_647 & 64'hff; // @[dbg.scala 446:123] + wire [63:0] _T_649 = _T_573 & _T_648; // @[dbg.scala 446:59] + wire [4:0] _GEN_120 = {{3'd0}, sbaddress0_reg[2:1]}; // @[dbg.scala 447:86] + wire [6:0] _T_656 = 5'h10 * _GEN_120; // @[dbg.scala 447:86] + wire [63:0] _T_657 = io_sb_axi_r_bits_data >> _T_656; // @[dbg.scala 447:78] + wire [63:0] _T_658 = _T_657 & 64'hffff; // @[dbg.scala 447:110] + wire [63:0] _T_659 = _T_582 & _T_658; // @[dbg.scala 447:45] + wire [63:0] _T_660 = _T_649 | _T_659; // @[dbg.scala 446:140] + wire [5:0] _GEN_121 = {{5'd0}, sbaddress0_reg[2]}; // @[dbg.scala 448:86] + wire [6:0] _T_667 = 6'h20 * _GEN_121; // @[dbg.scala 448:86] + wire [63:0] _T_668 = io_sb_axi_r_bits_data >> _T_667; // @[dbg.scala 448:78] + wire [63:0] _T_669 = _T_668 & 64'hffffffff; // @[dbg.scala 448:107] + wire [63:0] _T_670 = _T_591 & _T_669; // @[dbg.scala 448:45] + wire [63:0] _T_671 = _T_660 | _T_670; // @[dbg.scala 447:129] + wire [63:0] _T_677 = _T_599 & io_sb_axi_r_bits_data; // @[dbg.scala 449:45] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en), .io_scan_mode(rvclkhdr_io_scan_mode) ); - rvclkhdr rvclkhdr_1 ( // @[lib.scala 327:22] + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] .io_l1clk(rvclkhdr_1_io_l1clk), .io_clk(rvclkhdr_1_io_clk), .io_en(rvclkhdr_1_io_en), .io_scan_mode(rvclkhdr_1_io_scan_mode) ); - rvclkhdr rvclkhdr_2 ( // @[lib.scala 352:23] + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] .io_l1clk(rvclkhdr_2_io_l1clk), .io_clk(rvclkhdr_2_io_clk), .io_en(rvclkhdr_2_io_en), .io_scan_mode(rvclkhdr_2_io_scan_mode) ); - rvclkhdr rvclkhdr_3 ( // @[lib.scala 352:23] + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] .io_l1clk(rvclkhdr_3_io_l1clk), .io_clk(rvclkhdr_3_io_clk), .io_en(rvclkhdr_3_io_en), .io_scan_mode(rvclkhdr_3_io_scan_mode) ); - rvclkhdr rvclkhdr_4 ( // @[lib.scala 352:23] + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] .io_l1clk(rvclkhdr_4_io_l1clk), .io_clk(rvclkhdr_4_io_clk), .io_en(rvclkhdr_4_io_en), .io_scan_mode(rvclkhdr_4_io_scan_mode) ); - rvclkhdr rvclkhdr_5 ( // @[lib.scala 352:23] + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] .io_l1clk(rvclkhdr_5_io_l1clk), .io_clk(rvclkhdr_5_io_clk), .io_en(rvclkhdr_5_io_en), .io_scan_mode(rvclkhdr_5_io_scan_mode) ); - rvclkhdr rvclkhdr_6 ( // @[lib.scala 352:23] + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] .io_l1clk(rvclkhdr_6_io_l1clk), .io_clk(rvclkhdr_6_io_clk), .io_en(rvclkhdr_6_io_en), .io_scan_mode(rvclkhdr_6_io_scan_mode) ); - rvclkhdr rvclkhdr_7 ( // @[lib.scala 352:23] + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] .io_l1clk(rvclkhdr_7_io_l1clk), .io_clk(rvclkhdr_7_io_clk), .io_en(rvclkhdr_7_io_en), .io_scan_mode(rvclkhdr_7_io_scan_mode) ); - assign io_dbg_cmd_size = command_reg[21:20]; // @[dbg.scala 329:19] - assign io_dbg_core_rst_l = ~dmcontrol_reg[1]; // @[dbg.scala 100:21] - assign io_dbg_halt_req = _T_313 ? _T_329 : _GEN_35; // @[dbg.scala 261:19 dbg.scala 267:23 dbg.scala 272:23 dbg.scala 283:23 dbg.scala 288:23 dbg.scala 293:23 dbg.scala 300:23 dbg.scala 305:23] - assign io_dbg_resume_req = _T_313 ? 1'h0 : _GEN_38; // @[dbg.scala 262:21 dbg.scala 282:25] - assign io_dmi_reg_rdata = _T_485; // @[dbg.scala 320:20] - assign io_sb_axi_aw_valid = _T_577 | _T_578; // @[dbg.scala 407:22] - assign io_sb_axi_aw_bits_id = 1'h0; // @[dbg.scala 409:24] - assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 408:26] - assign io_sb_axi_aw_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 413:28] - assign io_sb_axi_aw_bits_len = 8'h0; // @[dbg.scala 414:25] - assign io_sb_axi_aw_bits_size = sbcs_reg[19:17]; // @[dbg.scala 410:26] - assign io_sb_axi_aw_bits_burst = 2'h1; // @[dbg.scala 415:27] - assign io_sb_axi_aw_bits_lock = 1'h0; // @[dbg.scala 417:26] - assign io_sb_axi_aw_bits_cache = 4'hf; // @[dbg.scala 412:27] - assign io_sb_axi_aw_bits_prot = 3'h0; // @[dbg.scala 411:26] - assign io_sb_axi_aw_bits_qos = 4'h0; // @[dbg.scala 416:25] - assign io_sb_axi_w_valid = _T_577 | _T_584; // @[dbg.scala 418:21] - assign io_sb_axi_w_bits_data = _T_612 | _T_620; // @[dbg.scala 419:25] - assign io_sb_axi_w_bits_strb = _T_652[7:0]; // @[dbg.scala 422:25] - assign io_sb_axi_w_bits_last = 1'h1; // @[dbg.scala 427:25] - assign io_sb_axi_b_ready = 1'h1; // @[dbg.scala 439:21] - assign io_sb_axi_ar_valid = sb_state == 4'h3; // @[dbg.scala 428:22] - assign io_sb_axi_ar_bits_id = 1'h0; // @[dbg.scala 430:24] - assign io_sb_axi_ar_bits_addr = sbaddress0_reg; // @[dbg.scala 429:26] - assign io_sb_axi_ar_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 434:28] - assign io_sb_axi_ar_bits_len = 8'h0; // @[dbg.scala 435:25] - assign io_sb_axi_ar_bits_size = sbcs_reg[19:17]; // @[dbg.scala 431:26] - assign io_sb_axi_ar_bits_burst = 2'h1; // @[dbg.scala 436:27] - assign io_sb_axi_ar_bits_lock = 1'h0; // @[dbg.scala 438:26] - assign io_sb_axi_ar_bits_cache = 4'h0; // @[dbg.scala 433:27] - assign io_sb_axi_ar_bits_prot = 3'h0; // @[dbg.scala 432:26] - assign io_sb_axi_ar_bits_qos = 4'h0; // @[dbg.scala 437:25] - assign io_sb_axi_r_ready = 1'h1; // @[dbg.scala 440:21] - assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_498 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 326:35] - assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 327:35] - assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_487 ? 2'h2 : _T_507; // @[dbg.scala 328:34] - assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_487 ? _T_489 : _T_491; // @[dbg.scala 324:34] - assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg; // @[dbg.scala 325:38] - assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 449:39] - assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 450:39] - assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 451:39] - assign io_dbg_dma_dbg_ib_dbg_cmd_addr = io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[dbg.scala 447:39] - assign io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[dbg.scala 448:39] - assign io_dbg_dma_io_dbg_dma_bubble = _T_498 | _T_294; // @[dbg.scala 330:32] - assign dbg_state = _T_483; // @[dbg.scala 315:13] - assign dbg_state_en = _T_313 ? _T_325 : _GEN_34; // @[dbg.scala 258:16 dbg.scala 266:20 dbg.scala 271:20 dbg.scala 278:20 dbg.scala 287:20 dbg.scala 292:20 dbg.scala 297:20 dbg.scala 304:20] - assign sb_state = _T_564; // @[dbg.scala 397:12] - assign sb_state_en = _T_518 ? _T_521 : _GEN_101; // @[dbg.scala 343:19 dbg.scala 351:19 dbg.scala 357:19 dbg.scala 363:19 dbg.scala 367:19 dbg.scala 371:19 dbg.scala 375:19 dbg.scala 379:19 dbg.scala 385:19 dbg.scala 391:19] - assign dmcontrol_reg = {_T_149,_T_147}; // @[dbg.scala 178:17] - assign sbaddress0_reg = _T_121; // @[dbg.scala 159:18] - assign sbcs_sbbusy_wren = _T_518 ? sb_state_en : _GEN_104; // @[dbg.scala 335:20 dbg.scala 344:24 dbg.scala 392:24] - assign sbcs_sberror_wren = _T_518 ? _T_524 : _GEN_102; // @[dbg.scala 337:21 dbg.scala 346:25 dbg.scala 352:25 dbg.scala 358:25 dbg.scala 380:25 dbg.scala 386:25] - assign sb_bus_rdata = _T_688 | _T_694; // @[dbg.scala 441:16] - assign sbaddress0_reg_wren1 = _T_518 ? 1'h0 : _GEN_106; // @[dbg.scala 339:24 dbg.scala 394:28] - assign dmstatus_reg = {_T_168,_T_164}; // @[dbg.scala 184:16] - assign dmstatus_havereset = _T_200; // @[dbg.scala 201:22] - assign dmstatus_resumeack = _T_191; // @[dbg.scala 193:22] - assign dmstatus_unavail = dmcontrol_reg[1] | _T_185; // @[dbg.scala 191:20] - assign dmstatus_running = ~_T_188; // @[dbg.scala 192:20] - assign dmstatus_halted = _T_195; // @[dbg.scala 197:19] - assign abstractcs_busy_wren = _T_313 ? 1'h0 : _GEN_36; // @[dbg.scala 259:24 dbg.scala 280:28 dbg.scala 298:28] - assign sb_bus_cmd_read = io_sb_axi_ar_valid & io_sb_axi_ar_ready; // @[dbg.scala 401:19] - assign sb_bus_cmd_write_addr = io_sb_axi_aw_valid & io_sb_axi_aw_ready; // @[dbg.scala 402:25] - assign sb_bus_cmd_write_data = io_sb_axi_w_valid & io_sb_axi_w_ready; // @[dbg.scala 403:25] - assign sb_bus_rsp_read = io_sb_axi_r_valid & io_sb_axi_r_ready; // @[dbg.scala 404:19] - assign sb_bus_rsp_error = _T_572 | _T_575; // @[dbg.scala 406:20] - assign sb_bus_rsp_write = io_sb_axi_b_valid & io_sb_axi_b_ready; // @[dbg.scala 405:20] - assign sbcs_sbbusy_din = 4'h0 == sb_state; // @[dbg.scala 336:19 dbg.scala 345:23 dbg.scala 393:23] - assign data1_reg = _T_312; // @[dbg.scala 252:13] - assign sbcs_reg = {_T_44,_T_40}; // @[dbg.scala 125:12] - assign rvclkhdr_io_clk = clock; // @[lib.scala 328:17] - assign rvclkhdr_io_en = _T_3 | io_clk_override; // @[lib.scala 329:16] - assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 330:23] - assign rvclkhdr_1_io_clk = clock; // @[lib.scala 328:17] - assign rvclkhdr_1_io_en = _T_6 | io_clk_override; // @[lib.scala 329:16] - assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 330:23] - assign rvclkhdr_2_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_2_io_en = sbdata0_reg_wren0 | sbdata0_reg_wren1; // @[lib.scala 355:17] - assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] - assign rvclkhdr_3_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_3_io_en = sbdata1_reg_wren0 | sbdata0_reg_wren1; // @[lib.scala 355:17] - assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] - assign rvclkhdr_4_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_4_io_en = sbaddress0_reg_wren0 | sbaddress0_reg_wren1; // @[lib.scala 355:17] - assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] - assign abstractcs_reg = {_T_277,_T_275}; // @[dbg.scala 233:18] - assign rvclkhdr_5_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_5_io_en = _T_225 & _T_282; // @[lib.scala 355:17] - assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] - assign rvclkhdr_6_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_6_io_en = data0_reg_wren0 | data0_reg_wren1; // @[lib.scala 355:17] - assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] - assign rvclkhdr_7_io_clk = clock; // @[lib.scala 354:18] - assign rvclkhdr_7_io_en = _T_307 & _T_282; // @[lib.scala 355:17] - assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 356:24] - assign dbg_nxtstate = _T_313 ? _T_316 : _GEN_33; // @[dbg.scala 257:16 dbg.scala 265:20 dbg.scala 270:20 dbg.scala 275:20 dbg.scala 286:20 dbg.scala 291:20 dbg.scala 296:20 dbg.scala 303:20] + assign io_dbg_cmd_size = command_reg[21:20]; // @[dbg.scala 334:19] + assign io_dbg_core_rst_l = ~dmcontrol_reg[1]; // @[dbg.scala 105:21] + assign io_dbg_halt_req = _T_300 ? _T_316 : _GEN_35; // @[dbg.scala 266:19 dbg.scala 272:23 dbg.scala 277:23 dbg.scala 288:23 dbg.scala 293:23 dbg.scala 298:23 dbg.scala 305:23 dbg.scala 310:23] + assign io_dbg_resume_req = _T_300 ? 1'h0 : _GEN_38; // @[dbg.scala 267:21 dbg.scala 287:25] + assign io_dmi_reg_rdata = _T_469; // @[dbg.scala 325:20] + assign io_sb_axi_aw_valid = _T_560 | _T_561; // @[dbg.scala 412:22] + assign io_sb_axi_aw_bits_id = 1'h0; // @[dbg.scala 414:24] + assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 413:26] + assign io_sb_axi_aw_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 418:28] + assign io_sb_axi_aw_bits_len = 8'h0; // @[dbg.scala 419:25] + assign io_sb_axi_aw_bits_size = sbcs_reg[19:17]; // @[dbg.scala 415:26] + assign io_sb_axi_aw_bits_burst = 2'h1; // @[dbg.scala 420:27] + assign io_sb_axi_aw_bits_lock = 1'h0; // @[dbg.scala 422:26] + assign io_sb_axi_aw_bits_cache = 4'hf; // @[dbg.scala 417:27] + assign io_sb_axi_aw_bits_prot = 3'h0; // @[dbg.scala 416:26] + assign io_sb_axi_aw_bits_qos = 4'h0; // @[dbg.scala 421:25] + assign io_sb_axi_w_valid = _T_560 | _T_567; // @[dbg.scala 423:21] + assign io_sb_axi_w_bits_data = _T_595 | _T_603; // @[dbg.scala 424:25] + assign io_sb_axi_w_bits_strb = _T_635[7:0]; // @[dbg.scala 427:25] + assign io_sb_axi_w_bits_last = 1'h1; // @[dbg.scala 432:25] + assign io_sb_axi_b_ready = 1'h1; // @[dbg.scala 444:21] + assign io_sb_axi_ar_valid = sb_state == 4'h3; // @[dbg.scala 433:22] + assign io_sb_axi_ar_bits_id = 1'h0; // @[dbg.scala 435:24] + assign io_sb_axi_ar_bits_addr = sbaddress0_reg; // @[dbg.scala 434:26] + assign io_sb_axi_ar_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 439:28] + assign io_sb_axi_ar_bits_len = 8'h0; // @[dbg.scala 440:25] + assign io_sb_axi_ar_bits_size = sbcs_reg[19:17]; // @[dbg.scala 436:26] + assign io_sb_axi_ar_bits_burst = 2'h1; // @[dbg.scala 441:27] + assign io_sb_axi_ar_bits_lock = 1'h0; // @[dbg.scala 443:26] + assign io_sb_axi_ar_bits_cache = 4'h0; // @[dbg.scala 438:27] + assign io_sb_axi_ar_bits_prot = 3'h0; // @[dbg.scala 437:26] + assign io_sb_axi_ar_bits_qos = 4'h0; // @[dbg.scala 442:25] + assign io_sb_axi_r_ready = 1'h1; // @[dbg.scala 445:21] + assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_482 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 331:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 332:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_471 ? 2'h2 : _T_491; // @[dbg.scala 333:34] + assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_471 ? _T_473 : _T_475; // @[dbg.scala 329:34] + assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg; // @[dbg.scala 330:38] + assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 454:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 455:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 456:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_addr = io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[dbg.scala 452:39] + assign io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[dbg.scala 453:39] + assign io_dbg_dma_io_dbg_dma_bubble = _T_482 | _T_283; // @[dbg.scala 335:32] + assign dbg_state = _T_468; // @[dbg.scala 320:13] + assign dbg_state_en = _T_300 ? _T_312 : _GEN_34; // @[dbg.scala 263:16 dbg.scala 271:20 dbg.scala 276:20 dbg.scala 283:20 dbg.scala 292:20 dbg.scala 297:20 dbg.scala 302:20 dbg.scala 309:20] + assign sb_state = _T_547; // @[dbg.scala 402:12] + assign sb_state_en = _T_502 ? _T_505 : _GEN_101; // @[dbg.scala 348:19 dbg.scala 356:19 dbg.scala 362:19 dbg.scala 368:19 dbg.scala 372:19 dbg.scala 376:19 dbg.scala 380:19 dbg.scala 384:19 dbg.scala 390:19 dbg.scala 396:19] + assign dmcontrol_reg = {_T_145,_T_143}; // @[dbg.scala 183:17] + assign sbaddress0_reg = _T_118; // @[dbg.scala 164:18] + assign sbcs_sbbusy_wren = _T_502 ? sb_state_en : _GEN_104; // @[dbg.scala 340:20 dbg.scala 349:24 dbg.scala 397:24] + assign sbcs_sberror_wren = _T_502 ? _T_508 : _GEN_102; // @[dbg.scala 342:21 dbg.scala 351:25 dbg.scala 357:25 dbg.scala 363:25 dbg.scala 385:25 dbg.scala 391:25] + assign sb_bus_rdata = _T_671 | _T_677; // @[dbg.scala 446:16] + assign sbaddress0_reg_wren1 = _T_502 ? 1'h0 : _GEN_106; // @[dbg.scala 344:24 dbg.scala 399:28] + assign dmstatus_reg = {_T_163,_T_159}; // @[dbg.scala 189:16] + assign dmstatus_havereset = _T_192; // @[dbg.scala 206:22] + assign dmstatus_resumeack = _T_185; // @[dbg.scala 198:22] + assign dmstatus_unavail = dmcontrol_reg[1] | _T_180; // @[dbg.scala 196:20] + assign dmstatus_running = ~_T_183; // @[dbg.scala 197:20] + assign dmstatus_halted = _T_188; // @[dbg.scala 202:19] + assign abstractcs_busy_wren = _T_300 ? 1'h0 : _GEN_36; // @[dbg.scala 264:24 dbg.scala 285:28 dbg.scala 303:28] + assign sb_bus_cmd_read = io_sb_axi_ar_valid & io_sb_axi_ar_ready; // @[dbg.scala 406:19] + assign sb_bus_cmd_write_addr = io_sb_axi_aw_valid & io_sb_axi_aw_ready; // @[dbg.scala 407:25] + assign sb_bus_cmd_write_data = io_sb_axi_w_valid & io_sb_axi_w_ready; // @[dbg.scala 408:25] + assign sb_bus_rsp_read = io_sb_axi_r_valid & io_sb_axi_r_ready; // @[dbg.scala 409:19] + assign sb_bus_rsp_error = _T_555 | _T_558; // @[dbg.scala 411:20] + assign sb_bus_rsp_write = io_sb_axi_b_valid & io_sb_axi_b_ready; // @[dbg.scala 410:20] + assign sbcs_sbbusy_din = 4'h0 == sb_state; // @[dbg.scala 341:19 dbg.scala 350:23 dbg.scala 398:23] + assign data1_reg = _T_299; // @[dbg.scala 257:13] + assign sbcs_reg = {_T_44,_T_40}; // @[dbg.scala 130:12] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = _T_3 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = _T_6 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = sbdata0_reg_wren0 | sbdata0_reg_wren1; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = sbdata1_reg_wren0 | sbdata0_reg_wren1; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = sbaddress0_reg_wren0 | sbaddress0_reg_wren1; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign abstractcs_reg = {_T_267,_T_265}; // @[dbg.scala 238:18] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = _T_217 & _T_272; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = data0_reg_wren0 | data0_reg_wren1; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = _T_295 & _T_272; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign dbg_nxtstate = _T_300 ? _T_303 : _GEN_33; // @[dbg.scala 262:16 dbg.scala 270:20 dbg.scala 275:20 dbg.scala 280:20 dbg.scala 291:20 dbg.scala 296:20 dbg.scala 301:20 dbg.scala 308:20] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -804,7 +804,7 @@ initial begin _RAND_6 = {1{`RANDOM}}; sbdata1_reg = _RAND_6[31:0]; _RAND_7 = {1{`RANDOM}}; - _T_121 = _RAND_7[31:0]; + _T_118 = _RAND_7[31:0]; _RAND_8 = {1{`RANDOM}}; dm_temp = _RAND_8[3:0]; _RAND_9 = {1{`RANDOM}}; @@ -812,11 +812,11 @@ initial begin _RAND_10 = {1{`RANDOM}}; dmcontrol_wren_Q = _RAND_10[0:0]; _RAND_11 = {1{`RANDOM}}; - _T_191 = _RAND_11[0:0]; + _T_185 = _RAND_11[0:0]; _RAND_12 = {1{`RANDOM}}; - _T_195 = _RAND_12[0:0]; + _T_188 = _RAND_12[0:0]; _RAND_13 = {1{`RANDOM}}; - _T_200 = _RAND_13[0:0]; + _T_192 = _RAND_13[0:0]; _RAND_14 = {1{`RANDOM}}; abs_temp_12 = _RAND_14[0:0]; _RAND_15 = {1{`RANDOM}}; @@ -826,79 +826,79 @@ initial begin _RAND_17 = {1{`RANDOM}}; data0_reg = _RAND_17[31:0]; _RAND_18 = {1{`RANDOM}}; - _T_312 = _RAND_18[31:0]; + _T_299 = _RAND_18[31:0]; _RAND_19 = {1{`RANDOM}}; - _T_483 = _RAND_19[2:0]; + _T_468 = _RAND_19[2:0]; _RAND_20 = {1{`RANDOM}}; - _T_485 = _RAND_20[31:0]; + _T_469 = _RAND_20[31:0]; _RAND_21 = {1{`RANDOM}}; - _T_564 = _RAND_21[3:0]; + _T_547 = _RAND_21[3:0]; `endif // RANDOMIZE_REG_INIT - if (_T_29) begin + if (dbg_dm_rst_l) begin temp_sbcs_22 = 1'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin temp_sbcs_21 = 1'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin temp_sbcs_20 = 1'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin temp_sbcs_19_15 = 5'h0; end - if (_T_36) begin + if (rst_not) begin temp_sbcs_14_12 = 3'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin sbdata0_reg = 32'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin sbdata1_reg = 32'h0; end - if (_T_29) begin - _T_121 = 32'h0; + if (dbg_dm_rst_l) begin + _T_118 = 32'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin dm_temp = 4'h0; end if (io_dbg_rst_l) begin dm_temp_0 = 1'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin dmcontrol_wren_Q = 1'h0; end - if (_T_29) begin - _T_191 = 1'h0; + if (dbg_dm_rst_l) begin + _T_185 = 1'h0; end - if (_T_29) begin - _T_195 = 1'h0; + if (dbg_dm_rst_l) begin + _T_188 = 1'h0; end - if (_T_29) begin - _T_200 = 1'h0; + if (dbg_dm_rst_l) begin + _T_192 = 1'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin abs_temp_12 = 1'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin abs_temp_10_8 = 3'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin command_reg = 32'h0; end - if (_T_29) begin + if (dbg_dm_rst_l) begin data0_reg = 32'h0; end - if (_T_29) begin - _T_312 = 32'h0; + if (dbg_dm_rst_l) begin + _T_299 = 32'h0; end - if (_T_482) begin - _T_483 = 3'h0; + if (rst_temp) begin + _T_468 = 3'h0; end - if (_T_29) begin - _T_485 = 32'h0; + if (dbg_dm_rst_l) begin + _T_469 = 32'h0; end - if (_T_29) begin - _T_564 = 4'h0; + if (dbg_dm_rst_l) begin + _T_547 = 4'h0; end `endif // RANDOMIZE end // initial @@ -906,95 +906,95 @@ end // initial `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS - always @(posedge rvclkhdr_1_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin temp_sbcs_22 <= 1'h0; end else if (sbcs_sbbusyerror_wren) begin temp_sbcs_22 <= sbcs_sbbusyerror_din; end end - always @(posedge rvclkhdr_1_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin temp_sbcs_21 <= 1'h0; end else if (sbcs_sbbusy_wren) begin temp_sbcs_21 <= sbcs_sbbusy_din; end end - always @(posedge rvclkhdr_1_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin temp_sbcs_20 <= 1'h0; end else if (sbcs_wren) begin temp_sbcs_20 <= io_dmi_reg_wdata[20]; end end - always @(posedge rvclkhdr_1_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin temp_sbcs_19_15 <= 5'h0; end else if (sbcs_wren) begin temp_sbcs_19_15 <= io_dmi_reg_wdata[19:15]; end end - always @(posedge rvclkhdr_1_io_l1clk or posedge _T_36) begin - if (_T_36) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge rst_not) begin + if (rst_not) begin temp_sbcs_14_12 <= 3'h0; end else if (sbcs_sberror_wren) begin - if (_T_518) begin - temp_sbcs_14_12 <= _T_528; + if (_T_502) begin + temp_sbcs_14_12 <= _T_512; + end else if (_T_513) begin + if (sbcs_unaligned) begin + temp_sbcs_14_12 <= 3'h3; + end else begin + temp_sbcs_14_12 <= 3'h4; + end + end else if (_T_520) begin + if (sbcs_unaligned) begin + temp_sbcs_14_12 <= 3'h3; + end else begin + temp_sbcs_14_12 <= 3'h4; + end + end else if (_T_527) begin + temp_sbcs_14_12 <= 3'h0; end else if (_T_529) begin - if (sbcs_unaligned) begin - temp_sbcs_14_12 <= 3'h3; - end else begin - temp_sbcs_14_12 <= 3'h4; - end - end else if (_T_536) begin - if (sbcs_unaligned) begin - temp_sbcs_14_12 <= 3'h3; - end else begin - temp_sbcs_14_12 <= 3'h4; - end - end else if (_T_543) begin temp_sbcs_14_12 <= 3'h0; - end else if (_T_545) begin + end else if (_T_535) begin temp_sbcs_14_12 <= 3'h0; - end else if (_T_551) begin + end else if (_T_537) begin temp_sbcs_14_12 <= 3'h0; - end else if (_T_553) begin - temp_sbcs_14_12 <= 3'h0; - end else if (_T_555) begin + end else if (_T_539) begin temp_sbcs_14_12 <= 3'h2; - end else if (_T_558) begin + end else if (_T_542) begin temp_sbcs_14_12 <= 3'h2; end else begin temp_sbcs_14_12 <= 3'h0; end end end - always @(posedge rvclkhdr_2_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_2_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin sbdata0_reg <= 32'h0; end else begin sbdata0_reg <= _T_95 | _T_99; end end - always @(posedge rvclkhdr_3_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_3_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin sbdata1_reg <= 32'h0; end else begin sbdata1_reg <= _T_102 | _T_106; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_121 <= 32'h0; + always @(posedge rvclkhdr_4_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_118 <= 32'h0; end else begin - _T_121 <= _T_113 | _T_119; + _T_118 <= _T_111 | _T_117; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin dm_temp <= 4'h0; end else if (dmcontrol_wren) begin - dm_temp <= _T_140; + dm_temp <= _T_136; end end always @(posedge rvclkhdr_io_l1clk or posedge io_dbg_rst_l) begin @@ -1004,177 +1004,177 @@ end // initial dm_temp_0 <= io_dmi_reg_wdata[0]; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin dmcontrol_wren_Q <= 1'h0; end else begin - dmcontrol_wren_Q <= _T_134 & io_dmi_reg_wr_en; + dmcontrol_wren_Q <= _T_131 & io_dmi_reg_wr_en; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_191 <= 1'h0; + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_185 <= 1'h0; end else if (dmstatus_resumeack_wren) begin - _T_191 <= _T_171; + _T_185 <= _T_166; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_195 <= 1'h0; + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_188 <= 1'h0; end else begin - _T_195 <= io_dec_tlu_dbg_halted & _T_193; + _T_188 <= io_dec_tlu_dbg_halted & _T_186; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_200 <= 1'h0; + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_192 <= 1'h0; end else begin - _T_200 <= _T_197 & _T_198; + _T_192 <= _T_189 & _T_190; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin abs_temp_12 <= 1'h0; end else if (abstractcs_busy_wren) begin - if (_T_313) begin + if (_T_300) begin abs_temp_12 <= 1'h0; - end else if (_T_331) begin + end else if (_T_318) begin abs_temp_12 <= 1'h0; end else begin - abs_temp_12 <= _T_343; + abs_temp_12 <= _T_330; end end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin abs_temp_10_8 <= 3'h0; end else begin - abs_temp_10_8 <= _T_266 | _T_271; + abs_temp_10_8 <= _T_258 | _T_263; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin command_reg <= 32'h0; end else begin - command_reg <= {_T_288,_T_286}; + command_reg <= {_T_278,_T_276}; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge _T_29) begin - if (_T_29) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin data0_reg <= 32'h0; end else begin - data0_reg <= _T_300 | _T_303; + data0_reg <= _T_289 | _T_292; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_312 <= 32'h0; + always @(posedge rvclkhdr_7_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_299 <= 32'h0; end else begin - _T_312 <= _T_310 & io_dmi_reg_wdata; + _T_299 <= _T_298 & io_dmi_reg_wdata; end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_482) begin - if (_T_482) begin - _T_483 <= 3'h0; + always @(posedge rvclkhdr_io_l1clk or posedge rst_temp) begin + if (rst_temp) begin + _T_468 <= 3'h0; end else if (dbg_state_en) begin - if (_T_313) begin - if (_T_315) begin - _T_483 <= 3'h2; + if (_T_300) begin + if (_T_302) begin + _T_468 <= 3'h2; end else begin - _T_483 <= 3'h1; + _T_468 <= 3'h1; end - end else if (_T_331) begin + end else if (_T_318) begin if (dmcontrol_reg[1]) begin - _T_483 <= 3'h0; + _T_468 <= 3'h0; end else begin - _T_483 <= 3'h2; + _T_468 <= 3'h2; end - end else if (_T_343) begin - if (_T_347) begin - if (_T_351) begin - _T_483 <= 3'h6; + end else if (_T_330) begin + if (_T_334) begin + if (_T_338) begin + _T_468 <= 3'h6; end else begin - _T_483 <= 3'h3; + _T_468 <= 3'h3; end end else if (dmcontrol_reg[31]) begin - _T_483 <= 3'h1; + _T_468 <= 3'h1; end else begin - _T_483 <= 3'h0; + _T_468 <= 3'h0; end - end else if (_T_381) begin + end else if (_T_368) begin if (dmcontrol_reg[1]) begin - _T_483 <= 3'h0; - end else if (_T_384) begin - _T_483 <= 3'h5; + _T_468 <= 3'h0; + end else if (_T_371) begin + _T_468 <= 3'h5; end else begin - _T_483 <= 3'h4; + _T_468 <= 3'h4; end - end else if (_T_398) begin + end else if (_T_385) begin if (dmcontrol_reg[1]) begin - _T_483 <= 3'h0; + _T_468 <= 3'h0; end else begin - _T_483 <= 3'h5; + _T_468 <= 3'h5; end - end else if (_T_409) begin + end else if (_T_396) begin if (dmcontrol_reg[1]) begin - _T_483 <= 3'h0; + _T_468 <= 3'h0; end else begin - _T_483 <= 3'h2; + _T_468 <= 3'h2; end end else begin - _T_483 <= 3'h0; + _T_468 <= 3'h0; end end end - always @(posedge rvclkhdr_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_485 <= 32'h0; + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_469 <= 32'h0; end else if (io_dmi_reg_en) begin - _T_485 <= dmi_reg_rdata_din; + _T_469 <= dmi_reg_rdata_din; end end - always @(posedge rvclkhdr_1_io_l1clk or posedge _T_29) begin - if (_T_29) begin - _T_564 <= 4'h0; + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_547 <= 4'h0; end else if (sb_state_en) begin - if (_T_518) begin + if (_T_502) begin if (sbdata0_reg_wren0) begin - _T_564 <= 4'h2; + _T_547 <= 4'h2; end else begin - _T_564 <= 4'h1; + _T_547 <= 4'h1; end + end else if (_T_513) begin + if (_T_514) begin + _T_547 <= 4'h9; + end else begin + _T_547 <= 4'h3; + end + end else if (_T_520) begin + if (_T_514) begin + _T_547 <= 4'h9; + end else begin + _T_547 <= 4'h4; + end + end else if (_T_527) begin + _T_547 <= 4'h7; end else if (_T_529) begin if (_T_530) begin - _T_564 <= 4'h9; - end else begin - _T_564 <= 4'h3; - end - end else if (_T_536) begin - if (_T_530) begin - _T_564 <= 4'h9; - end else begin - _T_564 <= 4'h4; - end - end else if (_T_543) begin - _T_564 <= 4'h7; - end else if (_T_545) begin - if (_T_546) begin - _T_564 <= 4'h8; + _T_547 <= 4'h8; end else if (sb_bus_cmd_write_data) begin - _T_564 <= 4'h5; + _T_547 <= 4'h5; end else begin - _T_564 <= 4'h6; + _T_547 <= 4'h6; end - end else if (_T_551) begin - _T_564 <= 4'h8; - end else if (_T_553) begin - _T_564 <= 4'h8; - end else if (_T_555) begin - _T_564 <= 4'h9; - end else if (_T_558) begin - _T_564 <= 4'h9; + end else if (_T_535) begin + _T_547 <= 4'h8; + end else if (_T_537) begin + _T_547 <= 4'h8; + end else if (_T_539) begin + _T_547 <= 4'h9; + end else if (_T_542) begin + _T_547 <= 4'h9; end else begin - _T_564 <= 4'h0; + _T_547 <= 4'h0; end end end diff --git a/firrtl_black_box_resource_files.f b/firrtl_black_box_resource_files.f index 40eae7ce..d4456bc6 100644 --- a/firrtl_black_box_resource_files.f +++ b/firrtl_black_box_resource_files.f @@ -1,3 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/gated_latch.v -/home/waleedbinehsan/Desktop/Quasar/dmi_wrapper.sv -/home/waleedbinehsan/Desktop/Quasar/mem.sv \ No newline at end of file +/home/waleedbinehsan/Desktop/Quasar/gated_latch.v \ No newline at end of file diff --git a/src/main/scala/dbg/dbg.scala b/src/main/scala/dbg/dbg.scala index a907348f..3b1687b4 100644 --- a/src/main/scala/dbg/dbg.scala +++ b/src/main/scala/dbg/dbg.scala @@ -96,30 +96,37 @@ class dbg extends Module with lib with RequireAsyncReset { val sb_free_clken = io.dmi_reg_en | sb_state_en | (sb_state =/= sb_state_t.sbidle) | io.clk_override; val dbg_free_clk = rvclkhdr(clock, dbg_free_clken, io.scan_mode) // dbg_free_cgc val sb_free_clk = rvclkhdr(clock, sb_free_clken, io.scan_mode) // sb_free_cgc - val dbg_dm_rst_l = io.dbg_rst_l.asBool() & (dmcontrol_reg(0) | io.scan_mode) + + val dbg_dm_rst_l = (io.dbg_rst_l.asBool() & (dmcontrol_reg(0) | io.scan_mode)).asAsyncReset() + dontTouch(dbg_dm_rst_l) + val rst_temp = (dbg_dm_rst_l.asBool() & reset.asBool()).asAsyncReset() + dontTouch(rst_temp) + val rst_not = (!dbg_dm_rst_l.asBool).asAsyncReset() + dontTouch(rst_not) + io.dbg_core_rst_l := (!dmcontrol_reg(1)).asBool() val sbcs_wren = (io.dmi_reg_addr === "h38".U) & io.dmi_reg_en & io.dmi_reg_wr_en & (sb_state === sb_state_t.sbidle) val sbcs_sbbusyerror_wren = (sbcs_wren & io.dmi_reg_wdata(22)) | ((sb_state =/= sb_state_t.sbidle) & io.dmi_reg_en & ((io.dmi_reg_addr === "h39".U) | (io.dmi_reg_addr === "h3c".U) | (io.dmi_reg_addr === "h3d".U))) val sbcs_sbbusyerror_din = (~(sbcs_wren & io.dmi_reg_wdata(22))).asUInt() - val temp_sbcs_22 = withClockAndReset(sb_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + val temp_sbcs_22 = withClockAndReset(sb_free_clk, dbg_dm_rst_l) { RegEnable(sbcs_sbbusyerror_din, 0.U, sbcs_sbbusyerror_wren) } // sbcs_sbbusyerror_reg - val temp_sbcs_21 = withClockAndReset(sb_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + val temp_sbcs_21 = withClockAndReset(sb_free_clk, dbg_dm_rst_l) { RegEnable(sbcs_sbbusy_din, 0.U, sbcs_sbbusy_wren) } // sbcs_sbbusy_reg - val temp_sbcs_20 = withClockAndReset(sb_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + val temp_sbcs_20 = withClockAndReset(sb_free_clk, dbg_dm_rst_l) { RegEnable(io.dmi_reg_wdata(20), 0.U, sbcs_wren) } // sbcs_sbreadonaddr_reg - val temp_sbcs_19_15 = withClockAndReset(sb_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + val temp_sbcs_19_15 = withClockAndReset(sb_free_clk, dbg_dm_rst_l) { RegEnable(io.dmi_reg_wdata(19, 15), 0.U, sbcs_wren) } // sbcs_misc_reg - val temp_sbcs_14_12 = withClockAndReset(sb_free_clk, (!dbg_dm_rst_l).asAsyncReset()) { + val temp_sbcs_14_12 = withClockAndReset(sb_free_clk, rst_not) { RegEnable(sbcs_sberror_din(2, 0), 0.U, sbcs_sberror_wren) } // sbcs_error_reg sbcs_reg := Cat(1.U(3.W), 0.U(6.W), temp_sbcs_22, temp_sbcs_21, temp_sbcs_20, temp_sbcs_19_15, temp_sbcs_14_12, "h20".U(7.W), "b01111".U(5.W)) @@ -144,11 +151,11 @@ class dbg extends Module with lib with RequireAsyncReset { val sbdata1_din = Fill(32, sbdata1_reg_wren0) & io.dmi_reg_wdata | Fill(32, sbdata1_reg_wren1) & sb_bus_rdata(63, 32) - val sbdata0_reg = withReset((dbg_dm_rst_l).asAsyncReset()) { + val sbdata0_reg = withReset(dbg_dm_rst_l) { rvdffe(sbdata0_din, sbdata0_reg_wren, clock, io.scan_mode) } // dbg_sbdata0_reg - val sbdata1_reg = withReset((dbg_dm_rst_l).asAsyncReset()) { + val sbdata1_reg = withReset(dbg_dm_rst_l) { rvdffe(sbdata1_din, sbdata1_reg_wren, clock, io.scan_mode) } // dbg_sbdata1_reg @@ -156,7 +163,7 @@ class dbg extends Module with lib with RequireAsyncReset { val sbaddress0_reg_wren = sbaddress0_reg_wren0 | sbaddress0_reg_wren1 val sbaddress0_reg_din = Fill(32, sbaddress0_reg_wren0) & io.dmi_reg_wdata | Fill(32, sbaddress0_reg_wren1) & (sbaddress0_reg + Cat(0.U(28.W), sbaddress0_incr)) - sbaddress0_reg := withReset((dbg_dm_rst_l).asAsyncReset()) { + sbaddress0_reg := withReset(dbg_dm_rst_l) { rvdffe(sbaddress0_reg_din, sbaddress0_reg_wren, clock, io.scan_mode) } // dbg_sbaddress0_reg @@ -164,7 +171,7 @@ class dbg extends Module with lib with RequireAsyncReset { val sbreadondata_access = io.dmi_reg_en & !io.dmi_reg_wr_en & (io.dmi_reg_addr === "h3c".U) & sbcs_reg(15) val sbdata0wr_access = io.dmi_reg_en & io.dmi_reg_wr_en & (io.dmi_reg_addr === "h3c".U) val dmcontrol_wren = (io.dmi_reg_addr === "h10".U) & io.dmi_reg_en & io.dmi_reg_wr_en - val dm_temp = withClockAndReset(dbg_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + val dm_temp = withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegEnable( Cat(io.dmi_reg_wdata(31, 30), io.dmi_reg_wdata(28), io.dmi_reg_wdata(1)), 0.U, dmcontrol_wren) @@ -177,7 +184,7 @@ class dbg extends Module with lib with RequireAsyncReset { val temp = Cat(dm_temp(3, 2), 0.U, dm_temp(1), 0.U(26.W), dm_temp(0), dm_temp_0) dmcontrol_reg := temp - val dmcontrol_wren_Q = withClockAndReset(dbg_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + val dmcontrol_wren_Q = withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegNext(dmcontrol_wren, 0.U) } // dmcontrol_wrenff @@ -190,15 +197,15 @@ class dbg extends Module with lib with RequireAsyncReset { val temp_rst = reset.asBool() dmstatus_unavail := (dmcontrol_reg(1) | !(temp_rst)).asBool() dmstatus_running := ~(dmstatus_unavail | dmstatus_halted) - dmstatus_resumeack := withClockAndReset(dbg_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + dmstatus_resumeack := withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegEnable(dmstatus_resumeack_din, 0.U, dmstatus_resumeack_wren) } // dmstatus_resumeack_reg - dmstatus_halted := withClockAndReset(dbg_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + dmstatus_halted := withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegNext(io.dec_tlu_dbg_halted & !io.dec_tlu_mpc_halted_only, 0.U) } // dmstatus_halted_reg - dmstatus_havereset := withClockAndReset(dbg_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + dmstatus_havereset := withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegNext(Mux(dmstatus_havereset_wren, true.B, dmstatus_havereset) & !dmstatus_havereset_rst, false.B) } // dmstatus_havereset_reg @@ -222,11 +229,11 @@ class dbg extends Module with lib with RequireAsyncReset { (Fill(3, abstractcs_error_sel5) & (~io.dmi_reg_wdata(10, 8)).asUInt() & abstractcs_reg(10, 8)) | (Fill(3, (~abstractcs_error_selor).asUInt()) & abstractcs_reg(10, 8)) - val abs_temp_12 = withClockAndReset(dbg_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + val abs_temp_12 = withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegEnable(abstractcs_busy_din, 0.U, abstractcs_busy_wren) } // dmabstractcs_busy_reg - val abs_temp_10_8 = withClockAndReset(dbg_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + val abs_temp_10_8 = withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegNext(abstractcs_error_din(2, 0), 0.U) } // dmabstractcs_error_reg @@ -234,7 +241,7 @@ class dbg extends Module with lib with RequireAsyncReset { val command_wren = (io.dmi_reg_addr === "h17".U) & io.dmi_reg_en & io.dmi_reg_wr_en & (dbg_state === state_t.halted) val command_din = Cat(io.dmi_reg_wdata(31, 24), 0.U(1.W), io.dmi_reg_wdata(22, 20), 0.U(3.W), io.dmi_reg_wdata(16, 0)) - val command_reg = withReset((dbg_dm_rst_l).asAsyncReset()) { + val command_reg = withReset(dbg_dm_rst_l) { rvdffe(command_din, command_wren,clock,io.scan_mode) } // dmcommand_reg @@ -243,13 +250,13 @@ class dbg extends Module with lib with RequireAsyncReset { val data0_reg_wren = data0_reg_wren0 | data0_reg_wren1 val data0_din = Fill(32, data0_reg_wren0) & io.dmi_reg_wdata | Fill(32, data0_reg_wren1) & io.core_dbg_rddata - val data0_reg = withReset((dbg_dm_rst_l).asAsyncReset()) { + val data0_reg = withReset(dbg_dm_rst_l) { rvdffe(data0_din,data0_reg_wren,clock,io.scan_mode) } // dbg_data0_reg val data1_reg_wren = (io.dmi_reg_en & io.dmi_reg_wr_en & (io.dmi_reg_addr === "h5".U) & (dbg_state === state_t.halted)) val data1_din = Fill(32, data1_reg_wren) & io.dmi_reg_wdata - data1_reg := withReset((dbg_dm_rst_l).asAsyncReset()) { + data1_reg := withReset(dbg_dm_rst_l) { rvdffe(data1_din, data1_reg_wren, clock, io.scan_mode) } // dbg_data1_reg @@ -312,12 +319,12 @@ class dbg extends Module with lib with RequireAsyncReset { Fill(32, io.dmi_reg_addr === "h39".U) & sbaddress0_reg | Fill(32, io.dmi_reg_addr === "h3c".U) & sbdata0_reg | Fill(32, io.dmi_reg_addr === "h3d".U) & sbdata1_reg - dbg_state := withClockAndReset(dbg_free_clk, (dbg_dm_rst_l & temp_rst).asAsyncReset()) { + dbg_state := withClockAndReset(dbg_free_clk, rst_temp) { RegEnable(dbg_nxtstate, 0.U, dbg_state_en) } // dbg_state_reg - io.dmi_reg_rdata := withClockAndReset(dbg_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + io.dmi_reg_rdata := withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegEnable(dmi_reg_rdata_din, 0.U, io.dmi_reg_en) } // dmi_rddata_reg @@ -394,7 +401,7 @@ class dbg extends Module with lib with RequireAsyncReset { sbaddress0_reg_wren1 := sbcs_reg(16) }} - sb_state := withClockAndReset(sb_free_clk, (dbg_dm_rst_l).asAsyncReset()) { + sb_state := withClockAndReset(sb_free_clk, dbg_dm_rst_l) { RegEnable(sb_nxtstate, 0.U, sb_state_en) } // sb_state_reg @@ -450,3 +457,6 @@ class dbg extends Module with lib with RequireAsyncReset { io.dbg_dma.dbg_ib.dbg_cmd_write := io.dbg_dec.dbg_ib.dbg_cmd_write io.dbg_dma.dbg_ib.dbg_cmd_type := io.dbg_dec.dbg_ib.dbg_cmd_type } +object db_obj extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new dbg())) +} \ No newline at end of file diff --git a/target/scala-2.12/classes/dbg/db_obj$.class b/target/scala-2.12/classes/dbg/db_obj$.class new file mode 100644 index 0000000000000000000000000000000000000000..8110ad6b88c9045a23f17f19b4b820a6ae14f38c GIT binary patch literal 3835 zcmbtX30D(W7`-nnfe-~jP?Xj!))3UFxYbyzfL3fk+aRsAEuADUI6BP4$;7R#wVQU| z?7sYjp3_CqBj@x7=zr?zeKQj>NNRIV$;o8in{U1E`|dZ~|NGuw0CwURfwp{hI+@Q- zS=qC(FoZzIS?z+BG&FNMc|3bo=A1AZ1X@cu&CrsEip4ORxTMxrcS~SnRY5l|Sm$JN zOgd+*{87!!8?qEjTh_TU)mj7|f3#>A5rJk;ULZVneB@;Ma7(Me)SY1~FPTN!%P?md29u`k zie_0?Ju+9M2RP3k#9|nq^qId$E zRD5xPWs9EE>WrqF0zL5>FetB0>N)+a3;L-k8^soERi2VA?8l;LM@K8s-VwzzbgH|Z zgdqdOQ%sBS<+F5cBylu(LpM;&M@@f?ee27EISy7}g9UqdSt|oyN-%yo6Vn^sDSl ztynZj2wn57Fn{`#eGOVC@yH5v%NgC7BpQar zWYrx!kP32SIt#@Ji;Hy9e7>w1icwal|Fz6m<7Ay3?v=^*)J`>mM)az>U8;D2a5uZX zOh#NovVAb#Lf>nqvYl9Zh$Ly+OZXNBf2G^SAwQh( zvKnaJ!mj$z+FdJ|+t^iaGJAr_>~WLn!d@Sr>gnA=s-Ac})Sn~*`|;E~$PG{fvN^Hl zHU{gDOC6PeZYr*2IDn^V=%I7Fja2<6tA%_pV7jAM4R!zg)-r1K*D{BZQ+IG!Wi0|V z`+$KQnXmt=XyONm|AA-cFh+&(^gZN&v4L3>#(6oruW?}Wmfpr$n6t2}p(>t*cz{iQ z7wn{+2v(s4hyFU)b9-3FA#}4J%RHk7K8xT2F0wc1&#(88`oF@DeAw+}pf_;`mlA*C z3R~`KVh-0%apT=tykB*`xcz!PgB=lE#|_`0YHn4^;lw?B2`D7kMfjfA2p_bfjlJ88 zAGi)7j34>i$Ga)C-~=+5z)4KvMSdsn8Rawhi4q3|`Agx=A&(Ufgc5Pjn$Hu-AOQd&w|3e=#ql`xgy6odqbN|Bs`l(tv2wzsW=}?NN5PpF1#eX_bR(IFsf>DQtldCG zQwK!YnuH<|UY4gi9!c+cFwvO^^+BG7I#%f;2PHz4SsoUJ1lv`)mKmYx&vADN6)_$s zQ^Im*#~+IK!b?PY=DiMvGSuA$Y&ca|s1fROeGVFgjhV7nX*5=Gs$DMNuMoXYD0OyD z8dyN9h9=sC)qf?wP#5KThRgJ_=-ZB!YyIC10_}CnAeYJ_DNrEy8zw zF`nh^zSQR`dLuG1lI$NIP`vvuHSp1CDk44cIueSL`7r4Xw#$_39VvRH6$<~?5Q1m%k9NT-p zQK4VEdtaIDJ2pQtR>Iw4B^y@>S1y}ogxp@}Bi!SL3cJcc3EP}lsKDj8!0*vA=aSJ+ IxX&2=0`!iUs{jB1 literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dbg/db_obj.class b/target/scala-2.12/classes/dbg/db_obj.class new file mode 100644 index 0000000000000000000000000000000000000000..96d88f99561f32c6fe91431cd83d6832660712aa GIT binary patch literal 763 zcmZuv-*3`T6h5byQp$kQjctMh2V)L2v9jz%Q4_XF;=(c!S+W>2Z`&&tOKZwS$GiWI z5BjhNAN&LSql~BEHWO`f^WAgq_nn{p`Rn@+0N8~sgrs8)OvgG4tf2;okR9@m-1N9V zFpsUFu%!kJK_}L|vCTb>Q0mMsW+>iz^ltVdch4R8TuwsKL{P1%6k~+XaXfv{<;ZlU z*yxrSVZGJGHmXWr=UCWzbC!I$U1>2zGjg9sh=y9$yA@9W(25!a_jXmtt?iO|pwP7snwUQY%t*eW#QJZebwM=Pag%zoz*oeD6 z!fNeR=eoC^3|)WFte?<34+m83!u24k8l(`kqvL~q=V=uo-TCXSnSu-~>X3$P3Uo*& z>9!Qfg~zADdFHzkAy@k|r1r$Or5pJ7XQ|A8+>b7YIq{`C66RiTE}TBaXg--2X9dnQ z1vyxW^4vhkh;w02qL4kwLrTSJ^}`et;ATWvr*`%ON6;RRgnSn`&w0p4LW+<&)UTFA zYd;VlLSb_$95Q;rB(z1_^+=e1F)!uB9lMq%TD~7h9_6D5iOXF>0~CnSKLo&N2s8$= zOJwB;kudQMj5`;w{57J$EjlXA2(m<_UP$^Ma(+(UAhH6hP$s;RuFa&>B}I><%S4Gj gjq+ST@ypC$P$5)6g-yZ*qQ>cHV@N=i983lH4cA+keEFy~vKeUP&uiwu&vSToMxq zEkFpJFSHOKgc_0%NCyIhBm@YA68iVid#`~I;D67YxmDNK`!6U@BF~v~&&<4Y=FFMd zxpQYe_pb*&p=sKxl81FI+S}b)M4qM+U|+gLPE{!`aN}aC)$+Bh#PmIkRP? zcYH7t)lA3_4s=)HFRB&jTDc{^BXeSWU^J5)J2Tv~BQuuCMzyG}#gXsIv7Yo`TGuY> zkVMsJrf)FQldalG?41Ms!|Ck!Xr@`$3cAl^Gh_ONb%lCqaXQ_S+-P2GmTsR`5HqJl zlBLOHrgTC4OtIdrC(L-f#4IrO8+uV`OY&&xg3>cl<}aCI7MP>D9_CZK zH@f-C4vF98<{xt8&nUjMhi+H?Q(XG#bNG$SFKw6hOnjr|B=RqxD&?7f(&0B+eBh55 zWVc4kHKhy6%XKAB{6dG{=;kLJei!rOgfmiN+kg2PH^0o`mm7?q^b?uEeCVOP(aoRj z@VngniyZzLH-DbPFE?HJFLd~gZvIk--=+8x|4Qbg-<6+n^J^V`dBl}}y^~L);>&!R z9QiIc|1yVv#?5bW_~iwhzszTY!*6u+H#z(+H^0;2pHY0N=N-%^J(m}{@b7W>jc)#a zhu`JqA9DC-6kp=(Wg3bqmLGQHE&nX@QT|!x@A9`1$3K@_ z{#nW^|4j0hf0lYs{+aaP_RkT6`;pX-%dbWp|6FeQXPJ-k&m`~m&k@Hzms|c>;!*xt z;&=Jgh~uBjE&nX#m4BA_-SUoqF1P%%#52!@U-?zZSN@rBy8Uy+@z3RM{~U4rbGh3; zM;!lL?)J|S$3K_5{d2_e&*g6a9C7?}x!XTSS{T37kMg$?zw*x#znic8v(&$vulzId z-Tpb^_~&xBe~vi*x!moaBaVMAxBRng7v-M`r`tbAx?T8P{yF0K=W^wrb@l=3nFR&$##n(*Gl$g5rurL$eD_bAC~c zKD+ivR4*UZlgSRVzvzr8Fpb%TtNV8Ej=;4u)u6BDqpHcF3nta zcH`D<1*W;V=tR1F_0j$ry$y>@(^y)#Vn*6%?B2LioLWKoZ{D1&NG~^P6E)k9&aFGy zHDed@TV^b8sVLpPH+g7IJl@!08b(uLd2;2P+C;oye8!2HMx<-u^r+dAOqi$e%i{6!PSj^l;ZT3FB{>+?5!a)aW@fCK*?DE%@aCq}L!0MqFReM4JXR1{5U;3cGRjM*pBma%JKTQFoLQZzx)}up7se#c zogJuWv#~r;v3=RJHRGjA;%BNV>RXLz1*N4aeM);`?Ww`8HDjBvnYL!iq3R*RH5Is8 z3U|+fp3j-+Kzee z8MCeYROi9E!<>IZW?k9Y{*|)}iVC9fC7m_N0;8a9Rz+Lw@yv{~8@6<IwE znxiPE;zZIa=TffMtkvCJJI*ew+TR$zAikn>`y8H6SL-oZe%1b_lLuy4&o6a7Ph>Wi zoZT?x%I3rEGp6qy*waZTn*xR~!Vq?jn zx=iVk(#Cm?o@TYrn0rcG)NpcLePqp$#It(s ziEGACS3Ph)&xNZnb6_DoUv+qM6Qz$^>Br2PioGijRF7@G=z)tW4?oD!>!#Ndt3FExfP|Q?d#K1OA6vc^IG(li_Ht$`-jUL zPPWhJNG2;v&9(7#X>n@x;f?b|KkRj=AMLiio!c#$E;FW(J>c{6xIKoHM;^ zA@Vy^aOnb1x>l7A_LYoKx@mhy4p#SetvtJD%UtBU*?C?e^JTk-p4%5WdM@5rd*!LY zxoi6}n>seGAe;wW=~|n5Tbs`A8J=6$XD)!h+FzX=D6HJKLN6qHte8(vY>bWs(%k)!&7dCef%!o`&JA6u)>_)rv??8L*ukJf&B(@v- z8+ubsm5WE_HXP2(SUVH-9Nu_YcH`EAYlhZsT7IgyU7ymQ5F3kUH}&;*MzUlt=B4F} zSCrRfGBf6`nX-lK3+1;p^=#}sTfeou_GEwG*^^rj){UUwE*|P?I^3T?e>r&R;gLR;;cmmNVq-8*YVsky&Fwma?-uJ+jqEoIpET=?7YCEB6)ecbC&Tvv_WEUpw?U zzq~d(ut_u&AF9o+ODtbgm16x@Y^dq!-!XmGPy+^g%U>E%NrsXq>XO|kw+;aQYj_usB z{M2%?tHPSvtzFeUXrKBObL(I?9b~WF?Pb$r3#eUHKRi%IcD8zaGw>82sxejs3O&DYG?IDDWE`3}@T@BNt>%TEoKHw?mGq)BgEHZ9+a`M}WL8QJIpJxYG5 z5B;idT}f}l%0j~||8yyeui($X_k>1Ae7anGsYu6pz<^4qJ%HqSU)UwmbKg}DO$ zz=<2>q*tr_In*zupC4YgY3>yxvl?b#ypZ#bf~zr3U_P)svAuNQ;H4uQH!YL>k@K&! zh7E3HC#4$577- z)D!K|ytr!inhJBb$8W)JpItNp`y_q9j-7T>?WFwL1(siff0|W2yJ;-7>FkCTbJmcZ zyV{@q8@FRRS+&36#JW!Tye?BP;En6>`-kd=)36irqnS4NYfs#mrbn9l4z$4UcA-CS zGNxB}RUbmqvvOtez z+u#S5U$myXYvEeV!|E*ihTrO)IXrs}`I%DI)8>sOSJoYAtvy>`HRrNEvz_(2v$l8d z(EggCb)6lHs=0r_AJmO)?wlLz-?tj=EBgoaclgbwnsp1a4O=cOrGB`P?B3<)ql@%J zwoT4Y%4z&MCC7~xV;c1vIWLj@g2$ttg3{WpolFQN;Qg&arzw~#$i2pe8%`V%|G9e z^$f^|>x=wpJlVfygysPw`)YbOVqQ{3`3LaP@26uvvJdl@;|HmIyQ;f4mY_Zdb@bl= zKI{&DneFZ%=E+^Py|7dK-mvUz?bteu>nkw-NEVz(uDG)H_`x01PnTTD?VWbdr^w#m z=ckkoo)XlL3zLwS{tDw-LFNGatHbGn?H2#EfE~rRFW*~oP%j*qF&G7Ynm?TCoZCE> zs%1M_x1osU5B5Bc{L%2fB^BmD_xzzQd#rx>O#FV3^RShAv3nlTP<5!GueAj8RGxRG zUGow2%jNr4?yu?H%j5nmXa3^MYldgl9mRa@ge%=Z>;C05FY6oNeqz)(^CV~fbV%w6 z7_Ja$FW8>e}# zXZ|ARwedwm7dDTzmQ=2>#!c_MXxSpni^tY=F5g(PMW5+TznuMjebwv+{32aawxz3S zJX1J#;|Tn=n&)OW*NW*$&pgY?kLIPz3Z^$qxu~go;|}u6Y94&BZYWbwbB_F`qkk23 zw{=!7uIj2C$GE>|%Y{wHGuO=7SA6AcW5qf0>(>+8J@Y^{j$wUc<04%I=Qno_#zl{Q z)VSEx*R>~+K1Y6gqwVL^)A3AU<2mYeb`k8{Grv^&4>6r6C&*R1P?A6{R3{6M|vFWIl=#ojpi*WOh9;LI(Um$HAg z<&}T6z`VCjfc9H3M+_C40B^NjI z?^&!T(7e%#qyLrJ>kpgccqa9kEby)?;CDay6&jZgAMCEg{0_f|X~TX^(MCM^zp%go`G!Z$k6eD!OYg<*@2Pau}W{Wbuc|P7S)RJS~fggRGXq}(*hFf z+67k1HbV*%gD%JWmGHEwJE+wQEfWj@5<-8R_S|K**7{eM9(tm;awvg19gojcSW@t&rt)tyI06uN*zuGkEMs?7=d;>EVZrAt%)y$YW z*4;Cf8td*JA3KveHA=acDpqE6bYxWV1agH)YP2_<#cqV@-fW~$4Z$3u8ju_nR%Sj%^Dpa9)`J)F<99jNe^Z-y}*&~9?OoVd$Op5 zs|M5j?A`&?Yl^Bv&rmP$rIGvs7w6O{x=xCe6U66gTRDu59alL(eXJL7q`m;$#gduI zk{u;WESB0?$C4GNGHO_JXip^I7Ne%`8_i@=ut{p3V&w0ROWUv*D9g5;*1?gUV=xY~ z2*?d9EmScZ=;D<>!~ zm>Hhc*1}L+QL-CX6y0Tt6Rl}X)vWe%R;6l?0}L5?!CD5f2Zl_6t#)8=5Ib%LQ)2^H zp&y~WY-=AF?m^2!iqtHPUs^4So4^Aiw2xQL7LzUHOX~2Pqz+HE0Ouxkf%f30cE?nA zw4ceg59($BJk3=t$f+(`=HtrTAxfq`GE90%rF(kN^JNCiB^)-_s`DvFu*7j6-0V?U z4z&*XE2dHa6k!&6vE^(?I<;NbX4+lW?(GWhjDRN+vXjL28WWN@Phgy|R%tMj6yzG< zSCl?WT%JIx^a2-y?G_uhP=!D~S~HLj`wQgPorAyX9DG>zj37KCuvE8eb9sp($jcUx zy`b2MRj1aVBlV074Pg{i3M;arY-v_ZDq@P)zM%pi2bC}J%21=r&Lgu^w9HHjx-DKg zGbt!N&hY818r({IhX%;C@mP{#XmC-EMDhCMu4HOU+m=*EduJOBcf9v)*ZA?l%qn=N zeICZz4rh?x+ISGqs7cVrYEMQ?{rMo+4ddr0o#L*MCerU2>@l0i;Q z8G_{0Bghr2se&a{>dH;dAs|!Ka>cGxa{c-psVjiMiFIs6Ir7<#9m%fL`nGMmu7Glg zv+)WDCwE?vLZb5?rPtI=V0wjZQHSnl(!+dyJHu;y0o=qYirxiol-aG2oreF z>I5Eqzyu!p{{$Y8OyHs4PvF%D@ETxlZhUQ>$(D{bAPnFFV*nQ@1GvB$zy;C(F0cl0 zfi{2(yqHE(AL^&i4@61+%zyT8Qb7a!Fg~W;W9ao&fN?I zAUKa5Z5!LSc3O~jCfDz^G#QYC6CqXF52A$2_=eVb1G;x zS($q1Z8XK?e5sB?aXLCvJNG+kk*x@z(9{$qK`c~{+EsD3c6Ok)R=sw%cCJr#K>v;a zJ2VT)&Rxk}@KjuND;0TSRdY*i#BwTbH5_qC5muuB%C=!siaNQ* znJN1RssjN_Zye(q%F5#zr&CrgrCnCeS+OoSv2F2ER9QUJ(&7{C8+OCKl|5S#WzSYr z+H+}pC+ykh$NfC=JANMd9Y3!wfJc7EFGqgI&m+I%=aC(fCEBrbcP+deCIX-wyRjn5Zmg)Zn-YqVjdr$Bj`V}r!?3+Pv3FuZ z!~=zl?Q(hE($1|Zws#82fda+0_SRHwYgcOrBE=MGNdhfR?JzHsahaQZ1XrBeyLHEU zcnz7(>Kamx-Gh|dwRKmrgB&+njEma_4>vsg*xU81?l6*2hU)o7L`6j&g$spW{nT-~8+tAKnE`RYvvgu=p)o*YECVtHh^A{-WHn zC}pRgX>MyD?m}8zG{qa8MJa;k@Ip!{HQuvu0xY zNpE62Rf$1OObjpYE(%d44Qiq^{J&coQ6&v(qBKSZw=|+k8q`E-j2UieM3pqCiPE%| z;%Y=hl{Bb{(v4neM3pqCY1Aa#D=aUHp|q?w)04^$j;CmKmshi6`VHuuu0m@d7gJUN zG9V)u%ST)7BcmDF zx9DXLmcQY+9`zOM*ivnvE!Dy>Jlb_^h8_hJ?6a9#$7YBONB}eNl;BuMZJ>qJ!VD7D z@SPcs>0k3@;8+ZaPAsv`XE794ZAWB40+@gsVx40hLXgkT1*`*!BeVZB=jhtRG*R5IYvTcKms(mrv%3u>H@8y&Swo2w=@@M4RtHG|)Kay&5)vH~9Q= zhTa#(4D9G|TNp_w97G0W;WL=kj=>NakN`H|{2&=6Z(%I(73x^b>OhNG?Xwt)TPOo9 zX0^{^C~hSPw3yXCi=nucAkbn~`z(gyR)Ro_Sq(ezXxcFmdK92IpNXt?OoYgQ1ZZS^fcrffVpo7w&?Y(Khu+xAJN`vP2rUAoF13D-TEWn!v3_DG*dC>Br zCk-*IG(-oKQ{y)eV%TYd%|q_ibekbD>@>mVQR6ocV%TYd&7;O|9>lQI1e*u#E%oZa zWe4;yNC$p9sBzf=(Lrhac2MK81EPb{`0b#^Wd}qDrSaQAjmr**4oE{wg&rLc!_phk zL23MUKud*onqWJibwY1BE<2!yLFM@EfYu1@a)RxERt3G~xa@!)29@Kt16mTa%L%pv zS_Jf#`_{VNf|}>M8ab=xDEe(`T0XGjbURtrrGl zM5}_H!iix4CORmM-#BQc&`uL<9JCJTEyraX^f0I#zj4sopj}R|anNd@w;Y#o(8Hi| z{Ki2mgmyW>#zD)2-f~>VK@Wq<@f!y%8QSFp8wV{CddqPc2R#fb2Tkoww}&&#IUdt9hAmz2ef8rrwO(LS`+k^`_{VNf}K zJD}AQ zy;xnQLJxz=fi=iCd-N)azAK5DJ^ox=#zTvVLAm&iht?2nw86$htA>Tx8J|8q5Hs7OO@VoTy{VYCzex7Yn8ldXeb>s|KQC)K2?QE zIw%95QPfsDMj`2-G(MxKt#*t;(m`o_Mp0Ys7=@&R()f&`w%RcYNe89z8AWZiV-%7O zO5-z%+G@urBpsB-XB4&7j!{TDD2>l3YO5WikaSQQpHb9SJ4PYt=+Lnpd}P23Y^1i% zOYnZBu0*i^xn{8~GT|}>>`)I#E+S=-X+>HrGMzqP#>EY=;|}~Lq1zm=skR7rIMia> zIi0HkfyM1h@X?Rnjo$*U2Pn9BvGoaOGeksWPGoM8Rv5v~iTJoBiE}6%c=AXG2a!6# zAz=$6&qYq2Hu9ikB2p2VN2Sfj4~6vD;0T?PKv3~>G0Jg2!c8KwD6*K+EP?1~<~Ys( zxOj24&HS59 zo+Ihu;mqI|nz(>ukn?R< z$a*TF4UI=1Kes0FO~6$9BLe&D=lwp`fA{-mRWi_)J7}#B859N)*%aAKPytYMXVG5x zX!=Nc>`0iQD^oI#S$ZKZ_nv)#^Vos;afz*MHB`UkWLII&;KC7OGq;GgNoAqgii8-k8%z zROQo=ZmK*KST=exa}q}^;KPl9>=}G!K&PlgX_+@g#m1R0>1h*1QPU%w6+Tv&>Ul0Z zXsMrt1cR)aA!I&!vU?O-%b;e3BYl1HSeJy&gzO2<9M8q*$x)oslTipSS=>IsXYe;J z(z0sNDK9&Z$XZ)R(sO!a@(X_YMv*@yj7d^{Nf@DKMoYNype2?#EpZ-XB63yaYSR8$ zse!>Ur2%tv3=<1fUvW_V7jX5jg{K%jIg}ndh7%cv(%Bw#kET#N@>a&z{P1a%7XoT# zsGefvPI2~tyAaf>)v1-8r8J>)#H1#D#fiwvA}^=Q$j*a%v_VpAU!GP{Clo{wh)sr0^ zOdTH?lzl?Z7%e7`CwN^>j6vAJR2)>|t`U@$4N%&o*G)v;5P2hM`F~_z$@KJK3uF&I zv_W;{$rvzLhY8zy3wOh}+Tz$$FU7BLS|qHg1D^SuyRNv zH6!wAq1~jtov6=XmTR3WgOh1jL>e@-a*3Hsd9lOTse5v87gZ$o1w32fqajM zd@l0&1mwOTGv$+J{FyShvRP>F)ZRszJ}EO*YT725lPS^Y6SFeTy^tHokvE^(yHvg{cBQGEG&=vl*-deo~d06PV5|_8jWdykw8A z9q{u0ixXYS{lW>bth0X@5&e+bBeYw&(S9Jb_b~M%p}m)>p9t-JO#Mt~?`P^4Li+$y zzY^L9nfi^;Ze!|qLi-R?e-PU3O#Mk{cQExAq20;U(?a_&Q-2rQT}=H$XdhwfpF;a6 zQ~ws)-I6NMg?0~9rqJ$Xsz7M>F%=cs{Y=G$_5f2wLVJ*@VxfJEsVPGHI8&uU`vgOwAP9rm%KzR(_HYJt!`%hV#F zJ%scBvTDS`!Z9FLi-9+F#oSI zb*a$4#?(5YJ;hW~XkTZlRcPN}s!eF$WNM?(zQt6#(7w&oW}$tDsVzeLE>l~D_Pqg!Tuf&Is*~ zOkFLsKQZ+@q5YYu=L_vGOkFFqzcTeAp*_viON90}rmhp(-HWTbd9MSg|0L8W}zEQy;bNYQ#T1c!qm+|FJS7OLN8?M z7NJL(x>e{grrs;`I8*NzdV;AB3cZM_4+&i`b%)T4nfkEMOPKnI(5EnUx6r3Db+6D% znYv%-WlTLN^l40eT+&tU3dq0eOM5uwjw>M^00GxfO8XEXJAq0eFJ z38Bwr>Pteukf|>V{UWBmD)ft)dP?Y*F!c?gS1|Q0q0eLLJ3^n&)c*>-lBw?reF0NH z5c)!Q_Qv#?)_wzMQGw34H}qe-Qdgrv4=KDyIG- z^lGM_7J3a+e;0Z!Q~wZp9aH}l`YNXWE%eorD%6Eu&y*?j2Br#xzJ{r&&>NYG3%!Y{ zBB3`kRV?(iOidB`rA(EoaZ4VJ;EY`I{53wCjYkPNxSdO=V=D_Sq@gBAXor208w)Nt zhoE!78_UfPk)y*xSnA?)NI4RL=;@Rz zx7H)k9PO7xbF^O)&Cz~IG)Ma-(H!lUM02!X5>_gvqjjhwEA^5fJEsLL)Z zf`(<^5s>E*bPgG0!E=JM4~%5FpmEoAjwA~Oc~$@MlIOwaoX2+_eD*PY=fTIvlCjV^ zHB77T=M%9fDd%hi=b%f@D`>doGcDEmmn;@EURg(9wy$TIpy`t(Lr0^rRA3`HhiJ0U z$^bJv$Jv^kAgCJZn8;drOZPr zIt28*<=W?@o;N#ZRvV&0*Qu)KEt<|yb=gjc^^&C5bVAyige?mT>5l5eRz?{tN8^}x zybEq-OU^QeVEtH9-iieIcX`I8GlB*;F|u=8^*M!J&A(Kz{nXt5K z5Hk7ni-t@-{h}e0Prqo$6ju5TbY3o7 zV9X&uo+joT^5bcuaLA9RiNYa2p4`Ipdm!Y;lV3DP`=wlSv|kd<(SAuZNBbqw9PO7x zbF^O)4bkg_la$#nTof=D!Li45z%mO2ximT#na>(#nIM;D$%MN!ONxd}IY0#YeG($K z&xbd&T+lqvC+C{M1`Pout&V!;EQ7`-Dde00&4NL0cf!HYEE=Re=e%f^37ScGj*(`u zptU~hbm{Pn>_ex+F}a7sa~0+cb>~9uoK!8_GsrCk9B9qRf*Rj@&Na&gjl}lx*DMm$ z;;vJ%&#ejPup>OrAh%1=Kow9A-!NNDT$>8FHtIrAPC zT9SE>2(5*Aj|r`nsmF!3o~h3Zt&OQCgtmdHF9~fUQ(qR^6-<3qXzffrCA3XUeM4xQ znfjK{I+*&7(6%u3ze4L|>U%=l%G3{pwvAE!NNC%c`ianXF!eK`?PR%M2yGWrzoM-K zj>35dm(X@=dq``)71~~=elN6rS{L#DD75`d{aI)SnEI>GuH^jwCbWZ0JtMS3T<)_% zOEL8?p&gb~R1;d7DMM)8Ohts&!&ITrdSx!rn9wpzC4|<;PleF>nO7pTBTP*d+5l5! zLOaUTbfFz%YKG7TnVKcEAtrY;fM2~IIjXroM33T=#^E)-gp zd5eWM&eT$&on&gc&`vS6QfQ|+MYYh*FjXtGtC(6Pw5yq_7us1)u|{amW2#AL*D$qK zXwPTrGNHYIsmq0SEvIb}+6$RlFSHl&(+xsJZv>OmzzFWlU`o z+RK^RA+%R;e!GNrJyY1%@k*xl3GD`!+b^_NG4D#Dy_%^*LVFEAJuI}>GSw}#*D=*A zwAZs-pU~dG)DfY*k)IwF+W#8u?X9>`wi-KMlhM6ZfoozQBc*snUxIUs!3kv{dL?17_i;BO| zvqg73HrhIS&b%?-o>}=2hxtd}oIrivg2u3FL8^*I-*yfa*HqTP*>T}F!&Ni&&T~jt zQ-dsXTGKl2y&cz}_N3{m+Fj{UoWkFBx+f!Vu8l_Djg7Dt6drw|(f44l7^LKB_q@H! z$x@y5AAMi+{fX#%qaP6ZWw?(3s<{m<?=qI9gDP-yKF>HaI3Bz}av`x2JL_Zq6I}yD#imiRNo?8a8W6|h+*dfY0 z%D0VXu*(l7_yBe}s=Z~3CoSh=@#urmkL%h(OFP(AoEgQ1l2v0Pc_^mE36l$wy4awdHj1IYeL4~SWb|Qd3mh2YTZHKvh=uM1VJdhe`e*`>9?J<* zJJ3a=kK^MV3;sE7E{;Y&uWJ={*Eoli)W{R@=og}2M1xYbda|_h(&D=u=m3I20H%He;UOZ8Xem(k)MD+gX zH!+lRufkSD9sOs8s{zm3fv_dD;KX=(5b0(IxJY?FDY~#e%AMr7oHKK zE8P1%dq+^^EQ?yY|S|ar4anh+sijOd5QiNr8Qgt;dIqOmjr5yWO)7i>s>;m{) zyF=Q1>VmPE@z{*mETO-EOPDS67cn(g=r3jJBBT!Rs?iuO(H5t0Mb3^)UvhLb4cD`F zae$z;fxBk}cjflbm{Bz}o~7ZsYA;eB&-BXF%_250HXjZth7HiGoYo{a+pOHFD~p|( z6RsX;HC$|A0`*yh=_BiI2{cx(G=@-bP&7gvAMQy_BAjx-R*%dn8iOXM`_-GmXejiT zvsTb_IzAwkIUthvGuX0IFD9P5C`iEW|J(MD30Y1$co$SKC#{r_8qZjEhAM4yRm$7dsS za$%wL*dPo#pO9l9yo!Xy1Ws)wLYGV1GJYEN(S_u;Q4&kq#({Wje+<7CuHkk#L?4v7 zzU;JSsx%L!Z1E|ved#^sqUEu}n2W~nEtlRRVm*|{tQdY%v~X^Hx^~sM6z8=$Z|px- zDqCRm>#-vVR1X`9pU;4fk&e-@&H&ct+^|!}feNH7^E1Ze9>B2Z4FJya1ZAcs*zF0{m*@ zEM6$|H**#*rYta&a26oE7P1;Y;5achEx(ZyUoZ5xapD^&F^q^4gYYJXd&6fV_Ae*= z;JlIZ!gl5)Q*Wf9`I|z&nKOKo>N4HwQ4xC!Tl8Cz3*{m2PEL`dx`_J8W`>uv0Isk*?+teu6txyuEXI2Dr=1T@7U2E5qn<@KOSz1 zeE_RfthU>*GR2j?UFh%P`raw@_cC=C85VwNe1M~OyLul%ipK5*WST6FQ7;m)`^m>V z6MG;LyD#!DEu0VV> z_BB%RQ-lORpbR=ZlobcUt2k&fCePuPM)n<6-gkje9+;L*k7h;e|Cswd%JYk4-Li^5 z6#8AP;UANoW4Oqi;QuF6&C08^Mi8+4ee)FBZD9hu585C$E9$}tdL{WfAfjIHk+r+_;Mhnc2~#Z z^M(Edr(ZxREN?)BFGa1;pJd6UBx!jHB7BlS@8e+Q^G&ji;z0gY&IJu=(6OqF5QHxc zhL<;Ung*=2NG<2Pt2yL^XBUO#?mJia!Lg}*2@bn4Woh+mEj!An}a zQ8Yy>^RSrO#D!onb(|?Ire>MiB=m1G><*!ShpA5TH*fDLal9X0F^*4J>2Avk*BXZHq5B3#N3(-fDnVUp8Yu0 z{f;GXCP}OR65-1ezl=J#D(@EhA34o?@OFuL?_)zk1JR6uj>hE@C-m;xI=qMCH2B)< zFPzVZDUH>aiSU(8x*qG6(}(yytPH%2yPUJRe}Xs^GRLtr|3Vh6CB{FN&?0dxPsZ^Y zzDj;B6OBKFQYvY&A3w=U_?u+;1Ru+a_^0BZE{cCL{xGO09aIs2#B&-;z^OZ1Wv#tY+Pt<6rZP`LdM%-qeag>zm?VPsE>!e*??7cHY*wPSXPU zt1kYnxHc{RZ7k+f^G%C?7fE7R0-qBf5&GZQ9=?y4v}k51;DI1mbgAuUOn5_kfT_8{n92IRNEmaO!up+gq;5?tF;5s3 z%&HVdB~uH9v4p9`!dTAKQejjvwOl4`j3rhIqn241nvq*iEKw_r)y#sE0;@Ncs29c> zX2JW-wsdrAAbUhwN^-bY;)lYUaA=jSorwZc@oVFW=ES9Vu`U;e6YE6uh0zz0LXuMo z6D?Bl@tO;MtZNnu{(pAvC58-kz?b>n_a8^YHsi1coNF%zynuK zCxCP=0Z(jBbR-g+5?fH|PI=T9&ExQgWl^s4hVfy!+*%E-ZAxq_)AYo4c~+0r0KP6Q z+rV0CbrQQ@iCM4Dkl)S(T49%nzMXZy2Qc=Qp%J!==q=3J57vP)%_P?Mm~{xORGAhb z*3X#L4OUN?Rsfckk`5|?m)kTiLuPonon{Izx4W6b%k3VfhRMEat7D1d7^i5`hG|=3 zRF1pVpt9JL!h$DV9ZRl%Zx|RHgteV^cW>u`w6d$>i8G0-F*+SV0ndX5Bg+%uJRjY# zySke4zm^^%#N>xqHIRD=v1((9>m-Y&XNi{+t1gzfo><_3b%QXPxjVdC82q*~@mgUd znTPe7e(5a}cujnSsT+l{o}a#17#o>-t1vb(b(7GJvK-#%9%JgA!q~!3Z^7mpR>Q5r z*v7o~x)ega%<6vccPqqsAQke#c;W+z+eGv?+-|p{-R>yU3dyEi%k}?%Ms!yKd45F1 z49@dzf6FN#ng9&!7qCf|3?-|hVgx2@JpP;4~4-mTM|FUE+KYdKNYd*jPB<^_lq(u zPU!eeOybufR>u#2i-*4}(-PEGPIG1dh+Q#E{TaJrnEI=Tt>FZJLxR7TX+@Nvl{NM( zHdZl(twe2-D$=mAiYY@F{MM%^B8=;0ZHfwo@hYZb!r-<2qJ%Ksz&s(08<{E*##@-0 zDvX<$Dig*#n3^t(TbP=GEjV1-ED=jephdH%6c)`X(*%IVHZbcVur4msiix#1wh!K* zXdYPe@k75%D*@Md59hZ?81H9li7;+sYMIn~eXMAOFnCR^s7kULVnsE=;6KXXg7ZTkVWI&2K|Ymy>hX( zXrC~C%(DB1@iV5b6vi)^!d9Xev7WJ&=*3K7E741s!m|HMnS!^yjwyKCmoarz{xA)drd}>$C%K60QN%0Dv{Dpd8vO9p!Yp9w zwZe=s^?G3zF$Hf*KPO>FH)4|&SK`gsWX055g;~OKHwm+pshdUY`CQmLQP{i6v@$Ae z20y%2nB`2pSC~AbDuVkoj;xLqeNdPe^Ro|$*vmMJJCMblW!f~#Vje&Ih%gs0b+>C; zB*&qhaK1f9S}_&H>%wT!y|4ft)H|_~Q5h}5PrPC^%u5b==%NSXMGq8x40gUVgWpE; z@!N>gxL%tM3|a49A%kBZMV}~o2=82rKB;RLpGP=a^l1!XnW5v^GnhV|H*@6unW9G$ zMGqG}s%tII%(!hZGn5(5TJwypa@&v(&(MNbjxuZ!3t4EdXY{H-$Wf}*d8*tePWU9kSQOq)Th zXPEVUuzpac%>*l6&a5AU^^-Df7P00r3&TYbhKq7yEn(KL!TL>^Hk;}&lbh74cFV}= zOz&>|aE=!J9vyslXZtSNEq4wfVE<#$pW;P-DEhN77qgxI726KE5B*J;%b53!Fjq45 ztT1bs!YVPwy_%TNgt ztubv88->X;BhfC*eulGIp(h00$_*0c)U4 zn@_A;m^BF2P?=UqtlOD&9IO*%+5%$T&8#d~<7L`HVm-(favH2NW!fULkfU7dvuJ9o zCEdq5N5wVp6>0qHnCn`LlAd5aw$6?pAI$W&Z-qs?KwO&;&lfMmqLuag%P$obctKpi zFEj*=&f+EFI$@450{oD`0)TAo>-pI$g*nR4UPTyA3Go^(KT1xt-onG{)nRNR52qnWYeLVTF|!JXnRVcx*GeiT1dtQ69a zVe(_gqvD=~xR=CU!(#Uf^Yu(UI3WS`=&1O(h|pA7d_p`VOn#>?K1F`MSBQuC!DocY zZ|VictO(t`B*bU=(c{8=8|RN#vXSGXBUvGy;74B+=FR-*NiG*66-3Gqu7`IRtl=O@1bZn>jQ{Ep1$6XN&6{4hWIqcA_p z)So9Pj~nQ(BJxto@M!_Ze=o~ELpsWib_?+=Kl&&69L!U(2r?%B5#rzS=U1@?Js;_d z6&pZzqz?qf0De(fj4>cGm`0_FV+8Bz;c8<@^h%n8jEUk^tee%?Uq@Q& zM}NSEU@H{G4g7qKFnMlYjK#46Xpi)_R+v1^FTM=heKuNUTD zSP2`1`8TGn5avIa+9b?>G1Va=I#Zn@5@Bkah(wv%AtDK;c8N$aQ+v>`bRD03w?TwK zm+o;4gh5y6aSVh(7v*sbghAKdaSVh(m)mg+gh5x;aSVh(H_mYkghBVpaSVh(H^y-c zghBVe$rup^-Q>nG!b118ag4Cg?Q0w(EOd(+#|R7EmBul`BCkcG9bQBjbdMQ7BP?`V z8OI0<-95%J!a}!-$rup^-516&!a}!#ag4Cg9bX(HEOhEG#|R7E(Zw;sLN{)4jIhwX zS{x%RbW0Y;2n*eX#WBJ{H(SXV5eD5^#WBJ{H&bzpu+TkI93w1rn-s?g3*8OHF~UMO zKyi$)(0xuEBP?`l6UPV(-ND2$!a}zz$rup^-J!%W!a_G9ag4Cgy+<4)EOd(z#|R7E zRm3sELN^a_jIhxCLL4J3bXyR|2n*c_#4*A`H~h#L5eD7e!!g1_H}r6fu+V)w93w1r zs}9Eq3*C{!F~UMO;c$$w(7iSsBP?`#4aW!z-9^JO5T?8@JIY%Lfd+A)P;pR*1BZ%( zLL5j`92DZfqT-+s2O1Rzg*fo2I4Hz{NX0=R4ooTz3UQ!Pafy$%I&z#S6T_Mvm-uLR zoO&+t(N;GVm-uM+nu<$&w2ex|B|h3nrQ#AFZKYCiiH|l@skp>PdxTV6;-hUY94E@G zp&c(OF7eTx7ZsQIXjh1eOMJ9JM8zdO+Vi2}5+7~3esM$v=+BmbiGqVwB&YOdwoFm|LwQ6o_D$Y64--SJ$GK+>TM-=CQ9xo`S6s& zlDqKBW>CI)c0m^{WgYlDb8rtXCLsyMoXQp7mL5$HW$;U549h?5xSMKJenpo-G{K)A z;_WDME#JYbrF#a(29QZ|cz7f$Kly~;{{}h!v$oBqXlG$g9T)WV+CZ0 zLj`1rBL!rbRB;?9AmcbpK!!LH4iexB@Z%uM1_y4@G%vBR)vqN@N+8syb8ad!Y5StMHPNYg-@#R%PRbe3csqt zuc`1U6@FcX-%#N!0_`fQ|g$=SkxUNBlxU4~jxT-;hxTryfxTZmd zxTHabKT+XNRroU%{#=E>P~k6C_$w9uT7|z+;cr#=I~D$3g?~`tA657#75-U;e^KFI zRrs_D|E9vftMC~W{zHY&s_>sG#Dxp8KDcgyLb_~0hPY}$hPY@!hPY-yhPY%wh6O4t zRAE$wF%`yDm{4Jn3WW-bRam0JDJq<*!crBMsc@PKr>pP+70yuMOcl;jVYv!tt8k7A z=c@2R72?7KSsz@NAVXZ1AVXZ0AVXY~AVXY}AVXY|Aj1VJT&TiDDqO6>B`RF1!euI4 zuEG^6T&cn;6;`XTMuoL1tW)7C6|Pocy$TytxJHGIDr{0=vkKR$@KOqmyK%h1W%@eJ zD7g={I*SJ?^||{e&s!S_dR;wmSszq z-=iPhyZlZhFiY;ozeSqdO(fYpt510@Ioh7P^a?I)SEq^PTQc) zgn_~~r%45MlLyq*HmI{+uQbFD70diJ=yu;(jRP;4PH$0$jvO&E)3>03k=L#wxo6<`>px$AFdS@6Yyv)xP)VuRAbbX2k)U7tC_k@9Z zZ|2pgx^DsJsk)fd|yXHmJ{pfqEo&P>f2$Uuy1WrK^^vh`mPP?f5Sjw_gt=^zMoI?^?E@4 zzy|fhFi=05WKc&vpnhzF`bik5pH4EU;~r2yvqAkl4Ad_s8C2E->X$aCUxk7C^(2Gx zE-(JZ2KC!8P`{gGP~PRm-`k-65C-axlMLzwp62_L4eHNfps;CmQeDDJJ)r(-gL*m) z6!y>N3M!vrM)P{~zuTam2?K?#yt#tPYbnSJ>RB7qKf^#_S8Iks~NQujccNeMZCvRS*WMFlSKtY03*KYJ-Y}fr{r0O3!Q2EbZ=nM#2VF6b4F6 zGN^73sA3ybNf@XpIfF7v^D*=u52!L5)U+^A({l!uU-NlEU0{Qn5e8~z&Y+C)d^9!S z(bQ}k)SNI-_fh8I-Z`KiAYE z8`R=3P)l+KmESw$V;-AYYJ*x925Nckpz<1Kyr5RtpjL)~s>&Hueuh5i(Nwh!swNCn z?Q;sM&IYwA4Ako96jZ$psv!*2nw&x9XXwM8=4-S;HHCp{&K*=?YBW42m^&XY2@j4r$C0RDL$)1!eCYHp2D}(|M6Og38aPyrAs8!$#QNVLF{M zS5SGadEVt|K6~%55w>@j4zJ7=)XVZ&pL)mx>g9Itdqr69yMB^EebNK!l{Tmw!a%($ zcTjn`gim=u*?WhLu)V`{x@eBgm*1}$FR0hqntFYhrrwY{sJte5UQlneLH$n{s2g(z zm7h!Sf_jq;>dj%G-jX}0ylm>z9-Dfr4eD)Spl-?;RDL$)1@(3t)Xia_-jO?~yllz~ z>YX;IcZGqvC1+6i*_0R5yKPXnhJkud&Ykj(>g*LD{>kjj&zTbOLpb zpz<^HuX_yr9^0nw4YR5Hat4*3p?g8yZ-aUu4Ag_UgUZX$y`Vm3gZg+Fs88e!D!*aI z3+f>o)F;D0ed;*{^=TW_!(pI4lRKzKbPPI^`s5z%VD6tk~^rpT!I(WS8Y&V3j_7k zB!l{vr}^yt=tkInbh>(AQimBYD0@G;5w;(lZb8TqRDL$)1!eC?H^TO#(}fH9di*p2(pH2PV1L_Yps6U2* z!eu2ng38aPyrBMUgZfJtsK4e6DnFa@f_mBp^|vrkf6p0Ie$Mj`p5}YT2KA3HP|rT6 zp#Eut`d1jJe?OO?Ow9si>LH-$LY+x9^uM~B&opgNkuXpNIfKg2(7m7vZBWrLP`G9& zM@{ADr@WxzHmF1xsG^)f>3RLcdfL-`!Uk0w2C5`?PmL7CI? z`Q7IQHQfevK^UkRIfKe?nEAIyQ!{N)v%)}?=L{;p-sc5n@7y=TcJ9-?Ta()Ryr3?$ zHFZ&#rY_DIR9<5ruHSK;X=YwxgQ^GvH7|Eid41cS@PL|cgQ^SzwIFv;d7Ug#wN z2DK;*6t23OOq-2!i*f!M{W>fvSgUZXMwt7Gvu|W-lfjXKysKIvpw8N$o)-q{nn?!r zW{;+xZ-aV47^rKXQ&2CoLA@vp)Qcw>)LT57dWj9{rD341drm>U%m(%HFi@|UWKeJQ zXzF?!)GNb4-SC`(dX)|8)nTAsGs&Rd=F!w^ZBVZZ1BJVnbDg^6H6VK~0x<1Uzs;~y zzv+_aNd@(GkEZNXzs;~yzv+VMNd~a=6h>c^Sy17L3uv;HE*&(y*&)n&65o3 zogPiS!v^)vFi`KBWKi$&fV#y7_3kiGw@xysTRfoNV}p8c7%1FYKBO*0mZl7dO@9}8r4ja^+VW2)d$)Mir0dLX#GK03*uJfD%9 zciW)u2?KTSB!lvNo@v_Wqnlypqno*(k8b8QAm8a}KKp!hGwghHGxzh+^8@u^52#Pr z&G%4P^L=uXo6qwhtNAG#)ThHhJv_;vJon9*pRqwb5(etgNe1;%PxC!ygZgY3sK+N6 z)ZHFX_Rf7XZ0Ek2`_BFR4E-JtD0}C=8MbrZ%zfv6exUC4fUm9`t~+ zckY{EJNM1pckbs0>SG>I_Rf9t`(b`6_nrIsf%>=yl)ZD`4BNSH=Du@3KTx0WfUZ_UQ2A-f3+h=L)IY;O{VQis`DyA=kEZ@@fr@A$pdxzCpz_m{2UNtcL78Eo zA~}P~Pg9S%H5DnaK^2C9islR|KTUZ-#cWXVFi?q{LFK2Z&w4afWP=i6po((_m7k`( zph|2|Q_c-ak4%k};(B_zq`3;$C)2gc1xxRXTtJsE8?L*S7s?6?7KqG<%*1m&GAmN9 zmI0e^<1*d3T)1@3eUXc93rszOB~)t2M(7T0UER-&$W&x`apaN-SuVRTQW*>=D9d_( zmJ1>aCu9kfOFxu9%4Lz|D$6!pKTTI#N0-i7eqW>}7$)6O4H3KMQLeez3dgJ?2_+FO*?K+F@gzk*yPO!Nzt4=Q0u3HZ+-y zYnxQ&?%*u<{Rdfg1!sBXe~{(D$e{^Mz6@sD8=U7vvrSRWrBNMrn{5h4by(^lbB+>@ z=2}9ZT|&RRgg%teFH1NUtd`;60wy+|EWq+P$QJf6at_dA!Ff(pi!=zSmg8>FFpbD@ zSwc24j=Sv1%Wl&m_)pVfOXtj8abM(l!9^@(8QWpRBBxY$jzvy`B3B!WoIzoxvg?zw zO2sAwr$S^Z9#Yk=IY-rA7+mhesy*Ls4^P#eZ&&R_krykQa;mm$IjVMja1j%$_7YV@ zEb>y)o~+t++8R`iydtXf5)}4Q{9Pxj^vcK$s=%xk#ebSsvJ?fDN6HJz3-62E7+mZX zENN@BB=Rb4kF@2I$gBS!d)EOUMbX7))AmXdHiR}1NGPEs^iJr67CMC9LhnsN6OaTE zM3jyc0Y&trgOmgWg-}!k6-7ZnDT4ATHf*3+^1YdBGkdd})kEt3l-s+1=Kto+oA=(% z%+BtjZnWC)parB_A~9$od?Wo79<&I)1=DYf;adn*3Woq)0ipsF1qv%rObJ>{6c~8(QVzE`7r9ADm1+8(J*%m}9AZE4& zy$Ih(du>5$;aiZ)mtLTiM+5;yfx-$DQ=kCxr*-1CZ4@hEsBN2qHj5qTRk2WC2XeQ} z;%S-1*)q2SG3|ghY!BMu6O8d?3-1ZqZL~rXcq9#yuylJY2}^e{?sQiSLFf)hsCZA% zYtXUkvDO>n(Gqv^0`h*b4D*0)Zbo1%3j67$+?Y@_Y28+jFArr$@z?tvxMXV#>fYS7W8{lMa%W@YOG0v2W8}|;#>iKMkM z3CUxPk-ry`#~CC4AS6#PM!qg2PclaSQAnO_jQo?3Jk=QaXCZl-G4d}$a=J0{uR`+U z#>h8>6BtK(}{D+V{&lvenA$fr@@?S#oB4gyg zh2$m1$k{^jQbS}33CYWiktHGdIb-AiA$gTCa-fj>yfJc+ki5niIao+uYm6KsB)@Eo zEDOo67$aMRj<)hp5 zb8Y9OG$=@3zmlcw)8zYw!l7BKrE-xhiVvJltNWNi=Tv15=%^10okbKJ+xw4S_ zr!jIBA^C4(lCL{+KBUcxagN%`D2+1MF$Tfvzi!pL7A=ze(oFF8J8Y9;h zlEaOW>j=q;F>+lYIkzElNThfl)8&7^k0~UNSmYOeh|DV%dDRb*`NSf>@XV zKSaieMgHlB$f9DAfBPY_m{4Re@>?I9%ny-W#Ue-eA+noTKSZX9Meg-OWV%@7>wbuwE*81p50Q_HMP~USa)wysAwNVuAr^VW50Nv)BH!{u z3|@>@Sdt`Lj--Vc$_iA7%bL*z=a$e;WWxk@bZ7e7R< z7K^;$hsfu}B7gHkMmtr;yx1 zNd8Jq?jP#I||9)s>ywXq2~KoBsL6eW}CZ`I?eTC#eHF=_t+)qdjR+Fa)$^C_7Sxrt8k_U*oO17%WGlk@V zLb6>=o-HH~5~>}hCeIO)2McS?r6xZkBo7giBh=)%Lh?`{IZ{oYCnOIOl6_tbH(y8| zE+pqy*StVT9w8)SHF=?sJW|+Ih1BFlLh>jfxv-l2tdKlfNRC#MmkY^bgyf=X@+u+u z5uw3~tI2DGteX6yken)1yOf%|R!ANvthtPuyk1BiFC@pS$s2^^2|{u?HF=|u zJW)ulpeAn;k|zlbR!L3XEF?cFBv(Roh2&~#vh(fp>SxqX5t3`D$2-R+;CZ7_LpAeFh)a27b@=PJQrJDSSkUUFB_IWGh86o*e zA-S!(=CeZbY$3V5n*5oN{FIQKtR|lqlIIBfxs#fFMM!>HNbaI0Ulo#{5t6&9$=8JB zxxzO0P?N6<$@7FY_fnI86q4r)$th~`PeSqnq1t`bB_uBql836ve+bFX3e_I2CT9!DONBL$RFNelBrg+^N2|%fLh^DU`4Kg_ zu8_P!NKRFg1&e7)!yrW-=QHiklqaajNbn+Nr6H;!PZW?JH9$tWgN_9qcaaCeD=*25 zA!FjYwL0W{5nryjeW+5mxZUz&8A0#FA6|z-;twC#4)1dcLite?c`YA(IbRqG{1fGu zQ9xr^PGeWmSbbw$uj$JjbW*cQcvT;%GM-eKo)PrnZL9LEW|i6RQswlFpfk6p zN(IZ0%QIZ><7*1$B5ya0+95v`mnlEJLtYS=DKE~Hm%^{*nexgkd9}K;#xOn>B|kx4 zx$S!0pZtWPfcWRN#INDRuN8|Qmy`IHJmNn=Rul-@4{~b%Xsh^4dD9+wOMKuP^0rKQ z=Q?C1B&7Cd&IylhVpa_(%*P?4&i@tUDA^%FabjI15i&Xi}qsn&Z zDdKE3AToY{e?P*%pW)vP_~-V#-vm8zD@(qWDgX58 z%FFUWN8e$=UZ1iQ z66jB}S+r7w4Th~sA?<_);k`fj4S999Kq9$KIg%$Wh0A&Jq&*=B2Qirv&Ij&H8G*Jc z)wCs_P3{K%BR`rDjDxAvXdKLl4Z$H^u|d4lmU>dNEJZUdv6+_AY#3XhCg&+B5ZNJz z7KUINh{mCbaNLWIqxU_*^$H5fMujzlE0CmSOFjszY?O?GTnXHp#AOb%xJyGc?vpK* z;eQg5*{BVKpELIpDoa=68Y1YqA)MxwE%3Qjia^__BazpF7e*nLcQcc6#xV*blFYj{a zPH%_#eeT@p2{1T!TB=jKz`4^>!xdnnbEl=Ir@dX^+)d6T<#t%=LY9d0x|M505P|k8 zq2Q6Ok$|OvVCb`#>fl_EDJQaTLr;DD$jXxFtq*E%;&x;HZ1grGUNo|@GY>nTHad?hv6|6oX~UN+u3fT&x7i*u9~YQ8z%#On zM+vB|CyXIB^+#Bi1R97@T)a9@rF;wr%B1Km{zQ758l1!n@u_5wPj#d|RTtu_6OFYv zh_%i%*1+PTe(z%G>Kajh2Z@RJ*YLftL5)C2z$jC&pySz(mhJ(0@$$9UZUOfXjL{r_Xc z3%x(pBYuW!SlG=O%;WiC;WtWA&8ExDu+Y==Z`4iqne%+Z=+;ft^a&o_RO3X;Bxvzu zh(BhWXql=Jrnh;V_%qd-OgCVhXnBmfH;fZ4(_AT2I8IE{Y{_(J$qZFXX5N{W{K>Us zmZv38>a}FHW=rNkOP*1+WZoTVi4?%KWWJ{*3-nsD(6Y#xUV`gGda=Ud##hjSCC>b^ zRIxIFFNG_mG`-)ljGPFZ(`3sEjRy0=;Apix`k-!>(h6_-mXsV$DS$TgtdZsgP^2oQ`+LD*? zO=_ZDauw+$&v<&Lcsxzc>b%4*x)Lqh*wMF?D+0~bF0aycqIOC4oCEe6uU)c(r_*zB zoxY2m@&J!E2;nLSvr#W{Ppm1WuE>llhsTDv}Q3{{&IrLInK)|iS?LAxZ^WJS-J z;56%*MM;XHbL!sbF^;0-hpOEX0r$WLp*pA~Y9l+OaH+5q1INqK&(aOq5%594=K)`M zhDzIzg-l4?i%;t1gdnn(VCn800%gNoDW^#fOp#!;L*Oj2lW>r5k`9 z1S~|l1XG_wfCUG90oY-{ECKHWb_6h(SOzOPOMc?9cV2Zekfcgd11ZrH^!Z>7QA*^3z6l>&k?2V&k5pF5>mGMN@es=y5?fM5 zh^@0Ewus}4;^Ukoh++FTE5A&e4lCg!J}mndLe)*=UMRo zQDc5YPt)FJoM)AbRuT+0BU-PQxuI~hqBB?V4uzu?3{|@u@;Wyw`dMpMq&4J-(F-)r zyJPga!*|FLV|d6BV|d6BV|d6BqZl1>#3+V_95L!4M_^Ewpg}=HWk+yk@SDL$Wk*PQ z$ik2%o*_sITxkg!$_+u-i{LQtfH?%cj0rOdsjJVtgUki8(P7~X!!u7vfZ+#{3ZT6b zmNrTS1M*1)0(wY=0#c+xf$vCBfxk(Gf{IB|K|f1{g6&e_;HRV_!P}+ikjYXE9rwWH z6woom@(UUF1a*dS&#z?M6MPir3O4`?3eJEz#ZABhLzV#c8(<+J(_sGaJ7BQTE?*ao zk8ZigM?t+nK`0jZsxujobzeTa7B@71AiO^nn_^)@u(;A%GX%+crgx56Tqbbg1OV`=mz4~O8eN(;Fnq{?STEjA}xkY9up)}J}lQ%;N zrLAU3Fay(?5=ss2lCTb=>ckRCKkedN5-Fj#6y%*ymEdedCsfY0ENdRUTrHSV#Tw3U zvHvW;#Tw3Uv4-iiZU6@y7tRaj4H6wp4PqbIM`g-cITRn5Ex zn|tWmh=he1&3j>2Dh@fTL_l3BmS!TzCLlG$nwQSNilQiMK9Y$7IwFfTKgmP^4N(zm z0g{PGIuT?YD|Tm{K(ZPPStno-c&c#KqO_r`L(>8i6{YotL)-|yH0QtS7#D3_a9)j6 z#Z?)@&Ra&$dA)H}hSQ6UEAgfW*ZQI$uHp=<7N@70@3Reo*wK>KWnwwKxm4=m#GE%e@=Z-6o8e%O%;tI`&xQZrm zB~^#GiXm|&6^FPg>Wr&^MWVPW=8mg?Gp^OZL0WND&R|@@)e$DH${CKUa-9Ftxbj{_ z_Kft)bEQ1<5zpT%h-VDe5~>+PMc)~Nb6L+?()TPaYsX3@!?9B7pT$Zg!?9AyaI91^ z94nO!$4Vt*u~JDLD-yaTS*1`DhP%cJ1%X;}p#+S;0^Or|kqzdRgSjPKRs^nST z3xyykMT6jX2!hfi2;dAM+8tvp<8k=4&Yh(JHJuI*bJ?RFFRIFUnRk^Yf_3d`Ij+)h zYYo-(#$DV%s+wM;c@us$PDz^ZT`nB|k6gIAVHd9c&s?~=VHd7$*oCVbcH!!VUAVe2 z7q0H>!tbI_(FM+h(FL&!JFogwMa86Q=xwRG+jXIMh_x(r-Q(c8%P zwwf&Awwi1aZZ(k_(kh+%xI|k`tQ9=scfhtfvhBAv-GOYa#ESP!W~vB%jO_b$?pBmg zJ`{^edyErLw*HppjH8+}!^Ux#GsFMPzF*1tFrFCDr!-iqDz#Kzuv9gtrBH2=rK-Dw zJaD~hw0Jfy(^`|R4qFp;SnFq68_~}uY&`d%YcD#h%bxVmLy3erk$aT}(u1`b{7Zm; zNvy!0dW)?v)3UbYOY2;!^+tV9u5J?bYf1ec-Fhhn7~RO<$t?)3%~+mNy*XbdrM`uK zpTa-aRtalsLI2V{xZz4Dt9FV~Q*(YOf5De*s9BPqqNeEWe(?4%wY6cv8)q1bbxHB8Be6d%{Ve01zOxQOFXX75Ir_>Xjk$R!u zq~1~yDMh*>^>GhNq23T{M-r@390Y4860FkSFf8p1SdjD$3`@HJMy@`e6$NltcK`>B zbPh`;xCrMC;O_9XC+k@Kl`@((wydIooI#%Jx$ z);B=+tgdd;0Hv0;cHV3-fK$yC$5tN;4b;0z=#@6mH=vw36B@E0(mDvn(C{TB(>gTM zIx^Er*W#^XeNpe~+#schb~CtX^&quhOK367ZX6zergt7WH2vANI6)U7a>EW1B=tvg zqybW_G*C*F26;}n4)DxWdKy^-o;l8W8j(axo!0RlFYNE~!mB!7IMk>YPGY@csNs{& zP_C=hUO3sH7Y_SJUO3E{7Y^f8)AYjO#=LO&zx6`6BE*_@gkj$saoc@wDEQtm@V(*S zdm}jCo8s}ksV?8UD$0P5xqVNvxbFB{Kz)ylUD7=#gVCJf-2;)wnbY_a8h*hVYK*bd z?HH~ycf;SgxUtg;H+FjSP^wZ~?RQELfv5 z9j*O$!VXVGMBEoyqEQyF!o`_hj7ZJ}JGSC!GXSac#W>Ur)0|uIE%s^AQ z%5IZ^rhtLG_t+8xz34H}T0H~3WV1Tw;JlGnVjw19R*jTJ)yqCKu68eo1}Y9JBzDKv!k9g)=^LX_mPqVF_&ygo_dd@$8^4SPW@Da2VQuDKNP{^t9WR9t`$~&xJZUZC z!Sfg=PC;`veupZB}p2(8d zWrS=ccZg6Jt}=yolQkQkL*I6Rh`sPY8zBIIczh>zqdI5n^3QA|OrRBw>H4S`P(?V@zFx?@R$SKv5 zo{IQtj@mhK=h=1I-c$@G?DUiQbDr8^2VIjvc`6HQ;d>@%9BI}1vm(=6RZpvh;NrMn93 zeL=HyH$mx3nx(r7G`Y-{rq1hGlJW$64|OdNB47CM1ev0zAl+RxLhL2TXJ5rJqY=?F zYg`M3SDY!=dv;P%lqwKS;?+s(Rj#1Uoq}XL*A}jDPWRxs7SF#DE}ru@;DL@EHFdPm zIjQ@OKI=8Dy{qg-XAn3-M_@+CHEPZT*Nt=5Z?$fmBRBtBn=$R~qjzgRxf1iebI8I` zv={EV4(OxPQ!Xo5v6bBEXT6TC%$Qf;PvS1H(5s*c`Eh8tb}Ks2*uxl8fu%5J1Qx^vH3aI!9taeq++j)|Z5^1~N0ecD zC!P)&A-@yz(u>&%g>WINbQxTTQ924oc})D8!baS1om*JQGx9ufJX|NWS;XxduCqtm z3YV6^qYLB0RNZ)7m{GR~E+Vw?aJ`Ky&Q6QQ(NthL9L)%f!7-lpJ6)`TkBg0WaHWxU!BrU{e|TLu7RS=|)xxn%`%2=Hp7!xBJWA&@%DM0; zz3XwTvZM6w);!4s#VDnPcB@Wjg!~n^!&VQ@aSg~xF>bG|145a$PIO5jTlJ@IoQBAAe+pFnsjv4>!OWiuLtO*Cf07~nB*P=;Ah`y?qd(!`oj^cq6u1u`9vcTRc`zs( z6z`wuqu*?Ule+~&6NV;tgNF#(h9`FeiMEl+-Qd|@w$aJmln@|A+8zOiBW&3{fgb~Z zlIfpPoqw`;|KfT0n|t92J>fn)1f3pKqJMqZ_D9s2ZfS4yO~IO^l8dWC0x^YoK5jv$7Y){GlzU-n;68k zWv0$ue%#I+G*fRF$=+K7C3VcnL=>^4&RzRtM&e_iSvlKButCGy)w6Q65C2H1S%&R1 z%dmZB8Me<%MQ^5>AzNMHz|d9Fx$6bbM#J6o`%iQYuFsU>zRDRBGA*?HqdFA_HFF0mOUeCSvT#vJT052-!h$h zV7Zpf(M#D^GUPBaBzZKU6fQ-FBMWdTW;jwBmv#Dm8;|M3u5C-O_qv`vp)B@3@7g(*^%-sw={mPZG9x`wQs*A_4jFPT zl6aiUMG)WK^g35>i3!f6?0QrLnyXt2nCM$xb}MZ+VCJGkuFe1qw2uJoZSbIT+j3U^ zJU#DUl_5tud%hf|57D(fhuPJ^^0>UWN2!NYz!hlEufi3Wp09{2ddso3&(FE%E8$91 z;`6u?Be62B?3C!4DEkcYBhY-qJwIQ!Pcr1Z8a=<@ANBkK-CEQ=zd$kC^9yq5`TV5k z*T6rxs_OOHg*o&*CZ|j#hBytJ&uH&f!BuEqyo{?beNh!xW&6Tg!y>(fg%fV+%c*qR zD@rssMq8}&=ox;DwpeE%Y~j+}B1LB|2WhU5r^##FO|FKk(I&6Q)tDw%$JND6e%4Tv z*SecrUx{J6WU1jcFE!leXLD$CVQ4cv41>$nzEE&(Ta%dzcWqvw*&6G3fUn@Ze|Ycmq-;5ux7z|_ZQ zQ6mDaQ95X+Qtm{sMrY@~1yX5o5>Q!?*!Qy9zo@qw2&pvI<6T*9@2ZRI($*Zpb(z-G z!}ZwKcmry!-tAiMfU@p&de=nfEZ04cjdIU7FM`fNu7{)BjwE{~pKMcJ(s`jY~P7JalPIMne)W; ziq69-oO5aBH6IaZy)s1G$n2elP*VGH2J!JSPWv{&@bqrD>hck8!nCp}ZtArgwMH`x zyH=pwDcp=H*Bm$Zl!F19*ONEsU3FofN{Tk*+!IMSiPrrIPGahAfm^70LNGYoU^pji z(9H>S)}D)R;ZTD;u|d(muV_~@T2@%m6x2EMj#jTlg zZEzcJIabe&y4%*M#J0FCm3SVvWhA!4?Q*v7M!lftJbj~HZUZZnb6TN2ZclZ&h}$!| zbif@{x_s_CquQi5*mPbvNyf=k`W2kaNbiU{ify+^Cry$I0ni(ra3?D9OWcW(*co@$ zlBl;mJ43Dj=Mb`r`ajnh`y21dW+m^Rpx!RH3$6D%+=Z#PEAA?;cZbek(-s0ts6Tou zsuD+E*#yuduG-KBE=%oY9{_BoJG+zes;^4?{!;lr)1m_ki+T98W*>Wy`sI;Y?iD&3A#80md*ACL6cxX?eSGbm!OT}7aSIh=|i{q@Ct zY2{(KFH?Cx+)r$ox~>5+IaBYg-S;*tKE62*q`A)O~~@_le9hk+^d!B9Mu z)}9v+WojRWhlwqADCa&Hj)zl;`SEZ@;s`uKOQL=s)Q3J`_uNFF!`k-^(oHuRazmhG zlgz{U?h(CpAlSZShwF{r!S4~hfaj9W5xpS`bMZL>9ntF#_f`~AjC}(E?4h?y&IZ}J zljoZ{7e(NRnno={bwMsjk=d7k4(b7j~Xand#nJ^n!r<#6wgKIEr5R}FvnIIU2M~Q>rlwPKGrnb>|G?f^KM>7(~;4xl_wB|?f zBeYyu{0LKSEQUASxL!I*la;-^@7Vj4GE6%iI+Il@PNmW-;#5ZZI6O`zy|O@h1e&8G zp7qyL%0%swoM)fX+l%Qm+;}{ms$3P1XH=emC#Y1eE>wAwwj$ij=u`w+u3c26v(DBM zIO{ZXo^>YTiB#{Jcp{_sBs@vg;g8}+X}JXaC{u1Sp6o5h+V-@b^Exdy1y7+8>)_?FM)jzNA7k{GhNpS-cuk2`3h=Gde%%LoR0y4y zz_fS4s|W-|pVThO zx!h^JhlFw`v(tKe{J=SroX$BNPp1ZHgr_qGc^p6Pbvl}jX5bmLTw^?gDfa|^!ds5* zicfU*nUeLcmd@H|;+a%xQ#_NAIt$P8N~N_wiJzq9n&T&#aaY}TL#_fX8IAb_8&g2jps%M#_GkUWhZawjgVLv#NgCDeZ_QccpX=;yF z_-V!-&){crw#Qk$TmGG4Hy6*P(%ayRljrrj+@3K9$%G&u1hqzzeh_ z>g=a-N?eE+Qi&b#LPp{uyvSn#*!{=8<~ahL(;1FAGlTw4s&hK~yIuQK7vsfLtB!av zqtz0;gwaZsI?w6sJJ-%v=X53`WTUgaGrz0roX*-ef5q^eo>z0by3Xl5bI#t4jqr1N zJA$~3c{T_CZ|n5`XYsSt&OPw6jGdR_r5-zLjt{Lp z{|dZUo}=d>j1`G1Do9;{&R3;+7FRz9z{;tG4`>;w7II+vVC>A`$yo$WHD z^iaOE&Z(W09?q4HKudK_s2OrHxi|YDjn#qRCh<>CBv0o)a!9~rDRE!7)|$yROoZVm0mJZ=}=dt_K`5W zhuS^MtK2r*%Z4lc+(@Ovp;9^kS&3KDa2t(RGU2ugukxga*Hll|M^|(n=|s}7cZK4L z&P5?>d%mRhd*1AvA+G2ef=-3`HvxdYJv3v6Pv}?l-c`f-@MR-L9?Q1y3niDP78Yg) zd<6PJ?_DjYX~+4z?f^*IQ#QVlU+B%`xkg@5v^R-b;8J@h@1-KL@54U9dnu!f>Q9rs zf9gj1h+iLxWY zbiA7J{O9rW9?y547zG36>w33;1{U$$MFk{^fh>m_%wE1cUnOs^+o(5mG}gH zk&(C-uho*Mvq=dChOSEpFS9;+U9ZErx$$+qm(jp9sE7079xUI!gkPd+&%!S;YQKzM z_L$jciu|L_awSbLP8SjTMi4cY13PG~v$2Dz^%eXIQ>$vs`=idC3Gx@*HA7E|hAUuj zvVvBw!|P~$bMQK*zV&!LQ=h`EjsB=}=?JRx?5D|)dqFI@wpQ8akvMX0tx9o@?>68K zwB`kP15@)xywU3xRKrbp6D_w0Z(_=A#+$w6SXa2A=i<(J#TLAUN?d}sFcP=ot)4bJ z14yuJbwkJ2{1U)kwh@2bW(7UOPbK@I|&hBV%}_ApJ$eS+)Z6XB&8Tnc&FZ<8m76-3&dAzEe=?SS z4*qfTkl%Gq@)o#WIgkuV$p%pSbM8UMcD$Y1^Lf0TvF8rFgY_KmFyfZps)u{Onthd9 z`cAx)N?(I_GSYY9U0Tw0-c-q*4{zzMdcgT`FcYXRdjoZ-(}^;0236}7oWZD-i8EOz zf>g2_@22I}{%dMn%oZ(c_cV+XKy0j;p|`U zg(gW-!eD^szRe?6K;(QCp0`|xYP$q|2#4xfMiG+Cm4a(q z78r8+l(MKFctu}<$3Jx`LbB2&AGi7-3taIH{024QF8l^#!u@!^+Z8Q)1ohdt%V)1s zNeA!&Dk&2mU?gSXEL}-<{+9QB_B}9ogn^6w4TykD`@u~6+q><@oKHT1@#ja-C-zV1 z&vo$gQ}550;pau~&r9(0isz>_7=HfG`!fK3k_}NVQRm_35A>%2s%?}&i1wS z?&@J|{~msiYA@sW813K3@AKM+T75mIPR_OuwX?RbW7zh!4ch($K0&n)!zUQ+KfoXG z+WS7QBtojAx3S0R_i#%-lXU9nT}t9?Uq^53!r1;p{2|po7ygjZ{v-TOqu+-zkL`&7f>FP(YtZjc z;Zs!my!aHO{b_t!rM>SBd{VufZ6BJSwS7Iqwy&$}_ZjjdbiCr(JX9!dZ)hPHOTZH= zn2}=x)yR>Y-#)>g(B>A#pD@imgU`5|3qvoDSXg`u_1VTGHQ_c(ImcmV@mVUiI6ljW zJ%`Uxv7UE{hL)s{GKWbge+f=X(s{)QS!RSAReZM$088igldtJEaC>JZnw>fWr>mw{ z(*;fEJ}OdWXldulxo6As81M24ztEV6U1;=d#9FR!+t#Ecy?sFPHAXlSuSt5-VD3E? zN$i6KE$}c~=RiUv{YoO6uIX?$xiAK));a z3e_(WUt#q70)L^>uc=02Y5lJfOKbhaLUKcMb#8EGgh==M9HA?jM39TyM=7<|TcoAw zjuGRDwAOmFov+2|qqX7m(OQr`zQkWr>$kvPGSy=N^Pj zA4@H#LR-|2UhhD!Te7DO@=$g4>QP5-tU*%N8bPT}oFkD^@Q?~0S)I96A5seJ1*KGv z+46LG7p`vdaCo?%+DB?veih8Mmh`=PEr~v09EMZL&IKUyvkCm{;{DkOe)jPG><2$n zygw(w&;H(@3*qNr@6RRhbGY|sI{X~%{W%YQrh0#-z|V>Fr*q+nJT!z3`5M4pdotvk z0)OO3l8aOi{K~Y_H~A!djlZVR`WXJ2iPmfQnloC{vO?1`LuX`$&IV&Yn(z(&hL)a# zzhO#$i@#Nsp39yFm+D-!V_tZ&RP6%Rr)Ztu;qPdj^YM2~o!{f{**X`wHT(hpKua&d zKQN`QEG~g zs?wZ>EA&oNUK_6P>1Fy-jfCIv@3hXB@$XEXx9}~t&R5(T{(=9XrPt#>n9_gZKUJkU z4WBdShtF|I1Coq&1N?K^@h|)rt#>2-i>dc-{5M-K*l}xC=&PBbJGt$H(n_5n3AYgg zO6okIj5}4W%;6f3)oI;qoK5x4z}X(Xa~(;L&=D*lR(G&4=)OBMbdOs1RL%2?T6diV zU6t-Sm)E%A@k+hXx%U-=E7d(GKMHM>pp6o3{a*5oYkvSB0c`u7dBpV$rb%oTTc!7~ zP?*uUI171>&VoC4s$ZqIzRI2ISLxm8;vI>vQr&h0*&A#T2L7J;F144cRHrC zQIIc#44KM*n|$NsTL7^LHO7&EqgLD)_!)Mcf5yi2}ude{K53H5+A zMLJAj+qp(4-wrbDRQ`wL8z(;$kWiugk3oK9=xOJ|uE&xuvacKP^i&u~38OWfA>X(f z!T|{v)&NgDwoQaJpD5P9*Xmq;XDqpvd&`ZxM{_}4xu_oJ$u~|91rSB32TT`yEcp_5 z)^p2`02vWf{$=uwlb;)q+(P+ZQcM0%JvH>$^ktz_I^ExtwCV3%0$jDFS|4hDd@(Tb` zfR*nY$%t~~I=zQvdUGWA>ce$%kfg8mFloZBV4(38A3bW11{?li-mV(4t&?iz3hq znnd3w=`GN5kBb6@be;%LFYO3hzd`Q?7|nI=4LY~IxLXfpt^{ zyR5Yy9^|Ac*P_?>zM3>w*ZV$c6dIv-Z!U343p}|qG{3s-5ooqC%qWR?y@{P+cQGi4V^UL2Pzd*$M=9f*nCt-3r zv-v(>Skis65V>YbiR4MY@Ws1_8upafCUVbm21(k>@%+?alg_iS!X!2`ZPIaj=S~%& zDK_W)h+p4l7fYKBJ>r-6HE#;)wP1}PR`bm|_moj~%> ziiq-DmLsy#i?X63n|V? zE&)ghp=5Zdqt85Qr*P&S3o>GZ$Hq@+-Oe$lCFm$8}mE@3-ML0zS&9%O`G ziqoSsAf<(R6cn^GLnyxt$S6bQle2IcPJSF9Fjazwkc4_x#GO4Px+ql$8O=gyByS2j_N`Dt{kUFc|ghw^(ZcA=N>LD-Tqhs zWK^K?iRV<{fp(3ZWzwp0Nb zRj7Q@dsR63RRO6glwX$0=jYw~IG=OpuxcQq8kJ8hUyYMr9gym*d{~=>^=zN)__}Zw zTLaiNsAOXE8l2>sfYf9q!-};?@*8T&wpTP~x%+iPn{=*d6uMt$ZtU7{OV=lAfyT9H zYe=uw;#!jcNP?<0bp1W7ic+7OO77QrwHKYez&Mvq#eE04`xT?>-}`lj!(r9g^T~dl zTmQpq5tD_Hvp0P4zNVMG;Z@qNOwm3eaTAvPI)feOcv?8uJ&^NUS1_JFkkee(wdhnE zI<7YDRQiqU)H?9DI)*y+fX@1nvr}Oqk*u%KPUXhx2Xt;S;b$%fbaqzroq9m$d9%PiT9J_H;ih=l&NA{Id-9e_iO~y0ri6lW$!A*8`-U zq5jXxvHxKp=;?np{<3tspL^kylx4X4vvThKhPvIKr8h<6xO6&)kKu!c?UfaQX6V?M z8`5?)A>X)mH3Fm&Z5Okl)|C#46%r}08TrQX8UxZ;&GYDSgkA(@H{y_WY*Kp@DldtA zuvhG@lJ=6}vZM4frB|0;BRk3*EpxWaXR;%%NL+IYytcAKcEEde;;NK` z<4aX`!MoobRd-c=v+7YeepdCns@G*lwaV2Jt2KpV_iDqdLBCYnTCY{^pNam z)}&eIX5HX8ve~p|)8Tla*$2%&k{!)6n!nZj9odoeTMKK8P}$L}cJgb^q3bWJepNP0=}c1b zU5|FXWk-7pye1$Dj&HU9r2RSB(cy^>&vsZYJCakApGbZZj>nQeO}-#II`!+6+GzqD z&vv@j={woc`J2vvcFvX^U1oGy(q)iSDIjN3Vmu zPWCzl#~*s5-hr~CcmLkwdQXJo!rp6pLw}|Or{qh)aIBKjI0fvPvMyy$%04)rO1YW> z?dg-$r+XiWmp&8w%)0lm^J|HH{i#CAp@e zCbeE_LpaVzU7orUj#pBDOZ`K3j2k{KecTK<9v^pU9QghC`0)+KC&F>(_@m>Y{t1y2 zN>7M~W7>oz6Tp5GE>8Gm!cEyRvHrx46T851#l)=>x66)6gC|X$1o}*_Gr9fbjkCai&20K|I6!; zB+HI$6zuu!#J6Q52MTf(@fMRgERg>FmMlZ*<|u?I%`((D%W8!zDi+HW{mrA^dSnw& zS-RHWSp~YHPz@=pB)u}hgpcB73mDRAipnTlMFs+d$qVoS?YuFb3*Yz-NR`EY>65FghQ5Vx; z;C_|wKfJ?auok)7day~N7HV*pt-@O7 zUeJX}B8~0=)3D~bSM*`SDhV~dN36tJ>R!@`wbpsm^d7PnYq5JxFD49|-(x0Y&2}&9 z#yVUoYH<%*kG0^vsvm2`^QhH5YDLzP_p**mIJdcnP05<`Ue}X#&?MCE9=9rM+516P z*19uLhx@>~tcC9veLZQR6Y6-Mn3y&5{iHMN&`GHCePnIc;`f{0tkp-OuJ@VMSUp2`0^1Y!a~qi6K&?^l`?xpQ9=YG! z!Hk9a+~-}wcFTj%7B*nUp?(iQ@31}eptOhWr6e@q0qG^Sryis>vAxwA4SIlji|w%o ztzFDXYRCiDWo)-Sh;3s7ZafKZX?s10e_-V4{&d? zJ^G-xlj&91gf->??^U*EABMKFy&H|jJ_NnX_VB~fUb=Mby#c2a8uyTNG~3w^Q=5Hn zVA_Z#JVbrY_WQ%uZdI^vLz5n|j%PdnVQjl+StA-veh9ms?f!?g{p_$V2~B-Sy@2(E zhuH?KH>9Cy53x6}9`Uf-f%S^%DE%S#3f41BTdZ?E3dsG;2FZdRHys4APGZ_)9kmBD z+I+%v5o!|FO-!3O-GtbLJ>#@SvrKRCcnj+>rd_-qL+rvNnAxVwa4y5TjcFU+ZPW=T z3C%IR$H#kE4>IlJ>p?0nl4SIZ=|w^>Vm-;Uk=T=njXbBbPH3L#N}8_3x|3-qZFf>7 zDA=O0!1O9zuVOvRw3WVR`FhtP)4Ou?F4n_Ld*$q5YA>6MmY7~<$jewyGi_$f(}>OZ zJrYt1T539+VTWU#&a|6xrz3V_M*quAm-|O9$GV+qyMN|(#CGiJdlGui^uB-WeXIwX z_WS1^sPe+VL1>lfh5yP6Sx+==`0qTC*w8r+ga>QWXKM%ih@Lkc@?Se7>y)M)|GiTZ zJ2KHof$=cq!|trcG~; zr+U2Al8?UNWh8pV^w!(vt*pnIcD;QbOYCYPeoDL+W>5QMcssYHGQ1}h-WNLB_g=m05Q-?R)#(nb5&nC8Z4?m=4Xou*Yy57?)_{8nD)Q(5ulEO@6hXJ6x@|4U?aio zgS!(6-e{n+UMT_XH>2UMMT0vcn$b-c4N;ca7k9NU`2Kho9WwjluJ?z#PqLA0_6LmU zi9PQ2Kf01+d(^pCECU@e!{n}aiQ6ThPaGsv%s#pMVdCx+=gT}+%if52%Z!+NCSpi0 zxueGHmwP8_NWZ8fClehtBj=uq95#B)zPY!eM-)LB=$IKn_gn%MgN zC)ulL_T~LGN+o@#{ue0Ax9I=yyt4V|vKgH|7E)X6e)vuHMLI{a%g`5QpFR+MO8m~# zuhMvQ)$G>?reC?fMMKe7X5T(gearQ4paWeq`}cwCUw0pqk+Ipoz9UUypZon^fP1JM zi@r5O_`dH>Eq8R^R%G_^{U5SgeaudLhokS!XntU$nRtLZqRoDOa3h-ZGjoEzZie&& z9nx%An|=LYhc)SI{)GLL8Ql+jbh8m|_VG;DhWtYn@@&|fegANUz3&PCmKpsIUG%dV!0i8rF9WCs0Dqb( z;Gs+bY#K0q;NeUI>H)!M^tYJ}9@=ccW(3m@9^Q~g@fF@*lBL|HznK1#gTL@TlOp9Yea7?|13tt1O|q2F^c&M}4El}Q zcM6!kWBSfN@EzWNvZaEi|Cs*s5B-Ppp`c_b%Jd=AhyH~R@qYBFRK)Zn(~th8A922f zlBF2amrP&s!~>ErcaqZbsKz&_p4;7r0G|tU)?6Z;(cq1 zRNC|{)3EXA4rW%}1`_Aj-Ml{J0L^s)cI$9O-RB9%A&%=EMW$j^9ROO`5{ zzGnK`f9Pwxzokf(O@A}}?LYQ6-sh5~s;1AGK6eLvPVINqO}{h!?hg4K?|ZGKnx^lW zzIO+GkN3ZWQiAD!rvKex|8x6bHnN-kcNc6=?EkR;gZHGYrvGotmX1nw%q;lOd&J#} z2y*v4$%Lj4npx>?`5-(l%9#z}6B6RjQav*vnhEhP4inr-(ey(zDc-H5Na|H3#-F4H zW@0oG<6TUQ#3|j$(ey<#Io{3W=$jzXajB7+Ak74MmlLErNje{N8ZR|AebV&Fd%!2% zeo2;lOrJDQ-go=t?XDkQ9Y7a;P@>e-OqFJ;yhl={J6*a@C}DNZ)f_d>8tm!ue$w}oc>K;HBbNQ)9$_Yn;8alFjK3U zTJQ1H>Q1lj;Xp^zXHB2I4}8|`w`5pg`m8xDxYvdOTKc#y3WV=PDCnG29U)W_qt*daP@8}asBOR} zs9j(%Y9CkybqMT>k^|?Xj)8BWPJ!Q`&OsP;32KJA28~DEf?h)1gFZw(f~}}$a3jMVijt9|1ISa?n&=ln>G*$TpjyF+S zL?B9!$OXsT=<$fR(9DRp(G$5Bp_!4h(G!t7(5yV`(ab!j(CoZcG$*eOJ(X_}nv=gF zdMf`2G^apw^bBr;<`xV^^9nXX^9wac3!*~M!l*iEQPfJbxNrbkRJa0qw(vZ(wD1k| zY?0zz_Am`EL9fmF4YRXR;nx7 zTWSCt2ctJiw?_xc%tcvo`O(4n=g^^YMbP1L9ng{T(df@r;rJJNzd|TFQK0}FE25JX`lF93tVX9QE9i99E$EYK@#swT40N`}9(1nOY;?9( z2Kp>vA39%qBf3(16S`3Q2D(_MA-Yg!IJ#6P6TV+UpVy5?m+E#xm+P*9@5j)U`oZXO z{R-%d`q$8v2Kmv|2F20;8dQK|Wpu4UJ@ie37I18hzHjh8y56WV`Y~}D`YCZ1`Z;kC z9G^uu8vl-N!rqkMo7P3Qnw3I-G^>FAY*rhN^-y;6wGv7SlBA?uQb1B6I98E@lX^=b zN$HZD^onFjdRMY0{Uq61)RF8hW=WwfR!dUMZks+Sxl)lE*28YCx44U;>;air8Fd5zRGc{3c3NJ*V~N-a8lB(?1FnAECk zVX1Yul~SATVN%;3Z%FNXd?j`0X_GqktSEKr*;(q`bE?#(=VqyE&y!NOo?lDdd;TW% z>m^G=dgYTw^@^3o_NppP=#?l)~m1dM6WT@oL=ctw_Z<3i+U}V7WaAqj=QC$ zy}L=vdjBCUPgyOk=u=;MuFvPv%6==QRsGjVs|QHZ^8@-yFAVrvS~D<7dU4<;Y3-mY z(o2IDOD_+~mK=jqq*n$Xkk$=3F0CJGmDUeCBW)PIQCdIzl(b=Fq_loyytHZLP-)Ys zank0|FG`z6AD6Z~B1xMciI=uM@*#ZxL)tVpQhIf4sinKHJQ)$<@{!+%c z!&2t>;?nN%o1{Gx?9yu!CQ5rJd?M|eSVMY!;zH?-iQh>3C;lMqfAolSV9E+<|CA$A z)?>@11CJe+4o*8NWlj58+Mi~Zj-)M?-b^nfy_Now^!D_4>FD&GsATv)gu*&Xoq`vm zkg%@uc@z+wj-tZ4p{hsOTc;q zW(hd~SPEdWycDoLfZ619!1@Aam2U#p53o@AbHMroX17!ZYye>4mMFjm0v2ZJ3)mpQ z6iZ9M1_PGMatW{@faSIv18gW@5w`CE8wOY&+Zn)y0~TrD3fKt1^4XUIHWIMBp?1JV z0an2ND`2Am%OBbkurYuY3~dV7BY@$s2*Aby78UvjV5xu=3d;g)9AHJlHUc&tu)^V_ z+yua4!brJ^fJKLo0&Egs#lpJ+_9$RQ!+!y6GGHabF90?Lu;RHs25c%|C3Ecu>@mP% z3-kwU8epXhv<55QZVIe=A-BK1BESe3$^0ec3p z>V+EsHW#pJMMeNN53rg=Is-Nzuo^{{0JZ?Igd)=bTL@UKB3A)h1X!IS9{{!(u-ehP z09yiBz3Arwdls;|F*g8P3Rr`fOMoo{tbWlVfGr2CQBezED*$U)bPQn60oJ%^cfeKx zmROAFw+gVP#fW~Z0c%oh8eq=@*1Xsdz+M2XS+QGytpTh>u`d975wN7<#D~@b)~Y!1 zp_c$_S;7L?%Yd~h{u5vhz*?8+4%jPzwJXsWuyug7EkWA09gDJ z7+W5&O@JlG62IRJSm#*c_get#R5Bc}t$=ln{SB~ffORRo2C!EF>t1>eVA}!fR^~In zb^zA1%v*r%1gu9KiH}`?^^PO)kpWn*I1+=Ifc1$ZF}NGBl=v{f_5ju|?j~Tb0oFG@ z1+cw<4Tw(yY#(6#<1+wz9k4<1F97xiU<1oW0=6HpA@P3!b^x%!WfuaL1=z5%Qvf>% z*wC_906PTOh_deib{MeX6}|%O2wo{Pkl~MtF7qAJHdI9zxVB;&t1NJ^(lPc#0>;zyFD<=c? z0br9W*9GiDz#gsa0PG}SQ!CF0>?6RYR4E78$AC?%k{_^BfIU`aH(;j$ORw@GV4nb% zR`n=gX8?P=>JGrp0ye$sb->O6_C(clfPD(sjA~5*`wXyI)hYr8v4dt-Be8!0u-Vl} z>|X@z$!er+mjIhnjkN7^z@Do90brK_d#3tcz^(xHbdB?^<))p#ASuK`k?aTb@Ab_yMqG38aqefIXK$>i7|`6?K0A>?goh)jbQ?&w#CL@HJq+0QP)?j{y4> zu+}dnD`uIQVFmPiDv){0Bm#OA;1Cw+tfG? zupq#;HqHZBFkoAnDCl0Lu&58!aG*h35k-s|Dn+@ce)sXbC_4fPK_qIbdZ0JKf=Nz{&x3D)~vk$^&*L`4PY>0QO1p1;8o- zb}soSV3hzn+i3z|l>z&#Qy;*p0QPC8?*OX`*o97?09FmK^PRH+s}9(u&R+vo1F(x- zmH}21u*+Sh16B*L&%4$DECH}Dx)uejHegq}LH-M`1K8DWkpIH#0`_J1Qh?P1?5pk( zfYk@=f4xot)&Q_;y$%4@5U{U%2Ljdzuy1>P2UsFt-}IgcSYyDx@7))$CV+j{8}d+i zQ^2nGhCCGB46q+kFksCA`za+5uq42KOo2EGZvogZDG*2DEdl#EWglRz0K1Xm0IW4& zzormBXam@9DZ~%j0(P?xocF`q0d}hoocF`q1NM6#7&C--0PN2`FlGo(2JDZ%MCXox z{oRM?+zGJ1`tC!a;hp9466)(fvEf|+%kD?8u7CyfdjZ9VcSBVrssG!6bq6e{|0ckC z02Vl)C}2GS3mFg$STDeW2TTX7H(-_l0|83`OdeY{z`_P412zaSWl#;k1_PFB&`!XH0G4~uGQfrc7BM&)uwj7Z z85{`MaKIu5rvWwsuzZ9212z({yn`^D>61eVB-NRJa#c)699`DI}xynfJLV^1Z)yu#ZqGddlayu zsVf1S3|NWOG{B|+Ry_3&z@`FLGW8;0j{z1tZU$h}04qIiFkoqbl^S;iuynxU#=Q;L zbim4tPXz37z{-v<1=tM0;>Q#FJpowx@x*>J0V_8l9=R&~OsfISUZm5E&ddj_!T6KexD7qDs*w*xj0u$mK}1#CWGH6{@| zF90lI60!3_z-mqI2-qUP>P)T)*kZtHPbJtA!0JsU*t3Auokse1DPRqzk^Wrj)+Fs4z@7)JdD;oUUI46F zdTqeg0M;Ts8n71uOG-}#Y%O4|(z^im5@0RU4+HixU~SUZ0pFm4yn*!?6M!E5WfcRJ|X{1t4qq0XW(Lx4}O1&0J|>0Zuw&N zC;=8Dz)IL--8O)4)Gqe%f^v@vu(<;4B>}cwg@xFU+uw(OC#db)BJ%s3>h}fJ@5`#+ zS5?2SseXUY{D!^`EvV9gU}}4S9-$o=9{Jr_^}D<3cW>42eyZPtRKJI*eveZ99;^C2 zf%#2zp3Jr*d?zXeUfk8z)7Hl}!1k(bx9ynin(eynmOaWIV=rMJZ-3N2*Zz`yJJ|c2 z{eu0n{i^+%{rk{@p)sKyLOX|c5A7Y=FLY4ou+UMVV?!r|P7Xf^t0S`|6tK&ZD$kHC zqsYeu@{vY9@{o@z6${hsH0?mg!|_jw!NCyI|*$R&!8;t1AZEoNYHJP^YA_+$thuo0WE z8C$Rw+wdDaitYFxdhsW?Zevc=x3s2%HJdHo#8SKU%@hqOh9z2g1@FMnNAO3`w zupbBTGG4)}IEdHqXB@)oSRK2D@E7SY-oRh+Cf>r^cn9y|Z+H(!@IF4k-|-@GG2#@;Dt8P!W|-8E4>3RKZy|8&y#a)lmcIpeAaeHqJ#I z)WvzIhx#}l4bU(VyQG0;7bqK{F`D2)G{r?|hURF2mMB3hv`&OISWvV*g!$-Nv@?V* zn5Ud6&B9%njXAg*b1@_B7u&}UA=ZkuLu?$Igg7wv3UP285@JuOehu~m9{NxQ^K=|57TNzt}M@x&0{jp;4mBk}!EbQ;RzbW}h^R6=E( zfiqDBXW?vAMKx4M4V;6TsD;`%7j;k<=b;|z<9swgLtKDHXpAPf5KVCrnxQ#bpe0Js z3a!xwZP5WE&-~OTKWHg`Nu?6ppvv!X|9Rqu7ziwn&fR2|R^o@GPFkUc3Yo%$s1g zT{6bJG3HG$Z-RLf%$s1|1oI}CH^ICKX3jF(sWi*{*Z3Ac;HN~)5K)X1aY`b49Qw=j zm+ire*pFB68eT`?mDE+Ht4vqfhxizu;4^%VFQJ!gGBlKFDAQ0bk0P9alW{7_qhcbP zD!H0+)ln05Q6CM_7){X}C5ddh)CTR*30=?~JrDd%d+xtemrF%qLO z2IEkQiHU5sV+|g}I&8pZY=bKzXS6wkiuGds z5Uz5^zS1v>u^t0njR&)MC?3e-;rK=t-;&nEwIObe+d^FFAz>qi+j+D!O_~{Jg;<(C z4ib07bYtF?o>k)BxG#(Q9bmV|if(S(-Ej%py0iC?E=5la@Ziu-T4l8bS}L!XTHzLq zw{0BSVK^?c&`WBKAf!R9R}eh+s5Js}TiUdxO}CVm*O%mFfh=v7E?95} z_Z0<11OX95S!5Rx5fyjDefOuRsJJ2GhUou$=FDAld;9)R!w1qibMBd$@0>YvX5QSn zGhh1mCqAWV+KQq(bS>K5*;|djsHW@M?5@84(ab=7b^p*e`VR&5T90sIJA4@AA>E^gvqIPH&S$ z^+={?Ak#Hg-A?TG{@$VV*!W0hjjrW&9v{n$>J|6p>xIku(#^>hbBbBKy(BMYzS2w< zCzI*odGW?Vy;Dz^@zz2!&)8w;1;x$Dp5l4MjZx4A?Tc?0O#QY=R zA9u@-IP&E&l|RWJapW5nU*>;_Bj4fXU*_l}W$aOJ#NXubJCwX^m#xgFb~*0m zD?229c@g86^1B`RM#YzQxX+cpn}4ChKhFH(IN`a7_(t;x^6!`;^Jo5$!#~daxXgdV z$)|j(OP@y^exsXziNo(ue2M=u=F9rJ_z}al^YU`tsc$6T;WxVZ35Va|<`+5q<8FS5 z!!I`+{E;%|qaT$wy7@C5euv@{{>bT0KF8hia~%0{)0O{xhu`StFLwAHZvJwIf85Ql zbNJ;ESN^LUexu?`oK4J!p36Jj{Bs=saW}u&;g{#R^uONWH!42aLu3>4NpBr){#J*7 z+?~%3C!g|s7yjLje50Gc&*68t`4>9;<8FSZ!!LLF;YbhjNe^y+9&!A6x#iEL-jqKl zdAC20IR3oc?aw2QKQFiZxzvyH=Tbi|za3HjocL~k9x?3xQttNW5yzjGyZw2@@#p0o zF25a7{#@dB`T2jUheki5yzjGyZw2@@#p1ke;%3R!te6K5yzjGyZw2@ z@#p21KbQJf{#@c$`AEL<=ft=Cx#TNU zx2$SL(m3Oy1M{o;V)=%#wz<4`d%0eempA*gWOC)9CGmL27Sv~J{+8Zka}xDLT#ufe zDO)jp>;C$o%}rH(3zNo0DZ^;4tgJS6&#x>#d_i(YUU~7ZZ58!{o9ApVuHB#P&5O*7 zS5~ez%8N^n4(_cRYQ1dMjIF8qvb?-Y8D~oy>e*;iB`UWsnYMbocu~Bux^hK}F)gpS z_(FY3YvQb<10AbJH(xew^^^;11_{>`;A+ZWIRkn=W^S04Om1I&sDsK&Ipv*Ecw#~I zzM9Os(@)HwGPAz3KYwlIob)-y)*@|=%1*4`(y`*;hE-KZ+xFF7MCBYlXqB^u>osF#XUC2c^Q-qY#!rhE z7H^-)^W$ngCd;qh*L389GVA$l*YiYXbJ2VJ4b9Ny0AV|yr}qirK6`Atz{KQ#pw-4&RrE*Jt*-k z-7vU!^>9be+REm1o>TAE$~}V}HRBz-36I28HrTNWxDU8+zpd+0g7z|nKzhPwSn2P)3$$!u!du#9kScBN}+>TYQ|v3sbZ zzQ>#gf3>e>tUteM?=n4~>~YnU3v0(W8;PVr_OumxzOWwcEVg^&k!gBMQob|Miq%uLkbR;2bxmCxww_qE zt-S6?Z_kM%+YZzZqu(wZ>}WdJn?Qd#aQ4CBO-p-=TJ@6NL`B2!-lne2n@W#uJy3Hj zQ#Lj|X{GO|AI+RodTikICc-E2uG?L4tZg>=1+ks*J8_h|zNxFFqze9{X)JxIv`3lW zf@Hziy8NmQTMpEZ^)_E3`v;Z3ys#L4V4#8Ydhu4-Z;V;qc%_McH$?hFx#EJ_qnkG^ zZK$5P##rFUqN1+uR*pu?CZ#Z{1r2n&1$GLlMary18as4%pW_tEE&&SAnjny7}}?A z>;9F)+l<6vw4;^2zoo8fZ{a?7IW5x*E7tV1LZ5TX>&E&wiH5=p>&DJaEL~llV*OXH zukGsHQ95I=d`&m-y4$O?B~h_)+kx8d{vxzP$C|Ox%jRNS(N7EHR}>p(!2U??+@gUE zgEN|XT1tf8rP^(v1O9u{(&>dWi;X32xxHtNw(nSabSc?Yer?^hj+!pC&#Gk=^{|^Z zve(YmlG4~bYFE_{FDM~9TRFZNcnUA9HI@bBC-&8jw?IF(-5h8-EX#*}dJ`w+FT1em zU}kIN80@+e`mu4gkz83R`bCp5*UEQOMQnJlw9nW)J#OI`?QK>#^5Yin`NOjt88_+) zeKZUns2N+w`p&dBNqs=ivlec#^a;IHT5??O!Pfa>(<^=bLhM^PoXl%YE-PQt*(L2= zFChQB%<6~e@92kQ@8k!lT-iU_f7PR()E|UD+OuW9K9$DPHuUSwm(AKRbU{7x?XQL2 zdoyKAj}DYK48UKcNpD*=E!~6pz~G*;vFJQKN`9#a{i^5OqV9&}`G(nzc~0?+=Fw@z z#f{bJMP@-^*U_PlRp?jbw^xjAE<3TRaQ~`Ga~b@B6F169uU7f9s9#DyKXmSi}MBl^eI(gm=OOO&5NyTRW?*7RJ^3_nNDHafTIx=$s-AUDPco0v*JfJb7l&t; zA8c=`SWr#F%Hct3a^!w>5 z-gT+;tA%#HC2cfb3b$UC>Cv%uay};OgLXqdaOyW*U(5Qk{Xy{f7(LneSOj}nu%#6K zxqfu>){2-*KgOb9KE_M`eBgwv=fr%tzTm?T)n-yna$a$;rKn=h;DIK}KZsvxZYf^~ zf798Dc?sFgxfn;6VZ5jQ8^llM>9*Z%J5bZPp$K+*z_G94O(!squA}+PG|zm8?34WB zs*0mqE7pvr>e$|rd5y_s`)jCQ&(KZyqaEmSmK{r1594>rU|A{VG48Ia?m@gHxer=!`J=AHj6S%CTP=($^$ZdkrWpMH}3>XLbj zO15+~jc4*JHVnfrt8rp%b6sg^(leiO^4p63wIr{!Van-Eof~%0JXpK=N{+skGtlH%1uQ(z#7*HxBdfQoI)L5n%0ua{i_^WCs^;P0fvTuh zqH9xpkD^*B-muE&x>n_TSk*H!JV?(n>7kv&ZT)!ln;wX2({-&h=!veK8I(cm(3mUn zOkJBwiQQaRT`k16$e#Z0vA(D_Ti1%bJYAa`R9gGkNdHi;tH3j%B}(ag09B|L+*MWS zq2Zyb+Nd^H*XCW6K9a5;NDuYmRritMi!;?*GGl$i-5b+G-2<7?ssqmTJ6<(%q-)^fzU~n;$6~w)x1+%0h;;Y#WFW8%Z`DVR$cQHgdYL{t z3V|A#gNo{!(LW6BwpnUv9w*FrgHEs8Q=?<)u?)0mKi-8Vz(Zj_Ol5}L&#B?@JT}^C zr7f^XDOuP(*fl&fHZnYr8p-q`CVxyk#yG>>foxuG(Wq$AN87|>d-aV4SZ=N zKh4ECI)bi~BIN|}x!P6^Bcq2@4p1NK1stg_0C%xurm|#5$r6jDcGj_E#i@*1)*RXs z3An|m>3c>pnG|f2nx_!?yW`R}EC$N5ZKq{mxa(pV2U&#kT#9!Orbb4`Qc!bTmc$JY zb=WZ7jgQv$@g-m}mKi(*)4{X4IyAm3O19vNq6%(Sik^w zmQeGuCH4;tU?0msYPA0n^bNFuZNdFRU1%*xk?N#DOG`v?6L>%boqOeMF{yQ5Qitax zb$C*%oSW1Ibj;1?j;StYKa=el)O7%OnyXrnQ{Aq_$CbH5l+1T{i1d(3cXgpR%M6%H zIBc#}=cA5bk>e7$*`u%qY8~=HOr-!Q!YuSm%Yl$|YP+sYx4WU;gB9GefF}~Nlf?D} z6OuSjV484g(qJShsJp{gD18>Wdbd>RX)XraEw(|S3W0pIW*{H-7s#(a34g^&_^|A< zAUwmcRJXfw_51?J%NCHmpwNlcq*kLNbqx;=Vq{YaE3l$$X;w@sVv5(kp#mQVl`rzj zP@~JvBePSq%uEWpEnYb&DJZ?j(6KQ!U=?={_LIBf@gc>~;C38|!nMhr$<&s0TT*SU zTi4ML#yiS(jvpGxtbmu=>tUSL<_z51IxoVWvo*PK&Y{t9XSz4FXLtl2MQ?>pMvkb_ zcu?+)L*Hq0hIRD_$snhu0YP%=5#$Qh@NY>KyK~6JZ`_$mu3ft$wGjxMSlc#~ zBcJWqk?csVU3dP@jZhA8Hf)4&vVCI;jV3Hcd+RZB(*iUvvoI~gVmlof5%Qz-umROww>_m z($Ch(xu2|W0K2|V=w2|OT~z(c>Ez*`l-Yk;}A@vYmMY;IczgaKS& z4B!G~02ep|xIh}f1=avA&<1dU*Hf=8$@a~DeG<>FPvZIYNj$$kiRafR@%;KEo?oBD z1HPiQEiGG8&B?8sQ_ZbA+u_ofA?YbhckEo3+S9t0dKYtK8z>HEu&$-W5-E_0xM^Tw zGPd5Gg7e@$!ew$ETX!)KfZ#lKtlQAKZL0;TJ-K#=P0Oy#8A%)peBs(H$yD>M^_DY8 zaJ)U$iViER2w6OpA!XZB=+YRN*C*R|qT}vdw`0ey^LM6ptXtcSz_ywE|+Hu5|=e*n^Qrn$x75iZ=)$D=Sy`Iiqp0=)xOVBi)=*zg{Gz`31Xpo)UJxN zZEG89Yt^g0W$W5h8}#o8utT$uwC_yrgs0-FTdBwstD0MCBbHNftKo=Cim)05P|i=b zY?chK?W0N-gKCK4t*Dc0oSCwJpgIt+^u{r+p{zWfaXMw?QrczZoE7VW6WbOqMU}-f zEiFFLx_%ezTiLS}QTA*_r9Bt7ZiPMj{J5V-e#g%vzvJiC2k^-6_~pp&_<7`a{5yvnXeG<>F zPvZIYNj$$kiAVU_wk6l5c5X}UYG0Q+zin4LuteM1ch$kmVIly^u^TI*?8b^pyD6d= z+3410$`SK!FT?io#BRrghzAN8+v)PW#ap+f*xo552MQGDx3;9}S~^$!o^3nU!fVKMR@abn>>i}t&TTuBZREJoa+HM~x3o~SV;v@ZJJI9S zG$~H7RUax)G^-+^Vyuasty|XZNVToofc)2C7%HJJIC!)na zAAPVqCbQy^wsu$Bx+;9o<1flBi&DA_S1y6hY7Zb^6bWnlet{B1MA$k9=voCe}EPhLLxJ)h>0bcVz7;*IKqLERWG+KmuV zRXC`LogU6R4m%u5;Wuk0ro%eiiSbk=1~oA;yu4c)Q6&v(qBQ)!TN+U%4Qiq^Mh3Su zqDmUnL}`o}ZfQi7G^mNvw3gy(L`0P|sEN{zUTH*?G^lCRB-|@3FN&eGq&w4<8XFi- z(TXjvP{;H)p>w(lt%Y1nSp~>|j9@I&t&9c_b*YR}!$Sl3jN$FR+#qb_1~MQww7#c! z#H|D%0}`OcJqZxE5`YXyfJXNuK-@|IG9Urk-ID-uD*?!W1l07FM!GYd)+afGoypOe}95j_J4hvVeq@1;~IbU@e|95w{Wq8d4ps!kvKP zDglubOQ=J`7ZFHajAfYj_%dp(n1r+SFnL5X2 zhzv*oGw_t)SV&!H{sN9+u!K)iDx!6i}+qNa`IUAu=EVn%q-@V-59z z)==-W28vsn3$%uMpEXe2N)Tub^*(E$xRoH#8tT!+o;oy>G^e0eAI3Y}k$##l{L2e+h12##24vxD`xQ>x6B&@e*Y+!%wkI+mfv@dX zIBid4KmuReuW;I)$bbZBVEK+-zBr8;*!4dAUj|2Uxcg)sx0JKVrYLKzH^6LGBc84Sg(1c3oxcAQ>TV zVa)Lr>R1d(2NdeFn3ayj5E+obXE7@siy<-~fzM)AIu=7@Kmwn|taL1f$bbZ}1COR1 z6ImH(A}f6+LUBvefhMvNZSE<-F$a1y5z;EOusZ?8RS84}B=9x_*#0E)6zr+B=EKLDyOB13`pQ>=~Yfk6B&@e z*V3z;mL@VFfv=@kIW0}(!~_k#mZrFBX(9s>_*%NbX=x$@68KuW!D(qC0}}XJy1{8_ zA_EfmTDrk$X(9s>_*%NbX=x$@68KuW!D(qC0}}XJy1{8_A_EfmTDrk$X(9s>_*%Nb zX=x%SCRpujX^N|sCNdy_uccQzElp%V0$)q7c3PUqfCRplUhT9rkpT(Noc3=#^+N_5 z!(ztkyxwHBV*x}4WaP7e)s6)a8IZtd0jnJgATl6<&jMCE7C>Y`0-pt}b}WF%fCN4Z zSnXHLRkigf{jZRAw8IZu&(v40_6B&@e*V2tnOA}cdu*`(^ zEOHI6wKZVGtpz2}L21yW-ZWs?X+Q_1L92SxfMKTr9h3$Q>rDfOod$GJ8nmr94H$MB z&_QX?yxzrt9_$#68K3iK1vxt_&_P+D;l0I!VW$Bdlm>0@O#_CV26RvwG`}|u7ww;JT*g5UgUaz62dxd- zXT~4rZ&?2F?9G7v> z!=Q4|)ZR2MJD`=rfHbr|=t)Bi3mefvY5aCTYle23U^}2SL2o%OJD`U_<@oJ@)(P!$ zg6)7-2EFCD?0_BymE*SqS}nB83AO`TAM}>vvIBY;R1TWjUK5ia(Zo#b*z;!NG7VZo z49doD8nkq1!wohKS{U?}<1!6;7*Gza1bWgC!zzd9pfqUxgnT75m`?Q%$IM;+JY1$i zi-MDWi_;{7?j3uHMFp3;|#VMT0iubwddeY&m4@hma%hdwo5p1a^e`xm-wtTq(Jm+04rry(TaL>P=wVPfemkJmN4uP0 zJD~MOZ#gbIpoc-_`0aq!AnkI3?SNJtz2&&+ddqRy0X+;V$8QI;7-^RiYzMUb=q<-(2lOzg9KRjVlB8WuupQ7M zq^BHWSZRn3D2LV|y=h!_Ko5h``0aq!Chc;9?SNJzz2&&4j_>@a;BWF-)I1?j3JvuNdmBj=~RN!g{}Ks3b0PKFvrq>A4~UjrpB-h9ZldQLb4@ybY)7$p)Pb9cOn#(g~7|@BC<8IjS4;= zuXWscqq^R;(ekd6^k~xAS7VzOl|JZSSWqvS|Z%lr{Pv0o=r-U&{$}b5c z)XZoJHy*UaBBv!zflNe>Mvjs8k4p^R-y$zYLyYU-Xkw+w-PvLlUuk=K5UCKI4Pc`ACQPAbO%+Iom~z+ zJ}6@mxjphxs^G^YV#jY|&Lltlv?kF3dTjM5i~RWJP;2qYhnfU6JF4u#gDT?-Ky?z! zny^;%m9$1gJ|4M;YI!eaIR0F_Gd=0?fw3z5Y%>=w!t7?|NhN?lI0zQCipYbJhbZ4q z$kAZz=54=d7PKi+1bU1zz6&aQ;iV&z=CwIueKx(eJC>Lc5V0 z?WaP!iK(9n?PjK)7TSlH`lZlrVd@#7eVD1=2<=v;o)y|{O#NPHA7Sc`Lc5)*=Y;lA zrk)qt$C!FSXm>F6H=*6h)IWrF7gH|^?QW)C657X^`j61=kyM^8w0oH{g?1lPc|yCN zsi@E%U@9)O2bn4m+Cxkg3hfh2O%d9|Oce|5lT1w$+9OPzCbUm6HC9TWFtQ>I|Vh&Qzt)KFicxp?!|2c|!X2<_WU ztrOaJnA#w;?=saYwC^#sS!my9YKzc*z|=OO{gA2cLi-U@?Lzx8Q@e!r6Q=eE?Wat2 z2<`uvxJr*7naT+5S4{N^?HQ)}h4yQvE*9Evm>Lw? zZJ>tJj;Tw9_GhMEDYWOAdbQC0 z!qjVp_5xF{7usK$x$<*70_99d76xzR-dbiMCV(L9Y z`!`cp3GF{jT_bdjscVIGxcGiN13`!=rN{l z7kZqjj|n}&)SW^vVCrt63#RT7dLdKy3B8D^2ZTO_sfUCM^00GWEF7Ph;wHLN8Wf03!PJ+9Ue454g+7z1Cxt$Xsi%Zq!PGZ}KAWj; z3;lGazAN-InEJlZ&t&R{La$`%$3maO)K7&zm#Lo#y^5))g+7m|UkZIbQ_l!}0aL#b z`a-6j75XBkelPUJO#M;lOPG32=u4S;Ug*o1dO_&Rnfja1tC{+T&}*1_QRuZyy(IKH zrv4-JdP(K$LSMm@DfE?0N9Hx`_C5<%zOHvqS z6k0_R@>fyL1pK;ypnU=zV-FQ`DzGG|ipb4>UR`*m@-!nl#x5%{tI8*XD08Dj@3P2^ z&ce$=jE>05O3cbFu<4-}M{|Lu<~e7N3#<&%Fw5ciJ(gJzCX?b*`NAZtW@*19nx*}cXqNU%qFLH6iDqfPB$}oD zlBm*akl*6t9Idk+S*d3R**UEf)5%^e5;QDxR`$t|rx0|`9%R9jg0s(&WVxVmSC-7Z zkA;G~suXe^V0a3A&M|$bz-OP{cM5!bS{VzSRKv6=e<~4sl5)~UaL&Kvyn=>XKI&2( zi^*a^2&5=?l`NFt@AE+hr!uBqFBKKi=M65xX0;(2bRD*O%A)CLRhR9A zST9L>O(&$SN!YTmknX4sa%Ggkax{*4$GhNWw&W~h2-c4!<*i7Nf0svJIwNRs6C*pf zRi9Ky8H4O0!B&Nw7?V@vN%i3y!cL0bvAvT5JJl#>pJK~Rbkd?6y9{&^_L;YwO<)Tu zneb7`bwVy@73dfJ2j^xl8Z!BG9~Dch1|gGAzi7zh(=Qq_`Sgp1Og{ahA(KzPXvmKz zx9BNdzXw8o zJo!blv|q|KOZz3!EbW&>v$S6l&C-5JG)wy>(Ga~(IAEFm!s!8X5uAoh=Pt8AkV~WE zlKBW?mI-ocmQ1)yv!rOqlmkSN-zOn*`+TM|%LUEzd~&WCY|s!u(&_|g&N66hl0weG z&@33_b|;({&7witbB>W_nV^}3=X7Zn3tH>54xJ9q$UciY9Fu!EJXvARPi4 zJ%ij*z&Y29EU59l$6vEt&`4~bip?THE$%uj`{bH%&d@$NChyVOELVcp=hlu2b+en$ z>a`Vkd!Bzgc09Nq%MBMoTdA$0hj(}%%FR_mYtUBH!@Gsn$YS>ht%-T}32hDY9uV4D zOg$vDv-#=6LOX}4M}&4RKYdha=P~awp(UC3xX_xJ_c@`pF!hAc)-v@)p{--;%R*bv z)K`VJfvG2jwvnl)gx1Q`H-)x|sc#EyGgIFcS{qZ}7upu4ekio9O#N7B+nD;P(9UO6 zKNH$^rk)ns4yJx7w04$zMrb>k`VDO*a1_oPyM(q&+f7>gozV6$^#`Htl@MAFQ$lFHOce>OkEy9b>u0J&XcuuVr9!)ysWPDrFf~JHgG|j7+7PFx z5ZW+Prwi>6KRr`uhnY7=Xd_Hj32l_A`9d3GYN61^ImKe39bsyz(2g>-TxiFbsu9|8 zPEjYcOPE?Av{x{-N@ypTS}n9onQ9W+Wt{dbp}mr+bAdq&|bsTTA{s` zsr5p89a9^H_Ijo^3GH&G+Jtrm=eJd8Z(!roqB5$Y(N`h^+tc};uEELl(O1W#uZq3~T`T`%RG-(u zzgd}C*M~-JuAtCP>(KjH=sCp|*%yf0;Mt+cqm7;ynN8yA!C-m1qpB7Nn|Z^t~rhacxyC z92*yY4cszQ*PcYWh8kpv)0)zha5#N20eU^r7fS6|(gBD7L#!htqb7v`u%7h~5#sGZDQ$dY4Pj z&HZDe(dfsq8e1ox zk*-YtP|t96yCmEChtOXhifM7e`QV7dunzB@sf z3LcGqIsr(JWd*4f=%Ufb@%@ek|0EX@N256Is?zQnCy|mGc_JSDeDn)wP^wnf811rj z`qW7hws@{Twv6XX(Jv>E*H>`Gp#{$?7>#1PVXS*NrLN1^?)nPpCae@OXLv>1GDFNO?smy0AXXo#Y3) zw!{4m!+%{Dp8cUNKcc$)7&WLnWX@8432q*g;3hkn6Vny^1`OlIR_Uepqou6}eLVPn9jXtkyttZ<7 z?EM_Tx5wV+RJrnSsxQze^;c9Ldvj%TAS|>ki*QAw|D0Gec_}67;$p7POPDoreXuj= zs6-#bt}uOt$`xUet(>9EQA&D9CXHc=HY}6IVnV-!lO~W9Uz|xm2utjw>ULCe*2NY| zIrg=r$Eu<+Y$KXxcSxI0T`*Q0k4=r02>ntnp;YLvVyaB&uVrcmQU`d|Xly3h;V5p& z*^%iaXFC_fxO<^W2yy0 zaJaZNdE|JisuLe(>Du{Do&Iaw>~&-7V(Z~?VjJj-wBb}$ns&io=oI7a{{O2&TVtCN z(Z9qt;}a1&yf9ySY!C(=QOGe6ULB#c2TpAzLYGV1GJXv9(T?P{Q4&kq#;$m5XAHj< zUcv3Km%b@;ecWlyRB0Yc+2U(r`!0LTMayIRFc*zo0Ml(2u>+LHj2M1XG;?nF((jU! zDb8zi-q?SwRJOqA*JGUtRIdv^))-KRbc}{|1`y{4Vmah&WI1dy-oO+-+?rwcL`N9c z6_abdf?ey7J4bxVAn!279l<2>W)?Y&A8DN9sL9_i$Rc^7lg|}VBRk8fTk?o z!C72_Uu~Sl38BB2v$%}1K+oqaKzJ==C4Rth;@9Fw9VdRh(68adS5RUY5htE7biiZa z_n7_52}W`y=Y{ReNv7UTL-RL;{(jEzU8>7;rbk5VO19|tAQ#F*UZ0#IM|Bk$qMIcR z@tSz->e%}LhOZM6vG=10u&zU%#OfdF6|w7?huMF;3a)#2NUpizV<~Hl`rp{mZV|gN zh93`C#csyR6szqPtU__6Zx#CWT;Gof{br^0huO?qtuH; z>>lzle~I0jhj&!&rBOjkBo`f_n7;A%JU0k z-Li^568fF2;h&J5W4Op1=Km*C&C%lUy(0=CZSbgIYIw8SL?Sze}E}Wpba`tRqBukgHBE57zl&TK;;++uVFS~J&djI z1;{{4!y`LH>~B2I{vFCEJ<~NuBKA*K^NWgkG~Ex~zr@Uc3;h!kOI(9xS?3(!J3_iD zDH=B@Z`{+=-^mM3wt(cV5%EYoFA+E6`GDtr7LCU+8>h4c9J}Zr zNZ69 z(qMRbFQ>sMH7fmNoN|`Vy)$LI{5Yyw%r4*IlGl$n#N(^tfET5PP8}T)@g`&l9)7hl zZv;(oHuJEU+QfxmF?EC~ET$f2YOT<}&9K)C{d-JpB!2@pa8(?OsXG{I8!AOdze=?e zVbB4u90TF2A{KhnoPRr(y_woYAF-3Q#`iF=y-42$%OR}$n00~Bf5;dPK)SQ0wl)?| z(ODf~i+p?fyT|%4tvVx~Mpul(j`clQ`f#mb*dDs4e`I8ApjxGfcgHgc?eut$u2nmO zVX&~{57<#g-xlvfpO5zwP8_lxi(}4X(4n=g6A(U~kOfk8sk!LKC7SE7(EpFCJ4%UR z1e|!Hosm(Fj2@D@q9F;1kK?5ZYxWgFf10zol(Mn97ZE-Ta4DNO4OU(^Gli8`nKj|M zoU_Ihr+}f#gEBO_0oXtHG#G#N`j-~k*vS=+aet$xX#2>&Y zidXK{^3#}T{2`Q5MT`A#P(}Rxv3!CLV@3So_$LeEpNKyKDmspDk>ihg4rB>97)d62 z45uK)KZ6ouZ%JrX@z0{7t=l{)<*d-Ldl?n+&&Qv@GEf||l-QpBkxVO4VY2V)Y{Xrw zKB>m=@ufJH1h0sH1@m-ky6KjP#<3vinp(+H{&!O=2CZ+1e?1X@GX4~naqYaVah;|G z@>gB_n{jPg{99Per{7qVz(D1ffFQ!|(D{v(*Zi1<(B z%p#7j{W!#kh&pYdXpGyjV);+*+kg&~;wI~E#a_X?w!qb~}hl&P15 zQO?wV@SS;I{pwgk7slz5l`w@-$yA;&<}(!)#v-QT!dS{wflS&MOB4#DnpsmMt1Ff$ z7DgSjrb$+JEODAJRx)ckmOCxOIodzgC+n0P>Xxs|s-lTN#LNU< zf9{b>n2FQzx>xEUaVB~w8ZkZU9KNAzyB%fQW5I-116eLfJNd2=i8+b6md-L>(%P0S?Dv(S!$oSU==CuOAykBSv<-=sC7PbV zxPWtQtoHZWKEE-Ykl6k1$a>F&{8lGm1dSqkHS2y2V4PKgMpz}H*D>o{u+A&dOk#bX zSuJ3#Ezu&x`Wdq}fQ8Gu^T5*f%buLrjNJfCZNY8;rnX@>08`ts8-S^HGVi*YSORmQ ze45>0ev{ZE2dElQ9oVSBf>;_Vu_`SWir4oK48YhiD{>BuaUMt`PsI}#Ca`v7^r3)G zXfU!U0Zum-M>}h3D1Xdzj6Q^Y%&LVPPIfZ->SBoj$)b5o0?RT+Uwtfbh*;o&H6o1F z+#AP)!LJ1qM}%<>^NwK`19vB^wPct&A&ewHy-XNunR=BlHZXp-yh~{;sFm^L_Blci$EpHY^2lKEp(#5sB z6+pZM@8Zz@+WfQb`i_tGCqbf?kLe>RK|Wzd$%xBOx+`lPNwb?Muw>egwe;; zL&CV2sfUFz#MC3gILy?e!Wd)fF=6mqkpx~Wntfy#pA!bZ?MOT!41Oz-z;>Tq>_NXQ zVnvMZt3da)5-m>X_?1QCDG^)755I|r-zw1()K$j0ve@i%gsJai`v_A%6tOx^@M9$S zNr_fK30hcV*z2>Fsi(2qh$)Pr>zTqB%CAQfzY)e8xHiuUOSWpO7(bW9AO0*(yjhkf2 z1tr3`g{e|u+{RRyY@t=Lf*Hd2D6{Ya2#wYdE2t0#ud5WCPMvr~tl&&x@RCHq9AVtY z;#I#B!xTL4Yng)QeH~Ny8Txvr;Ck_c4UV^9k1&4A`E}5O5Vosb z&LaCotdCpjLNrUNM4Lh_HOQ<^u)0dLsbFbu;7VYd5B)$wGxiDN_nhTL!uS(Y1HyQo zsUczfm8nC*_y<#Pt^Z;QD>jF?h$ATCXo*%#mhc~bc!@9#rcMYmkEzRq8Dr{I*tf(0 z;91|wm4Ih`8&j7Hvw-E^Aj~4B-Xvnjxv;mOu(y_IB`D0C#t+{i%rd6lB}|_B70}PM zzLl|p_X@LupIt3tui-4<1qr%@JX@WT%Xa}HBCxaMzi#A=6|?&@n9#;%KFW6=Wq zJ}cnie=8OTs-gw>nO3OAbjcxKUT{mi;6nu;hMl)(@N;7>er|9Y*PF=xLF;uUWH6yG zxUJwLc#TWTCqqiV;i6*&_aq8#D!6xQ76KkY$yF}Gs*Y2uO zjLUTs=T0NzLu37enQEGVt*+i=Po-2EKz_L3lO60M9_e__^_!TL&xHXW?^G-f>s*4In4 z8N{k!7RHVOj2-2~n#-*3g7v);Z6?)WIyb3R?dIWQneJWqEgCKOAv*Z3t*tw01KLT1 zfc?h>KZzIosNkoh$+Z29v4%A z5EH|gC=upXmMx{32xPmv#xNl)MMrS2_!4)G5M^RI)`P_iyiWH&jS37!d?mG9=<23L zB2j_z#4IsenA^FSGlaR5sY-JH{3{@Z(=ww18wGF+PMVgcanfVD`?wJE;Kf9hm@iD8 zIf;cNqiS>%C!uD9SPU6dX^Aj-h9s7`YE9=r3Q^6q#>%CMS#3 z{BRKX;czCgUd^n{V6~NKmBe~Cv$lbCeu*}RSXVQv9ju)t+FW8?$E-bI?Jd!&h;=Ko zE&ywPi8hZ|cQPvl*1-~OKC$j&3+V!@yF^<+7ShYL?nP5uE$KciIV!NYX{1N!x0_#0wG?3|T-3E)eSPUe@MPd-^K%O5hE~%&(hKJ>M1cH{H#UU{w%mGFKmyQ{h zZ0#fb?5Hq@`58R2kv=NKE4UC0e2Ycd3FGm&AL;RaBj;v087b zkt&lS>yHY&oW+UTqlbi`SF_?;ah)*V#JOINA2n7AX~;17(L+)3!GySx#NNtcHw*I} zOkpdgF9G%FsJK-`Xi_b16CV*Kza|$SB|qOS#2x(LPGR!PZ-Fr@LN^)-aSuPbSD4pu z{`ce2p^@P+As*yM4+-=A{ODmcQCAu#`U>#~Kl>CoG?A)}iBAjjdKUW(e%Rs_J~kH# z@mZGpoG@?XhfkpI4P@}-i~QtE!u$|F`3mJVj-y_M_!>WZQhF!k{gg0oWub3^b$EDG z2zu3sx_(EPxAT+l0k_xqfq16^Ma2#f*z?oVJ0hzz8u zQqL2tpNqc;lV8P&zY6maF6!@ov*9NFXF|M4t@&w|dWoWF!^dT`P@^c??eiQpDT=n@ zNqS*EML#7>o~akcDT-F$>3X4{C{)jL_QEL?eNvb_aW5>PC^XBn_rlXC3T^TfzHo*x zc?w@RQV^4trdA5`MWz~r z`ERBgMMP%`o9!b^oh>3!rp^_S1XD>gEM0OZUl$Nz&{cLE17Xm`bQ}X=(Did117Xl* zavTF;(3No<17Xkwa2x|+&^>M(17Xl@Z5#t((A{es17XmuYBENILHDC^jIhuxXdELf zbf+1|2n*d!#xcS|H;{3Ru*l2CXwwuC2Hg(E&j<_M0md=HLN|JGjIhvMT{1?5LAP*m zjIhvMTO1=SbaNKR2n!vW%Q3=2H(zm#u+TkL93w1rTNTF$3*9}%F~UMOOmU2`(0x%d zMub5(L2-<*(0xxFBP?`#6UPV(-OLmVS4bej;z2n*c}#4*A`Hvn;ru+V)z93w1rYY)c=3*EuP zF~UMO?Qo2+(7ib_Mub5(;&6*9AX0Hqhy#;~gF+mrR9xbt-Gdw_%EYK<$0a`6aHgJ1e6)K^#U(!45T@c1AMH$1 zafy%iCaJi@N4t|$T;iksNh&V!(Y6~Em-uMs2*-&sYiSdSic5U7jYP#IKH71i;u0V2 zxlnP5kG4^$xWq?0DpXwJqiqr@F7eT32o;z3Xj_AdOMJ8=fa64&wX``v#U(y^F|Ohg zAHC~Vafy%KRjatfN3V`mT;ih_#40ZF(Q9B8m-y(tu8K>1^r}|HB|h@s94E@GC0DNE z5+6Bp6_@zPovXOSM-E-ZB|dWLDlYMnQ&(|`kKDS7OMK+mRb1jD*RJ9cANh2S6J^$t zdslIZj~u*;OMK+wRb1jDC$HiXAGvuIm-xuPtGL8Ru3p6@K63UdF7c7OS8<7t{5;2r zGHc1@tGL8RPG7|(K63jiF7c7$S8<7tT)&D-eB}I9T;e15ui_FP4FD=G@zD^V;u0VE zevT7m*3vMb;u0SX1S&4^(NLh`5+4l)DlYNSaG>H69}Ng9F7eTjpyCoA4GJnQ@zJoL z;u0T?2OKBLtfiqr#U(x(98_H5qv1itB|aJ;R9xbtAwtC^J{lxcT;ii)Ld7LM8Yom; z;-jHL#U(x(BREc!Sxdu(ic5SnV5qpnM?;2+OMEnFsJO&O!-k4Wd^B*VxWq?8hl)#l zGK1m^N;gb~N7CuQKZsC&@;ubzhA#UN56yg>> zNg;0Glhm}TZoD7h7q%IGEsLER4FNA+t=GDlZWhB5oAb(4y{xp?E3L&X7G3KU5iPn# z*WMkF{r~#CuIH^Uzb^J5R?nT6yn1udwTYtl6}^8-e$jRKWiue(QM;gvqU(#UF3}bi zVO==h-rqZv9vdIY;D=I+yt$&VeJtH|@s{+Vo%G@xZ|CU~yshJdotY8EDQUwOj|01L z-3Li1=2Wiu`RS4LU%xyhlYm7 z$;#5ege#25K8#P|3z#Mk&T#JBh| z#FzLo#CP~I#8>z-#5edd#25H7#P|0y#Mk#S#JBe{#FzIn#CP{H#8>w+#5eac#25E6 z#P{|x#MkyR#JBb`#FzFm#5eUa#2584#P{?v#MksP#JBV^#Fz9k#CP;E#8>n(#5eRZ z#2553#P{keOY#2522#P{+t#MkmN#JBP?#Fz3i z#CP&C#8>h%#5eLX#24~1#P{(s#MkjM#JBM>#Fz0h#CP#B#8>e$#5eIW#24{0#P{$r z#MkgL#JBJ=#Fy|g#CPyA#8>b##5eFV#24@~#P{zq#MkdK#JBG<#Fy_f#CPv9#8>Y! z#5eCU#24=}#P{wp#MkaJ#JBD;#Fy?e#CPs8#8>Vz#5e9T#24-|#P{to#MkXI#JBA- z#FySy#5e6S#03|cUUVa9L%Rn3;CcE_>>C2p~7#f@LMYUwhF(a!tbi^dn)|C z3V)zN+;1T3gWC;ch`SABh?@;$h)-{3~?uc3=I{UDvYQwPlfp^jH)oE!ng_(DlAZ;P+_48 zi&Qv8g;P~ntilo%PE%p23QtpEnF^r_~; z!WAl9slrt%Y*6896*j7{i9+KZoDi@^KT9);ZbGe2U`9YMZkK&R-=t}6OBUa+Z`rc+ z^IG20yFRYBFP^6FT5`YMalf9rU+>zoWbxAb_1-;8??M8z=w|#|py@AZB~d*Y)rX2c z1V+9Fv(ZM2Zo$82BIi79q28zWgIl1@(J#_3hOb3w`q}z`rWJh{be^uCtxW|eyhNE) zP)9wW4%wg%hk?QioNPgj<)W!8J)p*IP)EW*VFT2pg1XuR>X;4cco-;b!J1T1H+Vq3 z!UlCB3>0>QWeX}NL%+=f>M|SDE5krx@7c=;>eV)=*Mxz>*0h%q)az_euMY!t`6Pq7 z!_$0M*r47J2I`I3gL-o=F5&YYP;aq8y)_Kf+p-7sj{hFiJ8e+!3Im1LyV=@QPR{cW z9!*_ogL+RGD7;#pR8W8OfV#>Cb#)jhywsjlP%n8vz0U@9Z5SxLPR|xpE;gmdJ)o|$ zL46<$6kgtE3+jV87`mSDfV$BJbyFCqo3jU%lcv0&K4gQsB@EPuvj=rsE}AOvXzC+2 zsN2IpVHvO(P)2I}M4gSt1D=9}pOb)OCD z{xDG3Ei$RiH_HR+K^xRVVW6;2C0kIR%tcdYctAa3gZfk$s7JF0m6M^L=>hd=8`NWA zpgxm5sL$r2sVWbs&)J|p9|r1)>_O$Esd*kyU$8-aF$~m~CK=RH52!EOpuQ3Y>Z_9s zs@4PQYc{AS!$9FAfoyx!alW0 z1$BW3)c0*rKL`Vb-E!H2`f)DJm-2x6i4E$fVW9qRl0juWpnhh9`gs_rrzaWI#U4<< zutEJY4AieC8Pu=`)H61yUx$JE%_M{JE-(Jp2K8(hsNYR8DDU#(?`=?j2m|%UNe1-_ zPxJlB2K8JRC~Q)lRG08-52)vDP=5&nh5fSGg34u>(Y$`@uQsT^g@MA>-E2YSv=rn8 z^$#1=Kf^#_7jd?rUdq9v`!w}$8`OV7K+%a3*@DWc`3|~m%Fr!Ph7ktJ%pO!un(~5* z*r4*lK;>r-DyK!Wv`14>8&oU|RD6;_b$UQ0Y)}PZphVW7jG|l&y~_h?iVbRN7^vc` zLFqX)pBGe#4Qg5#sM4%K8D+U>s@tQf={BetVW9A}Zk8^=n3W4C&m_;NutCiZ19f`V zp!A&llo!+)HmEbhKviZ9%9#7#YpTiyH7^X*{H#Ic_71tnV^a%kPz%FAEy^BLPR-{9 zwb%x=Bn;HjtU={w=)E3IEwe!_4+B;GvVy9yLDhzVs(V>M)!U#}gn?R_HK^PSz2DP( zt87pWVW3uL4=N`^zrq8m(FWBN1}gh)CAs-2FQ~I@P-lmMIwxyQ<<|SWpw6{Hofifw znKh`~hM6~cny=Xg)e;73ZPuW2Yd$ZibvCH=VW2i-4=Sfwf)~_A8&qo;s7+ae%FQLb z)zf^NZBT7tptejhsCRfkZM8vd3j>9drm~#m*@Mc-(7mAc*r4`?fx*(5bp61(UgSsFL6wb}a7F14S-%TD+2W(Im zhJnHX9a)0P&8EDd4%(p7VW2v*2bGgec|mpApt{3A;pn$4HIyrBARP+>b_=|H?JHI>`c#S3b{1~nL_siCYv<<@*3@-*MD4eC%BsKZ%<%B}gl zphj#^qhX-NvImt@@AHDP_YNCjdxz=p#7VWOTRhEY?;SS6_72nWjah=q&8EDd?7hQA z*xq3}Nis`Nx!IH#l)ZP@2-`bM=T>G5DyKEik9nHU-aBlB?H#6LEwcsn`drqhKH&j% zx!wD&22KA;eP;bs2R8B78lO9m^-eDtb?=YP^nq~9l_G`uq>g~3s z-Vvs$cV-VNr%9d{)Vpj@?+ycXW!9i_a|vEh@3BF>Hw@HO*@Mc-rXKOw)YUeqYr;Ui zFKbY_*_0R5wKk~thk?2-dr&#qlo!+oY*5#Sfx01UP`TNZ7t{xBP&bBwx+!Z=xedr) z_t@0UHmDDUfx0DYP`PQ!3+lr*s9VE8-IhJ5oO+)Zl)cN^2-{^%hb?F6(R2IZ;00yx zvNpnYS<`XPFC!>>m$ebL%bHG{&Jt8^hW?bt(C@Kr>fSJ$x-V-`xf!|_)crQ72f{!- zm_4YR4BZRrAsf^u!azNoHK^Q%884_$+Mpf@1NEtw71X0Ps85H1dMtZTxorK`zTq+S z&)A?I4+HhttU(!{&*g`M7t|9rs4s+p`eN3ga&rma^l0i!HmEO$f%;0;pmK8wUQl1P zL47R@)RWnR%E={oL4Dl@^;8(BZ%i_%Z+V)}-j8mC?MJ7p1}1fw@q)7VqZ?uS(dpKM zEJ5XFQ(jQ^esm*jKRR8&kR_ji&G#eQrhXh|Q$KlGLH*PQ^?zZYewH<;+$MS7 z^=RtnHmIk=K>Z?XP`NozFQ{MIpneqw>Y1!Twr z!ax;d4=Se}94~l42^&;l7^tGGL77u?`Q7IQRcwPQ2?I4PYf!ljGyn8xs?-K`S{SIZ ztU=}0`@Ep+o%?3k&V9OPYf^ik7t~B!Q?tS}RgpEQ+{V5aJR8A*L3J<9HHmC(*pccNYpcdJn7Keda zGRdG;dNj4v2DK~<)bf`VRJ9GNCJa>VB!gPz(Nvucsy+;HmK$>P%V=Ts?npVwKk}A zVW8G$4a(e@!^~4Z&jYH}2DK>+)aI-~={X%>wAKTv%?7n44Aj<12DQ!uYMTw}{4h}4 zCmGaw52zhBsP-^WJF^C5?#{)gyrArz`)1hAeY$`$%lTApHnqW{DSPL>8MbquZoHgS zP#ZmyxvOygV12yupf*Q3!jfH_4pJY()_Gs#e z4eDqZsADfHsN*)MOTs|CVv<2!>Cw~)8`Py?pe}n^LA}xj^{OyXubyO3@9}8rH8!Z% zhJkwB%L?lCHmJ+PKwUA(px*1z)EjJ2Zwv$Vrk54en{7~U2?O=kNd|S5M^kUJLA^Z; z6z*EicIuMTfb6*lz_d^OHp5Q+rc0eC71T8zP1&b@n_;JZ(}mKL3hI3xP*>T_cXe3v zT{Fp`JfHlU@3TQ&8wTqAlML$p9!*_mgZe-isOu*g)O8+EH`t&)7zXObNe1-+52%}L zP&bEx!Y$>KYUtN{K;2@4`fwPiTPGRR4IWUp*`PiW2I}@n2K7M?sE^v9J{AV*j!6b} zqX*QTHmJM8K;1pbpgf% z=w{gY=w|lkqvrFToYlHe+7^u%rGN`*epzNLdX4uYsGy9$Uxf%N19#HnqeKTz5zM1{b{oFu( z+ylzqxo?K;+&8n|xt|-Tdpw}*o%?3k&V4ico%^|gy4M5B-nnmv?c6uB-?^U~sQWyi z?4A2&*v@@3`k07pzNLdX4uYsGy9$Uxq*7n1IpgH zZ-(vMH?!ZlpBt!$JfQ5I`{vVO9zFY=`?-Poga?$pbKeZxxo>8_b3ZpwoE}pggAlnD)+nGi>L+nf=av^N+c_n|ajJe1EcM3D1Su)St7SCFJ%J(hKT& z8`NLIK)sMPsN6L5X^*DV)7^uHz4JtQHc|rZd2KCP{P%mZ;DmP6%=F!x@Y)~(S zf%5;-n5w54FOPZ^3eKK9EoVWPFNC{oOY`E@P zo-ZpbSRgVjQi|t#<(f<0Vr><&qC2YN2I(NOSn8oVQZuoHiU%XBvMqt`^QPvEM(QFBP6@Rrp-z^t zI?@gM@w)46Wfx2+vdTb*GATksgfn!<}|7lv$ zViZ^&DbFj!b+Q|Er{p<%8 zLX+S+KNz_=INKF0W!Ed_niG-hK~a4ZksClolr3E+3oni!$y5kWh1gUG zkUf1UxNf%wgUG6Gw?#e@Y(RGeXFAb%Hv^wM6R>A0mR)<8v_eUN;!~Q?^t^+=b;tS8F?X`ppp$#PoC6t8T z0-=VM00}L$P!oC)Q0bk3D1sme0xEdYK>-y>qzVEeSOGzbfPjdosEF8*zx?0KwVA!y z&FUd_f66ZRG2b^cZ{C}kot@n!y#PCSZ5Pj$xWNm^JH(dXB`&ib%cDht!E|%byJAJI zJl??+y(p;E=Aga$Dzz`@J(ooqgF%T&?XjDK-hUsaQ*Q@-Nd8I+6BxFV9Fb&InUUIE zZY~9V6!ft`@KdMY5fJ?J9}0dJbW|Ytxl`~Y2!8$#1y2Qi;qpH3q$n;s=-oG=ioP>$ zpLUe$p~X-j=Va!ztCs+f1m~Hcv#v<;N*Bov+N)pMI~{jQqUFNYJ*TU6(F!5?fucTkQ|_oyje&N(nrn~ zl0)>7MZ@mqV3R)b+rpeJ`p8>^WSc(nRv|e|A9*=kA>u#`pBOM$+h&6 zKNXS_^pOt<$#wOS4-3il^^uPV$qn_9KNFH0=_4N%lAGuw9}|+B=_7wGBq!@59~Y8a z=p&yHl2i1NPYTJc^pQ^q$!+wJzYvn!=_8*OlH2PepAnKf>LZ^Ok~`}oe<>t)(MLWf zBzMzCJ})Hq&_})?BxmR&e z3Ca8Pk@E}5`}L6v2+0TZkqZjRALt_&5|TgCN5(?(C;G^Rh2%r}$VG(YBl^fih2*39 z$i;-@&-IZbh2#_Z$WcP_DShNa!DcifyhWH^F zBbGGzAz4~1Y4Jm{j9AjmHm)R5KC6|L$Z!ovbrCVb;Xi3{gA9DmaOH6 zWPPz@f*+C%#FBOWkZdTHtnY_pqFAz_ACis4l8yY3Y%G>+;)i4tv1BtpB%6vQll_ov zCYEgBhh&miGQ|(cWU*u`KO~!rCENHR*+MMY&JW3!V#)S?NT!G-JNhA+Dwgc*hh!_U zWEVdqTZ<*T`61axEZM^k$+lw23_m2>i6wjaA()j2KP0<|B_H=gva48fgddXK#FC@@knAp&9OH*%53%Gp zKO}pKB`5eHnIV>(?@X>;fG{DvE)oY zB>RgcpYcO-fLL;lACd#bl5_o#93+-}&JW4KV#x)5NIoK#T zV#$yEkenfw{KOB*r^S+o{E(a}mOSEzWm&B6S{g7NDmi)~R$)#e+Km3quZSi8_Cs=+P%;GhA-P;E8Q_QH3bAC6ACfD@k|BObt`bX{{E%ENmbCaGxkfB$ z^Fwm2STf8H$#r5$`@fe&)k0o%y$;v=3ggWo>y@tzE+hI}1ga6TK|p?8Np2)0$A`Qj zAa7EV8w<&`g*k6llA8$03BsInl;oyDavfpLTa@HxLULUpd7F})BqY}p7JG-1oGc{Q z7n0vmlA8<34TQzstt7V)k{b$h-lHV96p|B#Iqy@FQ-tJ3!kqUj$*DqeVKjKxH|~RErsM0%A7k2$tgneDJ8j+ken(cpH`AP3(2j7D(x z(EXq!X9~%kgyf%;~D!FG_M>A-Ri?{Hv1OPe|@6Z0FyVM#g>)i=|XZJVa{eH`6(f}uaIn2l4l6X{ePU&CE57_WhHr>kX%Dac7EtsNggjG$1BOs4-zZM6NKd2O7c#jwI>S6b(G|H zgycy=Yx{g1@na!*vXIA$hj2*j<%5|12cW5t6$r$=8JB zXNBaRO7e9fd9JX|nM(4nLh?Kzxwn%1n~?mRu-JW-YnCQJ!}P(f7G z^NGAr6nGOAluc6nQRguLB8+#dC|{7#O%XSci$;7#(u z9QkAV^V1ypGp?Y=+y#}837o62>~MX5F$M+PLWNNPxR)$1Ly{~zZox-J-M;~xBFpQT zFEkFPbt9jYEsR3s&*kIb)iNq7pFq`VGxQUg^Q5P~Cy|BLcK~1CFVyNAVb89?jMGOHYl@xoSK>RO9oW8b1a#CjOb^tnpXDflP(QYgOnXSD{PpCgi^;IiB&g zBvDDe@s#9EXejbs*mwwxZ{g4P@aG5ka~1x$9q*c;A+GP1ujk0W!<{;mAC*F7JkC>* zI8PamvnZV>SVk3Pd66t%m*u~BFIwQSX&LaMKd4RfffxPhvT3}iCO1`U@^@^G3Gp>C z1>BjMEM{wB3Ut@R6r@oTQ?Oc1OfuBOtf-0g&eY@;t|m55O+vM566UT+KxdSPe2rNu z4Sv4K6yfzLQ+`4DX=fH?kMzbuI9g{fqTZiDbZ-gXDKAP7B+9KSkZ#gcu!5(Xv?c`M zAf}^);lSNd66G4|%AcjB2NBJLU>r|2U&q_Q6#o=+F>G`<1nDTqlL2SE(bBZ*vzzA*LAW zNETGoRGK={Xk;>#0W1jhL6N4ifCZvY04oPr2-<>*n_>ZjuVG821)YGYg4^Mcc<3}$ zQt>vX+YLt>wfbFd==3(2-$Um{Pk_PDX{t=^0z;>%iYvfGL#L^#r@ozF=q3Y6g-s^t z!A+&SZsnQ~grjV`4Ls5{5ilhPWXR;GI1{2=!RZa3yfqdkng zXkuk*=$4nJz|4ny^ZY$p9rplaY9werG5|4A-$WSokZ2Jbo%`)Es?ljm=2MnbPnqJa zw#Ur-1!fNLOswKi0;=Z;V~9=d36`lk4aA~cyt;#sjq5;}B!#h*osR9N1}E`Cd@9xB zQ>~~^)q}WdO=B$~yd}P>!ivj;Sa7 z%4BW#f!(fdyFRqtOfa|gcEumqlhq0R`IODnQ#zZ47Rl2rA1IsUx^mwC0pp%~MOGN# zc2A`6{y|?TK3d7MQdvVpO%gnUUQ}s*As{35> zTw=s9n0caUluDT1=6T|ewAAEk1LldQ(bTM}+1 zMAKN+nv92RniX-`dNYSmiwp9WFT-}C7b4}RG1fwQx{vf$@Ef= z>1BFc#TjY(7Zo9&%SfrPjcob@nJ$&SEdEE=OzRn$W(cXK0fw_6q89 z?9c`&HEN#%1yz{dVoy_7p2t_Isd~zVq>()H=?&ufG#S--#ZH=vrgiM>Te5_s>FVhf zWgV@ak{xrvUL)00rg$3N!ZrFvT3Z>*^y=XaEHhURCTwzEJzU>y+T`mPR(o0X{J=33 zb++2;X(VTjX|-2UPsvr;s^v^D%zB1y$*MJUXM2oewHJh}-4Owg%Lbvks3mG8JESnF zm=q1?3(_yrZ?YrcP{8?si=L^{>&QedNZe~r%H@P0vX)?K@0vqawMKGk=YWN zFx^5Q`&`es8OrM)xUllDzD6d+)sg!GE6F_#qnAFC86A@>0Ut9Yf{;DC#O?F7se@Cjh=00tM< zftN+2_AYn78Yn}*3adK-OTlYg{VE?YVVb^1`@lV}{*Y<(FuUHKFpLWdcTcG3VDi{C z=GwjvpT}NP-Ho{z&!aVHEc7^M9^@Mhz(r3y_M0NMDf_9XJnXTzUD+8(QWdG8)YudB1z-(P zYRmSDRhI6`y0cFva8ajRxhKCJ+yrRL`%`Lsp`&cX6&T!ompV&~IX zPBEp>PUSc~o?=}nAH8T1-@h=CNtV05+*~TZW_@Wc%jj_rn^*o^^J4nE2(2u**))?oV zHW#ARanB4%?qpdd!t`~H>2i+gTTdx5y%E5q^DOv(uX24vhiPv$j3 z%uR(0+cmCLyi?)Ab_`j&E0V)q75$)gRirlMD54c;oOc(|Y7XBiM-kmqjv~6J97S|b zIf~fzPC1I$bxk>nD5o5OL7js71r3xP!8yV21s{+dAyY!;g)Hz)L3+ZSmY{*$6okD8 z4%Z!ULEyZNx$c(K+2^{0TnpSndxcjRp6i4Jn0_EB0&SD>Nv}%z0}4nH0bQj60X?Mx zfd{36fqzH^f=WmQgMN_;1Y4y-!B0y#_)V#B$T+D8o%g`)6ewee=|?i}3F-**o}b9P zC-?wdD_jLEC^#FgDSielFk}H>*8mF%nFQAlzW@dc?ebO8{OG!SeiYOl3JA#p-*9#Y zWZjqVT_bf}KM>w;c8lG_hG3*URW$_3dZzapGt%BcHKplK+DF}_$6GwBzB-G}B)BZ} zUeQEyy`^h(cdtH~CEu>z9Jt#Ym}8c6%r=o3qU=ek#pKNpWpAyT63oEVCdwYKo)Xq! z6pa{V@1>rc>qMfomV&$&R8gFb=mnK?Ez2CH)mIBHsiJlFZ_)p(e~Z@LzeVfr-=cN* zZ_&E@w`hI+TePx&3y?~{MOAfJPiYj;HlV$yU#kbVo}}uk{Tkf$rE4P+7WQb~8@p1Z zgr%r}dQvp)iJ&(D)ev(YdIeS-6*b#QPZZD|nats&CkjYJk>|iLbG#=tCFy?QA&!EV%%{Bsv+h=B(Bi25LcMQl~fbrsxXNwsU*Zz z5ocTlJSU2)qVBi~IN@3i?57r2W%b6@%WPbg)g4!5Isc_`<-LpSndz6~QhBaNJpUdm zzG5&(Dy|sfe6JXs%X;Q$-%(mtkCpPeW2O8*iYEOEyo8&}BUv7bBi!y#l1im&$_kmqQz*SoEF_Wh?D2R}CCb;wDm+wIa>i z;aBDgNjrR(3&;E;7p|h)g{%BC7p|h)g{$ax;VQaaxQcEUuAZ{9o?(HkKn#|$0nye9SHIeGl zES>wfL|aYFfYxje9b+8M!SVk)G6{&#hYO=ZTYEo2%t{UAMUxlt3 z8;;E_p&e4~3iEWeH&CrKe+A!FW6QDWpr_@!a_zh7O}M8OCnZR*e^9z2{U!bF2{^Kk zP^zaIaO_>{Z9enPTX5%#y;}~KexAUDeScSPN!PUr-zZv7#p!v$X#=_{!#4OViw zR}X@<6$w@tt&5sllVFwphG}UVz=EXjVOrW2Fmm_#q$q&fxdS+0h;v#h!A&@K0Jn#~ zc4Qr^hrOJtjZF(_pr_MgH=ae7TJ?l`4=&_f;qD2P9)dt|Uh$dRv-$PZ9MzR|>S?d7 zUOI1Y(32~gD~`=R7Ru1NOXyY0@C_(up9vM28DY+VIW+twB*)x4$J{^1OxNPgkNBeA z)wxW2q+3!#%#^};@Sz3_3?EBfdjboy{jt@OeX zI=!&(Kk~x9`n<3&S2R^G?5EEQ`~6!lggZj4Y5VK;z5ch|_xgbE^#$MS2fo+eGle0( zH`3#Kqg=jsNz?<5cKe=Wg1tdxHa3^~9+|t0^9%-qIK#UqA`_Tle3FV^u!b6}Z@3-I zWwwz#cUlS6M~R*Yh1uOLl&Bh^-Vuiesf9e>}GQ7bF&vlyNxlV6CG}K;My&p=UgToAGS2vyhm_?5;v1TQDs!J$u z84T4O)iX+gwWlSu4})!IvRYKy_tGdyz{GJT+wKQ-+~=^;ar2m<2!2m z?}QCL6z!9okGn#H13APzk2d(<(BRLxA|gZ7;PX8V?i&#^JQ49YS7yeXp7Hv59R?b% zF(Wj24K$p~>^4Qj;}8+vXJ$!6Ec8UgBCUv6tjj>7xH7v9G@a=(UesZr(fSNDn#=4q z8E6z3$oq&bG0;mM11-@q&{B_q<~sXS$?oY>(>)$Ejw`d>MWMb{S3^zVZ0ByMSDA)ducM)|v@X)Urp@9qQ*EfJ`WkBLKW?aL|3yPh zfriS0hMEcu<$T$mdl25>X{e1_4fVRGp^896k!Qfpdm?I+$H|`LY)2bPuq5#mmx@?; zi6GSs&JH9MS>6bAK5OR5@oDAqhIuoTE7fjigKDN$%g^&@&E)SWc;A}sT$O$jZ1@yP zmS&)#($i>x#J+>?yvVt;J?m`zG9Fx5FfXDoC%PBX;2N3EmqLhrr8zX7)Ryt!bqph1 zuhs}Ca1BLB9T1v!KGwNX^|pCS>=yGo@Mkyt*&7S5*(e3C*)YGCV?MZBUX~rQ9um&X zF@Nmb4Cyj}y%Bq-#C!yHS!Rd4?oO#Ry}dD=bi0i1T7WO9e&JdFl zbT@la9?LPqo-9Sf+MC*o!NkSQIYHHP+#IL%fMkz$U!k6KSE3o+Z|WvGE3rinG07gy z|=>sHmUYfK6T%(n!1%B^#Rq?tp#@dKs9w6LF$iGQ@5p?mU;7h zqMEv$z?g?rQ>W2Kih1oLs;S!xN^(>+bqCsg@!FrOrtT<6eL^*LCqYS0siy8Mu=i=z z)aioMXH`>o5tQT{o0>YWXGzKv@LiR;K!{xM;R$+*Zi4Raq8?&*L4S5BmYI!+URmQ> zC_Llra=oLIy{EkzM3Z=R(tM6fSXwoqiEQUu!zIq`89dkG`32$PIsX72l(D^L*4`)j z%oo-6uCg1QLGTd`!R(OB)SL;f2j|R})E=B85C5AJnR;hvJ=#z1#9XG=`yvWKH}>nt>HtX$DuZ zmC3+{ZQcxW%)exZ{J>IwrPNRyN~yo&P=*?Y!xYq?oVL${^U&mf;yg@pJGOh0zr`4_ zx5k>N`35-WxBp8H*q+VeO{c`lRfi>wj&y>FaF5oOb+!}-TVa$xM1UUD~Fs18DM{99!WTH3S5(?siR6Gb5 zWW)>MLT=-5KHk?}MZFgA3>#)VY`HEu3~pdiBg+B4M4@D*2kjeZWi z;}>FHdhax$2rfbkZNf#ELKnqFJtlt3UVxGAr}4xDc_W*9`O)4_^GO3?{rYKa2{xaj zHZF#X(c)TgF{ZeYI8tciep>q^oQ)QRqo|+_M=^rYINDQxr;CO8xLALB$)@RI#c^>e zZpXzL@e;U%LOicPTw~8O+tmHF9NpQOm&7G$De~cxOesoXcu$k-rF+gQ77&y|;~5aX z6iw7UlkKVd0ztXt0r+&N(57c<@i*w4gCPZ8vqr9Dy|Z*^3p+fp4)10In7n)wPIm7<)Ay@cQqt0cq2XGkrH8<& zOdw+GE!_mC_G#(%5FkZZIzpHcHatS%x+@L;B-4ND?EEK__g_43SaYunOypjJ zvkIaBR*53)%sxN+WO@Z6?wIJSbs{WXoEdp4Fo^NB$yyyZ44sy4U;|G#KUw3s7xsBe zD3!);Au`@6su7N+*uzwV#aR#Ebz*PH2*02zpUeR)-GZ2!ko4+L(Q!LpAf?9G%|5#V zDK(y|WV?{bT3Zjvgx|+JS-G1>u>Zl`aN#H`SM%_1Y|7GYo-Ezw$a(l}Zdg4uGQ zD-u`-n5MbkHCqmb-X#-a)YrC8Xq3wpLcNuvWjK05>%!`*97|ss!OK>%tuGM3o$(Cg@ z1sk4F2A84JuaUS6GyN)y%Q~lD&IKb#R}Pn>=|yRh)*aFp5=iRi~n7VZi5- zCLGPw-SRUv+az1gqtf!T{!z=%(#%EK^0VxETYgq9Egw!=emeYlinaYSxwL!)88YqB z#A#qWqpe#FSEFt5G_J<9MRi=AZ42+TW46{p3K`+3`b4U3nPrdSrf73C-t0npO>Z`H zG$z3&uA7@}*SL0tZZ5y4%G2Fdu7PXND$m9>m@3!AHN{n)tE&Hj^#^CwSAbHhoFU&7Ffw9bAVhuE2E|#k#mI+s1G?=d&;r zj$X32Q}3R+!Q~~5&Gshfo{NznCHoIHv!!36wW12$bCkzpSGhg594Y*k*?coC3gJ)e@? ztrcI@@&Ucn-by_s1axt*X*Yp?J92YRc-JoRZ ztJa%v1IE@3aYL^!(sYR!woE{}%{Y-s*9bTAreh7TLUT2WDmKQAsbUUp%qTX&P1sfz z`|Jv>`8nsaE9@F?qHtcw=i|#gK)pHG#|Y61dk^)L5Dg`r_HBxr(pql8O_^FY!_B;Q zqt-~mNi^LyoW!I{#>t*^Fg^78{7S72tL!UB(aPLgqB(9(^WK4*GkLebEfg&wn3}HC z-FvLm>^LaX>|{rr?1lL1;GksoK|Ry z+tRY^!)=+ew8QNbW!dk0J-1qGs_VQ>m4?%(`T?BAsJF-M#kO0m(V@C7n>yeQRPh7c zfl=&;JE|#a?Y+*H%fVjsH??|@d9XMP>p$*M zvan~AbgmYk;O4n+YR%6;i7YIi@{Xw4awT$W8o<-;U4UqlJ)9ewR2pfs#@mQ!Bc1cw zt_ox_mmlnHo@#(K?Pli+xg`^p!A+SkI{+)ej2?bjF3?|$1%f?HFn}x3MVd%<{klYd znOwgv(_eHA-L*O}ObeC;CtJ)`FVI5x5G!wpgLe}d&D{d#fmh+fv3w_H!JCd87 zdt8pQZn8~l-sp_ibev9o_dA@<_-+^6MeMuVwC;1kXll7YT9$izBHCu3tg|2AW`9gQ zCAUzp%|1*$C9_Z+4!L(xPYJWnYS1f+Y`0x&)O6aeEAC2dcLjH4Y}XBU6WeaP);crv z&o!L=b9daGs{V+(Gpaps52vbUu|Uv2@6eiQJImY?_oV7qaZg4)17~>D-{Lxn9U2oY z_U>ai+L6of4jpAC&ZL=N!KtF!FFf_yK8XhjeAqY>$o?g*a!DfQ|znm4A2De z&L-%K`%=~4a9>8XAMWQdl5?d_&;&cRE}orD&>#1w>VM$=jQRjPz@z?_o%>kQPL11` zd>ib{WwHxxFc1%&P2b160MC4S@?MRxn-dqHK~eK(gI(^ivn z++{8{-=#N0+(Q(p)MXX%_cZz#GN@Z^KH~2wA7(IRs)!%Vt>oc8;s+Ha=jRYno^c#3 z?pZLn>>Z@D<$B)ztggY_cOz^#`owqZyW9YrAKunzV;l33<~)sFUkt%RNC;XZ@DL^h zhvK2)5Im$cPB_<-hT&mUF+U#0C_aiG^(xYwAH$E)bOrHaOuEPMW%jH1EE;;}Sc z3?9p*8;8ev)3LTatmV8;i;c(QsbU#Co>82DCnzi?Xyn5hn{!N@!47NPsdkoQBA!Ug zQ4UXJ$}tH~@|5E(dz8HpUpw`$laM!?(Ca6-{Ng#2)LYK!_LK2sT9PJVm1< z;pjzGSz43`i{Re#;c&D8jh)V!g|nzZD&Q=} zAXD*FuhY@qXd0eI(^bOLm~>CzC%oy{rZ{4+u3jU^y{R+z>3BL-t%9dBs!!r4y{a_# zr|?rWT{Zj^lWqo{;Z4Wp{#ov!@icy#D%QYHGm10uOsAr!cNK)jXSze`sFcrWlGWv9})2-Tz;}FHpr6_ytDsMf{?gqL%+> z%PqkQR){QXE7r;gZ$e+@>a^|)poYdN%Lbj8U zOxw6K)!gCvh3-sGX|=dJ({}DmwO*iwPV3I}3q6^(cV%jI?Tz*&+dvl^eJvv%cE z#;8XCdB3&t!v#=^uBr^aLqDtaX&ugo&*(97cedPL+ViNE!t@cJQsdJyhiJq3R`N@Y zRd&9TUus>+bCo=6SKoANf^7z^y|=XZeKhAahFrQjlj*(X(pF96YmK|aRHUz0B#pE? zzvdFbuDQsrO&Zqz#!H!K zdKtg$i6-Y@E0}zLuk~1>bF%5c4yrx`I~es>@GIh>@q5in6&n4^@G`163@>98m*eGX ziW(cqV32j)6nUBT=I^zfh`ZkYUh8{2aB0=Sd1Dh6q*ve-wAhc~6-=>L;*}mV`&@Qk z(O7_`otD!@#J&+kjkOA|qPY&otC(C@E^k4vlTCTxs zXnrH{8YaKBcrBBkox7=eMdM}|WartRlr49HsPozW_Cqe)gREmo#5uRWr8{Sr>+m{S z#_@O^Q^r^Et6m49b$JcHM$=8iuQBP?P0>0dHq&y#w!HYwdN%zqB@J9L$#cgR3VB zI`^9z@3N+z;M$tZ+>X0x4+Y-eh0(0 zTjz~u6TEQ5r&Ph*t-~CuvR&uQCbF7=* zwq`qDJp*?!-+}0~IzQ;V41VtS{(KpJe&GFi3Vwd#{do(19`XLX2|vjWF_+YF_<4%{ z?6k>xj!Xq;4+-XZ7r#p@bpgN2RB8|2!}=mbpzok6Ro9wrIv?EfI58wv|6ZWAD|qll zrt5&z>YrSLjnSUc@5Os*=`Z2EOzHRGeTvfi_8*YNQ1xz@l%7VFcijdEI-d)KlxgbH zWaWX3PGI}@@O!lMm+^Z{>G$LPeCaK|J4mD&>bo6s=yQ$`N9$ON*3jCi#Ev>r^>Fm0 zuHwIs->1d@4!_S7{{TL~7vFltr}Kv5YrI{@X?)m~#~8ncUE`CoT=8pYO@SEWAH)Y~ z>3_rrnbLoNKTwq3_nx#=Q-|??V@qFCxAAM(wZ3rcb)66KhqUxp@rO+5Kf)g=O7DBw z38mM10fy7@r}3rNSQOxFUsLP3FUIyCHol=GNnI+5Amh5t;0iqiW& zg8-$^-S7YAORv}OYw7g+WB3>;y$#`GOzA(zpDRl5`-rDhJ9pdL0@%{m)@}P*ntq=x z52o`K?|yg~7}-5>QXQ>Z3hXvpNU7fKlNqTEzG*^U`Qn|C0)?NEl5z7mK2FVO!p9ji zp1>#EW`rr7x45iP8s@UXX!F@bCN<=?zd2`uC-F&IfG~WLDZnXwiWb20IayoyCQ~P{ z)-=VA5UGjA%$zLU3U6xyOXDG>ujnHH)odg-H?#1G#J!Nmk zSd#x$$C9kwv5>x@nzCMQv)>@>d-5n$2iL_*`>#eWE-AA(S z?t^A1&CO*QSl`wJ`Se>ETie_bzn$W^#E5)TOHPRkj|3 zrqX-C?65)JtFK(YYR%0xNS7sguYMcOkw_|dk&2I2TW;Nnqyl?ED#c6SJSA+$*13K@ z4nJFYf6juR?Yuwdz|W4}pX1oqjx$f`Eaqu6&mZs)G|zGP2PV%S@sDht6Wt~J3I9Y> zPsTqnsjuRziqu>QpVR7~ydU*=PWc%Q^K@FmpYhK$&n)~iljk*jjm`53cL{&NztGfA z;$N85*YR~lYOaLyHE)7>LSw#93F(Ju5`M+M(mZG2Uzt3A!@se4&T^OVcliPIDCiP8x zQ<0i0;q&_Z@OiF#f$qq>0{%Gd_&@wVn(y=Ye@woA(b5+t+_ONcEySQyH_Jjb>|S@z+o zB~q4MWARy0c8%MM+(dezMt^7WevfjYvgPFAP)7;sDAC%lB7fuR9{@-ITYqOi;(DXl z<7_XsNb6OdNb4~p@0@*+;-N3-y}=fNP+u5WVPR(t z`5R}8AV7i?wg6vPmt%Vk9(L>I>0=hNA1-jtM?pCl*uhkJ1Nj@L90Ev)Q27nlKo8H9 zc=TW325x%Y3i>i=$W(t5`5UKi0>mWL&xUqUzPeL-QDeIzGYfuESWh$LWv1nLoBWL{ zhXoLeupHY2^?XUFZv_o2)!#w>#_8Jtu?h8efqsPTUFRaS$C69fZ&P?$Dil;gX%2hI z-?$vY00|T3u%Gw+a zbEBSHKO8i|ss6|0Z=8N!K=KOp4^c}VQC>uPZ0Zo&Gy?P@XkJIj-?+T;0g_Lc*Kt8z zUJ=$MKWOBq`X|ZXIQ;^E6cFm4ruzKt>1CYPk;yjc7Yc$#L8^b2{EgEu1V|xP-#L>J z^^wc9Uis?nBf0NnF4wx);`+$tTK5gOK61I%t9HD7UTkgs+b##PBhCtq zTL&_E!3UpM<3-B!-nB}FMyM8!Rw%;a`@UPK(nM93yGrn46{t}jzDDq#FIA#%m9&;} zxfhi|fi%A7KyTy-Yrj(K@gvn0@s%169l2{COl!}*a#31oZ>+!an(xr!Zo#bxM{8Ae zOY-*Vxs&M-BU~%iX?$ zUUi)=`(6e6Dn4|-PIrCQYCRv}?dMht`?+G!mldP^+%@tyuAhqpBvRPV{R%M_q}X`* z8mI4`vqphN6xIKo{EgF(1|*u*cdlT%=B(V#kM){!R@MpEYitZOd1saDg;(Cip+v=L zY5ydD<4RiskP?d0!mRSI9NSIq%6kJhcXwaslmvE3s{A+k8>d_fkWxZrxOqXB*x4)Z zjlwJM7|@6z`k`bcC5F>44M=H`erSMbsCr%BmG|qydX|B_%FuFpv$LW^` zq`XkyD!T68q&eh!<{z7c*WDE$uL`srWF?>iSB{E+R1}sYOi<6axVUutV^^b*Tm#)u=wX z%C5%gR|llJP`@D6=dZhSIG=O(VKqRb2Gu85oi#Z9nt;?~^eD&yf@Q15WXCz57GCRa%l%qcFrVI*+qJH1(WwqJ zTpik|WEiQ#HELZz>gsCLZ5r!G&PIiWM6$j@8BZf zYG_%_M%|{h+n;OHZ5pEhztpic96h7%De9N|+jDP!!Nh;N&i1bdZCsDGKN()@aqV9p zkovmXe|xU&4--L8`?K@8?HbL`empH)+OE6#x98scF@gyb#V`$=BuIWrr!!RLN8o&Z(vzrc5}0WIAIyCp*l|&0WmhWrxLVDQqbQ=YE#a zmT_=?+j7A2q3p0eVx4H6B0FqFY~^j0;QWYfqK*7MXgg&)BRfJ{hh~KKmK|Z0!WxD( zmK_B*7Ti^E51c&`$!#Tf!ufE?3njrWrAn5n zUMe2Wub0|gYOm~w35|)2DGukJF^|R!mmQ_0(s@hgmmOtymi?scVcAjc&sa-rsO*Ss z9h(sg^^DyR`%dh;vLh}wu1;KiI8TV19S3%gI}-O*+$GsjzH<4*@=f5pvHY&`P_GK8 zLU@IIvZL~}$_pwlg7dM;mnwe?=l@j+s}e3ds&uXLNR?r-qiR^ysH!F4yua%4s;A)m zW7S*L0%S+EhSl0uYY*oG)lODBEjy~WtKPeMKiN^Eca0G>M#FhljU_c4a6Vt-T8&?2 zM@@6h!Zo2CYj&;qNKMd<9~?g+elna7#-ECZ_NrB+R{2`cjBWYsNoTPbh{vzp0(oeD@c}eny{XqGdsj^FFR5@ruI)ABs*H=YZcR~ESx`Ub+OeqvZM99*2`P3 zk{xYYx5;P&?bbH5ZDd<$=e8fVJ>B+8+0pLlb}zPDDm&7W(mJPszqAi-U!Xmf9UW3S zbnlP>=Z`y_?QmXpbn4q_RHw0UKHceuPFH0|=N~)YN{4nye=>bh`iruoOX)80T@qwR z*OgtfyKa#k-3oLo(+$eq^T(dIG9X<>(ToZimEk-$V_C*ZIKPweX~q%Rky$mfab`0( zXJkH>IRef{Gr!KfEIWDy^or*anv89 zLr2?X$LP+Zhm3v{&gVw|IvVmH({N0OF`ePOe$2aL_Q{U1wz0*>mV)ymW3$FS0q6Z= zzZiQ~c8psvZrwO2&xC;!CQSf8oiuRLq)Bj|GGNNYDNz2bhFKl5KqqTV*6ggga6XWA zCJX8_6-~`QwGf=!Ozk^$0Gww`T{`s@IG>pM!_=#?W14+h%rq$Hw2WyZr;UO0;%OVE zy&*eLVAx6|jelBde=B%C3XtJ{c^Q&q*>MX6dwx6db+^ze6y!?cO(t_#ApQ62vJ9z{ zQ3#WoWhil$m4ZwP7Rwa1zMZ7N9e-si?3@T4{m_AH~V?Uos3s#1vIg zn1&{+n_D_x?b67ei#DsTC#}bzqflNQ(yYAR^iDql<2daz0_D@0kvp>_YS*_>fq%er zb8jK}AM-pmX^#s1!z|fs{|%RQ&SX^hU*yh~;NSAuQmjWs|79uIlK7*+mS#1I^rJLv ziTu@3cy%(0_OoDY;ckbPqJZsDiQ7;>wve|=k9KpUbMSN#6H%$#R93dUw^P%%%*Rpb z+gN6{+_zhoi6`?YRQ5KPnl1T%q3!9MqfqRBP;|EV|CK(|0H`@C{~y(Yt;v6x2U8nq zG^+R?)`qRof1MBGW|jZrDzVkN6L~QW2JTn&4%CjV;hoBlsiiaxRlh^EWNUgS^K{mB z5uGT1hicxz+Ojpi)A=&BmNHSTJ6vnF=654+ri)6r3sq;Uf4A~yf*iWCx_8M6tR?Pd z8CYw)hw9%&Yp@o%+vQ+8iQcH;UA78qnR}ruOefOl9xx4So_nP{Y*;l%P3{pZv6i}* z%EVgh3~F`{S&OyUy;d$J43qCMld)#I7t6*v+;G(59<&~7!F#oQtQF6olzY^QtR?T| zGBV-Z>K-;FYtDPUoUDU3M{VwLtFo58AIi#FcN%JUA6S>Q@cmL=Pq)wkwZBhH%$oUr zDl_ZQ%~8ku$l9#M@3(TZR(}C?zR#@ATK;}4dl0d=r7+!#vhG&ETd2!@*Z^!J+^^+l z!a%Bqy4|O(z_!HwTnDB#P%7$iAGZeEBKLben7L5KecmK&vpfiOVFP9a>h%D$4%ctFF10Jv@W1HzeZhF!cKL+rwIFf$C7;arAw8^bod+bBDn=4htjJwD#UdXQlsUk_4vktCyM3@;LT z5$j2Yjl`ZrY~&fvI-og*E2+8?>rRH9)ZIzZLBSS{xrSG1dKK$ghOM+c%h$V}GrTKT z?_xd7uvhLLru4GeXo2Bny1b0_G{a{4JdN0l-yOHj@XV}eQ%ClG`#O0dmrn8hW-Az2P(WUupe4tc;UbDLe>)v8~!^_ zBsO%;1L4Km^xfKlP0`DSL;h=rWS!EmDA}a8-`c^ zr(Vr^wqfi4*0YJN-O~>#32ic5`#*PW*1ZjT|M%|g?ZBO%W$u7B8(w}#yqxuP!{&F! z(}~Uby%J~($}t@NjyXK*^oHHe3T-i5{*Jml>-L82@2uMs+w%)A+YIl& zXrJScZ_JbYti72h$On{A`$I2 z+TyOZ1>YVYp*==>-1YWwx5+Ie8|?uzdSZ{e{fDk3S$a74ie;mHMws07CULt2w26a+ ziqR%_KTOBwTE%V?{6JG#UXcEpIVdp^S0C^OpY-j6bIq#ZRP?Y@XKHrk9fyFa2$ z9C4o;5qIB292<2;yWKxgCx|?$K00AU-hCB$?&x!F=^KMi8SQu9wIA1p(zEEa(T4YB z8*=T4hN81ZJKneL$hD_hUsy8{hvStJcQsh3{bW zqY=#yY%~)Oa7VP!&JS)xlXhkX=&MFZKhPn~hPBbw4|Z6Sw&n-yYesZG@X^gixY6DZ zeuOIq@asm1KNKO(hPlz^4@a2$4(Pub(f-gxI~(yvyFWY;uNdI}Fhc&J3VAl{jkbTd z!rphlzhOlGLl^yQ4`8(a!`A~SCIB~$F5sc;0@!ZA@PUW38&FONhM~WW-r%9_4cH#R z@Pmi9M^N?(uS>9-5^m~0+!lG*>+jsXV>Nuihy(Zku=F>_=w>n0w3Z1q=OV@_=({sDt^NI%6Q3c_=@2x8ot8&OPZ9|@E5~h za`6}5XL?Hc44*N4Mu*Svev>8@F#N{w8=Zcm^qoS6?-;)G4}6FBpIcI4!+#9_`G@|) z`A|@rRMhYx!-xKb5AlBVg%oM{k>N-G(vLV_LTOU8;Y)@u`Qb~vKP{6=82)7Vlb`;? z`&6n_%J3<}r*4B!@qU#il{Wm!@T=S8SG;eHm&zKxW%$-@^ex`M(xh0!zYPDn&HknI zvGRtG89w$O_!#eJRm0y5fBTR9jrX}U zsk-5FhR@vrpHuo>O~daDzq>@A3Zku9RT-pW%OZ*#F!&HW@x>^h$Tj2jO*5&fXA?&>{XJ z)i*jsqeHxl(*$>?X!xPgDc-G4k>soB7_UhUjgHai81G`oNSxB$IU2rbbdGnkbM);X z(T7qaqk}X$$h+J@x;shd3oYZMCWcQMK6wxLq}wma!nfg*#^8Oo2XA-&@aX`$@Pisl z&5W+n=qm4#uF~CIx`**(!#54zyoY?#?Vn^gH+<6=&fUZ5y>e!T^%h3gX>^_USl8+9 zKHbB6is7S%kKThm>h@DI%o{#x4DGCgwjxBl!cn0*HBaRC2A%WLP=6v zlq^j~&7}>fg>(tE49JI40#Z?Gz#~5a2&M>3`T7OtD$y*nJ6vrS=2soC+ZOR zJ?a>QQKz6J)H!G*N)K9rx&$3YU4zZ2TW}-PJ$O9o8S(+jkPo3ulNI$cHAB74jZq&< z0P1VaMEz|2Q2)?RQNOTKXkge4G$>CcG&oNkIM+u*^9)DB@=S*FRP=bBx6$xCd*Qqv zjmq;E8V%op8)dhkarOadynO_mN27`1v1m$o9GV<{4Nb{=8BLA|M_KSKrz!cWqiOjD zpy~Mrq9^kIjHVZO1wB#VLo~hM8uV154QK`)jGo5J(9FVX(5xbT(KAI}LbHq9Ky!*_ zqS-~~pt(hVK=X=qKy!;tMe~boMhlAVhVx#usMxP)aioM6MFydlqAX}hR712h%7I>v zu8NjM_e7591?ZLNSKzz_tte3ltt`15ttzz^t&Z7<*2G*yYh$j$`4_aIbSm0dIvvj4 z(3@qdp-pAd(B?8vqwF%z!+9~4oQq7{9en20VVroy=m`Zn<} z`o3`ubfrla`k~2N=*K3z;JgR@+^jUZmQ)>GPa1@NO>U2VOMVjlp8On~pGP;E|B3!; z(G}fnF&F)>#S%DgmQaf?C8?z(1+*+91-49;f?5ujf?MvCLQ-T&PKlIEDHWv9l)6&h zl$KJllrB<&nH>BF_=Sa2MZ8HExPZPQo4UHrS=GwTKA|Xwds*Awe2xkYS-g+ zDXqsbseO+tQimRYN!@!|q~1NTG_Yq`X-Lm_>9L;8q>(*4NMn2UktX*XE=}(_O={os zDQR}k-O`+%AHn&eG%w>x>AB3Z()`R1qy@bmk)H1zCN1o9Kw8xIsI<6WMd^ipbEFsh z7m!}+KT2BC|Ae%3K!)`4fZdW~V0r14fzL?G240bt5Bft|F}S9*Vo0#Ga>#LM#n1q0 z<*cPHTgU$_ZJW4X+B)%PY5U~&q-~S0N;{_fDs9h-mbPZql6Gb7mUd4~mfoFuNZK>4 zv$S{GIaE4qHA127r4GUKQAlWG`8Wy)o`Q;oHbK>q6w&~hLYo2>6jB~VhBgB%Fk~)Z zNq~ifj6=mklK~43`5Lh1fSE!*1*`>Nvb+$mmVjC0DS)K_W|n^kEEO=Dd>*h?fLTpd z0c#Cdn5igWZ2${3Wdha~FuSQGVC?|QV>$;|8en-%9{|=KuyAvCz&Zey&zuBUN5CR1 z#Q^IBSONH&a`Dj4faSN011ue|LY6*&bpfoP3%^0oD_+NE-$$1F&K?k}ealXd6k_3$Q5L8Nhl2R>Jl^V0{299@-nQzJQeqO@&|m z04o{R7_k0;l@6-_*Z{y{3hn`HAYf$+t_N%oU}Xw^2iRc1VhbJx>=D4q6{-u^5Wvb8 zDh=3Bz~b-@z=i=<5w8a9QNSwTi-0`_SY`YPV2=YP1MtqX4T`G#jwdfYmJO0Bj6kH6o(`8w*&iNHbvL0E>@I0&F~B36WI*n*dnt$QJ;c z2w2_7X@E@vtWH#az$OD$KdLQYQvjk01NJ0fjZ0Jm>?y#Sl_&<-48WR}=nB}=fF+k`3fN4*l1hL#hRy=4MTvI- zdj_!PC3gZg8?cm;YXF-ASj&>ccb^5URY~Hza{)^&6%W`vz}l254%l;mwJx<6u=#+s zE42Zz1%S1UDGu24fVGdY0=5vaw3y+5Eds1#On1N*1JsIb}z+MKdYb^002Vgy7i4VO3Sohd>0b2%GM(k^VEeEV; zTz$Y+0M;w6EMO}E%Zwwjx(cv9aU@n(1J*n45@2fp>lb$ju(g2oE#CyNb$|^hUlFiZ z0qb9$_{M914JuE3V?AI4E93)g17MGo{~NH4fDNv^2(Z@y8(KLFur~l3Qu$lJ-URH? z%AWzY39w;R!U1~=u*WO^1=wc59;-48ux!9aR7nRc2e9E)O91vZV56$q0NVoC$f~CR z+X~p2s`~)j2H5Co0f21>Y+ThVfb9TmY_;})?F4K>wfca)1K9X#rvcjq*raOv0ox7O z#OnP3dl#@N)!P8J2e8RCMgz7Nu&FgN0ow;yRt*PW?*aBiji&+I57@LCzXJ9?U{BWg z60ie+O|MD%oP&VPfVW{45B&hJr)rYAeF)ghnxt+Y0rqtKWWYWK?3wt1fPDhkta#FI zd>OZA8?^xJJYcUhBE8%Nz#NU10QMDN%Nsoj*hRpWHBJNUYrs}E zt_Rp9z*aOq2-r7(t#14lV3z?~)uc9H-vYL_NlC!I18hwbQs(agd$kEE^A*6>H4O&r z2f)@h`3bNe0eh`!C%}FJY-7_zz^($ep;;neKLhqgvpB%60rq;*JivYdY*W&Bz^()K zX3|f9{R-ITq?3UC2H0E4Zvgf?U^&Sz0`>=B*~upW`xCG&$$J310odEkV*&dMux-ur z0d^Cxtu3Mf`yXICT37)48?fyyX9IQ%uy~%87BCxN2irhj5*7;BM{S@l2@3=4!?w_eh2;V4leW-@h1mi7xb2sKg#&h| z?Lolu0`_UUrGP~McBI`?faL@1a2oWxVfg_&ng;!DSOLI3YmWgd2-xTC0|6@p*s%^7 zfMLK+bZ7xsVZe@eI1gA6z)p4e5U`?vo$NFguwsCn?$jHwNWi}6bQQ2Dz|M9$1z0p- zXVM||!-@lTt~11bSP8(sOn(uul7L-Ee*&;lfSvD>09XuQ7rT@KtTbR>b=?A38Ne=e zT@F}Tz`pJVZ5dV$u*=<`EyH2~`zC|r5eL|JJxLzr0sA(iGGG+|yOL2Du!?|vpRp3K zN`U>CF$b{9fc=nh1h6WAUCr15SXID&%4`N$HNdWARtBs(U_WP$0IUXJ*E4$nRuiya zGA{!b57=*+M*yn@*sr|`09G5YKYAg+5&-+X*G$0b0CuC-2*BzB_Gd3rw|aox>_zHU zAF#iAlQK5|?C;*B%nbqiU+>Gv7M3U2CV7K}ZtTA8#eLg~QVNFnVN$PtY zu%>_o^*sStGr$7-wE`>&u#kRL0ZRrfxZg&=ngeF)_Z(m?0F(RI1gs@smi~nSO99N> ze<5J0fZ6(w1FRKb*8YD0)*7&|{-*(J16b&QDS)*F%s!wGVC?|QGvFLxX@KP&un(~I zfQ1iC0jvXH`36=7tRr9%1J?uA39teK=K|Ilu>6B;fTaUgXy8@Ax&T&ia3R3D0#+XFTfu(;90e!~E(IGWh+QNSvU=?vIofK?t-7qG_x zt2AaGV8a2cI%X|kBLJ&1wiI9^0joaN1lTCRs*QaDu+f0k96JE8F@V(=dls;Q3kj*d)N}Od{B1!0JyT*c8C(O(E@@1z5u= zq*oI>HxrI0oGz_3SiFw)_m$KfXxOhW$F`v%>k_C)T@9!3s|eEM**7)Sn4#= zM)Lq`GmW&-bAYv;HU_ZyfVG>}4X_1(wVn0`V9x{Ae%b=S76O)r(6sYG5kdJ7vRlFy zg)K%>*a}!Dia-+lhmah*Eo>P=Z@^y>3JF^a$1ccBQqq+4+~0WR0z%(&PCu{ z6wZ-wj)HS>IG2EPDasFr<)^Z+_=Hg$3PI%|gNksj1m`Mnt_oSNgLDDN9QG=lt$5Exq0cI6od8~G~4d8FoF4hb|x;_GIi~yS>z?Ah3vF2E}!k=x__SF&j z{hs3YLB;Qn6~7NFejii(KFR!swzdWPmdmEJ2b9BBkKvKuiHhG%6~CJ+ey1vaw^jV^ zp!l7x_}yLcJCpfM>d}|2N7&1#40v%pOQNNzrMcyK%M#1$mQO9mEMHn>tJRvvnqlo@ z9b=tiT>|!g&w9}MvGuU^nDwMB*k-lWvnAS^+M3%^ZEbBGZ0WY{woF^!u=TJyGD$)K zn@mIGiIQmmIXp@ZW62?m94e4QRdNW1gE_+VjASlK4kgKU$YCovY$J#5+)APAx$wy2n+V~rh{ zqoZRhirw8E`@8>q^IK=1yWcu@ow?`CJiLNe@fu#o8+a3M;ce`~J9rnn@gCmC2lx;l z;d6Y9Pw*)|!x#7xU*T(fgKzO2zQ+&v5kKK){DNQc8-B+h_!EEOZ~TLQ@n0qeL=;d& z!X79?1ysbI*b94OAMA@t*bn=oG7dl$R7EvZ$ALHqHE=KvK~2;`ZPdY`sEc~2kHgRa z4RJUcp)rm?6Ew}FUU{JLNM$oLM+>w>E3`%%w8c?qhxX`zj+w9(E6Z*TVFivU%a7!~ zSgu?oEynp+f~B|s%djw?m%65IA=OLuLu#H{gft=z2x(Lr9a4X3XeO+--x{pSA4@CK zsu0#IH{e2Bt;ITQbZiJNQl5z8%f1R>n6$}4TeMTolFmUV%yevybTRrUkH&eJhaMJs zN^@~8dZSk+wND*FSddRi)l&5kT9@UwhSn9zLwY;y3hCXnJCs$%0jPqisD|n|5C@?K z4#pvSt$60Oi0ZO|4+p&i61$FLL6;(5G?mossl^cvp8 zF6_n!_!ytzOMHXxGjXHz6Mn@X_#6LaiUsU}ir5>KGI6t11=UdlHBkroe{Z4K0FBTD z%`$O|)Dmsb4js`2-O&?$&>w>`aho&*!!Z(LFcuRq2~#m0Gcxf?X%^;S9u{CRmSQ

K8rDtP$CA}Kc8`5QITSz<7O(AXeYOo#Sojh5ZCoM{gLn==*Lb^TW$MHS+4JGYN zPsa3&4IcC|ajb`RKlDd8&+~!O01UzjUKfT-o2_<0N9CzfXPkxUj!i>%jK@$5$4Ol< zS~*G@EOnJm!AP9p*i@XRoPdcq8&fQtiPM#nFd5~Tf!S8)OXH-mI2k8lOeWP#wL+y_ J