diff --git a/el2_dec_decode_ctl.anno.json b/el2_dec_decode_ctl.anno.json index 46139e39..8e0b6b6e 100644 --- a/el2_dec_decode_ctl.anno.json +++ b/el2_dec_decode_ctl.anno.json @@ -1468,6 +1468,12 @@ "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_bits_hist" ] }, + { + "class":"logger.LogLevelAnnotation", + "globalLogLevel":{ + + } + }, { "class":"firrtl.EmitCircuitAnnotation", "emitter":"firrtl.VerilogEmitter" diff --git a/el2_dec_decode_ctl.fir b/el2_dec_decode_ctl.fir index 47fa8212..02d0cfd9 100644 --- a/el2_dec_decode_ctl.fir +++ b/el2_dec_decode_ctl.fir @@ -29,2039 +29,2024 @@ circuit el2_dec_decode_ctl : input reset : Reset output io : {flip ins : UInt<32>, out : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>}} - node _T = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 72:23] - node _T_1 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 72:35] - node _T_2 = or(_T, _T_1) @[el2_dec_dec_ctl.scala 72:27] - node _T_3 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 72:49] - node _T_4 = eq(_T_3, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 72:42] - node _T_5 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 72:60] - node _T_6 = and(_T_4, _T_5) @[el2_dec_dec_ctl.scala 72:53] - node _T_7 = or(_T_2, _T_6) @[el2_dec_dec_ctl.scala 72:39] - node _T_8 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 72:75] - node _T_9 = eq(_T_8, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 72:68] - node _T_10 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 72:85] - node _T_11 = and(_T_9, _T_10) @[el2_dec_dec_ctl.scala 72:78] - node _T_12 = or(_T_7, _T_11) @[el2_dec_dec_ctl.scala 72:65] - io.out.alu <= _T_12 @[el2_dec_dec_ctl.scala 72:14] - node _T_13 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_14 = eq(_T_13, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_15 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_16 = eq(_T_15, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_17 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_18 = eq(_T_17, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_19 = and(_T_14, _T_16) @[el2_dec_dec_ctl.scala 73:51] - node _T_20 = and(_T_19, _T_18) @[el2_dec_dec_ctl.scala 73:51] - node _T_21 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_22 = eq(_T_21, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_23 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:33] - node _T_24 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_25 = eq(_T_24, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_26 = and(_T_22, _T_23) @[el2_dec_dec_ctl.scala 73:90] - node _T_27 = and(_T_26, _T_25) @[el2_dec_dec_ctl.scala 73:90] - node _T_28 = or(_T_20, _T_27) @[el2_dec_dec_ctl.scala 73:55] - node _T_29 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] - node _T_30 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_31 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_32 = eq(_T_31, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_33 = and(_T_29, _T_30) @[el2_dec_dec_ctl.scala 74:37] - node _T_34 = and(_T_33, _T_32) @[el2_dec_dec_ctl.scala 74:37] - node _T_35 = or(_T_28, _T_34) @[el2_dec_dec_ctl.scala 73:94] - node _T_36 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_37 = eq(_T_36, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_38 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:33] - node _T_39 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_40 = eq(_T_39, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_41 = and(_T_37, _T_38) @[el2_dec_dec_ctl.scala 74:76] - node _T_42 = and(_T_41, _T_40) @[el2_dec_dec_ctl.scala 74:76] - node _T_43 = or(_T_35, _T_42) @[el2_dec_dec_ctl.scala 74:41] - node _T_44 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] - node _T_45 = eq(_T_44, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_46 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_47 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_48 = eq(_T_47, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_49 = and(_T_45, _T_46) @[el2_dec_dec_ctl.scala 75:38] - node _T_50 = and(_T_49, _T_48) @[el2_dec_dec_ctl.scala 75:38] - node _T_51 = or(_T_43, _T_50) @[el2_dec_dec_ctl.scala 74:80] - node _T_52 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_53 = eq(_T_52, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_54 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] - node _T_55 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_56 = eq(_T_55, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_57 = and(_T_53, _T_54) @[el2_dec_dec_ctl.scala 75:76] - node _T_58 = and(_T_57, _T_56) @[el2_dec_dec_ctl.scala 75:76] - node _T_59 = or(_T_51, _T_58) @[el2_dec_dec_ctl.scala 75:42] - node _T_60 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] - node _T_61 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_62 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_63 = eq(_T_62, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_64 = and(_T_60, _T_61) @[el2_dec_dec_ctl.scala 76:37] - node _T_65 = and(_T_64, _T_63) @[el2_dec_dec_ctl.scala 76:37] - node _T_66 = or(_T_59, _T_65) @[el2_dec_dec_ctl.scala 75:80] - node _T_67 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_68 = eq(_T_67, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_69 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:33] - node _T_70 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_71 = eq(_T_70, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_72 = and(_T_68, _T_69) @[el2_dec_dec_ctl.scala 76:75] - node _T_73 = and(_T_72, _T_71) @[el2_dec_dec_ctl.scala 76:75] - node _T_74 = or(_T_66, _T_73) @[el2_dec_dec_ctl.scala 76:41] - node _T_75 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] - node _T_76 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_77 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_78 = eq(_T_77, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_79 = and(_T_75, _T_76) @[el2_dec_dec_ctl.scala 77:37] - node _T_80 = and(_T_79, _T_78) @[el2_dec_dec_ctl.scala 77:37] - node _T_81 = or(_T_74, _T_80) @[el2_dec_dec_ctl.scala 76:79] - node _T_82 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_83 = eq(_T_82, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_84 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:33] - node _T_85 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_86 = eq(_T_85, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_87 = and(_T_83, _T_84) @[el2_dec_dec_ctl.scala 77:75] - node _T_88 = and(_T_87, _T_86) @[el2_dec_dec_ctl.scala 77:75] - node _T_89 = or(_T_81, _T_88) @[el2_dec_dec_ctl.scala 77:41] - node _T_90 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] - node _T_91 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_92 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_93 = eq(_T_92, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_94 = and(_T_90, _T_91) @[el2_dec_dec_ctl.scala 78:37] - node _T_95 = and(_T_94, _T_93) @[el2_dec_dec_ctl.scala 78:37] - node _T_96 = or(_T_89, _T_95) @[el2_dec_dec_ctl.scala 77:79] - node _T_97 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_98 = eq(_T_97, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_99 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_100 = eq(_T_99, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_101 = and(_T_98, _T_100) @[el2_dec_dec_ctl.scala 78:71] - node _T_102 = or(_T_96, _T_101) @[el2_dec_dec_ctl.scala 78:41] - node _T_103 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_104 = eq(_T_103, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_105 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_106 = eq(_T_105, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_107 = and(_T_104, _T_106) @[el2_dec_dec_ctl.scala 78:106] - node _T_108 = or(_T_102, _T_107) @[el2_dec_dec_ctl.scala 78:75] - io.out.rs1 <= _T_108 @[el2_dec_dec_ctl.scala 73:14] - node _T_109 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_110 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_111 = eq(_T_110, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_112 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_113 = eq(_T_112, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_114 = and(_T_109, _T_111) @[el2_dec_dec_ctl.scala 79:48] - node _T_115 = and(_T_114, _T_113) @[el2_dec_dec_ctl.scala 79:48] - node _T_116 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_117 = eq(_T_116, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_118 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_119 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_120 = eq(_T_119, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_121 = and(_T_117, _T_118) @[el2_dec_dec_ctl.scala 79:85] - node _T_122 = and(_T_121, _T_120) @[el2_dec_dec_ctl.scala 79:85] - node _T_123 = or(_T_115, _T_122) @[el2_dec_dec_ctl.scala 79:52] - io.out.rs2 <= _T_123 @[el2_dec_dec_ctl.scala 79:14] - node _T_124 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_125 = eq(_T_124, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_126 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_127 = eq(_T_126, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_128 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] - node _T_129 = and(_T_125, _T_127) @[el2_dec_dec_ctl.scala 80:50] - node _T_130 = and(_T_129, _T_128) @[el2_dec_dec_ctl.scala 80:50] - node _T_131 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_132 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_133 = eq(_T_132, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_134 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_135 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_136 = eq(_T_135, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_137 = and(_T_131, _T_133) @[el2_dec_dec_ctl.scala 80:90] - node _T_138 = and(_T_137, _T_134) @[el2_dec_dec_ctl.scala 80:90] - node _T_139 = and(_T_138, _T_136) @[el2_dec_dec_ctl.scala 80:90] - node _T_140 = or(_T_130, _T_139) @[el2_dec_dec_ctl.scala 80:54] - node _T_141 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_142 = eq(_T_141, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_143 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_144 = eq(_T_143, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_145 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_146 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_147 = and(_T_142, _T_144) @[el2_dec_dec_ctl.scala 81:40] - node _T_148 = and(_T_147, _T_145) @[el2_dec_dec_ctl.scala 81:40] - node _T_149 = and(_T_148, _T_146) @[el2_dec_dec_ctl.scala 81:40] - node _T_150 = or(_T_140, _T_149) @[el2_dec_dec_ctl.scala 80:94] - node _T_151 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_152 = eq(_T_151, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_153 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_154 = eq(_T_153, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_155 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_156 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_157 = eq(_T_156, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_158 = and(_T_152, _T_154) @[el2_dec_dec_ctl.scala 81:81] - node _T_159 = and(_T_158, _T_155) @[el2_dec_dec_ctl.scala 81:81] - node _T_160 = and(_T_159, _T_157) @[el2_dec_dec_ctl.scala 81:81] - node _T_161 = or(_T_150, _T_160) @[el2_dec_dec_ctl.scala 81:44] - io.out.imm12 <= _T_161 @[el2_dec_dec_ctl.scala 80:16] - node _T_162 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 82:24] - node _T_163 = eq(_T_162, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 82:17] - node _T_164 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 82:37] - node _T_165 = eq(_T_164, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 82:30] - node _T_166 = and(_T_163, _T_165) @[el2_dec_dec_ctl.scala 82:28] - node _T_167 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 82:51] - node _T_168 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 82:63] - node _T_169 = and(_T_167, _T_168) @[el2_dec_dec_ctl.scala 82:55] - node _T_170 = or(_T_166, _T_169) @[el2_dec_dec_ctl.scala 82:42] - node _T_171 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 82:76] - node _T_172 = or(_T_170, _T_171) @[el2_dec_dec_ctl.scala 82:68] - io.out.rd <= _T_172 @[el2_dec_dec_ctl.scala 82:13] - node _T_173 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_174 = eq(_T_173, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_175 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_176 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_177 = eq(_T_176, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_178 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_179 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_180 = eq(_T_179, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_181 = and(_T_174, _T_175) @[el2_dec_dec_ctl.scala 83:58] - node _T_182 = and(_T_181, _T_177) @[el2_dec_dec_ctl.scala 83:58] - node _T_183 = and(_T_182, _T_178) @[el2_dec_dec_ctl.scala 83:58] - node _T_184 = and(_T_183, _T_180) @[el2_dec_dec_ctl.scala 83:58] - io.out.shimm5 <= _T_184 @[el2_dec_dec_ctl.scala 83:17] - node _T_185 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 84:26] - node _T_186 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 84:36] - node _T_187 = and(_T_185, _T_186) @[el2_dec_dec_ctl.scala 84:29] - node _T_188 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 84:50] - node _T_189 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 84:60] - node _T_190 = and(_T_188, _T_189) @[el2_dec_dec_ctl.scala 84:53] - node _T_191 = or(_T_187, _T_190) @[el2_dec_dec_ctl.scala 84:41] - io.out.imm20 <= _T_191 @[el2_dec_dec_ctl.scala 84:16] - node _T_192 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 85:24] - node _T_193 = eq(_T_192, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 85:17] - node _T_194 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 85:37] - node _T_195 = eq(_T_194, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 85:30] - node _T_196 = and(_T_193, _T_195) @[el2_dec_dec_ctl.scala 85:28] - node _T_197 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 85:49] - node _T_198 = and(_T_196, _T_197) @[el2_dec_dec_ctl.scala 85:41] - node _T_199 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 85:63] - node _T_200 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 85:75] - node _T_201 = and(_T_199, _T_200) @[el2_dec_dec_ctl.scala 85:67] - node _T_202 = or(_T_198, _T_201) @[el2_dec_dec_ctl.scala 85:54] - io.out.pc <= _T_202 @[el2_dec_dec_ctl.scala 85:13] - node _T_203 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_204 = eq(_T_203, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_205 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_206 = eq(_T_205, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_207 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_208 = eq(_T_207, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_209 = and(_T_204, _T_206) @[el2_dec_dec_ctl.scala 86:50] - node _T_210 = and(_T_209, _T_208) @[el2_dec_dec_ctl.scala 86:50] - io.out.load <= _T_210 @[el2_dec_dec_ctl.scala 86:15] - node _T_211 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_212 = eq(_T_211, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_213 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_214 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_215 = eq(_T_214, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_216 = and(_T_212, _T_213) @[el2_dec_dec_ctl.scala 87:50] - node _T_217 = and(_T_216, _T_215) @[el2_dec_dec_ctl.scala 87:50] - io.out.store <= _T_217 @[el2_dec_dec_ctl.scala 87:16] - node _T_218 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_219 = eq(_T_218, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_220 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_221 = eq(_T_220, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_222 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_223 = eq(_T_222, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_224 = and(_T_219, _T_221) @[el2_dec_dec_ctl.scala 88:49] - node _T_225 = and(_T_224, _T_223) @[el2_dec_dec_ctl.scala 88:49] - io.out.lsu <= _T_225 @[el2_dec_dec_ctl.scala 88:14] - node _T_226 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_227 = eq(_T_226, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_228 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_229 = eq(_T_228, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_230 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_231 = eq(_T_230, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_232 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_234 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_235 = and(_T_227, _T_229) @[el2_dec_dec_ctl.scala 89:57] - node _T_236 = and(_T_235, _T_231) @[el2_dec_dec_ctl.scala 89:57] - node _T_237 = and(_T_236, _T_233) @[el2_dec_dec_ctl.scala 89:57] - node _T_238 = and(_T_237, _T_234) @[el2_dec_dec_ctl.scala 89:57] - node _T_239 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_240 = eq(_T_239, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_241 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_242 = eq(_T_241, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_243 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] - node _T_244 = and(_T_240, _T_242) @[el2_dec_dec_ctl.scala 89:94] - node _T_245 = and(_T_244, _T_243) @[el2_dec_dec_ctl.scala 89:94] - node _T_246 = or(_T_238, _T_245) @[el2_dec_dec_ctl.scala 89:61] - node _T_247 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] - node _T_248 = eq(_T_247, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_249 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_250 = eq(_T_249, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_251 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_253 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_254 = eq(_T_253, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_255 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_256 = eq(_T_255, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_257 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_258 = eq(_T_257, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_259 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_260 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_261 = eq(_T_260, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_262 = and(_T_248, _T_250) @[el2_dec_dec_ctl.scala 90:56] - node _T_263 = and(_T_262, _T_252) @[el2_dec_dec_ctl.scala 90:56] - node _T_264 = and(_T_263, _T_254) @[el2_dec_dec_ctl.scala 90:56] - node _T_265 = and(_T_264, _T_256) @[el2_dec_dec_ctl.scala 90:56] - node _T_266 = and(_T_265, _T_258) @[el2_dec_dec_ctl.scala 90:56] - node _T_267 = and(_T_266, _T_259) @[el2_dec_dec_ctl.scala 90:56] - node _T_268 = and(_T_267, _T_261) @[el2_dec_dec_ctl.scala 90:56] - node _T_269 = or(_T_246, _T_268) @[el2_dec_dec_ctl.scala 89:98] - io.out.add <= _T_269 @[el2_dec_dec_ctl.scala 89:14] - node _T_270 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:33] - node _T_271 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_272 = eq(_T_271, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_273 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_274 = eq(_T_273, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_275 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_276 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_277 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_278 = eq(_T_277, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_279 = and(_T_270, _T_272) @[el2_dec_dec_ctl.scala 91:57] - node _T_280 = and(_T_279, _T_274) @[el2_dec_dec_ctl.scala 91:57] - node _T_281 = and(_T_280, _T_275) @[el2_dec_dec_ctl.scala 91:57] - node _T_282 = and(_T_281, _T_276) @[el2_dec_dec_ctl.scala 91:57] - node _T_283 = and(_T_282, _T_278) @[el2_dec_dec_ctl.scala 91:57] - node _T_284 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_285 = eq(_T_284, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_286 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_288 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_289 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_290 = eq(_T_289, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_291 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_292 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_293 = eq(_T_292, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_294 = and(_T_285, _T_287) @[el2_dec_dec_ctl.scala 91:105] - node _T_295 = and(_T_294, _T_288) @[el2_dec_dec_ctl.scala 91:105] - node _T_296 = and(_T_295, _T_290) @[el2_dec_dec_ctl.scala 91:105] - node _T_297 = and(_T_296, _T_291) @[el2_dec_dec_ctl.scala 91:105] - node _T_298 = and(_T_297, _T_293) @[el2_dec_dec_ctl.scala 91:105] - node _T_299 = or(_T_283, _T_298) @[el2_dec_dec_ctl.scala 91:61] - node _T_300 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_301 = eq(_T_300, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_302 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_303 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_304 = eq(_T_303, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_305 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_306 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_307 = eq(_T_306, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_308 = and(_T_301, _T_302) @[el2_dec_dec_ctl.scala 92:43] - node _T_309 = and(_T_308, _T_304) @[el2_dec_dec_ctl.scala 92:43] - node _T_310 = and(_T_309, _T_305) @[el2_dec_dec_ctl.scala 92:43] - node _T_311 = and(_T_310, _T_307) @[el2_dec_dec_ctl.scala 92:43] - node _T_312 = or(_T_299, _T_311) @[el2_dec_dec_ctl.scala 91:109] - node _T_313 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_314 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_315 = eq(_T_314, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_316 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_317 = eq(_T_316, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_318 = and(_T_313, _T_315) @[el2_dec_dec_ctl.scala 92:80] - node _T_319 = and(_T_318, _T_317) @[el2_dec_dec_ctl.scala 92:80] - node _T_320 = or(_T_312, _T_319) @[el2_dec_dec_ctl.scala 92:47] - io.out.sub <= _T_320 @[el2_dec_dec_ctl.scala 91:14] - node _T_321 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_322 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_323 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_324 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_326 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_328 = and(_T_321, _T_322) @[el2_dec_dec_ctl.scala 93:56] - node _T_329 = and(_T_328, _T_323) @[el2_dec_dec_ctl.scala 93:56] - node _T_330 = and(_T_329, _T_325) @[el2_dec_dec_ctl.scala 93:56] - node _T_331 = and(_T_330, _T_327) @[el2_dec_dec_ctl.scala 93:56] - node _T_332 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_333 = eq(_T_332, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_334 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_335 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_336 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_337 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_339 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_340 = eq(_T_339, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_341 = and(_T_333, _T_334) @[el2_dec_dec_ctl.scala 93:104] - node _T_342 = and(_T_341, _T_335) @[el2_dec_dec_ctl.scala 93:104] - node _T_343 = and(_T_342, _T_336) @[el2_dec_dec_ctl.scala 93:104] - node _T_344 = and(_T_343, _T_338) @[el2_dec_dec_ctl.scala 93:104] - node _T_345 = and(_T_344, _T_340) @[el2_dec_dec_ctl.scala 93:104] - node _T_346 = or(_T_331, _T_345) @[el2_dec_dec_ctl.scala 93:60] - io.out.land <= _T_346 @[el2_dec_dec_ctl.scala 93:15] - node _T_347 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_348 = eq(_T_347, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_349 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] - node _T_350 = and(_T_348, _T_349) @[el2_dec_dec_ctl.scala 94:45] - node _T_351 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_352 = eq(_T_351, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_353 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_354 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_355 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_356 = eq(_T_355, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_357 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_358 = eq(_T_357, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_359 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_360 = eq(_T_359, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_361 = and(_T_352, _T_353) @[el2_dec_dec_ctl.scala 94:94] - node _T_362 = and(_T_361, _T_354) @[el2_dec_dec_ctl.scala 94:94] - node _T_363 = and(_T_362, _T_356) @[el2_dec_dec_ctl.scala 94:94] - node _T_364 = and(_T_363, _T_358) @[el2_dec_dec_ctl.scala 94:94] - node _T_365 = and(_T_364, _T_360) @[el2_dec_dec_ctl.scala 94:94] - node _T_366 = or(_T_350, _T_365) @[el2_dec_dec_ctl.scala 94:49] - node _T_367 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_368 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_369 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] - node _T_370 = and(_T_367, _T_368) @[el2_dec_dec_ctl.scala 95:34] - node _T_371 = and(_T_370, _T_369) @[el2_dec_dec_ctl.scala 95:34] - node _T_372 = or(_T_366, _T_371) @[el2_dec_dec_ctl.scala 94:98] - node _T_373 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_374 = eq(_T_373, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_375 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_377 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_378 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_379 = and(_T_374, _T_376) @[el2_dec_dec_ctl.scala 95:75] - node _T_380 = and(_T_379, _T_377) @[el2_dec_dec_ctl.scala 95:75] - node _T_381 = and(_T_380, _T_378) @[el2_dec_dec_ctl.scala 95:75] - node _T_382 = or(_T_372, _T_381) @[el2_dec_dec_ctl.scala 95:38] - node _T_383 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_384 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_385 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_386 = eq(_T_385, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_387 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_388 = eq(_T_387, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_389 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_390 = eq(_T_389, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_391 = and(_T_383, _T_384) @[el2_dec_dec_ctl.scala 96:44] - node _T_392 = and(_T_391, _T_386) @[el2_dec_dec_ctl.scala 96:44] - node _T_393 = and(_T_392, _T_388) @[el2_dec_dec_ctl.scala 96:44] - node _T_394 = and(_T_393, _T_390) @[el2_dec_dec_ctl.scala 96:44] - node _T_395 = or(_T_382, _T_394) @[el2_dec_dec_ctl.scala 95:79] - io.out.lor <= _T_395 @[el2_dec_dec_ctl.scala 94:14] - node _T_396 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_398 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_399 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_401 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_402 = eq(_T_401, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_403 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_404 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_405 = eq(_T_404, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_406 = and(_T_397, _T_398) @[el2_dec_dec_ctl.scala 97:61] - node _T_407 = and(_T_406, _T_400) @[el2_dec_dec_ctl.scala 97:61] - node _T_408 = and(_T_407, _T_402) @[el2_dec_dec_ctl.scala 97:61] - node _T_409 = and(_T_408, _T_403) @[el2_dec_dec_ctl.scala 97:61] - node _T_410 = and(_T_409, _T_405) @[el2_dec_dec_ctl.scala 97:61] - node _T_411 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_412 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_413 = eq(_T_412, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_414 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_415 = eq(_T_414, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_416 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_417 = eq(_T_416, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_418 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_419 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_420 = eq(_T_419, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_421 = and(_T_411, _T_413) @[el2_dec_dec_ctl.scala 97:109] - node _T_422 = and(_T_421, _T_415) @[el2_dec_dec_ctl.scala 97:109] - node _T_423 = and(_T_422, _T_417) @[el2_dec_dec_ctl.scala 97:109] - node _T_424 = and(_T_423, _T_418) @[el2_dec_dec_ctl.scala 97:109] - node _T_425 = and(_T_424, _T_420) @[el2_dec_dec_ctl.scala 97:109] - node _T_426 = or(_T_410, _T_425) @[el2_dec_dec_ctl.scala 97:65] - io.out.lxor <= _T_426 @[el2_dec_dec_ctl.scala 97:15] - node _T_427 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_428 = eq(_T_427, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_429 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_430 = eq(_T_429, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_431 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_433 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_434 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_436 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_437 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_438 = eq(_T_437, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_439 = and(_T_428, _T_430) @[el2_dec_dec_ctl.scala 98:63] - node _T_440 = and(_T_439, _T_432) @[el2_dec_dec_ctl.scala 98:63] - node _T_441 = and(_T_440, _T_433) @[el2_dec_dec_ctl.scala 98:63] - node _T_442 = and(_T_441, _T_435) @[el2_dec_dec_ctl.scala 98:63] - node _T_443 = and(_T_442, _T_436) @[el2_dec_dec_ctl.scala 98:63] - node _T_444 = and(_T_443, _T_438) @[el2_dec_dec_ctl.scala 98:63] - io.out.sll <= _T_444 @[el2_dec_dec_ctl.scala 98:14] - node _T_445 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:33] - node _T_446 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_447 = eq(_T_446, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_448 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_449 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_450 = eq(_T_449, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_451 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_452 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_453 = eq(_T_452, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_454 = and(_T_445, _T_447) @[el2_dec_dec_ctl.scala 99:58] - node _T_455 = and(_T_454, _T_448) @[el2_dec_dec_ctl.scala 99:58] - node _T_456 = and(_T_455, _T_450) @[el2_dec_dec_ctl.scala 99:58] - node _T_457 = and(_T_456, _T_451) @[el2_dec_dec_ctl.scala 99:58] - node _T_458 = and(_T_457, _T_453) @[el2_dec_dec_ctl.scala 99:58] - io.out.sra <= _T_458 @[el2_dec_dec_ctl.scala 99:14] - node _T_459 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] - node _T_460 = eq(_T_459, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_461 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_463 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_464 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_465 = eq(_T_464, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_466 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_467 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_468 = eq(_T_467, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_469 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_470 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_471 = eq(_T_470, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_472 = and(_T_460, _T_462) @[el2_dec_dec_ctl.scala 100:66] - node _T_473 = and(_T_472, _T_463) @[el2_dec_dec_ctl.scala 100:66] - node _T_474 = and(_T_473, _T_465) @[el2_dec_dec_ctl.scala 100:66] - node _T_475 = and(_T_474, _T_466) @[el2_dec_dec_ctl.scala 100:66] - node _T_476 = and(_T_475, _T_468) @[el2_dec_dec_ctl.scala 100:66] - node _T_477 = and(_T_476, _T_469) @[el2_dec_dec_ctl.scala 100:66] - node _T_478 = and(_T_477, _T_471) @[el2_dec_dec_ctl.scala 100:66] - io.out.srl <= _T_478 @[el2_dec_dec_ctl.scala 100:14] - node _T_479 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_480 = eq(_T_479, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_481 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_482 = eq(_T_481, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_483 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_484 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_485 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_487 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_488 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_490 = and(_T_480, _T_482) @[el2_dec_dec_ctl.scala 101:62] - node _T_491 = and(_T_490, _T_483) @[el2_dec_dec_ctl.scala 101:62] - node _T_492 = and(_T_491, _T_484) @[el2_dec_dec_ctl.scala 101:62] - node _T_493 = and(_T_492, _T_486) @[el2_dec_dec_ctl.scala 101:62] - node _T_494 = and(_T_493, _T_487) @[el2_dec_dec_ctl.scala 101:62] - node _T_495 = and(_T_494, _T_489) @[el2_dec_dec_ctl.scala 101:62] - node _T_496 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_498 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_499 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_500 = eq(_T_499, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_501 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_502 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_503 = eq(_T_502, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_504 = and(_T_497, _T_498) @[el2_dec_dec_ctl.scala 101:106] - node _T_505 = and(_T_504, _T_500) @[el2_dec_dec_ctl.scala 101:106] - node _T_506 = and(_T_505, _T_501) @[el2_dec_dec_ctl.scala 101:106] - node _T_507 = and(_T_506, _T_503) @[el2_dec_dec_ctl.scala 101:106] - node _T_508 = or(_T_495, _T_507) @[el2_dec_dec_ctl.scala 101:66] - io.out.slt <= _T_508 @[el2_dec_dec_ctl.scala 101:14] - node _T_509 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_510 = eq(_T_509, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_511 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_512 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_513 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_514 = eq(_T_513, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_515 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_516 = eq(_T_515, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_517 = and(_T_510, _T_511) @[el2_dec_dec_ctl.scala 102:59] - node _T_518 = and(_T_517, _T_512) @[el2_dec_dec_ctl.scala 102:59] - node _T_519 = and(_T_518, _T_514) @[el2_dec_dec_ctl.scala 102:59] - node _T_520 = and(_T_519, _T_516) @[el2_dec_dec_ctl.scala 102:59] - node _T_521 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_522 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_523 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_524 = eq(_T_523, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_525 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_526 = eq(_T_525, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_527 = and(_T_521, _T_522) @[el2_dec_dec_ctl.scala 102:99] - node _T_528 = and(_T_527, _T_524) @[el2_dec_dec_ctl.scala 102:99] - node _T_529 = and(_T_528, _T_526) @[el2_dec_dec_ctl.scala 102:99] - node _T_530 = or(_T_520, _T_529) @[el2_dec_dec_ctl.scala 102:63] - node _T_531 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_532 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_533 = eq(_T_532, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_534 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_535 = eq(_T_534, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_536 = and(_T_531, _T_533) @[el2_dec_dec_ctl.scala 103:37] - node _T_537 = and(_T_536, _T_535) @[el2_dec_dec_ctl.scala 103:37] - node _T_538 = or(_T_530, _T_537) @[el2_dec_dec_ctl.scala 102:103] - node _T_539 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_540 = eq(_T_539, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_541 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_542 = eq(_T_541, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_543 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_544 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_545 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_546 = eq(_T_545, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_547 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_548 = eq(_T_547, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_549 = and(_T_540, _T_542) @[el2_dec_dec_ctl.scala 103:86] - node _T_550 = and(_T_549, _T_543) @[el2_dec_dec_ctl.scala 103:86] - node _T_551 = and(_T_550, _T_544) @[el2_dec_dec_ctl.scala 103:86] - node _T_552 = and(_T_551, _T_546) @[el2_dec_dec_ctl.scala 103:86] - node _T_553 = and(_T_552, _T_548) @[el2_dec_dec_ctl.scala 103:86] - node _T_554 = or(_T_538, _T_553) @[el2_dec_dec_ctl.scala 103:41] - node _T_555 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] - node _T_556 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_557 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_558 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_559 = eq(_T_558, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_560 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_561 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_562 = eq(_T_561, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_563 = and(_T_555, _T_556) @[el2_dec_dec_ctl.scala 104:45] - node _T_564 = and(_T_563, _T_557) @[el2_dec_dec_ctl.scala 104:45] - node _T_565 = and(_T_564, _T_559) @[el2_dec_dec_ctl.scala 104:45] - node _T_566 = and(_T_565, _T_560) @[el2_dec_dec_ctl.scala 104:45] - node _T_567 = and(_T_566, _T_562) @[el2_dec_dec_ctl.scala 104:45] - node _T_568 = or(_T_554, _T_567) @[el2_dec_dec_ctl.scala 103:90] - io.out.unsign <= _T_568 @[el2_dec_dec_ctl.scala 102:17] - node _T_569 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_570 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_571 = eq(_T_570, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_572 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_573 = eq(_T_572, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_574 = and(_T_569, _T_571) @[el2_dec_dec_ctl.scala 105:51] - node _T_575 = and(_T_574, _T_573) @[el2_dec_dec_ctl.scala 105:51] - io.out.condbr <= _T_575 @[el2_dec_dec_ctl.scala 105:17] - node _T_576 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_577 = eq(_T_576, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_578 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_579 = eq(_T_578, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_580 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_581 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_582 = eq(_T_581, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_583 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_584 = eq(_T_583, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_585 = and(_T_577, _T_579) @[el2_dec_dec_ctl.scala 106:56] - node _T_586 = and(_T_585, _T_580) @[el2_dec_dec_ctl.scala 106:56] - node _T_587 = and(_T_586, _T_582) @[el2_dec_dec_ctl.scala 106:56] - node _T_588 = and(_T_587, _T_584) @[el2_dec_dec_ctl.scala 106:56] - io.out.beq <= _T_588 @[el2_dec_dec_ctl.scala 106:14] - node _T_589 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_590 = eq(_T_589, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_591 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_592 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_593 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_594 = eq(_T_593, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_595 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_596 = eq(_T_595, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_597 = and(_T_590, _T_591) @[el2_dec_dec_ctl.scala 107:55] - node _T_598 = and(_T_597, _T_592) @[el2_dec_dec_ctl.scala 107:55] - node _T_599 = and(_T_598, _T_594) @[el2_dec_dec_ctl.scala 107:55] - node _T_600 = and(_T_599, _T_596) @[el2_dec_dec_ctl.scala 107:55] - io.out.bne <= _T_600 @[el2_dec_dec_ctl.scala 107:14] - node _T_601 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_602 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_603 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_604 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_605 = eq(_T_604, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_606 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_607 = eq(_T_606, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_608 = and(_T_601, _T_602) @[el2_dec_dec_ctl.scala 108:54] - node _T_609 = and(_T_608, _T_603) @[el2_dec_dec_ctl.scala 108:54] - node _T_610 = and(_T_609, _T_605) @[el2_dec_dec_ctl.scala 108:54] - node _T_611 = and(_T_610, _T_607) @[el2_dec_dec_ctl.scala 108:54] - io.out.bge <= _T_611 @[el2_dec_dec_ctl.scala 108:14] - node _T_612 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_613 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_614 = eq(_T_613, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_615 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_616 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_617 = eq(_T_616, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_618 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_619 = eq(_T_618, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_620 = and(_T_612, _T_614) @[el2_dec_dec_ctl.scala 109:55] - node _T_621 = and(_T_620, _T_615) @[el2_dec_dec_ctl.scala 109:55] - node _T_622 = and(_T_621, _T_617) @[el2_dec_dec_ctl.scala 109:55] - node _T_623 = and(_T_622, _T_619) @[el2_dec_dec_ctl.scala 109:55] - io.out.blt <= _T_623 @[el2_dec_dec_ctl.scala 109:14] - node _T_624 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_625 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] - node _T_626 = and(_T_624, _T_625) @[el2_dec_dec_ctl.scala 110:44] - io.out.jal <= _T_626 @[el2_dec_dec_ctl.scala 110:14] - node _T_627 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_628 = eq(_T_627, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_629 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_630 = eq(_T_629, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_631 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_632 = eq(_T_631, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_633 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_634 = eq(_T_633, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_635 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_636 = eq(_T_635, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_637 = and(_T_628, _T_630) @[el2_dec_dec_ctl.scala 111:56] - node _T_638 = and(_T_637, _T_632) @[el2_dec_dec_ctl.scala 111:56] - node _T_639 = and(_T_638, _T_634) @[el2_dec_dec_ctl.scala 111:56] - node _T_640 = and(_T_639, _T_636) @[el2_dec_dec_ctl.scala 111:56] - io.out.by <= _T_640 @[el2_dec_dec_ctl.scala 111:13] - node _T_641 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_642 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_643 = eq(_T_642, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_644 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_645 = eq(_T_644, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_646 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_647 = eq(_T_646, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_648 = and(_T_641, _T_643) @[el2_dec_dec_ctl.scala 112:53] - node _T_649 = and(_T_648, _T_645) @[el2_dec_dec_ctl.scala 112:53] - node _T_650 = and(_T_649, _T_647) @[el2_dec_dec_ctl.scala 112:53] - io.out.half <= _T_650 @[el2_dec_dec_ctl.scala 112:15] - node _T_651 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_652 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_653 = eq(_T_652, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_654 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_655 = eq(_T_654, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_656 = and(_T_651, _T_653) @[el2_dec_dec_ctl.scala 113:50] - node _T_657 = and(_T_656, _T_655) @[el2_dec_dec_ctl.scala 113:50] - io.out.word <= _T_657 @[el2_dec_dec_ctl.scala 113:15] - node _T_658 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_659 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_660 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_661 = and(_T_658, _T_659) @[el2_dec_dec_ctl.scala 114:52] - node _T_662 = and(_T_661, _T_660) @[el2_dec_dec_ctl.scala 114:52] - node _T_663 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:33] - node _T_664 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_665 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_666 = and(_T_663, _T_664) @[el2_dec_dec_ctl.scala 114:87] - node _T_667 = and(_T_666, _T_665) @[el2_dec_dec_ctl.scala 114:87] - node _T_668 = or(_T_662, _T_667) @[el2_dec_dec_ctl.scala 114:56] - node _T_669 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:33] - node _T_670 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_671 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_672 = and(_T_669, _T_670) @[el2_dec_dec_ctl.scala 115:34] - node _T_673 = and(_T_672, _T_671) @[el2_dec_dec_ctl.scala 115:34] - node _T_674 = or(_T_668, _T_673) @[el2_dec_dec_ctl.scala 114:91] - node _T_675 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] - node _T_676 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_677 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_678 = and(_T_675, _T_676) @[el2_dec_dec_ctl.scala 115:69] - node _T_679 = and(_T_678, _T_677) @[el2_dec_dec_ctl.scala 115:69] - node _T_680 = or(_T_674, _T_679) @[el2_dec_dec_ctl.scala 115:38] - node _T_681 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:33] - node _T_682 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_683 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_684 = and(_T_681, _T_682) @[el2_dec_dec_ctl.scala 115:105] - node _T_685 = and(_T_684, _T_683) @[el2_dec_dec_ctl.scala 115:105] - node _T_686 = or(_T_680, _T_685) @[el2_dec_dec_ctl.scala 115:73] - node _T_687 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:33] - node _T_688 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_689 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_690 = and(_T_687, _T_688) @[el2_dec_dec_ctl.scala 116:35] - node _T_691 = and(_T_690, _T_689) @[el2_dec_dec_ctl.scala 116:35] - node _T_692 = or(_T_686, _T_691) @[el2_dec_dec_ctl.scala 115:109] - io.out.csr_read <= _T_692 @[el2_dec_dec_ctl.scala 114:19] - node _T_693 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] - node _T_694 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_695 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_696 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_697 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_698 = and(_T_693, _T_694) @[el2_dec_dec_ctl.scala 117:57] - node _T_699 = and(_T_698, _T_695) @[el2_dec_dec_ctl.scala 117:57] - node _T_700 = and(_T_699, _T_696) @[el2_dec_dec_ctl.scala 117:57] - node _T_701 = and(_T_700, _T_697) @[el2_dec_dec_ctl.scala 117:57] - node _T_702 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] - node _T_703 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_704 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_705 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_706 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_707 = and(_T_702, _T_703) @[el2_dec_dec_ctl.scala 117:99] - node _T_708 = and(_T_707, _T_704) @[el2_dec_dec_ctl.scala 117:99] - node _T_709 = and(_T_708, _T_705) @[el2_dec_dec_ctl.scala 117:99] - node _T_710 = and(_T_709, _T_706) @[el2_dec_dec_ctl.scala 117:99] - node _T_711 = or(_T_701, _T_710) @[el2_dec_dec_ctl.scala 117:61] - node _T_712 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] - node _T_713 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_714 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_715 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_716 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_717 = and(_T_712, _T_713) @[el2_dec_dec_ctl.scala 118:41] - node _T_718 = and(_T_717, _T_714) @[el2_dec_dec_ctl.scala 118:41] - node _T_719 = and(_T_718, _T_715) @[el2_dec_dec_ctl.scala 118:41] - node _T_720 = and(_T_719, _T_716) @[el2_dec_dec_ctl.scala 118:41] - node _T_721 = or(_T_711, _T_720) @[el2_dec_dec_ctl.scala 117:103] - node _T_722 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] - node _T_723 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_724 = eq(_T_723, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_725 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_726 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_727 = and(_T_722, _T_724) @[el2_dec_dec_ctl.scala 118:81] - node _T_728 = and(_T_727, _T_725) @[el2_dec_dec_ctl.scala 118:81] - node _T_729 = and(_T_728, _T_726) @[el2_dec_dec_ctl.scala 118:81] - node _T_730 = or(_T_721, _T_729) @[el2_dec_dec_ctl.scala 118:45] - node _T_731 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] - node _T_732 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_733 = eq(_T_732, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_734 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_735 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_736 = and(_T_731, _T_733) @[el2_dec_dec_ctl.scala 119:39] - node _T_737 = and(_T_736, _T_734) @[el2_dec_dec_ctl.scala 119:39] - node _T_738 = and(_T_737, _T_735) @[el2_dec_dec_ctl.scala 119:39] - node _T_739 = or(_T_730, _T_738) @[el2_dec_dec_ctl.scala 118:85] - io.out.csr_clr <= _T_739 @[el2_dec_dec_ctl.scala 117:18] - node _T_740 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_741 = eq(_T_740, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_742 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_743 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_744 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_745 = and(_T_741, _T_742) @[el2_dec_dec_ctl.scala 120:57] - node _T_746 = and(_T_745, _T_743) @[el2_dec_dec_ctl.scala 120:57] - node _T_747 = and(_T_746, _T_744) @[el2_dec_dec_ctl.scala 120:57] - io.out.csr_write <= _T_747 @[el2_dec_dec_ctl.scala 120:20] - node _T_748 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_749 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_750 = eq(_T_749, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_751 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_752 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_753 = and(_T_748, _T_750) @[el2_dec_dec_ctl.scala 121:55] - node _T_754 = and(_T_753, _T_751) @[el2_dec_dec_ctl.scala 121:55] - node _T_755 = and(_T_754, _T_752) @[el2_dec_dec_ctl.scala 121:55] - node _T_756 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] - node _T_757 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_758 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_759 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_760 = and(_T_756, _T_757) @[el2_dec_dec_ctl.scala 121:94] - node _T_761 = and(_T_760, _T_758) @[el2_dec_dec_ctl.scala 121:94] - node _T_762 = and(_T_761, _T_759) @[el2_dec_dec_ctl.scala 121:94] - node _T_763 = or(_T_755, _T_762) @[el2_dec_dec_ctl.scala 121:59] - node _T_764 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] - node _T_765 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_766 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_767 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_768 = and(_T_764, _T_765) @[el2_dec_dec_ctl.scala 122:38] - node _T_769 = and(_T_768, _T_766) @[el2_dec_dec_ctl.scala 122:38] - node _T_770 = and(_T_769, _T_767) @[el2_dec_dec_ctl.scala 122:38] - node _T_771 = or(_T_763, _T_770) @[el2_dec_dec_ctl.scala 121:98] - node _T_772 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] - node _T_773 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_774 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_775 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_776 = and(_T_772, _T_773) @[el2_dec_dec_ctl.scala 122:77] - node _T_777 = and(_T_776, _T_774) @[el2_dec_dec_ctl.scala 122:77] - node _T_778 = and(_T_777, _T_775) @[el2_dec_dec_ctl.scala 122:77] - node _T_779 = or(_T_771, _T_778) @[el2_dec_dec_ctl.scala 122:42] - node _T_780 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] - node _T_781 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_782 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_783 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_784 = and(_T_780, _T_781) @[el2_dec_dec_ctl.scala 123:38] - node _T_785 = and(_T_784, _T_782) @[el2_dec_dec_ctl.scala 123:38] - node _T_786 = and(_T_785, _T_783) @[el2_dec_dec_ctl.scala 123:38] - node _T_787 = or(_T_779, _T_786) @[el2_dec_dec_ctl.scala 122:81] - node _T_788 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] - node _T_789 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_790 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_791 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_792 = and(_T_788, _T_789) @[el2_dec_dec_ctl.scala 123:77] - node _T_793 = and(_T_792, _T_790) @[el2_dec_dec_ctl.scala 123:77] - node _T_794 = and(_T_793, _T_791) @[el2_dec_dec_ctl.scala 123:77] - node _T_795 = or(_T_787, _T_794) @[el2_dec_dec_ctl.scala 123:42] - io.out.csr_imm <= _T_795 @[el2_dec_dec_ctl.scala 121:18] - node _T_796 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] - node _T_797 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_798 = eq(_T_797, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_799 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_800 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_801 = and(_T_796, _T_798) @[el2_dec_dec_ctl.scala 124:55] - node _T_802 = and(_T_801, _T_799) @[el2_dec_dec_ctl.scala 124:55] - node _T_803 = and(_T_802, _T_800) @[el2_dec_dec_ctl.scala 124:55] - node _T_804 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] - node _T_805 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_806 = eq(_T_805, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_807 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_808 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_809 = and(_T_804, _T_806) @[el2_dec_dec_ctl.scala 124:95] - node _T_810 = and(_T_809, _T_807) @[el2_dec_dec_ctl.scala 124:95] - node _T_811 = and(_T_810, _T_808) @[el2_dec_dec_ctl.scala 124:95] - node _T_812 = or(_T_803, _T_811) @[el2_dec_dec_ctl.scala 124:59] - node _T_813 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] - node _T_814 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_815 = eq(_T_814, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_816 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_817 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_818 = and(_T_813, _T_815) @[el2_dec_dec_ctl.scala 125:39] - node _T_819 = and(_T_818, _T_816) @[el2_dec_dec_ctl.scala 125:39] - node _T_820 = and(_T_819, _T_817) @[el2_dec_dec_ctl.scala 125:39] - node _T_821 = or(_T_812, _T_820) @[el2_dec_dec_ctl.scala 124:99] - node _T_822 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] - node _T_823 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_824 = eq(_T_823, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_825 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_826 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_827 = and(_T_822, _T_824) @[el2_dec_dec_ctl.scala 125:79] - node _T_828 = and(_T_827, _T_825) @[el2_dec_dec_ctl.scala 125:79] - node _T_829 = and(_T_828, _T_826) @[el2_dec_dec_ctl.scala 125:79] - node _T_830 = or(_T_821, _T_829) @[el2_dec_dec_ctl.scala 125:43] - node _T_831 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] - node _T_832 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_833 = eq(_T_832, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_834 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_835 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_836 = and(_T_831, _T_833) @[el2_dec_dec_ctl.scala 126:39] - node _T_837 = and(_T_836, _T_834) @[el2_dec_dec_ctl.scala 126:39] - node _T_838 = and(_T_837, _T_835) @[el2_dec_dec_ctl.scala 126:39] - node _T_839 = or(_T_830, _T_838) @[el2_dec_dec_ctl.scala 125:83] - io.out.csr_set <= _T_839 @[el2_dec_dec_ctl.scala 124:18] - node _T_840 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] - node _T_841 = eq(_T_840, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_842 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:33] - node _T_843 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_844 = eq(_T_843, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_845 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_846 = eq(_T_845, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_847 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_848 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_849 = and(_T_841, _T_842) @[el2_dec_dec_ctl.scala 127:62] - node _T_850 = and(_T_849, _T_844) @[el2_dec_dec_ctl.scala 127:62] - node _T_851 = and(_T_850, _T_846) @[el2_dec_dec_ctl.scala 127:62] - node _T_852 = and(_T_851, _T_847) @[el2_dec_dec_ctl.scala 127:62] - node _T_853 = and(_T_852, _T_848) @[el2_dec_dec_ctl.scala 127:62] - io.out.ebreak <= _T_853 @[el2_dec_dec_ctl.scala 127:17] - node _T_854 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:52] - node _T_855 = eq(_T_854, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_856 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:52] - node _T_857 = eq(_T_856, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_858 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_859 = eq(_T_858, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_860 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_861 = eq(_T_860, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_862 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_863 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_864 = and(_T_855, _T_857) @[el2_dec_dec_ctl.scala 128:62] - node _T_865 = and(_T_864, _T_859) @[el2_dec_dec_ctl.scala 128:62] - node _T_866 = and(_T_865, _T_861) @[el2_dec_dec_ctl.scala 128:62] - node _T_867 = and(_T_866, _T_862) @[el2_dec_dec_ctl.scala 128:62] - node _T_868 = and(_T_867, _T_863) @[el2_dec_dec_ctl.scala 128:62] - io.out.ecall <= _T_868 @[el2_dec_dec_ctl.scala 128:16] - node _T_869 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:33] - node _T_870 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_871 = eq(_T_870, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_872 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_873 = eq(_T_872, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_874 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_875 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_876 = and(_T_869, _T_871) @[el2_dec_dec_ctl.scala 129:56] - node _T_877 = and(_T_876, _T_873) @[el2_dec_dec_ctl.scala 129:56] - node _T_878 = and(_T_877, _T_874) @[el2_dec_dec_ctl.scala 129:56] - node _T_879 = and(_T_878, _T_875) @[el2_dec_dec_ctl.scala 129:56] - io.out.mret <= _T_879 @[el2_dec_dec_ctl.scala 129:15] - node _T_880 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] - node _T_881 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_882 = eq(_T_881, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_883 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_884 = eq(_T_883, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_885 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_886 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_887 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_888 = eq(_T_887, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_889 = and(_T_880, _T_882) @[el2_dec_dec_ctl.scala 130:57] - node _T_890 = and(_T_889, _T_884) @[el2_dec_dec_ctl.scala 130:57] - node _T_891 = and(_T_890, _T_885) @[el2_dec_dec_ctl.scala 130:57] - node _T_892 = and(_T_891, _T_886) @[el2_dec_dec_ctl.scala 130:57] - node _T_893 = and(_T_892, _T_888) @[el2_dec_dec_ctl.scala 130:57] - io.out.mul <= _T_893 @[el2_dec_dec_ctl.scala 130:14] - node _T_894 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] - node _T_895 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_896 = eq(_T_895, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_897 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_898 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_899 = eq(_T_898, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_900 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_901 = eq(_T_900, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_902 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_903 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_904 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_905 = eq(_T_904, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_906 = and(_T_894, _T_896) @[el2_dec_dec_ctl.scala 131:69] - node _T_907 = and(_T_906, _T_897) @[el2_dec_dec_ctl.scala 131:69] - node _T_908 = and(_T_907, _T_899) @[el2_dec_dec_ctl.scala 131:69] - node _T_909 = and(_T_908, _T_901) @[el2_dec_dec_ctl.scala 131:69] - node _T_910 = and(_T_909, _T_902) @[el2_dec_dec_ctl.scala 131:69] - node _T_911 = and(_T_910, _T_903) @[el2_dec_dec_ctl.scala 131:69] - node _T_912 = and(_T_911, _T_905) @[el2_dec_dec_ctl.scala 131:69] - node _T_913 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] - node _T_914 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_915 = eq(_T_914, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_916 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_917 = eq(_T_916, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_918 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_919 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_920 = eq(_T_919, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_921 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_922 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_923 = eq(_T_922, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_924 = and(_T_913, _T_915) @[el2_dec_dec_ctl.scala 132:50] - node _T_925 = and(_T_924, _T_917) @[el2_dec_dec_ctl.scala 132:50] - node _T_926 = and(_T_925, _T_918) @[el2_dec_dec_ctl.scala 132:50] - node _T_927 = and(_T_926, _T_920) @[el2_dec_dec_ctl.scala 132:50] - node _T_928 = and(_T_927, _T_921) @[el2_dec_dec_ctl.scala 132:50] - node _T_929 = and(_T_928, _T_923) @[el2_dec_dec_ctl.scala 132:50] - node _T_930 = or(_T_912, _T_929) @[el2_dec_dec_ctl.scala 131:73] - io.out.rs1_sign <= _T_930 @[el2_dec_dec_ctl.scala 131:19] - node _T_931 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] - node _T_932 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_933 = eq(_T_932, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_934 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_935 = eq(_T_934, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_936 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_937 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_938 = eq(_T_937, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_939 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_940 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_941 = eq(_T_940, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_942 = and(_T_931, _T_933) @[el2_dec_dec_ctl.scala 133:67] - node _T_943 = and(_T_942, _T_935) @[el2_dec_dec_ctl.scala 133:67] - node _T_944 = and(_T_943, _T_936) @[el2_dec_dec_ctl.scala 133:67] - node _T_945 = and(_T_944, _T_938) @[el2_dec_dec_ctl.scala 133:67] - node _T_946 = and(_T_945, _T_939) @[el2_dec_dec_ctl.scala 133:67] - node _T_947 = and(_T_946, _T_941) @[el2_dec_dec_ctl.scala 133:67] - io.out.rs2_sign <= _T_947 @[el2_dec_dec_ctl.scala 133:19] - node _T_948 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] - node _T_949 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_950 = eq(_T_949, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_951 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_952 = eq(_T_951, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_953 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_954 = eq(_T_953, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_955 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_956 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_957 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_959 = and(_T_948, _T_950) @[el2_dec_dec_ctl.scala 134:62] - node _T_960 = and(_T_959, _T_952) @[el2_dec_dec_ctl.scala 134:62] - node _T_961 = and(_T_960, _T_954) @[el2_dec_dec_ctl.scala 134:62] - node _T_962 = and(_T_961, _T_955) @[el2_dec_dec_ctl.scala 134:62] - node _T_963 = and(_T_962, _T_956) @[el2_dec_dec_ctl.scala 134:62] - node _T_964 = and(_T_963, _T_958) @[el2_dec_dec_ctl.scala 134:62] - io.out.low <= _T_964 @[el2_dec_dec_ctl.scala 134:14] - node _T_965 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] - node _T_966 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_967 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_968 = eq(_T_967, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_969 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_970 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_971 = eq(_T_970, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_972 = and(_T_965, _T_966) @[el2_dec_dec_ctl.scala 135:54] - node _T_973 = and(_T_972, _T_968) @[el2_dec_dec_ctl.scala 135:54] - node _T_974 = and(_T_973, _T_969) @[el2_dec_dec_ctl.scala 135:54] - node _T_975 = and(_T_974, _T_971) @[el2_dec_dec_ctl.scala 135:54] - io.out.div <= _T_975 @[el2_dec_dec_ctl.scala 135:14] - node _T_976 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] - node _T_977 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_978 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_979 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_980 = eq(_T_979, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_981 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_982 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_983 = eq(_T_982, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_984 = and(_T_976, _T_977) @[el2_dec_dec_ctl.scala 136:57] - node _T_985 = and(_T_984, _T_978) @[el2_dec_dec_ctl.scala 136:57] - node _T_986 = and(_T_985, _T_980) @[el2_dec_dec_ctl.scala 136:57] - node _T_987 = and(_T_986, _T_981) @[el2_dec_dec_ctl.scala 136:57] - node _T_988 = and(_T_987, _T_983) @[el2_dec_dec_ctl.scala 136:57] - io.out.rem <= _T_988 @[el2_dec_dec_ctl.scala 136:14] - node _T_989 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_990 = eq(_T_989, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_991 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] - node _T_992 = and(_T_990, _T_991) @[el2_dec_dec_ctl.scala 137:47] - io.out.fence <= _T_992 @[el2_dec_dec_ctl.scala 137:16] - node _T_993 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_994 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_995 = eq(_T_994, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_996 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] - node _T_997 = and(_T_993, _T_995) @[el2_dec_dec_ctl.scala 138:52] - node _T_998 = and(_T_997, _T_996) @[el2_dec_dec_ctl.scala 138:52] - io.out.fence_i <= _T_998 @[el2_dec_dec_ctl.scala 138:18] - node _T_999 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:33] - node _T_1000 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:33] - node _T_1001 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1003 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_1004 = eq(_T_1003, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1005 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1006 = and(_T_999, _T_1000) @[el2_dec_dec_ctl.scala 139:59] - node _T_1007 = and(_T_1006, _T_1002) @[el2_dec_dec_ctl.scala 139:59] - node _T_1008 = and(_T_1007, _T_1004) @[el2_dec_dec_ctl.scala 139:59] - node _T_1009 = and(_T_1008, _T_1005) @[el2_dec_dec_ctl.scala 139:59] - node _T_1010 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1011 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] - node _T_1012 = and(_T_1010, _T_1011) @[el2_dec_dec_ctl.scala 139:92] - node _T_1013 = or(_T_1009, _T_1012) @[el2_dec_dec_ctl.scala 139:63] - node _T_1014 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_1015 = eq(_T_1014, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1016 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_1017 = eq(_T_1016, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1018 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1019 = and(_T_1015, _T_1017) @[el2_dec_dec_ctl.scala 140:37] - node _T_1020 = and(_T_1019, _T_1018) @[el2_dec_dec_ctl.scala 140:37] - node _T_1021 = or(_T_1013, _T_1020) @[el2_dec_dec_ctl.scala 139:96] - node _T_1022 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_1023 = eq(_T_1022, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1024 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1025 = and(_T_1023, _T_1024) @[el2_dec_dec_ctl.scala 140:71] - node _T_1026 = or(_T_1021, _T_1025) @[el2_dec_dec_ctl.scala 140:41] - io.out.pm_alu <= _T_1026 @[el2_dec_dec_ctl.scala 139:17] - node _T_1027 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_1028 = eq(_T_1027, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1029 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] - node _T_1030 = and(_T_1028, _T_1029) @[el2_dec_dec_ctl.scala 141:49] - node _T_1031 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1032 = eq(_T_1031, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1033 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:33] - node _T_1034 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1035 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1036 = and(_T_1032, _T_1033) @[el2_dec_dec_ctl.scala 141:88] - node _T_1037 = and(_T_1036, _T_1034) @[el2_dec_dec_ctl.scala 141:88] - node _T_1038 = and(_T_1037, _T_1035) @[el2_dec_dec_ctl.scala 141:88] - node _T_1039 = or(_T_1030, _T_1038) @[el2_dec_dec_ctl.scala 141:53] - node _T_1040 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1041 = eq(_T_1040, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1042 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:33] - node _T_1043 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1044 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1045 = and(_T_1041, _T_1042) @[el2_dec_dec_ctl.scala 142:38] - node _T_1046 = and(_T_1045, _T_1043) @[el2_dec_dec_ctl.scala 142:38] - node _T_1047 = and(_T_1046, _T_1044) @[el2_dec_dec_ctl.scala 142:38] - node _T_1048 = or(_T_1039, _T_1047) @[el2_dec_dec_ctl.scala 141:92] - node _T_1049 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1050 = eq(_T_1049, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1051 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] - node _T_1052 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1053 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1054 = and(_T_1050, _T_1051) @[el2_dec_dec_ctl.scala 142:77] - node _T_1055 = and(_T_1054, _T_1052) @[el2_dec_dec_ctl.scala 142:77] - node _T_1056 = and(_T_1055, _T_1053) @[el2_dec_dec_ctl.scala 142:77] - node _T_1057 = or(_T_1048, _T_1056) @[el2_dec_dec_ctl.scala 142:42] - node _T_1058 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1059 = eq(_T_1058, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1060 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] - node _T_1061 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1062 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1063 = and(_T_1059, _T_1060) @[el2_dec_dec_ctl.scala 143:38] - node _T_1064 = and(_T_1063, _T_1061) @[el2_dec_dec_ctl.scala 143:38] - node _T_1065 = and(_T_1064, _T_1062) @[el2_dec_dec_ctl.scala 143:38] - node _T_1066 = or(_T_1057, _T_1065) @[el2_dec_dec_ctl.scala 142:81] - node _T_1067 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1068 = eq(_T_1067, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1069 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:33] - node _T_1070 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1071 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1072 = and(_T_1068, _T_1069) @[el2_dec_dec_ctl.scala 143:78] - node _T_1073 = and(_T_1072, _T_1070) @[el2_dec_dec_ctl.scala 143:78] - node _T_1074 = and(_T_1073, _T_1071) @[el2_dec_dec_ctl.scala 143:78] - node _T_1075 = or(_T_1066, _T_1074) @[el2_dec_dec_ctl.scala 143:42] - node _T_1076 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1077 = eq(_T_1076, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1078 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:33] - node _T_1079 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1080 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1081 = and(_T_1077, _T_1078) @[el2_dec_dec_ctl.scala 144:39] - node _T_1082 = and(_T_1081, _T_1079) @[el2_dec_dec_ctl.scala 144:39] - node _T_1083 = and(_T_1082, _T_1080) @[el2_dec_dec_ctl.scala 144:39] - node _T_1084 = or(_T_1075, _T_1083) @[el2_dec_dec_ctl.scala 143:82] - node _T_1085 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] - node _T_1086 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_1087 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1088 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1089 = and(_T_1085, _T_1086) @[el2_dec_dec_ctl.scala 144:78] - node _T_1090 = and(_T_1089, _T_1087) @[el2_dec_dec_ctl.scala 144:78] - node _T_1091 = and(_T_1090, _T_1088) @[el2_dec_dec_ctl.scala 144:78] - node _T_1092 = or(_T_1084, _T_1091) @[el2_dec_dec_ctl.scala 144:43] - node _T_1093 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] - node _T_1094 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_1095 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1096 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1097 = and(_T_1093, _T_1094) @[el2_dec_dec_ctl.scala 145:38] - node _T_1098 = and(_T_1097, _T_1095) @[el2_dec_dec_ctl.scala 145:38] - node _T_1099 = and(_T_1098, _T_1096) @[el2_dec_dec_ctl.scala 145:38] - node _T_1100 = or(_T_1092, _T_1099) @[el2_dec_dec_ctl.scala 144:82] - node _T_1101 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] - node _T_1102 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_1103 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1104 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1105 = and(_T_1101, _T_1102) @[el2_dec_dec_ctl.scala 145:77] - node _T_1106 = and(_T_1105, _T_1103) @[el2_dec_dec_ctl.scala 145:77] - node _T_1107 = and(_T_1106, _T_1104) @[el2_dec_dec_ctl.scala 145:77] - node _T_1108 = or(_T_1100, _T_1107) @[el2_dec_dec_ctl.scala 145:42] - node _T_1109 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] - node _T_1110 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_1111 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1112 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1113 = and(_T_1109, _T_1110) @[el2_dec_dec_ctl.scala 146:38] - node _T_1114 = and(_T_1113, _T_1111) @[el2_dec_dec_ctl.scala 146:38] - node _T_1115 = and(_T_1114, _T_1112) @[el2_dec_dec_ctl.scala 146:38] - node _T_1116 = or(_T_1108, _T_1115) @[el2_dec_dec_ctl.scala 145:81] - node _T_1117 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] - node _T_1118 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_1119 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1120 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1121 = and(_T_1117, _T_1118) @[el2_dec_dec_ctl.scala 146:77] - node _T_1122 = and(_T_1121, _T_1119) @[el2_dec_dec_ctl.scala 146:77] - node _T_1123 = and(_T_1122, _T_1120) @[el2_dec_dec_ctl.scala 146:77] - node _T_1124 = or(_T_1116, _T_1123) @[el2_dec_dec_ctl.scala 146:42] - io.out.presync <= _T_1124 @[el2_dec_dec_ctl.scala 141:18] - node _T_1125 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_1126 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_1127 = eq(_T_1126, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1128 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] - node _T_1129 = and(_T_1125, _T_1127) @[el2_dec_dec_ctl.scala 147:53] - node _T_1130 = and(_T_1129, _T_1128) @[el2_dec_dec_ctl.scala 147:53] - node _T_1131 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] - node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1133 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1134 = eq(_T_1133, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1135 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_1136 = eq(_T_1135, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1137 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1138 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1139 = and(_T_1132, _T_1134) @[el2_dec_dec_ctl.scala 147:98] - node _T_1140 = and(_T_1139, _T_1136) @[el2_dec_dec_ctl.scala 147:98] - node _T_1141 = and(_T_1140, _T_1137) @[el2_dec_dec_ctl.scala 147:98] - node _T_1142 = and(_T_1141, _T_1138) @[el2_dec_dec_ctl.scala 147:98] - node _T_1143 = or(_T_1130, _T_1142) @[el2_dec_dec_ctl.scala 147:57] - node _T_1144 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1145 = eq(_T_1144, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1146 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:33] - node _T_1147 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1148 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1149 = and(_T_1145, _T_1146) @[el2_dec_dec_ctl.scala 148:38] - node _T_1150 = and(_T_1149, _T_1147) @[el2_dec_dec_ctl.scala 148:38] - node _T_1151 = and(_T_1150, _T_1148) @[el2_dec_dec_ctl.scala 148:38] - node _T_1152 = or(_T_1143, _T_1151) @[el2_dec_dec_ctl.scala 147:102] - node _T_1153 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1154 = eq(_T_1153, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1155 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:33] - node _T_1156 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1157 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1158 = and(_T_1154, _T_1155) @[el2_dec_dec_ctl.scala 148:77] - node _T_1159 = and(_T_1158, _T_1156) @[el2_dec_dec_ctl.scala 148:77] - node _T_1160 = and(_T_1159, _T_1157) @[el2_dec_dec_ctl.scala 148:77] - node _T_1161 = or(_T_1152, _T_1160) @[el2_dec_dec_ctl.scala 148:42] - node _T_1162 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1163 = eq(_T_1162, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1164 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] - node _T_1165 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1166 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1167 = and(_T_1163, _T_1164) @[el2_dec_dec_ctl.scala 149:38] - node _T_1168 = and(_T_1167, _T_1165) @[el2_dec_dec_ctl.scala 149:38] - node _T_1169 = and(_T_1168, _T_1166) @[el2_dec_dec_ctl.scala 149:38] - node _T_1170 = or(_T_1161, _T_1169) @[el2_dec_dec_ctl.scala 148:81] - node _T_1171 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1172 = eq(_T_1171, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1173 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:33] - node _T_1174 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1175 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1176 = and(_T_1172, _T_1173) @[el2_dec_dec_ctl.scala 149:78] - node _T_1177 = and(_T_1176, _T_1174) @[el2_dec_dec_ctl.scala 149:78] - node _T_1178 = and(_T_1177, _T_1175) @[el2_dec_dec_ctl.scala 149:78] - node _T_1179 = or(_T_1170, _T_1178) @[el2_dec_dec_ctl.scala 149:42] - node _T_1180 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1181 = eq(_T_1180, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1182 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:33] - node _T_1183 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1184 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1185 = and(_T_1181, _T_1182) @[el2_dec_dec_ctl.scala 150:39] - node _T_1186 = and(_T_1185, _T_1183) @[el2_dec_dec_ctl.scala 150:39] - node _T_1187 = and(_T_1186, _T_1184) @[el2_dec_dec_ctl.scala 150:39] - node _T_1188 = or(_T_1179, _T_1187) @[el2_dec_dec_ctl.scala 149:82] - node _T_1189 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] - node _T_1190 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_1191 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1192 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1193 = and(_T_1189, _T_1190) @[el2_dec_dec_ctl.scala 150:78] - node _T_1194 = and(_T_1193, _T_1191) @[el2_dec_dec_ctl.scala 150:78] - node _T_1195 = and(_T_1194, _T_1192) @[el2_dec_dec_ctl.scala 150:78] - node _T_1196 = or(_T_1188, _T_1195) @[el2_dec_dec_ctl.scala 150:43] - node _T_1197 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] - node _T_1198 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_1199 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1200 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1201 = and(_T_1197, _T_1198) @[el2_dec_dec_ctl.scala 151:38] - node _T_1202 = and(_T_1201, _T_1199) @[el2_dec_dec_ctl.scala 151:38] - node _T_1203 = and(_T_1202, _T_1200) @[el2_dec_dec_ctl.scala 151:38] - node _T_1204 = or(_T_1196, _T_1203) @[el2_dec_dec_ctl.scala 150:82] - node _T_1205 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] - node _T_1206 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_1207 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1208 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1209 = and(_T_1205, _T_1206) @[el2_dec_dec_ctl.scala 151:77] - node _T_1210 = and(_T_1209, _T_1207) @[el2_dec_dec_ctl.scala 151:77] - node _T_1211 = and(_T_1210, _T_1208) @[el2_dec_dec_ctl.scala 151:77] - node _T_1212 = or(_T_1204, _T_1211) @[el2_dec_dec_ctl.scala 151:42] - node _T_1213 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] - node _T_1214 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_1215 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1216 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1217 = and(_T_1213, _T_1214) @[el2_dec_dec_ctl.scala 152:38] - node _T_1218 = and(_T_1217, _T_1215) @[el2_dec_dec_ctl.scala 152:38] - node _T_1219 = and(_T_1218, _T_1216) @[el2_dec_dec_ctl.scala 152:38] - node _T_1220 = or(_T_1212, _T_1219) @[el2_dec_dec_ctl.scala 151:81] - node _T_1221 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] - node _T_1222 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_1223 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1224 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1225 = and(_T_1221, _T_1222) @[el2_dec_dec_ctl.scala 152:77] - node _T_1226 = and(_T_1225, _T_1223) @[el2_dec_dec_ctl.scala 152:77] - node _T_1227 = and(_T_1226, _T_1224) @[el2_dec_dec_ctl.scala 152:77] - node _T_1228 = or(_T_1220, _T_1227) @[el2_dec_dec_ctl.scala 152:42] - io.out.postsync <= _T_1228 @[el2_dec_dec_ctl.scala 147:19] - node _T_1229 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] - node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1231 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] - node _T_1232 = eq(_T_1231, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1233 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:33] - node _T_1234 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:33] - node _T_1235 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] - node _T_1236 = eq(_T_1235, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1237 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] - node _T_1238 = eq(_T_1237, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1239 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1241 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 67:52] - node _T_1242 = eq(_T_1241, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1243 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 67:52] - node _T_1244 = eq(_T_1243, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1245 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] - node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1247 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:33] - node _T_1248 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:52] - node _T_1249 = eq(_T_1248, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1250 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] - node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1252 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] - node _T_1253 = eq(_T_1252, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1254 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] - node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1256 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] - node _T_1257 = eq(_T_1256, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1258 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] - node _T_1259 = eq(_T_1258, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1260 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_1261 = eq(_T_1260, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1262 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] - node _T_1263 = eq(_T_1262, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1264 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] - node _T_1265 = eq(_T_1264, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1266 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] - node _T_1267 = eq(_T_1266, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1268 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] - node _T_1269 = eq(_T_1268, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1270 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] - node _T_1271 = eq(_T_1270, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1272 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1273 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_1274 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1275 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1276 = eq(_T_1275, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1277 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_1278 = eq(_T_1277, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1279 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1280 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1281 = eq(_T_1280, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1282 = and(_T_1230, _T_1232) @[el2_dec_dec_ctl.scala 153:144] - node _T_1283 = and(_T_1282, _T_1233) @[el2_dec_dec_ctl.scala 153:144] - node _T_1284 = and(_T_1283, _T_1234) @[el2_dec_dec_ctl.scala 153:144] - node _T_1285 = and(_T_1284, _T_1236) @[el2_dec_dec_ctl.scala 153:144] - node _T_1286 = and(_T_1285, _T_1238) @[el2_dec_dec_ctl.scala 153:144] - node _T_1287 = and(_T_1286, _T_1240) @[el2_dec_dec_ctl.scala 153:144] - node _T_1288 = and(_T_1287, _T_1242) @[el2_dec_dec_ctl.scala 153:144] - node _T_1289 = and(_T_1288, _T_1244) @[el2_dec_dec_ctl.scala 153:144] - node _T_1290 = and(_T_1289, _T_1246) @[el2_dec_dec_ctl.scala 153:144] - node _T_1291 = and(_T_1290, _T_1247) @[el2_dec_dec_ctl.scala 153:144] - node _T_1292 = and(_T_1291, _T_1249) @[el2_dec_dec_ctl.scala 153:144] - node _T_1293 = and(_T_1292, _T_1251) @[el2_dec_dec_ctl.scala 153:144] - node _T_1294 = and(_T_1293, _T_1253) @[el2_dec_dec_ctl.scala 153:144] - node _T_1295 = and(_T_1294, _T_1255) @[el2_dec_dec_ctl.scala 153:144] - node _T_1296 = and(_T_1295, _T_1257) @[el2_dec_dec_ctl.scala 153:144] - node _T_1297 = and(_T_1296, _T_1259) @[el2_dec_dec_ctl.scala 153:144] - node _T_1298 = and(_T_1297, _T_1261) @[el2_dec_dec_ctl.scala 153:144] - node _T_1299 = and(_T_1298, _T_1263) @[el2_dec_dec_ctl.scala 153:144] - node _T_1300 = and(_T_1299, _T_1265) @[el2_dec_dec_ctl.scala 153:144] - node _T_1301 = and(_T_1300, _T_1267) @[el2_dec_dec_ctl.scala 153:144] - node _T_1302 = and(_T_1301, _T_1269) @[el2_dec_dec_ctl.scala 153:144] - node _T_1303 = and(_T_1302, _T_1271) @[el2_dec_dec_ctl.scala 153:144] - node _T_1304 = and(_T_1303, _T_1272) @[el2_dec_dec_ctl.scala 153:144] - node _T_1305 = and(_T_1304, _T_1273) @[el2_dec_dec_ctl.scala 153:144] - node _T_1306 = and(_T_1305, _T_1274) @[el2_dec_dec_ctl.scala 153:144] - node _T_1307 = and(_T_1306, _T_1276) @[el2_dec_dec_ctl.scala 153:144] - node _T_1308 = and(_T_1307, _T_1278) @[el2_dec_dec_ctl.scala 153:144] - node _T_1309 = and(_T_1308, _T_1279) @[el2_dec_dec_ctl.scala 153:144] - node _T_1310 = and(_T_1309, _T_1281) @[el2_dec_dec_ctl.scala 153:144] - node _T_1311 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] - node _T_1312 = eq(_T_1311, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1313 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] - node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1315 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] - node _T_1316 = eq(_T_1315, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1317 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:33] - node _T_1318 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] - node _T_1319 = eq(_T_1318, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1320 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] - node _T_1321 = eq(_T_1320, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1322 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1324 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 67:52] - node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1326 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 67:52] - node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1328 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:33] - node _T_1329 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:52] - node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1331 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:33] - node _T_1332 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] - node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1334 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] - node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1336 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] - node _T_1337 = eq(_T_1336, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1338 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] - node _T_1339 = eq(_T_1338, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1340 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] - node _T_1341 = eq(_T_1340, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1342 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_1343 = eq(_T_1342, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1344 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] - node _T_1345 = eq(_T_1344, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1346 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] - node _T_1347 = eq(_T_1346, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1348 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] - node _T_1349 = eq(_T_1348, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1350 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] - node _T_1351 = eq(_T_1350, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1352 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] - node _T_1353 = eq(_T_1352, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1354 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1355 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_1356 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1357 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1358 = eq(_T_1357, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1359 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_1360 = eq(_T_1359, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1361 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1362 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1363 = eq(_T_1362, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1364 = and(_T_1312, _T_1314) @[el2_dec_dec_ctl.scala 154:130] - node _T_1365 = and(_T_1364, _T_1316) @[el2_dec_dec_ctl.scala 154:130] - node _T_1366 = and(_T_1365, _T_1317) @[el2_dec_dec_ctl.scala 154:130] - node _T_1367 = and(_T_1366, _T_1319) @[el2_dec_dec_ctl.scala 154:130] - node _T_1368 = and(_T_1367, _T_1321) @[el2_dec_dec_ctl.scala 154:130] - node _T_1369 = and(_T_1368, _T_1323) @[el2_dec_dec_ctl.scala 154:130] - node _T_1370 = and(_T_1369, _T_1325) @[el2_dec_dec_ctl.scala 154:130] - node _T_1371 = and(_T_1370, _T_1327) @[el2_dec_dec_ctl.scala 154:130] - node _T_1372 = and(_T_1371, _T_1328) @[el2_dec_dec_ctl.scala 154:130] - node _T_1373 = and(_T_1372, _T_1330) @[el2_dec_dec_ctl.scala 154:130] - node _T_1374 = and(_T_1373, _T_1331) @[el2_dec_dec_ctl.scala 154:130] - node _T_1375 = and(_T_1374, _T_1333) @[el2_dec_dec_ctl.scala 154:130] - node _T_1376 = and(_T_1375, _T_1335) @[el2_dec_dec_ctl.scala 154:130] - node _T_1377 = and(_T_1376, _T_1337) @[el2_dec_dec_ctl.scala 154:130] - node _T_1378 = and(_T_1377, _T_1339) @[el2_dec_dec_ctl.scala 154:130] - node _T_1379 = and(_T_1378, _T_1341) @[el2_dec_dec_ctl.scala 154:130] - node _T_1380 = and(_T_1379, _T_1343) @[el2_dec_dec_ctl.scala 154:130] - node _T_1381 = and(_T_1380, _T_1345) @[el2_dec_dec_ctl.scala 154:130] - node _T_1382 = and(_T_1381, _T_1347) @[el2_dec_dec_ctl.scala 154:130] - node _T_1383 = and(_T_1382, _T_1349) @[el2_dec_dec_ctl.scala 154:130] - node _T_1384 = and(_T_1383, _T_1351) @[el2_dec_dec_ctl.scala 154:130] - node _T_1385 = and(_T_1384, _T_1353) @[el2_dec_dec_ctl.scala 154:130] - node _T_1386 = and(_T_1385, _T_1354) @[el2_dec_dec_ctl.scala 154:130] - node _T_1387 = and(_T_1386, _T_1355) @[el2_dec_dec_ctl.scala 154:130] - node _T_1388 = and(_T_1387, _T_1356) @[el2_dec_dec_ctl.scala 154:130] - node _T_1389 = and(_T_1388, _T_1358) @[el2_dec_dec_ctl.scala 154:130] - node _T_1390 = and(_T_1389, _T_1360) @[el2_dec_dec_ctl.scala 154:130] - node _T_1391 = and(_T_1390, _T_1361) @[el2_dec_dec_ctl.scala 154:130] - node _T_1392 = and(_T_1391, _T_1363) @[el2_dec_dec_ctl.scala 154:130] - node _T_1393 = or(_T_1310, _T_1392) @[el2_dec_dec_ctl.scala 153:148] - node _T_1394 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] - node _T_1395 = eq(_T_1394, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1396 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] - node _T_1397 = eq(_T_1396, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1398 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] - node _T_1399 = eq(_T_1398, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1400 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] - node _T_1401 = eq(_T_1400, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1402 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] - node _T_1403 = eq(_T_1402, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1404 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] - node _T_1405 = eq(_T_1404, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1406 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_1407 = eq(_T_1406, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1408 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 67:52] - node _T_1409 = eq(_T_1408, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1410 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 67:52] - node _T_1411 = eq(_T_1410, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1412 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] - node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1414 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:52] - node _T_1415 = eq(_T_1414, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1416 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] - node _T_1417 = eq(_T_1416, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1418 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] - node _T_1419 = eq(_T_1418, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1420 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] - node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1422 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] - node _T_1423 = eq(_T_1422, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1424 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] - node _T_1425 = eq(_T_1424, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1426 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_1427 = eq(_T_1426, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1428 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] - node _T_1429 = eq(_T_1428, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1430 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] - node _T_1431 = eq(_T_1430, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1432 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] - node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1434 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] - node _T_1435 = eq(_T_1434, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1436 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] - node _T_1437 = eq(_T_1436, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1438 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_1439 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1440 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1441 = eq(_T_1440, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1442 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_1443 = eq(_T_1442, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1444 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1445 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1446 = eq(_T_1445, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1447 = and(_T_1395, _T_1397) @[el2_dec_dec_ctl.scala 155:127] - node _T_1448 = and(_T_1447, _T_1399) @[el2_dec_dec_ctl.scala 155:127] - node _T_1449 = and(_T_1448, _T_1401) @[el2_dec_dec_ctl.scala 155:127] - node _T_1450 = and(_T_1449, _T_1403) @[el2_dec_dec_ctl.scala 155:127] - node _T_1451 = and(_T_1450, _T_1405) @[el2_dec_dec_ctl.scala 155:127] - node _T_1452 = and(_T_1451, _T_1407) @[el2_dec_dec_ctl.scala 155:127] - node _T_1453 = and(_T_1452, _T_1409) @[el2_dec_dec_ctl.scala 155:127] - node _T_1454 = and(_T_1453, _T_1411) @[el2_dec_dec_ctl.scala 155:127] - node _T_1455 = and(_T_1454, _T_1413) @[el2_dec_dec_ctl.scala 155:127] - node _T_1456 = and(_T_1455, _T_1415) @[el2_dec_dec_ctl.scala 155:127] - node _T_1457 = and(_T_1456, _T_1417) @[el2_dec_dec_ctl.scala 155:127] - node _T_1458 = and(_T_1457, _T_1419) @[el2_dec_dec_ctl.scala 155:127] - node _T_1459 = and(_T_1458, _T_1421) @[el2_dec_dec_ctl.scala 155:127] - node _T_1460 = and(_T_1459, _T_1423) @[el2_dec_dec_ctl.scala 155:127] - node _T_1461 = and(_T_1460, _T_1425) @[el2_dec_dec_ctl.scala 155:127] - node _T_1462 = and(_T_1461, _T_1427) @[el2_dec_dec_ctl.scala 155:127] - node _T_1463 = and(_T_1462, _T_1429) @[el2_dec_dec_ctl.scala 155:127] - node _T_1464 = and(_T_1463, _T_1431) @[el2_dec_dec_ctl.scala 155:127] - node _T_1465 = and(_T_1464, _T_1433) @[el2_dec_dec_ctl.scala 155:127] - node _T_1466 = and(_T_1465, _T_1435) @[el2_dec_dec_ctl.scala 155:127] - node _T_1467 = and(_T_1466, _T_1437) @[el2_dec_dec_ctl.scala 155:127] - node _T_1468 = and(_T_1467, _T_1438) @[el2_dec_dec_ctl.scala 155:127] - node _T_1469 = and(_T_1468, _T_1439) @[el2_dec_dec_ctl.scala 155:127] - node _T_1470 = and(_T_1469, _T_1441) @[el2_dec_dec_ctl.scala 155:127] - node _T_1471 = and(_T_1470, _T_1443) @[el2_dec_dec_ctl.scala 155:127] - node _T_1472 = and(_T_1471, _T_1444) @[el2_dec_dec_ctl.scala 155:127] - node _T_1473 = and(_T_1472, _T_1446) @[el2_dec_dec_ctl.scala 155:127] - node _T_1474 = or(_T_1393, _T_1473) @[el2_dec_dec_ctl.scala 154:134] - node _T_1475 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] - node _T_1476 = eq(_T_1475, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1477 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] - node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1479 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] - node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1481 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] - node _T_1482 = eq(_T_1481, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1483 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] - node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1485 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] - node _T_1486 = eq(_T_1485, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1487 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_1488 = eq(_T_1487, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1489 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_1490 = eq(_T_1489, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1491 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1492 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1494 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1495 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1496 = eq(_T_1495, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1497 = and(_T_1476, _T_1478) @[el2_dec_dec_ctl.scala 156:68] - node _T_1498 = and(_T_1497, _T_1480) @[el2_dec_dec_ctl.scala 156:68] - node _T_1499 = and(_T_1498, _T_1482) @[el2_dec_dec_ctl.scala 156:68] - node _T_1500 = and(_T_1499, _T_1484) @[el2_dec_dec_ctl.scala 156:68] - node _T_1501 = and(_T_1500, _T_1486) @[el2_dec_dec_ctl.scala 156:68] - node _T_1502 = and(_T_1501, _T_1488) @[el2_dec_dec_ctl.scala 156:68] - node _T_1503 = and(_T_1502, _T_1490) @[el2_dec_dec_ctl.scala 156:68] - node _T_1504 = and(_T_1503, _T_1491) @[el2_dec_dec_ctl.scala 156:68] - node _T_1505 = and(_T_1504, _T_1493) @[el2_dec_dec_ctl.scala 156:68] - node _T_1506 = and(_T_1505, _T_1494) @[el2_dec_dec_ctl.scala 156:68] - node _T_1507 = and(_T_1506, _T_1496) @[el2_dec_dec_ctl.scala 156:68] - node _T_1508 = or(_T_1474, _T_1507) @[el2_dec_dec_ctl.scala 155:131] - node _T_1509 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] - node _T_1510 = eq(_T_1509, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1511 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] - node _T_1512 = eq(_T_1511, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1513 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] - node _T_1514 = eq(_T_1513, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1515 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] - node _T_1516 = eq(_T_1515, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1517 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] - node _T_1518 = eq(_T_1517, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1519 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_1520 = eq(_T_1519, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1521 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_1522 = eq(_T_1521, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1523 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1524 = eq(_T_1523, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1525 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_1526 = eq(_T_1525, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1527 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_1528 = eq(_T_1527, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1529 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1530 = eq(_T_1529, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1531 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_1532 = eq(_T_1531, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1533 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1534 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1536 = and(_T_1510, _T_1512) @[el2_dec_dec_ctl.scala 157:77] - node _T_1537 = and(_T_1536, _T_1514) @[el2_dec_dec_ctl.scala 157:77] - node _T_1538 = and(_T_1537, _T_1516) @[el2_dec_dec_ctl.scala 157:77] - node _T_1539 = and(_T_1538, _T_1518) @[el2_dec_dec_ctl.scala 157:77] - node _T_1540 = and(_T_1539, _T_1520) @[el2_dec_dec_ctl.scala 157:77] - node _T_1541 = and(_T_1540, _T_1522) @[el2_dec_dec_ctl.scala 157:77] - node _T_1542 = and(_T_1541, _T_1524) @[el2_dec_dec_ctl.scala 157:77] - node _T_1543 = and(_T_1542, _T_1526) @[el2_dec_dec_ctl.scala 157:77] - node _T_1544 = and(_T_1543, _T_1528) @[el2_dec_dec_ctl.scala 157:77] - node _T_1545 = and(_T_1544, _T_1530) @[el2_dec_dec_ctl.scala 157:77] - node _T_1546 = and(_T_1545, _T_1532) @[el2_dec_dec_ctl.scala 157:77] - node _T_1547 = and(_T_1546, _T_1533) @[el2_dec_dec_ctl.scala 157:77] - node _T_1548 = and(_T_1547, _T_1535) @[el2_dec_dec_ctl.scala 157:77] - node _T_1549 = or(_T_1508, _T_1548) @[el2_dec_dec_ctl.scala 156:72] - node _T_1550 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] - node _T_1551 = eq(_T_1550, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1552 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] - node _T_1553 = eq(_T_1552, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1554 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] - node _T_1555 = eq(_T_1554, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1556 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] - node _T_1557 = eq(_T_1556, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1558 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] - node _T_1559 = eq(_T_1558, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1560 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_1561 = eq(_T_1560, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1562 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_1563 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1564 = eq(_T_1563, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1565 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_1566 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_1567 = eq(_T_1566, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1568 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1569 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1571 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1572 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1573 = eq(_T_1572, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1574 = and(_T_1551, _T_1553) @[el2_dec_dec_ctl.scala 158:74] - node _T_1575 = and(_T_1574, _T_1555) @[el2_dec_dec_ctl.scala 158:74] - node _T_1576 = and(_T_1575, _T_1557) @[el2_dec_dec_ctl.scala 158:74] - node _T_1577 = and(_T_1576, _T_1559) @[el2_dec_dec_ctl.scala 158:74] - node _T_1578 = and(_T_1577, _T_1561) @[el2_dec_dec_ctl.scala 158:74] - node _T_1579 = and(_T_1578, _T_1562) @[el2_dec_dec_ctl.scala 158:74] - node _T_1580 = and(_T_1579, _T_1564) @[el2_dec_dec_ctl.scala 158:74] - node _T_1581 = and(_T_1580, _T_1565) @[el2_dec_dec_ctl.scala 158:74] - node _T_1582 = and(_T_1581, _T_1567) @[el2_dec_dec_ctl.scala 158:74] - node _T_1583 = and(_T_1582, _T_1568) @[el2_dec_dec_ctl.scala 158:74] - node _T_1584 = and(_T_1583, _T_1570) @[el2_dec_dec_ctl.scala 158:74] - node _T_1585 = and(_T_1584, _T_1571) @[el2_dec_dec_ctl.scala 158:74] - node _T_1586 = and(_T_1585, _T_1573) @[el2_dec_dec_ctl.scala 158:74] - node _T_1587 = or(_T_1549, _T_1586) @[el2_dec_dec_ctl.scala 157:81] - node _T_1588 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] - node _T_1589 = eq(_T_1588, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1590 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] - node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1592 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] - node _T_1593 = eq(_T_1592, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1594 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] - node _T_1595 = eq(_T_1594, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1596 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] - node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1598 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] - node _T_1599 = eq(_T_1598, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1600 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_1601 = eq(_T_1600, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1602 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_1603 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1604 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1605 = eq(_T_1604, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1606 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1607 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1608 = eq(_T_1607, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1609 = and(_T_1589, _T_1591) @[el2_dec_dec_ctl.scala 159:66] - node _T_1610 = and(_T_1609, _T_1593) @[el2_dec_dec_ctl.scala 159:66] - node _T_1611 = and(_T_1610, _T_1595) @[el2_dec_dec_ctl.scala 159:66] - node _T_1612 = and(_T_1611, _T_1597) @[el2_dec_dec_ctl.scala 159:66] - node _T_1613 = and(_T_1612, _T_1599) @[el2_dec_dec_ctl.scala 159:66] - node _T_1614 = and(_T_1613, _T_1601) @[el2_dec_dec_ctl.scala 159:66] - node _T_1615 = and(_T_1614, _T_1602) @[el2_dec_dec_ctl.scala 159:66] - node _T_1616 = and(_T_1615, _T_1603) @[el2_dec_dec_ctl.scala 159:66] - node _T_1617 = and(_T_1616, _T_1605) @[el2_dec_dec_ctl.scala 159:66] - node _T_1618 = and(_T_1617, _T_1606) @[el2_dec_dec_ctl.scala 159:66] - node _T_1619 = and(_T_1618, _T_1608) @[el2_dec_dec_ctl.scala 159:66] - node _T_1620 = or(_T_1587, _T_1619) @[el2_dec_dec_ctl.scala 158:78] - node _T_1621 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_1622 = eq(_T_1621, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1623 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1624 = eq(_T_1623, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1625 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_1626 = eq(_T_1625, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1627 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1628 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_1629 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_1630 = eq(_T_1629, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1631 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1632 = eq(_T_1631, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1633 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1634 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1635 = eq(_T_1634, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1636 = and(_T_1622, _T_1624) @[el2_dec_dec_ctl.scala 160:54] - node _T_1637 = and(_T_1636, _T_1626) @[el2_dec_dec_ctl.scala 160:54] - node _T_1638 = and(_T_1637, _T_1627) @[el2_dec_dec_ctl.scala 160:54] - node _T_1639 = and(_T_1638, _T_1628) @[el2_dec_dec_ctl.scala 160:54] - node _T_1640 = and(_T_1639, _T_1630) @[el2_dec_dec_ctl.scala 160:54] - node _T_1641 = and(_T_1640, _T_1632) @[el2_dec_dec_ctl.scala 160:54] - node _T_1642 = and(_T_1641, _T_1633) @[el2_dec_dec_ctl.scala 160:54] - node _T_1643 = and(_T_1642, _T_1635) @[el2_dec_dec_ctl.scala 160:54] - node _T_1644 = or(_T_1620, _T_1643) @[el2_dec_dec_ctl.scala 159:70] - node _T_1645 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] - node _T_1646 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1647 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_1648 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_1649 = eq(_T_1648, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1650 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1651 = eq(_T_1650, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1652 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_1653 = eq(_T_1652, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1654 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1655 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1656 = eq(_T_1655, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1657 = and(_T_1645, _T_1646) @[el2_dec_dec_ctl.scala 161:48] - node _T_1658 = and(_T_1657, _T_1647) @[el2_dec_dec_ctl.scala 161:48] - node _T_1659 = and(_T_1658, _T_1649) @[el2_dec_dec_ctl.scala 161:48] - node _T_1660 = and(_T_1659, _T_1651) @[el2_dec_dec_ctl.scala 161:48] - node _T_1661 = and(_T_1660, _T_1653) @[el2_dec_dec_ctl.scala 161:48] - node _T_1662 = and(_T_1661, _T_1654) @[el2_dec_dec_ctl.scala 161:48] - node _T_1663 = and(_T_1662, _T_1656) @[el2_dec_dec_ctl.scala 161:48] - node _T_1664 = or(_T_1644, _T_1663) @[el2_dec_dec_ctl.scala 160:58] - node _T_1665 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_1666 = eq(_T_1665, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1667 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_1668 = eq(_T_1667, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1669 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_1670 = eq(_T_1669, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1671 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1672 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1673 = eq(_T_1672, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1674 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1675 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1676 = eq(_T_1675, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1677 = and(_T_1666, _T_1668) @[el2_dec_dec_ctl.scala 162:47] - node _T_1678 = and(_T_1677, _T_1670) @[el2_dec_dec_ctl.scala 162:47] - node _T_1679 = and(_T_1678, _T_1671) @[el2_dec_dec_ctl.scala 162:47] - node _T_1680 = and(_T_1679, _T_1673) @[el2_dec_dec_ctl.scala 162:47] - node _T_1681 = and(_T_1680, _T_1674) @[el2_dec_dec_ctl.scala 162:47] - node _T_1682 = and(_T_1681, _T_1676) @[el2_dec_dec_ctl.scala 162:47] - node _T_1683 = or(_T_1664, _T_1682) @[el2_dec_dec_ctl.scala 161:52] - node _T_1684 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_1685 = eq(_T_1684, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1686 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1688 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_1689 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_1690 = eq(_T_1689, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1691 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1692 = eq(_T_1691, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1693 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_1694 = eq(_T_1693, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1695 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1696 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1697 = eq(_T_1696, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1698 = and(_T_1685, _T_1687) @[el2_dec_dec_ctl.scala 162:99] - node _T_1699 = and(_T_1698, _T_1688) @[el2_dec_dec_ctl.scala 162:99] - node _T_1700 = and(_T_1699, _T_1690) @[el2_dec_dec_ctl.scala 162:99] - node _T_1701 = and(_T_1700, _T_1692) @[el2_dec_dec_ctl.scala 162:99] - node _T_1702 = and(_T_1701, _T_1694) @[el2_dec_dec_ctl.scala 162:99] - node _T_1703 = and(_T_1702, _T_1695) @[el2_dec_dec_ctl.scala 162:99] - node _T_1704 = and(_T_1703, _T_1697) @[el2_dec_dec_ctl.scala 162:99] - node _T_1705 = or(_T_1683, _T_1704) @[el2_dec_dec_ctl.scala 162:51] - node _T_1706 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] - node _T_1707 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1708 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_1709 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1710 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1711 = eq(_T_1710, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1712 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_1713 = eq(_T_1712, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1714 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1715 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1716 = eq(_T_1715, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1717 = and(_T_1706, _T_1707) @[el2_dec_dec_ctl.scala 163:47] - node _T_1718 = and(_T_1717, _T_1708) @[el2_dec_dec_ctl.scala 163:47] - node _T_1719 = and(_T_1718, _T_1709) @[el2_dec_dec_ctl.scala 163:47] - node _T_1720 = and(_T_1719, _T_1711) @[el2_dec_dec_ctl.scala 163:47] - node _T_1721 = and(_T_1720, _T_1713) @[el2_dec_dec_ctl.scala 163:47] - node _T_1722 = and(_T_1721, _T_1714) @[el2_dec_dec_ctl.scala 163:47] - node _T_1723 = and(_T_1722, _T_1716) @[el2_dec_dec_ctl.scala 163:47] - node _T_1724 = or(_T_1705, _T_1723) @[el2_dec_dec_ctl.scala 162:103] - node _T_1725 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] - node _T_1726 = eq(_T_1725, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1727 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] - node _T_1728 = eq(_T_1727, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1729 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] - node _T_1730 = eq(_T_1729, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1731 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] - node _T_1732 = eq(_T_1731, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1733 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] - node _T_1734 = eq(_T_1733, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1735 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] - node _T_1736 = eq(_T_1735, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1737 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] - node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1739 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 67:52] - node _T_1740 = eq(_T_1739, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1741 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 67:52] - node _T_1742 = eq(_T_1741, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1743 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] - node _T_1744 = eq(_T_1743, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1745 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:52] - node _T_1746 = eq(_T_1745, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1747 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:52] - node _T_1748 = eq(_T_1747, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1749 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] - node _T_1750 = eq(_T_1749, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1751 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] - node _T_1752 = eq(_T_1751, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1753 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] - node _T_1754 = eq(_T_1753, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1755 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] - node _T_1756 = eq(_T_1755, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1757 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] - node _T_1758 = eq(_T_1757, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1759 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_1760 = eq(_T_1759, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1761 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1762 = eq(_T_1761, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1763 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_1764 = eq(_T_1763, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1765 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] - node _T_1766 = eq(_T_1765, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1767 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] - node _T_1768 = eq(_T_1767, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1769 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] - node _T_1770 = eq(_T_1769, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1771 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] - node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1773 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] - node _T_1774 = eq(_T_1773, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1775 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_1776 = eq(_T_1775, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1777 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_1778 = eq(_T_1777, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1779 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_1780 = eq(_T_1779, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1781 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] - node _T_1782 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] - node _T_1783 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1784 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1785 = eq(_T_1784, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1786 = and(_T_1726, _T_1728) @[el2_dec_dec_ctl.scala 164:142] - node _T_1787 = and(_T_1786, _T_1730) @[el2_dec_dec_ctl.scala 164:142] - node _T_1788 = and(_T_1787, _T_1732) @[el2_dec_dec_ctl.scala 164:142] - node _T_1789 = and(_T_1788, _T_1734) @[el2_dec_dec_ctl.scala 164:142] - node _T_1790 = and(_T_1789, _T_1736) @[el2_dec_dec_ctl.scala 164:142] - node _T_1791 = and(_T_1790, _T_1738) @[el2_dec_dec_ctl.scala 164:142] - node _T_1792 = and(_T_1791, _T_1740) @[el2_dec_dec_ctl.scala 164:142] - node _T_1793 = and(_T_1792, _T_1742) @[el2_dec_dec_ctl.scala 164:142] - node _T_1794 = and(_T_1793, _T_1744) @[el2_dec_dec_ctl.scala 164:142] - node _T_1795 = and(_T_1794, _T_1746) @[el2_dec_dec_ctl.scala 164:142] - node _T_1796 = and(_T_1795, _T_1748) @[el2_dec_dec_ctl.scala 164:142] - node _T_1797 = and(_T_1796, _T_1750) @[el2_dec_dec_ctl.scala 164:142] - node _T_1798 = and(_T_1797, _T_1752) @[el2_dec_dec_ctl.scala 164:142] - node _T_1799 = and(_T_1798, _T_1754) @[el2_dec_dec_ctl.scala 164:142] - node _T_1800 = and(_T_1799, _T_1756) @[el2_dec_dec_ctl.scala 164:142] - node _T_1801 = and(_T_1800, _T_1758) @[el2_dec_dec_ctl.scala 164:142] - node _T_1802 = and(_T_1801, _T_1760) @[el2_dec_dec_ctl.scala 164:142] - node _T_1803 = and(_T_1802, _T_1762) @[el2_dec_dec_ctl.scala 164:142] - node _T_1804 = and(_T_1803, _T_1764) @[el2_dec_dec_ctl.scala 164:142] - node _T_1805 = and(_T_1804, _T_1766) @[el2_dec_dec_ctl.scala 164:142] - node _T_1806 = and(_T_1805, _T_1768) @[el2_dec_dec_ctl.scala 164:142] - node _T_1807 = and(_T_1806, _T_1770) @[el2_dec_dec_ctl.scala 164:142] - node _T_1808 = and(_T_1807, _T_1772) @[el2_dec_dec_ctl.scala 164:142] - node _T_1809 = and(_T_1808, _T_1774) @[el2_dec_dec_ctl.scala 164:142] - node _T_1810 = and(_T_1809, _T_1776) @[el2_dec_dec_ctl.scala 164:142] - node _T_1811 = and(_T_1810, _T_1778) @[el2_dec_dec_ctl.scala 164:142] - node _T_1812 = and(_T_1811, _T_1780) @[el2_dec_dec_ctl.scala 164:142] - node _T_1813 = and(_T_1812, _T_1781) @[el2_dec_dec_ctl.scala 164:142] - node _T_1814 = and(_T_1813, _T_1782) @[el2_dec_dec_ctl.scala 164:142] - node _T_1815 = and(_T_1814, _T_1783) @[el2_dec_dec_ctl.scala 164:142] - node _T_1816 = and(_T_1815, _T_1785) @[el2_dec_dec_ctl.scala 164:142] - node _T_1817 = or(_T_1724, _T_1816) @[el2_dec_dec_ctl.scala 163:51] - node _T_1818 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] - node _T_1819 = eq(_T_1818, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1820 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] - node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1822 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] - node _T_1823 = eq(_T_1822, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1824 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] - node _T_1825 = eq(_T_1824, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1826 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] - node _T_1827 = eq(_T_1826, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1828 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] - node _T_1829 = eq(_T_1828, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1830 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] - node _T_1831 = eq(_T_1830, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1832 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] - node _T_1833 = eq(_T_1832, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1834 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] - node _T_1835 = eq(_T_1834, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1836 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_1837 = eq(_T_1836, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1838 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1840 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_1841 = eq(_T_1840, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1842 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] - node _T_1843 = eq(_T_1842, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1844 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] - node _T_1845 = eq(_T_1844, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1846 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] - node _T_1847 = eq(_T_1846, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1848 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] - node _T_1849 = eq(_T_1848, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1850 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] - node _T_1851 = eq(_T_1850, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1852 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1854 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_1855 = eq(_T_1854, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1856 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_1857 = eq(_T_1856, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1858 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] - node _T_1859 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] - node _T_1860 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1861 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1862 = eq(_T_1861, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1863 = and(_T_1819, _T_1821) @[el2_dec_dec_ctl.scala 165:110] - node _T_1864 = and(_T_1863, _T_1823) @[el2_dec_dec_ctl.scala 165:110] - node _T_1865 = and(_T_1864, _T_1825) @[el2_dec_dec_ctl.scala 165:110] - node _T_1866 = and(_T_1865, _T_1827) @[el2_dec_dec_ctl.scala 165:110] - node _T_1867 = and(_T_1866, _T_1829) @[el2_dec_dec_ctl.scala 165:110] - node _T_1868 = and(_T_1867, _T_1831) @[el2_dec_dec_ctl.scala 165:110] - node _T_1869 = and(_T_1868, _T_1833) @[el2_dec_dec_ctl.scala 165:110] - node _T_1870 = and(_T_1869, _T_1835) @[el2_dec_dec_ctl.scala 165:110] - node _T_1871 = and(_T_1870, _T_1837) @[el2_dec_dec_ctl.scala 165:110] - node _T_1872 = and(_T_1871, _T_1839) @[el2_dec_dec_ctl.scala 165:110] - node _T_1873 = and(_T_1872, _T_1841) @[el2_dec_dec_ctl.scala 165:110] - node _T_1874 = and(_T_1873, _T_1843) @[el2_dec_dec_ctl.scala 165:110] - node _T_1875 = and(_T_1874, _T_1845) @[el2_dec_dec_ctl.scala 165:110] - node _T_1876 = and(_T_1875, _T_1847) @[el2_dec_dec_ctl.scala 165:110] - node _T_1877 = and(_T_1876, _T_1849) @[el2_dec_dec_ctl.scala 165:110] - node _T_1878 = and(_T_1877, _T_1851) @[el2_dec_dec_ctl.scala 165:110] - node _T_1879 = and(_T_1878, _T_1853) @[el2_dec_dec_ctl.scala 165:110] - node _T_1880 = and(_T_1879, _T_1855) @[el2_dec_dec_ctl.scala 165:110] - node _T_1881 = and(_T_1880, _T_1857) @[el2_dec_dec_ctl.scala 165:110] - node _T_1882 = and(_T_1881, _T_1858) @[el2_dec_dec_ctl.scala 165:110] - node _T_1883 = and(_T_1882, _T_1859) @[el2_dec_dec_ctl.scala 165:110] - node _T_1884 = and(_T_1883, _T_1860) @[el2_dec_dec_ctl.scala 165:110] - node _T_1885 = and(_T_1884, _T_1862) @[el2_dec_dec_ctl.scala 165:110] - node _T_1886 = or(_T_1817, _T_1885) @[el2_dec_dec_ctl.scala 164:146] - node _T_1887 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] - node _T_1888 = eq(_T_1887, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1889 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_1890 = eq(_T_1889, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1891 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_1892 = eq(_T_1891, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1893 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1895 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1896 = eq(_T_1895, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1897 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_1898 = eq(_T_1897, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1899 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1900 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1901 = eq(_T_1900, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1902 = and(_T_1888, _T_1890) @[el2_dec_dec_ctl.scala 166:51] - node _T_1903 = and(_T_1902, _T_1892) @[el2_dec_dec_ctl.scala 166:51] - node _T_1904 = and(_T_1903, _T_1894) @[el2_dec_dec_ctl.scala 166:51] - node _T_1905 = and(_T_1904, _T_1896) @[el2_dec_dec_ctl.scala 166:51] - node _T_1906 = and(_T_1905, _T_1898) @[el2_dec_dec_ctl.scala 166:51] - node _T_1907 = and(_T_1906, _T_1899) @[el2_dec_dec_ctl.scala 166:51] - node _T_1908 = and(_T_1907, _T_1901) @[el2_dec_dec_ctl.scala 166:51] - node _T_1909 = or(_T_1886, _T_1908) @[el2_dec_dec_ctl.scala 165:114] - node _T_1910 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] - node _T_1911 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] - node _T_1912 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1914 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] - node _T_1915 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] - node _T_1916 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1917 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1918 = eq(_T_1917, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1919 = and(_T_1910, _T_1911) @[el2_dec_dec_ctl.scala 166:95] - node _T_1920 = and(_T_1919, _T_1913) @[el2_dec_dec_ctl.scala 166:95] - node _T_1921 = and(_T_1920, _T_1914) @[el2_dec_dec_ctl.scala 166:95] - node _T_1922 = and(_T_1921, _T_1915) @[el2_dec_dec_ctl.scala 166:95] - node _T_1923 = and(_T_1922, _T_1916) @[el2_dec_dec_ctl.scala 166:95] - node _T_1924 = and(_T_1923, _T_1918) @[el2_dec_dec_ctl.scala 166:95] - node _T_1925 = or(_T_1909, _T_1924) @[el2_dec_dec_ctl.scala 166:55] - node _T_1926 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] - node _T_1927 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1929 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] - node _T_1930 = eq(_T_1929, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1931 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1932 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1933 = eq(_T_1932, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1934 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1935 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1936 = eq(_T_1935, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1937 = and(_T_1926, _T_1928) @[el2_dec_dec_ctl.scala 167:46] - node _T_1938 = and(_T_1937, _T_1930) @[el2_dec_dec_ctl.scala 167:46] - node _T_1939 = and(_T_1938, _T_1931) @[el2_dec_dec_ctl.scala 167:46] - node _T_1940 = and(_T_1939, _T_1933) @[el2_dec_dec_ctl.scala 167:46] - node _T_1941 = and(_T_1940, _T_1934) @[el2_dec_dec_ctl.scala 167:46] - node _T_1942 = and(_T_1941, _T_1936) @[el2_dec_dec_ctl.scala 167:46] - node _T_1943 = or(_T_1925, _T_1942) @[el2_dec_dec_ctl.scala 166:99] - node _T_1944 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] - node _T_1945 = eq(_T_1944, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1946 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] - node _T_1947 = eq(_T_1946, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1948 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_1949 = eq(_T_1948, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1950 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] - node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1952 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1953 = eq(_T_1952, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1954 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1956 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1957 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1958 = eq(_T_1957, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1959 = and(_T_1945, _T_1947) @[el2_dec_dec_ctl.scala 167:99] - node _T_1960 = and(_T_1959, _T_1949) @[el2_dec_dec_ctl.scala 167:99] - node _T_1961 = and(_T_1960, _T_1951) @[el2_dec_dec_ctl.scala 167:99] - node _T_1962 = and(_T_1961, _T_1953) @[el2_dec_dec_ctl.scala 167:99] - node _T_1963 = and(_T_1962, _T_1955) @[el2_dec_dec_ctl.scala 167:99] - node _T_1964 = and(_T_1963, _T_1956) @[el2_dec_dec_ctl.scala 167:99] - node _T_1965 = and(_T_1964, _T_1958) @[el2_dec_dec_ctl.scala 167:99] - node _T_1966 = or(_T_1943, _T_1965) @[el2_dec_dec_ctl.scala 167:50] - node _T_1967 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] - node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1969 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] - node _T_1970 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] - node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1972 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] - node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1974 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] - node _T_1975 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] - node _T_1976 = eq(_T_1975, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] - node _T_1977 = and(_T_1968, _T_1969) @[el2_dec_dec_ctl.scala 168:43] - node _T_1978 = and(_T_1977, _T_1971) @[el2_dec_dec_ctl.scala 168:43] - node _T_1979 = and(_T_1978, _T_1973) @[el2_dec_dec_ctl.scala 168:43] - node _T_1980 = and(_T_1979, _T_1974) @[el2_dec_dec_ctl.scala 168:43] - node _T_1981 = and(_T_1980, _T_1976) @[el2_dec_dec_ctl.scala 168:43] - node _T_1982 = or(_T_1966, _T_1981) @[el2_dec_dec_ctl.scala 167:103] - io.out.legal <= _T_1982 @[el2_dec_dec_ctl.scala 153:16] + node _T = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 20:23] + node _T_1 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 20:35] + node _T_2 = or(_T, _T_1) @[el2_dec_dec_ctl.scala 20:27] + node _T_3 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 20:49] + node _T_4 = eq(_T_3, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 20:42] + node _T_5 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 20:60] + node _T_6 = and(_T_4, _T_5) @[el2_dec_dec_ctl.scala 20:53] + node _T_7 = or(_T_2, _T_6) @[el2_dec_dec_ctl.scala 20:39] + node _T_8 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 20:75] + node _T_9 = eq(_T_8, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 20:68] + node _T_10 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 20:85] + node _T_11 = and(_T_9, _T_10) @[el2_dec_dec_ctl.scala 20:78] + node _T_12 = or(_T_7, _T_11) @[el2_dec_dec_ctl.scala 20:65] + io.out.alu <= _T_12 @[el2_dec_dec_ctl.scala 20:14] + node _T_13 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_14 = eq(_T_13, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_15 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_16 = eq(_T_15, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_17 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_18 = eq(_T_17, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_19 = and(_T_14, _T_16) @[el2_dec_dec_ctl.scala 17:17] + node _T_20 = and(_T_19, _T_18) @[el2_dec_dec_ctl.scala 17:17] + node _T_21 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_22 = eq(_T_21, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_23 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 15:34] + node _T_24 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_25 = eq(_T_24, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_26 = and(_T_22, _T_23) @[el2_dec_dec_ctl.scala 17:17] + node _T_27 = and(_T_26, _T_25) @[el2_dec_dec_ctl.scala 17:17] + node _T_28 = or(_T_20, _T_27) @[el2_dec_dec_ctl.scala 21:43] + node _T_29 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 15:34] + node _T_30 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_31 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_32 = eq(_T_31, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_33 = and(_T_29, _T_30) @[el2_dec_dec_ctl.scala 17:17] + node _T_34 = and(_T_33, _T_32) @[el2_dec_dec_ctl.scala 17:17] + node _T_35 = or(_T_28, _T_34) @[el2_dec_dec_ctl.scala 21:70] + node _T_36 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_37 = eq(_T_36, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_38 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 15:34] + node _T_39 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_40 = eq(_T_39, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_41 = and(_T_37, _T_38) @[el2_dec_dec_ctl.scala 17:17] + node _T_42 = and(_T_41, _T_40) @[el2_dec_dec_ctl.scala 17:17] + node _T_43 = or(_T_35, _T_42) @[el2_dec_dec_ctl.scala 22:29] + node _T_44 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 15:34] + node _T_45 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_46 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_47 = eq(_T_46, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_48 = and(_T_44, _T_45) @[el2_dec_dec_ctl.scala 17:17] + node _T_49 = and(_T_48, _T_47) @[el2_dec_dec_ctl.scala 17:17] + node _T_50 = or(_T_43, _T_49) @[el2_dec_dec_ctl.scala 22:56] + node _T_51 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_52 = eq(_T_51, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_53 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 15:34] + node _T_54 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_55 = eq(_T_54, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_56 = and(_T_52, _T_53) @[el2_dec_dec_ctl.scala 17:17] + node _T_57 = and(_T_56, _T_55) @[el2_dec_dec_ctl.scala 17:17] + node _T_58 = or(_T_50, _T_57) @[el2_dec_dec_ctl.scala 23:29] + node _T_59 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 15:34] + node _T_60 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_61 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_62 = eq(_T_61, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_63 = and(_T_59, _T_60) @[el2_dec_dec_ctl.scala 17:17] + node _T_64 = and(_T_63, _T_62) @[el2_dec_dec_ctl.scala 17:17] + node _T_65 = or(_T_58, _T_64) @[el2_dec_dec_ctl.scala 23:55] + node _T_66 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_67 = eq(_T_66, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_68 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 15:34] + node _T_69 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_70 = eq(_T_69, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_71 = and(_T_67, _T_68) @[el2_dec_dec_ctl.scala 17:17] + node _T_72 = and(_T_71, _T_70) @[el2_dec_dec_ctl.scala 17:17] + node _T_73 = or(_T_65, _T_72) @[el2_dec_dec_ctl.scala 24:29] + node _T_74 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 15:34] + node _T_75 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_76 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_77 = eq(_T_76, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_78 = and(_T_74, _T_75) @[el2_dec_dec_ctl.scala 17:17] + node _T_79 = and(_T_78, _T_77) @[el2_dec_dec_ctl.scala 17:17] + node _T_80 = or(_T_73, _T_79) @[el2_dec_dec_ctl.scala 24:55] + node _T_81 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_82 = eq(_T_81, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_83 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 15:34] + node _T_84 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_85 = eq(_T_84, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_86 = and(_T_82, _T_83) @[el2_dec_dec_ctl.scala 17:17] + node _T_87 = and(_T_86, _T_85) @[el2_dec_dec_ctl.scala 17:17] + node _T_88 = or(_T_80, _T_87) @[el2_dec_dec_ctl.scala 25:29] + node _T_89 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 15:34] + node _T_90 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_91 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_92 = eq(_T_91, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_93 = and(_T_89, _T_90) @[el2_dec_dec_ctl.scala 17:17] + node _T_94 = and(_T_93, _T_92) @[el2_dec_dec_ctl.scala 17:17] + node _T_95 = or(_T_88, _T_94) @[el2_dec_dec_ctl.scala 25:55] + node _T_96 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_97 = eq(_T_96, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_98 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_99 = eq(_T_98, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_100 = and(_T_97, _T_99) @[el2_dec_dec_ctl.scala 17:17] + node _T_101 = or(_T_95, _T_100) @[el2_dec_dec_ctl.scala 26:29] + node _T_102 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_103 = eq(_T_102, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_104 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_105 = eq(_T_104, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_106 = and(_T_103, _T_105) @[el2_dec_dec_ctl.scala 17:17] + node _T_107 = or(_T_101, _T_106) @[el2_dec_dec_ctl.scala 26:51] + io.out.rs1 <= _T_107 @[el2_dec_dec_ctl.scala 21:14] + node _T_108 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_109 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_111 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_112 = eq(_T_111, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_113 = and(_T_108, _T_110) @[el2_dec_dec_ctl.scala 17:17] + node _T_114 = and(_T_113, _T_112) @[el2_dec_dec_ctl.scala 17:17] + node _T_115 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_116 = eq(_T_115, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_117 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_118 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_119 = eq(_T_118, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_120 = and(_T_116, _T_117) @[el2_dec_dec_ctl.scala 17:17] + node _T_121 = and(_T_120, _T_119) @[el2_dec_dec_ctl.scala 17:17] + node _T_122 = or(_T_114, _T_121) @[el2_dec_dec_ctl.scala 27:40] + io.out.rs2 <= _T_122 @[el2_dec_dec_ctl.scala 27:14] + node _T_123 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_124 = eq(_T_123, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_125 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_127 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:34] + node _T_128 = and(_T_124, _T_126) @[el2_dec_dec_ctl.scala 17:17] + node _T_129 = and(_T_128, _T_127) @[el2_dec_dec_ctl.scala 17:17] + node _T_130 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_131 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_132 = eq(_T_131, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_133 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_134 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_135 = eq(_T_134, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_136 = and(_T_130, _T_132) @[el2_dec_dec_ctl.scala 17:17] + node _T_137 = and(_T_136, _T_133) @[el2_dec_dec_ctl.scala 17:17] + node _T_138 = and(_T_137, _T_135) @[el2_dec_dec_ctl.scala 17:17] + node _T_139 = or(_T_129, _T_138) @[el2_dec_dec_ctl.scala 28:42] + node _T_140 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_141 = eq(_T_140, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_142 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_143 = eq(_T_142, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_144 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_145 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_146 = and(_T_141, _T_143) @[el2_dec_dec_ctl.scala 17:17] + node _T_147 = and(_T_146, _T_144) @[el2_dec_dec_ctl.scala 17:17] + node _T_148 = and(_T_147, _T_145) @[el2_dec_dec_ctl.scala 17:17] + node _T_149 = or(_T_139, _T_148) @[el2_dec_dec_ctl.scala 28:70] + node _T_150 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_151 = eq(_T_150, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_152 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_153 = eq(_T_152, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_154 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_155 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_156 = eq(_T_155, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_157 = and(_T_151, _T_153) @[el2_dec_dec_ctl.scala 17:17] + node _T_158 = and(_T_157, _T_154) @[el2_dec_dec_ctl.scala 17:17] + node _T_159 = and(_T_158, _T_156) @[el2_dec_dec_ctl.scala 17:17] + node _T_160 = or(_T_149, _T_159) @[el2_dec_dec_ctl.scala 29:32] + io.out.imm12 <= _T_160 @[el2_dec_dec_ctl.scala 28:16] + node _T_161 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 30:24] + node _T_162 = eq(_T_161, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 30:17] + node _T_163 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 30:37] + node _T_164 = eq(_T_163, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 30:30] + node _T_165 = and(_T_162, _T_164) @[el2_dec_dec_ctl.scala 30:28] + node _T_166 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 30:51] + node _T_167 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 30:63] + node _T_168 = and(_T_166, _T_167) @[el2_dec_dec_ctl.scala 30:55] + node _T_169 = or(_T_165, _T_168) @[el2_dec_dec_ctl.scala 30:42] + node _T_170 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 30:76] + node _T_171 = or(_T_169, _T_170) @[el2_dec_dec_ctl.scala 30:68] + io.out.rd <= _T_171 @[el2_dec_dec_ctl.scala 30:13] + node _T_172 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_173 = eq(_T_172, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_174 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_175 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_176 = eq(_T_175, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_177 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_178 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_179 = eq(_T_178, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_180 = and(_T_173, _T_174) @[el2_dec_dec_ctl.scala 17:17] + node _T_181 = and(_T_180, _T_176) @[el2_dec_dec_ctl.scala 17:17] + node _T_182 = and(_T_181, _T_177) @[el2_dec_dec_ctl.scala 17:17] + node _T_183 = and(_T_182, _T_179) @[el2_dec_dec_ctl.scala 17:17] + io.out.shimm5 <= _T_183 @[el2_dec_dec_ctl.scala 31:17] + node _T_184 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 32:26] + node _T_185 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 32:36] + node _T_186 = and(_T_184, _T_185) @[el2_dec_dec_ctl.scala 32:29] + node _T_187 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 32:50] + node _T_188 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 32:60] + node _T_189 = and(_T_187, _T_188) @[el2_dec_dec_ctl.scala 32:53] + node _T_190 = or(_T_186, _T_189) @[el2_dec_dec_ctl.scala 32:41] + io.out.imm20 <= _T_190 @[el2_dec_dec_ctl.scala 32:16] + node _T_191 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 33:24] + node _T_192 = eq(_T_191, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 33:17] + node _T_193 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 33:37] + node _T_194 = eq(_T_193, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 33:30] + node _T_195 = and(_T_192, _T_194) @[el2_dec_dec_ctl.scala 33:28] + node _T_196 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 33:49] + node _T_197 = and(_T_195, _T_196) @[el2_dec_dec_ctl.scala 33:41] + node _T_198 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 33:63] + node _T_199 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 33:75] + node _T_200 = and(_T_198, _T_199) @[el2_dec_dec_ctl.scala 33:67] + node _T_201 = or(_T_197, _T_200) @[el2_dec_dec_ctl.scala 33:54] + io.out.pc <= _T_201 @[el2_dec_dec_ctl.scala 33:13] + node _T_202 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_203 = eq(_T_202, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_204 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_205 = eq(_T_204, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_206 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_208 = and(_T_203, _T_205) @[el2_dec_dec_ctl.scala 17:17] + node _T_209 = and(_T_208, _T_207) @[el2_dec_dec_ctl.scala 17:17] + io.out.load <= _T_209 @[el2_dec_dec_ctl.scala 34:15] + node _T_210 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_211 = eq(_T_210, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_212 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_213 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_215 = and(_T_211, _T_212) @[el2_dec_dec_ctl.scala 17:17] + node _T_216 = and(_T_215, _T_214) @[el2_dec_dec_ctl.scala 17:17] + io.out.store <= _T_216 @[el2_dec_dec_ctl.scala 35:16] + node _T_217 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_218 = eq(_T_217, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_219 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_220 = eq(_T_219, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_221 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_222 = eq(_T_221, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_223 = and(_T_218, _T_220) @[el2_dec_dec_ctl.scala 17:17] + node _T_224 = and(_T_223, _T_222) @[el2_dec_dec_ctl.scala 17:17] + io.out.lsu <= _T_224 @[el2_dec_dec_ctl.scala 36:14] + node _T_225 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_226 = eq(_T_225, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_227 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_228 = eq(_T_227, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_229 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_230 = eq(_T_229, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_231 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_232 = eq(_T_231, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_233 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_234 = and(_T_226, _T_228) @[el2_dec_dec_ctl.scala 17:17] + node _T_235 = and(_T_234, _T_230) @[el2_dec_dec_ctl.scala 17:17] + node _T_236 = and(_T_235, _T_232) @[el2_dec_dec_ctl.scala 17:17] + node _T_237 = and(_T_236, _T_233) @[el2_dec_dec_ctl.scala 17:17] + node _T_238 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_239 = eq(_T_238, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_240 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_242 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:34] + node _T_243 = and(_T_239, _T_241) @[el2_dec_dec_ctl.scala 17:17] + node _T_244 = and(_T_243, _T_242) @[el2_dec_dec_ctl.scala 17:17] + node _T_245 = or(_T_237, _T_244) @[el2_dec_dec_ctl.scala 37:49] + node _T_246 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 15:53] + node _T_247 = eq(_T_246, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_248 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_250 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_251 = eq(_T_250, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_252 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_253 = eq(_T_252, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_254 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_255 = eq(_T_254, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_256 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_258 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_259 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_261 = and(_T_247, _T_249) @[el2_dec_dec_ctl.scala 17:17] + node _T_262 = and(_T_261, _T_251) @[el2_dec_dec_ctl.scala 17:17] + node _T_263 = and(_T_262, _T_253) @[el2_dec_dec_ctl.scala 17:17] + node _T_264 = and(_T_263, _T_255) @[el2_dec_dec_ctl.scala 17:17] + node _T_265 = and(_T_264, _T_257) @[el2_dec_dec_ctl.scala 17:17] + node _T_266 = and(_T_265, _T_258) @[el2_dec_dec_ctl.scala 17:17] + node _T_267 = and(_T_266, _T_260) @[el2_dec_dec_ctl.scala 17:17] + node _T_268 = or(_T_245, _T_267) @[el2_dec_dec_ctl.scala 37:74] + io.out.add <= _T_268 @[el2_dec_dec_ctl.scala 37:14] + node _T_269 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 15:34] + node _T_270 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_272 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_273 = eq(_T_272, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_274 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_275 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_276 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_277 = eq(_T_276, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_278 = and(_T_269, _T_271) @[el2_dec_dec_ctl.scala 17:17] + node _T_279 = and(_T_278, _T_273) @[el2_dec_dec_ctl.scala 17:17] + node _T_280 = and(_T_279, _T_274) @[el2_dec_dec_ctl.scala 17:17] + node _T_281 = and(_T_280, _T_275) @[el2_dec_dec_ctl.scala 17:17] + node _T_282 = and(_T_281, _T_277) @[el2_dec_dec_ctl.scala 17:17] + node _T_283 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_285 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_286 = eq(_T_285, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_287 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_288 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_289 = eq(_T_288, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_290 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_291 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_293 = and(_T_284, _T_286) @[el2_dec_dec_ctl.scala 17:17] + node _T_294 = and(_T_293, _T_287) @[el2_dec_dec_ctl.scala 17:17] + node _T_295 = and(_T_294, _T_289) @[el2_dec_dec_ctl.scala 17:17] + node _T_296 = and(_T_295, _T_290) @[el2_dec_dec_ctl.scala 17:17] + node _T_297 = and(_T_296, _T_292) @[el2_dec_dec_ctl.scala 17:17] + node _T_298 = or(_T_282, _T_297) @[el2_dec_dec_ctl.scala 39:49] + node _T_299 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_300 = eq(_T_299, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_301 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_302 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_304 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_305 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_307 = and(_T_300, _T_301) @[el2_dec_dec_ctl.scala 17:17] + node _T_308 = and(_T_307, _T_303) @[el2_dec_dec_ctl.scala 17:17] + node _T_309 = and(_T_308, _T_304) @[el2_dec_dec_ctl.scala 17:17] + node _T_310 = and(_T_309, _T_306) @[el2_dec_dec_ctl.scala 17:17] + node _T_311 = or(_T_298, _T_310) @[el2_dec_dec_ctl.scala 39:85] + node _T_312 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_313 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_315 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_316 = eq(_T_315, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_317 = and(_T_312, _T_314) @[el2_dec_dec_ctl.scala 17:17] + node _T_318 = and(_T_317, _T_316) @[el2_dec_dec_ctl.scala 17:17] + node _T_319 = or(_T_311, _T_318) @[el2_dec_dec_ctl.scala 40:35] + io.out.sub <= _T_319 @[el2_dec_dec_ctl.scala 39:14] + node _T_320 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_321 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_322 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_323 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_324 = eq(_T_323, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_325 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_326 = eq(_T_325, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_327 = and(_T_320, _T_321) @[el2_dec_dec_ctl.scala 17:17] + node _T_328 = and(_T_327, _T_322) @[el2_dec_dec_ctl.scala 17:17] + node _T_329 = and(_T_328, _T_324) @[el2_dec_dec_ctl.scala 17:17] + node _T_330 = and(_T_329, _T_326) @[el2_dec_dec_ctl.scala 17:17] + node _T_331 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_332 = eq(_T_331, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_333 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_334 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_335 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_336 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_337 = eq(_T_336, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_338 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_339 = eq(_T_338, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_340 = and(_T_332, _T_333) @[el2_dec_dec_ctl.scala 17:17] + node _T_341 = and(_T_340, _T_334) @[el2_dec_dec_ctl.scala 17:17] + node _T_342 = and(_T_341, _T_335) @[el2_dec_dec_ctl.scala 17:17] + node _T_343 = and(_T_342, _T_337) @[el2_dec_dec_ctl.scala 17:17] + node _T_344 = and(_T_343, _T_339) @[el2_dec_dec_ctl.scala 17:17] + node _T_345 = or(_T_330, _T_344) @[el2_dec_dec_ctl.scala 41:48] + io.out.land <= _T_345 @[el2_dec_dec_ctl.scala 41:15] + node _T_346 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_347 = eq(_T_346, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_348 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:34] + node _T_349 = and(_T_347, _T_348) @[el2_dec_dec_ctl.scala 17:17] + node _T_350 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_351 = eq(_T_350, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_352 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_353 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_354 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_356 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_358 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_359 = eq(_T_358, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_360 = and(_T_351, _T_352) @[el2_dec_dec_ctl.scala 17:17] + node _T_361 = and(_T_360, _T_353) @[el2_dec_dec_ctl.scala 17:17] + node _T_362 = and(_T_361, _T_355) @[el2_dec_dec_ctl.scala 17:17] + node _T_363 = and(_T_362, _T_357) @[el2_dec_dec_ctl.scala 17:17] + node _T_364 = and(_T_363, _T_359) @[el2_dec_dec_ctl.scala 17:17] + node _T_365 = or(_T_349, _T_364) @[el2_dec_dec_ctl.scala 42:37] + node _T_366 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_367 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_368 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:34] + node _T_369 = and(_T_366, _T_367) @[el2_dec_dec_ctl.scala 17:17] + node _T_370 = and(_T_369, _T_368) @[el2_dec_dec_ctl.scala 17:17] + node _T_371 = or(_T_365, _T_370) @[el2_dec_dec_ctl.scala 42:74] + node _T_372 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_374 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_375 = eq(_T_374, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_376 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_377 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_378 = and(_T_373, _T_375) @[el2_dec_dec_ctl.scala 17:17] + node _T_379 = and(_T_378, _T_376) @[el2_dec_dec_ctl.scala 17:17] + node _T_380 = and(_T_379, _T_377) @[el2_dec_dec_ctl.scala 17:17] + node _T_381 = or(_T_371, _T_380) @[el2_dec_dec_ctl.scala 43:26] + node _T_382 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_383 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_384 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_385 = eq(_T_384, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_386 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_387 = eq(_T_386, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_388 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_390 = and(_T_382, _T_383) @[el2_dec_dec_ctl.scala 17:17] + node _T_391 = and(_T_390, _T_385) @[el2_dec_dec_ctl.scala 17:17] + node _T_392 = and(_T_391, _T_387) @[el2_dec_dec_ctl.scala 17:17] + node _T_393 = and(_T_392, _T_389) @[el2_dec_dec_ctl.scala 17:17] + node _T_394 = or(_T_381, _T_393) @[el2_dec_dec_ctl.scala 43:55] + io.out.lor <= _T_394 @[el2_dec_dec_ctl.scala 42:14] + node _T_395 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_396 = eq(_T_395, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_397 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_398 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_399 = eq(_T_398, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_400 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_401 = eq(_T_400, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_402 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_403 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_404 = eq(_T_403, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_405 = and(_T_396, _T_397) @[el2_dec_dec_ctl.scala 17:17] + node _T_406 = and(_T_405, _T_399) @[el2_dec_dec_ctl.scala 17:17] + node _T_407 = and(_T_406, _T_401) @[el2_dec_dec_ctl.scala 17:17] + node _T_408 = and(_T_407, _T_402) @[el2_dec_dec_ctl.scala 17:17] + node _T_409 = and(_T_408, _T_404) @[el2_dec_dec_ctl.scala 17:17] + node _T_410 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_411 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_412 = eq(_T_411, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_413 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_414 = eq(_T_413, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_415 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_417 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_418 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_420 = and(_T_410, _T_412) @[el2_dec_dec_ctl.scala 17:17] + node _T_421 = and(_T_420, _T_414) @[el2_dec_dec_ctl.scala 17:17] + node _T_422 = and(_T_421, _T_416) @[el2_dec_dec_ctl.scala 17:17] + node _T_423 = and(_T_422, _T_417) @[el2_dec_dec_ctl.scala 17:17] + node _T_424 = and(_T_423, _T_419) @[el2_dec_dec_ctl.scala 17:17] + node _T_425 = or(_T_409, _T_424) @[el2_dec_dec_ctl.scala 45:53] + io.out.lxor <= _T_425 @[el2_dec_dec_ctl.scala 45:15] + node _T_426 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_428 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_429 = eq(_T_428, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_430 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_431 = eq(_T_430, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_432 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_433 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_434 = eq(_T_433, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_435 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_436 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_437 = eq(_T_436, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_438 = and(_T_427, _T_429) @[el2_dec_dec_ctl.scala 17:17] + node _T_439 = and(_T_438, _T_431) @[el2_dec_dec_ctl.scala 17:17] + node _T_440 = and(_T_439, _T_432) @[el2_dec_dec_ctl.scala 17:17] + node _T_441 = and(_T_440, _T_434) @[el2_dec_dec_ctl.scala 17:17] + node _T_442 = and(_T_441, _T_435) @[el2_dec_dec_ctl.scala 17:17] + node _T_443 = and(_T_442, _T_437) @[el2_dec_dec_ctl.scala 17:17] + io.out.sll <= _T_443 @[el2_dec_dec_ctl.scala 46:14] + node _T_444 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 15:34] + node _T_445 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_447 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_448 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_449 = eq(_T_448, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_450 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_451 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_452 = eq(_T_451, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_453 = and(_T_444, _T_446) @[el2_dec_dec_ctl.scala 17:17] + node _T_454 = and(_T_453, _T_447) @[el2_dec_dec_ctl.scala 17:17] + node _T_455 = and(_T_454, _T_449) @[el2_dec_dec_ctl.scala 17:17] + node _T_456 = and(_T_455, _T_450) @[el2_dec_dec_ctl.scala 17:17] + node _T_457 = and(_T_456, _T_452) @[el2_dec_dec_ctl.scala 17:17] + io.out.sra <= _T_457 @[el2_dec_dec_ctl.scala 47:14] + node _T_458 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 15:53] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_460 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_461 = eq(_T_460, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_462 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_463 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_464 = eq(_T_463, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_465 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_466 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_468 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_469 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_471 = and(_T_459, _T_461) @[el2_dec_dec_ctl.scala 17:17] + node _T_472 = and(_T_471, _T_462) @[el2_dec_dec_ctl.scala 17:17] + node _T_473 = and(_T_472, _T_464) @[el2_dec_dec_ctl.scala 17:17] + node _T_474 = and(_T_473, _T_465) @[el2_dec_dec_ctl.scala 17:17] + node _T_475 = and(_T_474, _T_467) @[el2_dec_dec_ctl.scala 17:17] + node _T_476 = and(_T_475, _T_468) @[el2_dec_dec_ctl.scala 17:17] + node _T_477 = and(_T_476, _T_470) @[el2_dec_dec_ctl.scala 17:17] + io.out.srl <= _T_477 @[el2_dec_dec_ctl.scala 48:14] + node _T_478 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_479 = eq(_T_478, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_480 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_482 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_483 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_485 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_486 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_487 = eq(_T_486, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_488 = and(_T_479, _T_481) @[el2_dec_dec_ctl.scala 17:17] + node _T_489 = and(_T_488, _T_482) @[el2_dec_dec_ctl.scala 17:17] + node _T_490 = and(_T_489, _T_484) @[el2_dec_dec_ctl.scala 17:17] + node _T_491 = and(_T_490, _T_485) @[el2_dec_dec_ctl.scala 17:17] + node _T_492 = and(_T_491, _T_487) @[el2_dec_dec_ctl.scala 17:17] + node _T_493 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_495 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_496 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_498 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_499 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_500 = eq(_T_499, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_501 = and(_T_494, _T_495) @[el2_dec_dec_ctl.scala 17:17] + node _T_502 = and(_T_501, _T_497) @[el2_dec_dec_ctl.scala 17:17] + node _T_503 = and(_T_502, _T_498) @[el2_dec_dec_ctl.scala 17:17] + node _T_504 = and(_T_503, _T_500) @[el2_dec_dec_ctl.scala 17:17] + node _T_505 = or(_T_492, _T_504) @[el2_dec_dec_ctl.scala 49:51] + io.out.slt <= _T_505 @[el2_dec_dec_ctl.scala 49:14] + node _T_506 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_507 = eq(_T_506, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_508 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_509 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_510 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_511 = eq(_T_510, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_512 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_513 = eq(_T_512, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_514 = and(_T_507, _T_508) @[el2_dec_dec_ctl.scala 17:17] + node _T_515 = and(_T_514, _T_509) @[el2_dec_dec_ctl.scala 17:17] + node _T_516 = and(_T_515, _T_511) @[el2_dec_dec_ctl.scala 17:17] + node _T_517 = and(_T_516, _T_513) @[el2_dec_dec_ctl.scala 17:17] + node _T_518 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_519 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_520 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_521 = eq(_T_520, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_522 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_523 = eq(_T_522, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_524 = and(_T_518, _T_519) @[el2_dec_dec_ctl.scala 17:17] + node _T_525 = and(_T_524, _T_521) @[el2_dec_dec_ctl.scala 17:17] + node _T_526 = and(_T_525, _T_523) @[el2_dec_dec_ctl.scala 17:17] + node _T_527 = or(_T_517, _T_526) @[el2_dec_dec_ctl.scala 50:51] + node _T_528 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_529 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_530 = eq(_T_529, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_531 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_532 = eq(_T_531, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_533 = and(_T_528, _T_530) @[el2_dec_dec_ctl.scala 17:17] + node _T_534 = and(_T_533, _T_532) @[el2_dec_dec_ctl.scala 17:17] + node _T_535 = or(_T_527, _T_534) @[el2_dec_dec_ctl.scala 50:79] + node _T_536 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_537 = eq(_T_536, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_538 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_539 = eq(_T_538, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_540 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_541 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_542 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_543 = eq(_T_542, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_544 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_545 = eq(_T_544, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_546 = and(_T_537, _T_539) @[el2_dec_dec_ctl.scala 17:17] + node _T_547 = and(_T_546, _T_540) @[el2_dec_dec_ctl.scala 17:17] + node _T_548 = and(_T_547, _T_541) @[el2_dec_dec_ctl.scala 17:17] + node _T_549 = and(_T_548, _T_543) @[el2_dec_dec_ctl.scala 17:17] + node _T_550 = and(_T_549, _T_545) @[el2_dec_dec_ctl.scala 17:17] + node _T_551 = or(_T_535, _T_550) @[el2_dec_dec_ctl.scala 51:29] + node _T_552 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:34] + node _T_553 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_554 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_555 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_556 = eq(_T_555, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_557 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_558 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_560 = and(_T_552, _T_553) @[el2_dec_dec_ctl.scala 17:17] + node _T_561 = and(_T_560, _T_554) @[el2_dec_dec_ctl.scala 17:17] + node _T_562 = and(_T_561, _T_556) @[el2_dec_dec_ctl.scala 17:17] + node _T_563 = and(_T_562, _T_557) @[el2_dec_dec_ctl.scala 17:17] + node _T_564 = and(_T_563, _T_559) @[el2_dec_dec_ctl.scala 17:17] + node _T_565 = or(_T_551, _T_564) @[el2_dec_dec_ctl.scala 51:66] + io.out.unsign <= _T_565 @[el2_dec_dec_ctl.scala 50:17] + node _T_566 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_567 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_569 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_570 = eq(_T_569, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_571 = and(_T_566, _T_568) @[el2_dec_dec_ctl.scala 17:17] + node _T_572 = and(_T_571, _T_570) @[el2_dec_dec_ctl.scala 17:17] + io.out.condbr <= _T_572 @[el2_dec_dec_ctl.scala 53:17] + node _T_573 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_574 = eq(_T_573, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_575 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_576 = eq(_T_575, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_577 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_578 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_579 = eq(_T_578, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_580 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_581 = eq(_T_580, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_582 = and(_T_574, _T_576) @[el2_dec_dec_ctl.scala 17:17] + node _T_583 = and(_T_582, _T_577) @[el2_dec_dec_ctl.scala 17:17] + node _T_584 = and(_T_583, _T_579) @[el2_dec_dec_ctl.scala 17:17] + node _T_585 = and(_T_584, _T_581) @[el2_dec_dec_ctl.scala 17:17] + io.out.beq <= _T_585 @[el2_dec_dec_ctl.scala 54:14] + node _T_586 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_587 = eq(_T_586, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_588 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_589 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_590 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_591 = eq(_T_590, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_592 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_593 = eq(_T_592, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_594 = and(_T_587, _T_588) @[el2_dec_dec_ctl.scala 17:17] + node _T_595 = and(_T_594, _T_589) @[el2_dec_dec_ctl.scala 17:17] + node _T_596 = and(_T_595, _T_591) @[el2_dec_dec_ctl.scala 17:17] + node _T_597 = and(_T_596, _T_593) @[el2_dec_dec_ctl.scala 17:17] + io.out.bne <= _T_597 @[el2_dec_dec_ctl.scala 55:14] + node _T_598 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_599 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_600 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_601 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_602 = eq(_T_601, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_603 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_605 = and(_T_598, _T_599) @[el2_dec_dec_ctl.scala 17:17] + node _T_606 = and(_T_605, _T_600) @[el2_dec_dec_ctl.scala 17:17] + node _T_607 = and(_T_606, _T_602) @[el2_dec_dec_ctl.scala 17:17] + node _T_608 = and(_T_607, _T_604) @[el2_dec_dec_ctl.scala 17:17] + io.out.bge <= _T_608 @[el2_dec_dec_ctl.scala 56:14] + node _T_609 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_610 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_611 = eq(_T_610, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_612 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_613 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_614 = eq(_T_613, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_615 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_616 = eq(_T_615, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_617 = and(_T_609, _T_611) @[el2_dec_dec_ctl.scala 17:17] + node _T_618 = and(_T_617, _T_612) @[el2_dec_dec_ctl.scala 17:17] + node _T_619 = and(_T_618, _T_614) @[el2_dec_dec_ctl.scala 17:17] + node _T_620 = and(_T_619, _T_616) @[el2_dec_dec_ctl.scala 17:17] + io.out.blt <= _T_620 @[el2_dec_dec_ctl.scala 57:14] + node _T_621 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_622 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:34] + node _T_623 = and(_T_621, _T_622) @[el2_dec_dec_ctl.scala 17:17] + io.out.jal <= _T_623 @[el2_dec_dec_ctl.scala 58:14] + node _T_624 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_625 = eq(_T_624, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_626 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_627 = eq(_T_626, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_628 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_629 = eq(_T_628, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_630 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_631 = eq(_T_630, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_632 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_634 = and(_T_625, _T_627) @[el2_dec_dec_ctl.scala 17:17] + node _T_635 = and(_T_634, _T_629) @[el2_dec_dec_ctl.scala 17:17] + node _T_636 = and(_T_635, _T_631) @[el2_dec_dec_ctl.scala 17:17] + node _T_637 = and(_T_636, _T_633) @[el2_dec_dec_ctl.scala 17:17] + io.out.by <= _T_637 @[el2_dec_dec_ctl.scala 59:13] + node _T_638 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_639 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_640 = eq(_T_639, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_641 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_642 = eq(_T_641, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_643 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_644 = eq(_T_643, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_645 = and(_T_638, _T_640) @[el2_dec_dec_ctl.scala 17:17] + node _T_646 = and(_T_645, _T_642) @[el2_dec_dec_ctl.scala 17:17] + node _T_647 = and(_T_646, _T_644) @[el2_dec_dec_ctl.scala 17:17] + io.out.half <= _T_647 @[el2_dec_dec_ctl.scala 60:15] + node _T_648 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_649 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_650 = eq(_T_649, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_651 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_652 = eq(_T_651, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_653 = and(_T_648, _T_650) @[el2_dec_dec_ctl.scala 17:17] + node _T_654 = and(_T_653, _T_652) @[el2_dec_dec_ctl.scala 17:17] + io.out.word <= _T_654 @[el2_dec_dec_ctl.scala 61:15] + node _T_655 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_656 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_657 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_658 = and(_T_655, _T_656) @[el2_dec_dec_ctl.scala 17:17] + node _T_659 = and(_T_658, _T_657) @[el2_dec_dec_ctl.scala 17:17] + node _T_660 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 15:34] + node _T_661 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_662 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_663 = and(_T_660, _T_661) @[el2_dec_dec_ctl.scala 17:17] + node _T_664 = and(_T_663, _T_662) @[el2_dec_dec_ctl.scala 17:17] + node _T_665 = or(_T_659, _T_664) @[el2_dec_dec_ctl.scala 62:44] + node _T_666 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 15:34] + node _T_667 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_668 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_669 = and(_T_666, _T_667) @[el2_dec_dec_ctl.scala 17:17] + node _T_670 = and(_T_669, _T_668) @[el2_dec_dec_ctl.scala 17:17] + node _T_671 = or(_T_665, _T_670) @[el2_dec_dec_ctl.scala 62:67] + node _T_672 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 15:34] + node _T_673 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_674 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_675 = and(_T_672, _T_673) @[el2_dec_dec_ctl.scala 17:17] + node _T_676 = and(_T_675, _T_674) @[el2_dec_dec_ctl.scala 17:17] + node _T_677 = or(_T_671, _T_676) @[el2_dec_dec_ctl.scala 63:26] + node _T_678 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 15:34] + node _T_679 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_680 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_681 = and(_T_678, _T_679) @[el2_dec_dec_ctl.scala 17:17] + node _T_682 = and(_T_681, _T_680) @[el2_dec_dec_ctl.scala 17:17] + node _T_683 = or(_T_677, _T_682) @[el2_dec_dec_ctl.scala 63:49] + node _T_684 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 15:34] + node _T_685 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_686 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_687 = and(_T_684, _T_685) @[el2_dec_dec_ctl.scala 17:17] + node _T_688 = and(_T_687, _T_686) @[el2_dec_dec_ctl.scala 17:17] + node _T_689 = or(_T_683, _T_688) @[el2_dec_dec_ctl.scala 63:73] + io.out.csr_read <= _T_689 @[el2_dec_dec_ctl.scala 62:19] + node _T_690 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 15:34] + node _T_691 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_692 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_693 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_694 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_695 = and(_T_690, _T_691) @[el2_dec_dec_ctl.scala 17:17] + node _T_696 = and(_T_695, _T_692) @[el2_dec_dec_ctl.scala 17:17] + node _T_697 = and(_T_696, _T_693) @[el2_dec_dec_ctl.scala 17:17] + node _T_698 = and(_T_697, _T_694) @[el2_dec_dec_ctl.scala 17:17] + node _T_699 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 15:34] + node _T_700 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_701 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_702 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_703 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_704 = and(_T_699, _T_700) @[el2_dec_dec_ctl.scala 17:17] + node _T_705 = and(_T_704, _T_701) @[el2_dec_dec_ctl.scala 17:17] + node _T_706 = and(_T_705, _T_702) @[el2_dec_dec_ctl.scala 17:17] + node _T_707 = and(_T_706, _T_703) @[el2_dec_dec_ctl.scala 17:17] + node _T_708 = or(_T_698, _T_707) @[el2_dec_dec_ctl.scala 65:49] + node _T_709 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 15:34] + node _T_710 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_711 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_712 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_713 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_714 = and(_T_709, _T_710) @[el2_dec_dec_ctl.scala 17:17] + node _T_715 = and(_T_714, _T_711) @[el2_dec_dec_ctl.scala 17:17] + node _T_716 = and(_T_715, _T_712) @[el2_dec_dec_ctl.scala 17:17] + node _T_717 = and(_T_716, _T_713) @[el2_dec_dec_ctl.scala 17:17] + node _T_718 = or(_T_708, _T_717) @[el2_dec_dec_ctl.scala 65:79] + node _T_719 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 15:34] + node _T_720 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_721 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_722 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_723 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_724 = and(_T_719, _T_720) @[el2_dec_dec_ctl.scala 17:17] + node _T_725 = and(_T_724, _T_721) @[el2_dec_dec_ctl.scala 17:17] + node _T_726 = and(_T_725, _T_722) @[el2_dec_dec_ctl.scala 17:17] + node _T_727 = and(_T_726, _T_723) @[el2_dec_dec_ctl.scala 17:17] + node _T_728 = or(_T_718, _T_727) @[el2_dec_dec_ctl.scala 66:33] + node _T_729 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 15:34] + node _T_730 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_731 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_732 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_733 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_734 = and(_T_729, _T_730) @[el2_dec_dec_ctl.scala 17:17] + node _T_735 = and(_T_734, _T_731) @[el2_dec_dec_ctl.scala 17:17] + node _T_736 = and(_T_735, _T_732) @[el2_dec_dec_ctl.scala 17:17] + node _T_737 = and(_T_736, _T_733) @[el2_dec_dec_ctl.scala 17:17] + node _T_738 = or(_T_728, _T_737) @[el2_dec_dec_ctl.scala 66:63] + io.out.csr_clr <= _T_738 @[el2_dec_dec_ctl.scala 65:18] + node _T_739 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_740 = eq(_T_739, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_741 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_742 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_743 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_744 = and(_T_740, _T_741) @[el2_dec_dec_ctl.scala 17:17] + node _T_745 = and(_T_744, _T_742) @[el2_dec_dec_ctl.scala 17:17] + node _T_746 = and(_T_745, _T_743) @[el2_dec_dec_ctl.scala 17:17] + io.out.csr_write <= _T_746 @[el2_dec_dec_ctl.scala 68:20] + node _T_747 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_748 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_749 = eq(_T_748, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_750 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_751 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_752 = and(_T_747, _T_749) @[el2_dec_dec_ctl.scala 17:17] + node _T_753 = and(_T_752, _T_750) @[el2_dec_dec_ctl.scala 17:17] + node _T_754 = and(_T_753, _T_751) @[el2_dec_dec_ctl.scala 17:17] + node _T_755 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 15:34] + node _T_756 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_757 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_758 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_759 = and(_T_755, _T_756) @[el2_dec_dec_ctl.scala 17:17] + node _T_760 = and(_T_759, _T_757) @[el2_dec_dec_ctl.scala 17:17] + node _T_761 = and(_T_760, _T_758) @[el2_dec_dec_ctl.scala 17:17] + node _T_762 = or(_T_754, _T_761) @[el2_dec_dec_ctl.scala 69:47] + node _T_763 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 15:34] + node _T_764 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_765 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_766 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_767 = and(_T_763, _T_764) @[el2_dec_dec_ctl.scala 17:17] + node _T_768 = and(_T_767, _T_765) @[el2_dec_dec_ctl.scala 17:17] + node _T_769 = and(_T_768, _T_766) @[el2_dec_dec_ctl.scala 17:17] + node _T_770 = or(_T_762, _T_769) @[el2_dec_dec_ctl.scala 69:74] + node _T_771 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 15:34] + node _T_772 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_773 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_774 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_775 = and(_T_771, _T_772) @[el2_dec_dec_ctl.scala 17:17] + node _T_776 = and(_T_775, _T_773) @[el2_dec_dec_ctl.scala 17:17] + node _T_777 = and(_T_776, _T_774) @[el2_dec_dec_ctl.scala 17:17] + node _T_778 = or(_T_770, _T_777) @[el2_dec_dec_ctl.scala 70:30] + node _T_779 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 15:34] + node _T_780 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_781 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_782 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_783 = and(_T_779, _T_780) @[el2_dec_dec_ctl.scala 17:17] + node _T_784 = and(_T_783, _T_781) @[el2_dec_dec_ctl.scala 17:17] + node _T_785 = and(_T_784, _T_782) @[el2_dec_dec_ctl.scala 17:17] + node _T_786 = or(_T_778, _T_785) @[el2_dec_dec_ctl.scala 70:57] + node _T_787 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 15:34] + node _T_788 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_789 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_790 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_791 = and(_T_787, _T_788) @[el2_dec_dec_ctl.scala 17:17] + node _T_792 = and(_T_791, _T_789) @[el2_dec_dec_ctl.scala 17:17] + node _T_793 = and(_T_792, _T_790) @[el2_dec_dec_ctl.scala 17:17] + node _T_794 = or(_T_786, _T_793) @[el2_dec_dec_ctl.scala 71:30] + io.out.csr_imm <= _T_794 @[el2_dec_dec_ctl.scala 69:18] + node _T_795 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 15:34] + node _T_796 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_797 = eq(_T_796, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_798 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_799 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_800 = and(_T_795, _T_797) @[el2_dec_dec_ctl.scala 17:17] + node _T_801 = and(_T_800, _T_798) @[el2_dec_dec_ctl.scala 17:17] + node _T_802 = and(_T_801, _T_799) @[el2_dec_dec_ctl.scala 17:17] + node _T_803 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 15:34] + node _T_804 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_805 = eq(_T_804, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_806 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_807 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_808 = and(_T_803, _T_805) @[el2_dec_dec_ctl.scala 17:17] + node _T_809 = and(_T_808, _T_806) @[el2_dec_dec_ctl.scala 17:17] + node _T_810 = and(_T_809, _T_807) @[el2_dec_dec_ctl.scala 17:17] + node _T_811 = or(_T_802, _T_810) @[el2_dec_dec_ctl.scala 72:47] + node _T_812 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 15:34] + node _T_813 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_814 = eq(_T_813, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_815 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_816 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_817 = and(_T_812, _T_814) @[el2_dec_dec_ctl.scala 17:17] + node _T_818 = and(_T_817, _T_815) @[el2_dec_dec_ctl.scala 17:17] + node _T_819 = and(_T_818, _T_816) @[el2_dec_dec_ctl.scala 17:17] + node _T_820 = or(_T_811, _T_819) @[el2_dec_dec_ctl.scala 72:75] + node _T_821 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 15:34] + node _T_822 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_823 = eq(_T_822, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_824 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_825 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_826 = and(_T_821, _T_823) @[el2_dec_dec_ctl.scala 17:17] + node _T_827 = and(_T_826, _T_824) @[el2_dec_dec_ctl.scala 17:17] + node _T_828 = and(_T_827, _T_825) @[el2_dec_dec_ctl.scala 17:17] + node _T_829 = or(_T_820, _T_828) @[el2_dec_dec_ctl.scala 73:31] + node _T_830 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 15:34] + node _T_831 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_832 = eq(_T_831, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_833 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_834 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_835 = and(_T_830, _T_832) @[el2_dec_dec_ctl.scala 17:17] + node _T_836 = and(_T_835, _T_833) @[el2_dec_dec_ctl.scala 17:17] + node _T_837 = and(_T_836, _T_834) @[el2_dec_dec_ctl.scala 17:17] + node _T_838 = or(_T_829, _T_837) @[el2_dec_dec_ctl.scala 73:59] + io.out.csr_set <= _T_838 @[el2_dec_dec_ctl.scala 72:18] + node _T_839 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 15:53] + node _T_840 = eq(_T_839, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_841 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 15:34] + node _T_842 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_843 = eq(_T_842, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_844 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_845 = eq(_T_844, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_846 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_847 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_848 = and(_T_840, _T_841) @[el2_dec_dec_ctl.scala 17:17] + node _T_849 = and(_T_848, _T_843) @[el2_dec_dec_ctl.scala 17:17] + node _T_850 = and(_T_849, _T_845) @[el2_dec_dec_ctl.scala 17:17] + node _T_851 = and(_T_850, _T_846) @[el2_dec_dec_ctl.scala 17:17] + node _T_852 = and(_T_851, _T_847) @[el2_dec_dec_ctl.scala 17:17] + io.out.ebreak <= _T_852 @[el2_dec_dec_ctl.scala 75:17] + node _T_853 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 15:53] + node _T_854 = eq(_T_853, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_855 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 15:53] + node _T_856 = eq(_T_855, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_857 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_858 = eq(_T_857, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_859 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_860 = eq(_T_859, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_861 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_862 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_863 = and(_T_854, _T_856) @[el2_dec_dec_ctl.scala 17:17] + node _T_864 = and(_T_863, _T_858) @[el2_dec_dec_ctl.scala 17:17] + node _T_865 = and(_T_864, _T_860) @[el2_dec_dec_ctl.scala 17:17] + node _T_866 = and(_T_865, _T_861) @[el2_dec_dec_ctl.scala 17:17] + node _T_867 = and(_T_866, _T_862) @[el2_dec_dec_ctl.scala 17:17] + io.out.ecall <= _T_867 @[el2_dec_dec_ctl.scala 76:16] + node _T_868 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 15:34] + node _T_869 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_870 = eq(_T_869, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_871 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_872 = eq(_T_871, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_873 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_874 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_875 = and(_T_868, _T_870) @[el2_dec_dec_ctl.scala 17:17] + node _T_876 = and(_T_875, _T_872) @[el2_dec_dec_ctl.scala 17:17] + node _T_877 = and(_T_876, _T_873) @[el2_dec_dec_ctl.scala 17:17] + node _T_878 = and(_T_877, _T_874) @[el2_dec_dec_ctl.scala 17:17] + io.out.mret <= _T_878 @[el2_dec_dec_ctl.scala 77:15] + node _T_879 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:34] + node _T_880 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_881 = eq(_T_880, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_882 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_883 = eq(_T_882, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_884 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_885 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_886 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_887 = eq(_T_886, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_888 = and(_T_879, _T_881) @[el2_dec_dec_ctl.scala 17:17] + node _T_889 = and(_T_888, _T_883) @[el2_dec_dec_ctl.scala 17:17] + node _T_890 = and(_T_889, _T_884) @[el2_dec_dec_ctl.scala 17:17] + node _T_891 = and(_T_890, _T_885) @[el2_dec_dec_ctl.scala 17:17] + node _T_892 = and(_T_891, _T_887) @[el2_dec_dec_ctl.scala 17:17] + io.out.mul <= _T_892 @[el2_dec_dec_ctl.scala 78:14] + node _T_893 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:34] + node _T_894 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_896 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_897 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_898 = eq(_T_897, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_899 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_900 = eq(_T_899, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_901 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_902 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_903 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_904 = eq(_T_903, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_905 = and(_T_893, _T_895) @[el2_dec_dec_ctl.scala 17:17] + node _T_906 = and(_T_905, _T_896) @[el2_dec_dec_ctl.scala 17:17] + node _T_907 = and(_T_906, _T_898) @[el2_dec_dec_ctl.scala 17:17] + node _T_908 = and(_T_907, _T_900) @[el2_dec_dec_ctl.scala 17:17] + node _T_909 = and(_T_908, _T_901) @[el2_dec_dec_ctl.scala 17:17] + node _T_910 = and(_T_909, _T_902) @[el2_dec_dec_ctl.scala 17:17] + node _T_911 = and(_T_910, _T_904) @[el2_dec_dec_ctl.scala 17:17] + node _T_912 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:34] + node _T_913 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_914 = eq(_T_913, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_915 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_916 = eq(_T_915, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_917 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_918 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_919 = eq(_T_918, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_920 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_921 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_922 = eq(_T_921, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_923 = and(_T_912, _T_914) @[el2_dec_dec_ctl.scala 17:17] + node _T_924 = and(_T_923, _T_916) @[el2_dec_dec_ctl.scala 17:17] + node _T_925 = and(_T_924, _T_917) @[el2_dec_dec_ctl.scala 17:17] + node _T_926 = and(_T_925, _T_919) @[el2_dec_dec_ctl.scala 17:17] + node _T_927 = and(_T_926, _T_920) @[el2_dec_dec_ctl.scala 17:17] + node _T_928 = and(_T_927, _T_922) @[el2_dec_dec_ctl.scala 17:17] + node _T_929 = or(_T_911, _T_928) @[el2_dec_dec_ctl.scala 79:61] + io.out.rs1_sign <= _T_929 @[el2_dec_dec_ctl.scala 79:19] + node _T_930 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:34] + node _T_931 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_932 = eq(_T_931, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_933 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_934 = eq(_T_933, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_935 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_936 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_937 = eq(_T_936, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_938 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_939 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_940 = eq(_T_939, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_941 = and(_T_930, _T_932) @[el2_dec_dec_ctl.scala 17:17] + node _T_942 = and(_T_941, _T_934) @[el2_dec_dec_ctl.scala 17:17] + node _T_943 = and(_T_942, _T_935) @[el2_dec_dec_ctl.scala 17:17] + node _T_944 = and(_T_943, _T_937) @[el2_dec_dec_ctl.scala 17:17] + node _T_945 = and(_T_944, _T_938) @[el2_dec_dec_ctl.scala 17:17] + node _T_946 = and(_T_945, _T_940) @[el2_dec_dec_ctl.scala 17:17] + io.out.rs2_sign <= _T_946 @[el2_dec_dec_ctl.scala 81:19] + node _T_947 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:34] + node _T_948 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_949 = eq(_T_948, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_950 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_951 = eq(_T_950, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_952 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_953 = eq(_T_952, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_954 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_955 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_956 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_957 = eq(_T_956, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_958 = and(_T_947, _T_949) @[el2_dec_dec_ctl.scala 17:17] + node _T_959 = and(_T_958, _T_951) @[el2_dec_dec_ctl.scala 17:17] + node _T_960 = and(_T_959, _T_953) @[el2_dec_dec_ctl.scala 17:17] + node _T_961 = and(_T_960, _T_954) @[el2_dec_dec_ctl.scala 17:17] + node _T_962 = and(_T_961, _T_955) @[el2_dec_dec_ctl.scala 17:17] + node _T_963 = and(_T_962, _T_957) @[el2_dec_dec_ctl.scala 17:17] + io.out.low <= _T_963 @[el2_dec_dec_ctl.scala 82:14] + node _T_964 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:34] + node _T_965 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_966 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_967 = eq(_T_966, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_968 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_969 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_970 = eq(_T_969, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_971 = and(_T_964, _T_965) @[el2_dec_dec_ctl.scala 17:17] + node _T_972 = and(_T_971, _T_967) @[el2_dec_dec_ctl.scala 17:17] + node _T_973 = and(_T_972, _T_968) @[el2_dec_dec_ctl.scala 17:17] + node _T_974 = and(_T_973, _T_970) @[el2_dec_dec_ctl.scala 17:17] + io.out.div <= _T_974 @[el2_dec_dec_ctl.scala 83:14] + node _T_975 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:34] + node _T_976 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_977 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_978 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_979 = eq(_T_978, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_980 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_981 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_983 = and(_T_975, _T_976) @[el2_dec_dec_ctl.scala 17:17] + node _T_984 = and(_T_983, _T_977) @[el2_dec_dec_ctl.scala 17:17] + node _T_985 = and(_T_984, _T_979) @[el2_dec_dec_ctl.scala 17:17] + node _T_986 = and(_T_985, _T_980) @[el2_dec_dec_ctl.scala 17:17] + node _T_987 = and(_T_986, _T_982) @[el2_dec_dec_ctl.scala 17:17] + io.out.rem <= _T_987 @[el2_dec_dec_ctl.scala 84:14] + node _T_988 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_989 = eq(_T_988, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_990 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:34] + node _T_991 = and(_T_989, _T_990) @[el2_dec_dec_ctl.scala 17:17] + io.out.fence <= _T_991 @[el2_dec_dec_ctl.scala 85:16] + node _T_992 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_993 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_994 = eq(_T_993, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_995 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:34] + node _T_996 = and(_T_992, _T_994) @[el2_dec_dec_ctl.scala 17:17] + node _T_997 = and(_T_996, _T_995) @[el2_dec_dec_ctl.scala 17:17] + io.out.fence_i <= _T_997 @[el2_dec_dec_ctl.scala 86:18] + node _T_998 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 15:34] + node _T_999 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 15:34] + node _T_1000 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1001 = eq(_T_1000, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1002 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_1003 = eq(_T_1002, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1004 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1005 = and(_T_998, _T_999) @[el2_dec_dec_ctl.scala 17:17] + node _T_1006 = and(_T_1005, _T_1001) @[el2_dec_dec_ctl.scala 17:17] + node _T_1007 = and(_T_1006, _T_1003) @[el2_dec_dec_ctl.scala 17:17] + node _T_1008 = and(_T_1007, _T_1004) @[el2_dec_dec_ctl.scala 17:17] + node _T_1009 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1010 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:34] + node _T_1011 = and(_T_1009, _T_1010) @[el2_dec_dec_ctl.scala 17:17] + node _T_1012 = or(_T_1008, _T_1011) @[el2_dec_dec_ctl.scala 87:51] + node _T_1013 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_1014 = eq(_T_1013, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1015 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_1016 = eq(_T_1015, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1017 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1018 = and(_T_1014, _T_1016) @[el2_dec_dec_ctl.scala 17:17] + node _T_1019 = and(_T_1018, _T_1017) @[el2_dec_dec_ctl.scala 17:17] + node _T_1020 = or(_T_1012, _T_1019) @[el2_dec_dec_ctl.scala 87:72] + node _T_1021 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_1022 = eq(_T_1021, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1023 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1024 = and(_T_1022, _T_1023) @[el2_dec_dec_ctl.scala 17:17] + node _T_1025 = or(_T_1020, _T_1024) @[el2_dec_dec_ctl.scala 88:29] + io.out.pm_alu <= _T_1025 @[el2_dec_dec_ctl.scala 87:17] + node _T_1026 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_1027 = eq(_T_1026, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1028 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:34] + node _T_1029 = and(_T_1027, _T_1028) @[el2_dec_dec_ctl.scala 17:17] + node _T_1030 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1031 = eq(_T_1030, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1032 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 15:34] + node _T_1033 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1034 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1035 = and(_T_1031, _T_1032) @[el2_dec_dec_ctl.scala 17:17] + node _T_1036 = and(_T_1035, _T_1033) @[el2_dec_dec_ctl.scala 17:17] + node _T_1037 = and(_T_1036, _T_1034) @[el2_dec_dec_ctl.scala 17:17] + node _T_1038 = or(_T_1029, _T_1037) @[el2_dec_dec_ctl.scala 89:41] + node _T_1039 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1040 = eq(_T_1039, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1041 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 15:34] + node _T_1042 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1043 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1044 = and(_T_1040, _T_1041) @[el2_dec_dec_ctl.scala 17:17] + node _T_1045 = and(_T_1044, _T_1042) @[el2_dec_dec_ctl.scala 17:17] + node _T_1046 = and(_T_1045, _T_1043) @[el2_dec_dec_ctl.scala 17:17] + node _T_1047 = or(_T_1038, _T_1046) @[el2_dec_dec_ctl.scala 89:68] + node _T_1048 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1049 = eq(_T_1048, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1050 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 15:34] + node _T_1051 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1052 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1053 = and(_T_1049, _T_1050) @[el2_dec_dec_ctl.scala 17:17] + node _T_1054 = and(_T_1053, _T_1051) @[el2_dec_dec_ctl.scala 17:17] + node _T_1055 = and(_T_1054, _T_1052) @[el2_dec_dec_ctl.scala 17:17] + node _T_1056 = or(_T_1047, _T_1055) @[el2_dec_dec_ctl.scala 90:30] + node _T_1057 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1058 = eq(_T_1057, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1059 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 15:34] + node _T_1060 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1061 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1062 = and(_T_1058, _T_1059) @[el2_dec_dec_ctl.scala 17:17] + node _T_1063 = and(_T_1062, _T_1060) @[el2_dec_dec_ctl.scala 17:17] + node _T_1064 = and(_T_1063, _T_1061) @[el2_dec_dec_ctl.scala 17:17] + node _T_1065 = or(_T_1056, _T_1064) @[el2_dec_dec_ctl.scala 90:57] + node _T_1066 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1067 = eq(_T_1066, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1068 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 15:34] + node _T_1069 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1070 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1071 = and(_T_1067, _T_1068) @[el2_dec_dec_ctl.scala 17:17] + node _T_1072 = and(_T_1071, _T_1069) @[el2_dec_dec_ctl.scala 17:17] + node _T_1073 = and(_T_1072, _T_1070) @[el2_dec_dec_ctl.scala 17:17] + node _T_1074 = or(_T_1065, _T_1073) @[el2_dec_dec_ctl.scala 91:31] + node _T_1075 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 15:34] + node _T_1076 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_1077 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1078 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1079 = and(_T_1075, _T_1076) @[el2_dec_dec_ctl.scala 17:17] + node _T_1080 = and(_T_1079, _T_1077) @[el2_dec_dec_ctl.scala 17:17] + node _T_1081 = and(_T_1080, _T_1078) @[el2_dec_dec_ctl.scala 17:17] + node _T_1082 = or(_T_1074, _T_1081) @[el2_dec_dec_ctl.scala 91:59] + node _T_1083 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 15:34] + node _T_1084 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_1085 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1086 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1087 = and(_T_1083, _T_1084) @[el2_dec_dec_ctl.scala 17:17] + node _T_1088 = and(_T_1087, _T_1085) @[el2_dec_dec_ctl.scala 17:17] + node _T_1089 = and(_T_1088, _T_1086) @[el2_dec_dec_ctl.scala 17:17] + node _T_1090 = or(_T_1082, _T_1089) @[el2_dec_dec_ctl.scala 92:30] + node _T_1091 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 15:34] + node _T_1092 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_1093 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1094 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1095 = and(_T_1091, _T_1092) @[el2_dec_dec_ctl.scala 17:17] + node _T_1096 = and(_T_1095, _T_1093) @[el2_dec_dec_ctl.scala 17:17] + node _T_1097 = and(_T_1096, _T_1094) @[el2_dec_dec_ctl.scala 17:17] + node _T_1098 = or(_T_1090, _T_1097) @[el2_dec_dec_ctl.scala 92:57] + node _T_1099 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 15:34] + node _T_1100 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_1101 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1102 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1103 = and(_T_1099, _T_1100) @[el2_dec_dec_ctl.scala 17:17] + node _T_1104 = and(_T_1103, _T_1101) @[el2_dec_dec_ctl.scala 17:17] + node _T_1105 = and(_T_1104, _T_1102) @[el2_dec_dec_ctl.scala 17:17] + node _T_1106 = or(_T_1098, _T_1105) @[el2_dec_dec_ctl.scala 93:30] + node _T_1107 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 15:34] + node _T_1108 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_1109 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1110 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1111 = and(_T_1107, _T_1108) @[el2_dec_dec_ctl.scala 17:17] + node _T_1112 = and(_T_1111, _T_1109) @[el2_dec_dec_ctl.scala 17:17] + node _T_1113 = and(_T_1112, _T_1110) @[el2_dec_dec_ctl.scala 17:17] + node _T_1114 = or(_T_1106, _T_1113) @[el2_dec_dec_ctl.scala 93:57] + io.out.presync <= _T_1114 @[el2_dec_dec_ctl.scala 89:18] + node _T_1115 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_1116 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_1117 = eq(_T_1116, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1118 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:34] + node _T_1119 = and(_T_1115, _T_1117) @[el2_dec_dec_ctl.scala 17:17] + node _T_1120 = and(_T_1119, _T_1118) @[el2_dec_dec_ctl.scala 17:17] + node _T_1121 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 15:53] + node _T_1122 = eq(_T_1121, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1123 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1124 = eq(_T_1123, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1125 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_1126 = eq(_T_1125, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1127 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1128 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1129 = and(_T_1122, _T_1124) @[el2_dec_dec_ctl.scala 17:17] + node _T_1130 = and(_T_1129, _T_1126) @[el2_dec_dec_ctl.scala 17:17] + node _T_1131 = and(_T_1130, _T_1127) @[el2_dec_dec_ctl.scala 17:17] + node _T_1132 = and(_T_1131, _T_1128) @[el2_dec_dec_ctl.scala 17:17] + node _T_1133 = or(_T_1120, _T_1132) @[el2_dec_dec_ctl.scala 95:45] + node _T_1134 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1135 = eq(_T_1134, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1136 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 15:34] + node _T_1137 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1138 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1139 = and(_T_1135, _T_1136) @[el2_dec_dec_ctl.scala 17:17] + node _T_1140 = and(_T_1139, _T_1137) @[el2_dec_dec_ctl.scala 17:17] + node _T_1141 = and(_T_1140, _T_1138) @[el2_dec_dec_ctl.scala 17:17] + node _T_1142 = or(_T_1133, _T_1141) @[el2_dec_dec_ctl.scala 95:78] + node _T_1143 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1144 = eq(_T_1143, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1145 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 15:34] + node _T_1146 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1147 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1148 = and(_T_1144, _T_1145) @[el2_dec_dec_ctl.scala 17:17] + node _T_1149 = and(_T_1148, _T_1146) @[el2_dec_dec_ctl.scala 17:17] + node _T_1150 = and(_T_1149, _T_1147) @[el2_dec_dec_ctl.scala 17:17] + node _T_1151 = or(_T_1142, _T_1150) @[el2_dec_dec_ctl.scala 96:30] + node _T_1152 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1153 = eq(_T_1152, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1154 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 15:34] + node _T_1155 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1156 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1157 = and(_T_1153, _T_1154) @[el2_dec_dec_ctl.scala 17:17] + node _T_1158 = and(_T_1157, _T_1155) @[el2_dec_dec_ctl.scala 17:17] + node _T_1159 = and(_T_1158, _T_1156) @[el2_dec_dec_ctl.scala 17:17] + node _T_1160 = or(_T_1151, _T_1159) @[el2_dec_dec_ctl.scala 96:57] + node _T_1161 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1162 = eq(_T_1161, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1163 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 15:34] + node _T_1164 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1165 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1166 = and(_T_1162, _T_1163) @[el2_dec_dec_ctl.scala 17:17] + node _T_1167 = and(_T_1166, _T_1164) @[el2_dec_dec_ctl.scala 17:17] + node _T_1168 = and(_T_1167, _T_1165) @[el2_dec_dec_ctl.scala 17:17] + node _T_1169 = or(_T_1160, _T_1168) @[el2_dec_dec_ctl.scala 97:30] + node _T_1170 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1171 = eq(_T_1170, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1172 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 15:34] + node _T_1173 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1174 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1175 = and(_T_1171, _T_1172) @[el2_dec_dec_ctl.scala 17:17] + node _T_1176 = and(_T_1175, _T_1173) @[el2_dec_dec_ctl.scala 17:17] + node _T_1177 = and(_T_1176, _T_1174) @[el2_dec_dec_ctl.scala 17:17] + node _T_1178 = or(_T_1169, _T_1177) @[el2_dec_dec_ctl.scala 97:58] + node _T_1179 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 15:34] + node _T_1180 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_1181 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1182 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1183 = and(_T_1179, _T_1180) @[el2_dec_dec_ctl.scala 17:17] + node _T_1184 = and(_T_1183, _T_1181) @[el2_dec_dec_ctl.scala 17:17] + node _T_1185 = and(_T_1184, _T_1182) @[el2_dec_dec_ctl.scala 17:17] + node _T_1186 = or(_T_1178, _T_1185) @[el2_dec_dec_ctl.scala 98:31] + node _T_1187 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 15:34] + node _T_1188 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_1189 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1190 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1191 = and(_T_1187, _T_1188) @[el2_dec_dec_ctl.scala 17:17] + node _T_1192 = and(_T_1191, _T_1189) @[el2_dec_dec_ctl.scala 17:17] + node _T_1193 = and(_T_1192, _T_1190) @[el2_dec_dec_ctl.scala 17:17] + node _T_1194 = or(_T_1186, _T_1193) @[el2_dec_dec_ctl.scala 98:58] + node _T_1195 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 15:34] + node _T_1196 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_1197 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1198 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1199 = and(_T_1195, _T_1196) @[el2_dec_dec_ctl.scala 17:17] + node _T_1200 = and(_T_1199, _T_1197) @[el2_dec_dec_ctl.scala 17:17] + node _T_1201 = and(_T_1200, _T_1198) @[el2_dec_dec_ctl.scala 17:17] + node _T_1202 = or(_T_1194, _T_1201) @[el2_dec_dec_ctl.scala 99:30] + node _T_1203 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 15:34] + node _T_1204 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_1205 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1206 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1207 = and(_T_1203, _T_1204) @[el2_dec_dec_ctl.scala 17:17] + node _T_1208 = and(_T_1207, _T_1205) @[el2_dec_dec_ctl.scala 17:17] + node _T_1209 = and(_T_1208, _T_1206) @[el2_dec_dec_ctl.scala 17:17] + node _T_1210 = or(_T_1202, _T_1209) @[el2_dec_dec_ctl.scala 99:57] + node _T_1211 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 15:34] + node _T_1212 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_1213 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1214 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1215 = and(_T_1211, _T_1212) @[el2_dec_dec_ctl.scala 17:17] + node _T_1216 = and(_T_1215, _T_1213) @[el2_dec_dec_ctl.scala 17:17] + node _T_1217 = and(_T_1216, _T_1214) @[el2_dec_dec_ctl.scala 17:17] + node _T_1218 = or(_T_1210, _T_1217) @[el2_dec_dec_ctl.scala 100:30] + io.out.postsync <= _T_1218 @[el2_dec_dec_ctl.scala 95:19] + node _T_1219 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 15:53] + node _T_1220 = eq(_T_1219, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1221 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 15:53] + node _T_1222 = eq(_T_1221, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1223 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 15:34] + node _T_1224 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 15:34] + node _T_1225 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 15:53] + node _T_1226 = eq(_T_1225, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1227 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 15:53] + node _T_1228 = eq(_T_1227, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1229 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1231 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 15:53] + node _T_1232 = eq(_T_1231, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1233 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 15:53] + node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1235 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 15:53] + node _T_1236 = eq(_T_1235, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1237 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 15:34] + node _T_1238 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 15:53] + node _T_1239 = eq(_T_1238, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1240 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 15:53] + node _T_1241 = eq(_T_1240, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1242 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 15:53] + node _T_1243 = eq(_T_1242, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1244 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 15:53] + node _T_1245 = eq(_T_1244, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1246 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 15:53] + node _T_1247 = eq(_T_1246, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1248 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 15:53] + node _T_1249 = eq(_T_1248, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1250 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1252 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 15:53] + node _T_1253 = eq(_T_1252, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1254 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 15:53] + node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1256 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 15:53] + node _T_1257 = eq(_T_1256, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1258 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 15:53] + node _T_1259 = eq(_T_1258, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1260 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 15:53] + node _T_1261 = eq(_T_1260, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1262 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1263 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_1264 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1265 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1266 = eq(_T_1265, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1267 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_1268 = eq(_T_1267, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1269 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1270 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1271 = and(_T_1220, _T_1222) @[el2_dec_dec_ctl.scala 17:17] + node _T_1272 = and(_T_1271, _T_1223) @[el2_dec_dec_ctl.scala 17:17] + node _T_1273 = and(_T_1272, _T_1224) @[el2_dec_dec_ctl.scala 17:17] + node _T_1274 = and(_T_1273, _T_1226) @[el2_dec_dec_ctl.scala 17:17] + node _T_1275 = and(_T_1274, _T_1228) @[el2_dec_dec_ctl.scala 17:17] + node _T_1276 = and(_T_1275, _T_1230) @[el2_dec_dec_ctl.scala 17:17] + node _T_1277 = and(_T_1276, _T_1232) @[el2_dec_dec_ctl.scala 17:17] + node _T_1278 = and(_T_1277, _T_1234) @[el2_dec_dec_ctl.scala 17:17] + node _T_1279 = and(_T_1278, _T_1236) @[el2_dec_dec_ctl.scala 17:17] + node _T_1280 = and(_T_1279, _T_1237) @[el2_dec_dec_ctl.scala 17:17] + node _T_1281 = and(_T_1280, _T_1239) @[el2_dec_dec_ctl.scala 17:17] + node _T_1282 = and(_T_1281, _T_1241) @[el2_dec_dec_ctl.scala 17:17] + node _T_1283 = and(_T_1282, _T_1243) @[el2_dec_dec_ctl.scala 17:17] + node _T_1284 = and(_T_1283, _T_1245) @[el2_dec_dec_ctl.scala 17:17] + node _T_1285 = and(_T_1284, _T_1247) @[el2_dec_dec_ctl.scala 17:17] + node _T_1286 = and(_T_1285, _T_1249) @[el2_dec_dec_ctl.scala 17:17] + node _T_1287 = and(_T_1286, _T_1251) @[el2_dec_dec_ctl.scala 17:17] + node _T_1288 = and(_T_1287, _T_1253) @[el2_dec_dec_ctl.scala 17:17] + node _T_1289 = and(_T_1288, _T_1255) @[el2_dec_dec_ctl.scala 17:17] + node _T_1290 = and(_T_1289, _T_1257) @[el2_dec_dec_ctl.scala 17:17] + node _T_1291 = and(_T_1290, _T_1259) @[el2_dec_dec_ctl.scala 17:17] + node _T_1292 = and(_T_1291, _T_1261) @[el2_dec_dec_ctl.scala 17:17] + node _T_1293 = and(_T_1292, _T_1262) @[el2_dec_dec_ctl.scala 17:17] + node _T_1294 = and(_T_1293, _T_1263) @[el2_dec_dec_ctl.scala 17:17] + node _T_1295 = and(_T_1294, _T_1264) @[el2_dec_dec_ctl.scala 17:17] + node _T_1296 = and(_T_1295, _T_1266) @[el2_dec_dec_ctl.scala 17:17] + node _T_1297 = and(_T_1296, _T_1268) @[el2_dec_dec_ctl.scala 17:17] + node _T_1298 = and(_T_1297, _T_1269) @[el2_dec_dec_ctl.scala 17:17] + node _T_1299 = and(_T_1298, _T_1270) @[el2_dec_dec_ctl.scala 17:17] + node _T_1300 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 15:53] + node _T_1301 = eq(_T_1300, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1302 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 15:53] + node _T_1303 = eq(_T_1302, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1304 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 15:53] + node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1306 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 15:34] + node _T_1307 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 15:53] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1309 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 15:53] + node _T_1310 = eq(_T_1309, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1311 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_1312 = eq(_T_1311, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1313 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 15:53] + node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1315 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 15:53] + node _T_1316 = eq(_T_1315, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1317 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 15:34] + node _T_1318 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 15:53] + node _T_1319 = eq(_T_1318, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1320 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 15:34] + node _T_1321 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 15:53] + node _T_1322 = eq(_T_1321, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1323 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 15:53] + node _T_1324 = eq(_T_1323, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1325 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 15:53] + node _T_1326 = eq(_T_1325, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1327 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 15:53] + node _T_1328 = eq(_T_1327, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1329 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 15:53] + node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1331 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_1332 = eq(_T_1331, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1333 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 15:53] + node _T_1334 = eq(_T_1333, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1335 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 15:53] + node _T_1336 = eq(_T_1335, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1337 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 15:53] + node _T_1338 = eq(_T_1337, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1339 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 15:53] + node _T_1340 = eq(_T_1339, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1341 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 15:53] + node _T_1342 = eq(_T_1341, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1343 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1344 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_1345 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1346 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1347 = eq(_T_1346, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1348 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_1349 = eq(_T_1348, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1350 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1351 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1352 = and(_T_1301, _T_1303) @[el2_dec_dec_ctl.scala 17:17] + node _T_1353 = and(_T_1352, _T_1305) @[el2_dec_dec_ctl.scala 17:17] + node _T_1354 = and(_T_1353, _T_1306) @[el2_dec_dec_ctl.scala 17:17] + node _T_1355 = and(_T_1354, _T_1308) @[el2_dec_dec_ctl.scala 17:17] + node _T_1356 = and(_T_1355, _T_1310) @[el2_dec_dec_ctl.scala 17:17] + node _T_1357 = and(_T_1356, _T_1312) @[el2_dec_dec_ctl.scala 17:17] + node _T_1358 = and(_T_1357, _T_1314) @[el2_dec_dec_ctl.scala 17:17] + node _T_1359 = and(_T_1358, _T_1316) @[el2_dec_dec_ctl.scala 17:17] + node _T_1360 = and(_T_1359, _T_1317) @[el2_dec_dec_ctl.scala 17:17] + node _T_1361 = and(_T_1360, _T_1319) @[el2_dec_dec_ctl.scala 17:17] + node _T_1362 = and(_T_1361, _T_1320) @[el2_dec_dec_ctl.scala 17:17] + node _T_1363 = and(_T_1362, _T_1322) @[el2_dec_dec_ctl.scala 17:17] + node _T_1364 = and(_T_1363, _T_1324) @[el2_dec_dec_ctl.scala 17:17] + node _T_1365 = and(_T_1364, _T_1326) @[el2_dec_dec_ctl.scala 17:17] + node _T_1366 = and(_T_1365, _T_1328) @[el2_dec_dec_ctl.scala 17:17] + node _T_1367 = and(_T_1366, _T_1330) @[el2_dec_dec_ctl.scala 17:17] + node _T_1368 = and(_T_1367, _T_1332) @[el2_dec_dec_ctl.scala 17:17] + node _T_1369 = and(_T_1368, _T_1334) @[el2_dec_dec_ctl.scala 17:17] + node _T_1370 = and(_T_1369, _T_1336) @[el2_dec_dec_ctl.scala 17:17] + node _T_1371 = and(_T_1370, _T_1338) @[el2_dec_dec_ctl.scala 17:17] + node _T_1372 = and(_T_1371, _T_1340) @[el2_dec_dec_ctl.scala 17:17] + node _T_1373 = and(_T_1372, _T_1342) @[el2_dec_dec_ctl.scala 17:17] + node _T_1374 = and(_T_1373, _T_1343) @[el2_dec_dec_ctl.scala 17:17] + node _T_1375 = and(_T_1374, _T_1344) @[el2_dec_dec_ctl.scala 17:17] + node _T_1376 = and(_T_1375, _T_1345) @[el2_dec_dec_ctl.scala 17:17] + node _T_1377 = and(_T_1376, _T_1347) @[el2_dec_dec_ctl.scala 17:17] + node _T_1378 = and(_T_1377, _T_1349) @[el2_dec_dec_ctl.scala 17:17] + node _T_1379 = and(_T_1378, _T_1350) @[el2_dec_dec_ctl.scala 17:17] + node _T_1380 = and(_T_1379, _T_1351) @[el2_dec_dec_ctl.scala 17:17] + node _T_1381 = or(_T_1299, _T_1380) @[el2_dec_dec_ctl.scala 101:136] + node _T_1382 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 15:53] + node _T_1383 = eq(_T_1382, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1384 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 15:53] + node _T_1385 = eq(_T_1384, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1386 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 15:53] + node _T_1387 = eq(_T_1386, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1388 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 15:53] + node _T_1389 = eq(_T_1388, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1390 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 15:53] + node _T_1391 = eq(_T_1390, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1392 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 15:53] + node _T_1393 = eq(_T_1392, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1394 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_1395 = eq(_T_1394, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1396 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 15:53] + node _T_1397 = eq(_T_1396, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1398 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 15:53] + node _T_1399 = eq(_T_1398, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1400 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 15:53] + node _T_1401 = eq(_T_1400, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1402 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 15:53] + node _T_1403 = eq(_T_1402, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1404 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 15:53] + node _T_1405 = eq(_T_1404, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1406 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 15:53] + node _T_1407 = eq(_T_1406, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1408 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 15:53] + node _T_1409 = eq(_T_1408, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1410 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 15:53] + node _T_1411 = eq(_T_1410, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1412 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 15:53] + node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1414 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_1415 = eq(_T_1414, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1416 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 15:53] + node _T_1417 = eq(_T_1416, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1418 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 15:53] + node _T_1419 = eq(_T_1418, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1420 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 15:53] + node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1422 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 15:53] + node _T_1423 = eq(_T_1422, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1424 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 15:53] + node _T_1425 = eq(_T_1424, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1426 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_1427 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1428 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1429 = eq(_T_1428, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1430 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_1431 = eq(_T_1430, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1432 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1433 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1434 = and(_T_1383, _T_1385) @[el2_dec_dec_ctl.scala 17:17] + node _T_1435 = and(_T_1434, _T_1387) @[el2_dec_dec_ctl.scala 17:17] + node _T_1436 = and(_T_1435, _T_1389) @[el2_dec_dec_ctl.scala 17:17] + node _T_1437 = and(_T_1436, _T_1391) @[el2_dec_dec_ctl.scala 17:17] + node _T_1438 = and(_T_1437, _T_1393) @[el2_dec_dec_ctl.scala 17:17] + node _T_1439 = and(_T_1438, _T_1395) @[el2_dec_dec_ctl.scala 17:17] + node _T_1440 = and(_T_1439, _T_1397) @[el2_dec_dec_ctl.scala 17:17] + node _T_1441 = and(_T_1440, _T_1399) @[el2_dec_dec_ctl.scala 17:17] + node _T_1442 = and(_T_1441, _T_1401) @[el2_dec_dec_ctl.scala 17:17] + node _T_1443 = and(_T_1442, _T_1403) @[el2_dec_dec_ctl.scala 17:17] + node _T_1444 = and(_T_1443, _T_1405) @[el2_dec_dec_ctl.scala 17:17] + node _T_1445 = and(_T_1444, _T_1407) @[el2_dec_dec_ctl.scala 17:17] + node _T_1446 = and(_T_1445, _T_1409) @[el2_dec_dec_ctl.scala 17:17] + node _T_1447 = and(_T_1446, _T_1411) @[el2_dec_dec_ctl.scala 17:17] + node _T_1448 = and(_T_1447, _T_1413) @[el2_dec_dec_ctl.scala 17:17] + node _T_1449 = and(_T_1448, _T_1415) @[el2_dec_dec_ctl.scala 17:17] + node _T_1450 = and(_T_1449, _T_1417) @[el2_dec_dec_ctl.scala 17:17] + node _T_1451 = and(_T_1450, _T_1419) @[el2_dec_dec_ctl.scala 17:17] + node _T_1452 = and(_T_1451, _T_1421) @[el2_dec_dec_ctl.scala 17:17] + node _T_1453 = and(_T_1452, _T_1423) @[el2_dec_dec_ctl.scala 17:17] + node _T_1454 = and(_T_1453, _T_1425) @[el2_dec_dec_ctl.scala 17:17] + node _T_1455 = and(_T_1454, _T_1426) @[el2_dec_dec_ctl.scala 17:17] + node _T_1456 = and(_T_1455, _T_1427) @[el2_dec_dec_ctl.scala 17:17] + node _T_1457 = and(_T_1456, _T_1429) @[el2_dec_dec_ctl.scala 17:17] + node _T_1458 = and(_T_1457, _T_1431) @[el2_dec_dec_ctl.scala 17:17] + node _T_1459 = and(_T_1458, _T_1432) @[el2_dec_dec_ctl.scala 17:17] + node _T_1460 = and(_T_1459, _T_1433) @[el2_dec_dec_ctl.scala 17:17] + node _T_1461 = or(_T_1381, _T_1460) @[el2_dec_dec_ctl.scala 102:122] + node _T_1462 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 15:53] + node _T_1463 = eq(_T_1462, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1464 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 15:53] + node _T_1465 = eq(_T_1464, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1466 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 15:53] + node _T_1467 = eq(_T_1466, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1468 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 15:53] + node _T_1469 = eq(_T_1468, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1470 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 15:53] + node _T_1471 = eq(_T_1470, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1472 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 15:53] + node _T_1473 = eq(_T_1472, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1474 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_1475 = eq(_T_1474, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1476 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_1477 = eq(_T_1476, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1478 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1479 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1481 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1482 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1483 = and(_T_1463, _T_1465) @[el2_dec_dec_ctl.scala 17:17] + node _T_1484 = and(_T_1483, _T_1467) @[el2_dec_dec_ctl.scala 17:17] + node _T_1485 = and(_T_1484, _T_1469) @[el2_dec_dec_ctl.scala 17:17] + node _T_1486 = and(_T_1485, _T_1471) @[el2_dec_dec_ctl.scala 17:17] + node _T_1487 = and(_T_1486, _T_1473) @[el2_dec_dec_ctl.scala 17:17] + node _T_1488 = and(_T_1487, _T_1475) @[el2_dec_dec_ctl.scala 17:17] + node _T_1489 = and(_T_1488, _T_1477) @[el2_dec_dec_ctl.scala 17:17] + node _T_1490 = and(_T_1489, _T_1478) @[el2_dec_dec_ctl.scala 17:17] + node _T_1491 = and(_T_1490, _T_1480) @[el2_dec_dec_ctl.scala 17:17] + node _T_1492 = and(_T_1491, _T_1481) @[el2_dec_dec_ctl.scala 17:17] + node _T_1493 = and(_T_1492, _T_1482) @[el2_dec_dec_ctl.scala 17:17] + node _T_1494 = or(_T_1461, _T_1493) @[el2_dec_dec_ctl.scala 103:119] + node _T_1495 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 15:53] + node _T_1496 = eq(_T_1495, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1497 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 15:53] + node _T_1498 = eq(_T_1497, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1499 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 15:53] + node _T_1500 = eq(_T_1499, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1501 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 15:53] + node _T_1502 = eq(_T_1501, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1503 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 15:53] + node _T_1504 = eq(_T_1503, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1505 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_1506 = eq(_T_1505, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1507 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_1508 = eq(_T_1507, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1509 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1510 = eq(_T_1509, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1511 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_1512 = eq(_T_1511, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1513 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_1514 = eq(_T_1513, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1515 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1516 = eq(_T_1515, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1517 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_1518 = eq(_T_1517, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1519 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1520 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1521 = and(_T_1496, _T_1498) @[el2_dec_dec_ctl.scala 17:17] + node _T_1522 = and(_T_1521, _T_1500) @[el2_dec_dec_ctl.scala 17:17] + node _T_1523 = and(_T_1522, _T_1502) @[el2_dec_dec_ctl.scala 17:17] + node _T_1524 = and(_T_1523, _T_1504) @[el2_dec_dec_ctl.scala 17:17] + node _T_1525 = and(_T_1524, _T_1506) @[el2_dec_dec_ctl.scala 17:17] + node _T_1526 = and(_T_1525, _T_1508) @[el2_dec_dec_ctl.scala 17:17] + node _T_1527 = and(_T_1526, _T_1510) @[el2_dec_dec_ctl.scala 17:17] + node _T_1528 = and(_T_1527, _T_1512) @[el2_dec_dec_ctl.scala 17:17] + node _T_1529 = and(_T_1528, _T_1514) @[el2_dec_dec_ctl.scala 17:17] + node _T_1530 = and(_T_1529, _T_1516) @[el2_dec_dec_ctl.scala 17:17] + node _T_1531 = and(_T_1530, _T_1518) @[el2_dec_dec_ctl.scala 17:17] + node _T_1532 = and(_T_1531, _T_1519) @[el2_dec_dec_ctl.scala 17:17] + node _T_1533 = and(_T_1532, _T_1520) @[el2_dec_dec_ctl.scala 17:17] + node _T_1534 = or(_T_1494, _T_1533) @[el2_dec_dec_ctl.scala 104:60] + node _T_1535 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 15:53] + node _T_1536 = eq(_T_1535, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1537 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 15:53] + node _T_1538 = eq(_T_1537, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1539 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 15:53] + node _T_1540 = eq(_T_1539, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1541 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 15:53] + node _T_1542 = eq(_T_1541, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1543 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 15:53] + node _T_1544 = eq(_T_1543, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1545 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_1546 = eq(_T_1545, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1547 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_1548 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1549 = eq(_T_1548, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1550 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_1551 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_1552 = eq(_T_1551, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1553 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1554 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1555 = eq(_T_1554, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1556 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1557 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1558 = and(_T_1536, _T_1538) @[el2_dec_dec_ctl.scala 17:17] + node _T_1559 = and(_T_1558, _T_1540) @[el2_dec_dec_ctl.scala 17:17] + node _T_1560 = and(_T_1559, _T_1542) @[el2_dec_dec_ctl.scala 17:17] + node _T_1561 = and(_T_1560, _T_1544) @[el2_dec_dec_ctl.scala 17:17] + node _T_1562 = and(_T_1561, _T_1546) @[el2_dec_dec_ctl.scala 17:17] + node _T_1563 = and(_T_1562, _T_1547) @[el2_dec_dec_ctl.scala 17:17] + node _T_1564 = and(_T_1563, _T_1549) @[el2_dec_dec_ctl.scala 17:17] + node _T_1565 = and(_T_1564, _T_1550) @[el2_dec_dec_ctl.scala 17:17] + node _T_1566 = and(_T_1565, _T_1552) @[el2_dec_dec_ctl.scala 17:17] + node _T_1567 = and(_T_1566, _T_1553) @[el2_dec_dec_ctl.scala 17:17] + node _T_1568 = and(_T_1567, _T_1555) @[el2_dec_dec_ctl.scala 17:17] + node _T_1569 = and(_T_1568, _T_1556) @[el2_dec_dec_ctl.scala 17:17] + node _T_1570 = and(_T_1569, _T_1557) @[el2_dec_dec_ctl.scala 17:17] + node _T_1571 = or(_T_1534, _T_1570) @[el2_dec_dec_ctl.scala 105:69] + node _T_1572 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 15:53] + node _T_1573 = eq(_T_1572, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1574 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 15:53] + node _T_1575 = eq(_T_1574, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1576 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 15:53] + node _T_1577 = eq(_T_1576, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1578 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 15:53] + node _T_1579 = eq(_T_1578, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1580 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 15:53] + node _T_1581 = eq(_T_1580, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1582 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 15:53] + node _T_1583 = eq(_T_1582, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1584 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_1585 = eq(_T_1584, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1586 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_1587 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1588 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1589 = eq(_T_1588, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1590 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1591 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1592 = and(_T_1573, _T_1575) @[el2_dec_dec_ctl.scala 17:17] + node _T_1593 = and(_T_1592, _T_1577) @[el2_dec_dec_ctl.scala 17:17] + node _T_1594 = and(_T_1593, _T_1579) @[el2_dec_dec_ctl.scala 17:17] + node _T_1595 = and(_T_1594, _T_1581) @[el2_dec_dec_ctl.scala 17:17] + node _T_1596 = and(_T_1595, _T_1583) @[el2_dec_dec_ctl.scala 17:17] + node _T_1597 = and(_T_1596, _T_1585) @[el2_dec_dec_ctl.scala 17:17] + node _T_1598 = and(_T_1597, _T_1586) @[el2_dec_dec_ctl.scala 17:17] + node _T_1599 = and(_T_1598, _T_1587) @[el2_dec_dec_ctl.scala 17:17] + node _T_1600 = and(_T_1599, _T_1589) @[el2_dec_dec_ctl.scala 17:17] + node _T_1601 = and(_T_1600, _T_1590) @[el2_dec_dec_ctl.scala 17:17] + node _T_1602 = and(_T_1601, _T_1591) @[el2_dec_dec_ctl.scala 17:17] + node _T_1603 = or(_T_1571, _T_1602) @[el2_dec_dec_ctl.scala 106:66] + node _T_1604 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_1605 = eq(_T_1604, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1606 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1607 = eq(_T_1606, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1608 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_1609 = eq(_T_1608, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1610 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1611 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_1612 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_1613 = eq(_T_1612, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1614 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1616 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1617 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1618 = and(_T_1605, _T_1607) @[el2_dec_dec_ctl.scala 17:17] + node _T_1619 = and(_T_1618, _T_1609) @[el2_dec_dec_ctl.scala 17:17] + node _T_1620 = and(_T_1619, _T_1610) @[el2_dec_dec_ctl.scala 17:17] + node _T_1621 = and(_T_1620, _T_1611) @[el2_dec_dec_ctl.scala 17:17] + node _T_1622 = and(_T_1621, _T_1613) @[el2_dec_dec_ctl.scala 17:17] + node _T_1623 = and(_T_1622, _T_1615) @[el2_dec_dec_ctl.scala 17:17] + node _T_1624 = and(_T_1623, _T_1616) @[el2_dec_dec_ctl.scala 17:17] + node _T_1625 = and(_T_1624, _T_1617) @[el2_dec_dec_ctl.scala 17:17] + node _T_1626 = or(_T_1603, _T_1625) @[el2_dec_dec_ctl.scala 107:58] + node _T_1627 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:34] + node _T_1628 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1629 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_1630 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_1631 = eq(_T_1630, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1632 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1633 = eq(_T_1632, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1634 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_1635 = eq(_T_1634, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1636 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1637 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1638 = and(_T_1627, _T_1628) @[el2_dec_dec_ctl.scala 17:17] + node _T_1639 = and(_T_1638, _T_1629) @[el2_dec_dec_ctl.scala 17:17] + node _T_1640 = and(_T_1639, _T_1631) @[el2_dec_dec_ctl.scala 17:17] + node _T_1641 = and(_T_1640, _T_1633) @[el2_dec_dec_ctl.scala 17:17] + node _T_1642 = and(_T_1641, _T_1635) @[el2_dec_dec_ctl.scala 17:17] + node _T_1643 = and(_T_1642, _T_1636) @[el2_dec_dec_ctl.scala 17:17] + node _T_1644 = and(_T_1643, _T_1637) @[el2_dec_dec_ctl.scala 17:17] + node _T_1645 = or(_T_1626, _T_1644) @[el2_dec_dec_ctl.scala 108:46] + node _T_1646 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_1647 = eq(_T_1646, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1648 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_1649 = eq(_T_1648, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1650 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_1651 = eq(_T_1650, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1652 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1653 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1654 = eq(_T_1653, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1655 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1656 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1657 = and(_T_1647, _T_1649) @[el2_dec_dec_ctl.scala 17:17] + node _T_1658 = and(_T_1657, _T_1651) @[el2_dec_dec_ctl.scala 17:17] + node _T_1659 = and(_T_1658, _T_1652) @[el2_dec_dec_ctl.scala 17:17] + node _T_1660 = and(_T_1659, _T_1654) @[el2_dec_dec_ctl.scala 17:17] + node _T_1661 = and(_T_1660, _T_1655) @[el2_dec_dec_ctl.scala 17:17] + node _T_1662 = and(_T_1661, _T_1656) @[el2_dec_dec_ctl.scala 17:17] + node _T_1663 = or(_T_1645, _T_1662) @[el2_dec_dec_ctl.scala 109:40] + node _T_1664 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_1665 = eq(_T_1664, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1666 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1667 = eq(_T_1666, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1668 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_1669 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_1670 = eq(_T_1669, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1671 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1672 = eq(_T_1671, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1673 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_1674 = eq(_T_1673, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1675 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1676 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1677 = and(_T_1665, _T_1667) @[el2_dec_dec_ctl.scala 17:17] + node _T_1678 = and(_T_1677, _T_1668) @[el2_dec_dec_ctl.scala 17:17] + node _T_1679 = and(_T_1678, _T_1670) @[el2_dec_dec_ctl.scala 17:17] + node _T_1680 = and(_T_1679, _T_1672) @[el2_dec_dec_ctl.scala 17:17] + node _T_1681 = and(_T_1680, _T_1674) @[el2_dec_dec_ctl.scala 17:17] + node _T_1682 = and(_T_1681, _T_1675) @[el2_dec_dec_ctl.scala 17:17] + node _T_1683 = and(_T_1682, _T_1676) @[el2_dec_dec_ctl.scala 17:17] + node _T_1684 = or(_T_1663, _T_1683) @[el2_dec_dec_ctl.scala 110:39] + node _T_1685 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:34] + node _T_1686 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1687 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_1688 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1689 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1690 = eq(_T_1689, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1691 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_1692 = eq(_T_1691, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1693 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1694 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1695 = and(_T_1685, _T_1686) @[el2_dec_dec_ctl.scala 17:17] + node _T_1696 = and(_T_1695, _T_1687) @[el2_dec_dec_ctl.scala 17:17] + node _T_1697 = and(_T_1696, _T_1688) @[el2_dec_dec_ctl.scala 17:17] + node _T_1698 = and(_T_1697, _T_1690) @[el2_dec_dec_ctl.scala 17:17] + node _T_1699 = and(_T_1698, _T_1692) @[el2_dec_dec_ctl.scala 17:17] + node _T_1700 = and(_T_1699, _T_1693) @[el2_dec_dec_ctl.scala 17:17] + node _T_1701 = and(_T_1700, _T_1694) @[el2_dec_dec_ctl.scala 17:17] + node _T_1702 = or(_T_1684, _T_1701) @[el2_dec_dec_ctl.scala 111:43] + node _T_1703 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 15:53] + node _T_1704 = eq(_T_1703, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1705 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 15:53] + node _T_1706 = eq(_T_1705, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1707 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 15:53] + node _T_1708 = eq(_T_1707, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1709 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 15:53] + node _T_1710 = eq(_T_1709, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1711 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 15:53] + node _T_1712 = eq(_T_1711, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1713 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 15:53] + node _T_1714 = eq(_T_1713, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1715 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 15:53] + node _T_1716 = eq(_T_1715, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1717 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 15:53] + node _T_1718 = eq(_T_1717, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1719 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 15:53] + node _T_1720 = eq(_T_1719, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1721 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 15:53] + node _T_1722 = eq(_T_1721, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1723 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 15:53] + node _T_1724 = eq(_T_1723, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1725 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 15:53] + node _T_1726 = eq(_T_1725, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1727 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 15:53] + node _T_1728 = eq(_T_1727, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1729 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 15:53] + node _T_1730 = eq(_T_1729, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1731 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 15:53] + node _T_1732 = eq(_T_1731, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1733 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 15:53] + node _T_1734 = eq(_T_1733, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1735 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 15:53] + node _T_1736 = eq(_T_1735, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1737 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1739 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1740 = eq(_T_1739, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1741 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 15:53] + node _T_1742 = eq(_T_1741, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1743 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 15:53] + node _T_1744 = eq(_T_1743, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1745 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 15:53] + node _T_1746 = eq(_T_1745, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1747 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 15:53] + node _T_1748 = eq(_T_1747, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1749 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 15:53] + node _T_1750 = eq(_T_1749, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1751 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_1752 = eq(_T_1751, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1753 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_1754 = eq(_T_1753, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1755 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_1756 = eq(_T_1755, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1757 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:34] + node _T_1758 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:34] + node _T_1759 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1760 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1761 = and(_T_1704, _T_1706) @[el2_dec_dec_ctl.scala 17:17] + node _T_1762 = and(_T_1761, _T_1708) @[el2_dec_dec_ctl.scala 17:17] + node _T_1763 = and(_T_1762, _T_1710) @[el2_dec_dec_ctl.scala 17:17] + node _T_1764 = and(_T_1763, _T_1712) @[el2_dec_dec_ctl.scala 17:17] + node _T_1765 = and(_T_1764, _T_1714) @[el2_dec_dec_ctl.scala 17:17] + node _T_1766 = and(_T_1765, _T_1716) @[el2_dec_dec_ctl.scala 17:17] + node _T_1767 = and(_T_1766, _T_1718) @[el2_dec_dec_ctl.scala 17:17] + node _T_1768 = and(_T_1767, _T_1720) @[el2_dec_dec_ctl.scala 17:17] + node _T_1769 = and(_T_1768, _T_1722) @[el2_dec_dec_ctl.scala 17:17] + node _T_1770 = and(_T_1769, _T_1724) @[el2_dec_dec_ctl.scala 17:17] + node _T_1771 = and(_T_1770, _T_1726) @[el2_dec_dec_ctl.scala 17:17] + node _T_1772 = and(_T_1771, _T_1728) @[el2_dec_dec_ctl.scala 17:17] + node _T_1773 = and(_T_1772, _T_1730) @[el2_dec_dec_ctl.scala 17:17] + node _T_1774 = and(_T_1773, _T_1732) @[el2_dec_dec_ctl.scala 17:17] + node _T_1775 = and(_T_1774, _T_1734) @[el2_dec_dec_ctl.scala 17:17] + node _T_1776 = and(_T_1775, _T_1736) @[el2_dec_dec_ctl.scala 17:17] + node _T_1777 = and(_T_1776, _T_1738) @[el2_dec_dec_ctl.scala 17:17] + node _T_1778 = and(_T_1777, _T_1740) @[el2_dec_dec_ctl.scala 17:17] + node _T_1779 = and(_T_1778, _T_1742) @[el2_dec_dec_ctl.scala 17:17] + node _T_1780 = and(_T_1779, _T_1744) @[el2_dec_dec_ctl.scala 17:17] + node _T_1781 = and(_T_1780, _T_1746) @[el2_dec_dec_ctl.scala 17:17] + node _T_1782 = and(_T_1781, _T_1748) @[el2_dec_dec_ctl.scala 17:17] + node _T_1783 = and(_T_1782, _T_1750) @[el2_dec_dec_ctl.scala 17:17] + node _T_1784 = and(_T_1783, _T_1752) @[el2_dec_dec_ctl.scala 17:17] + node _T_1785 = and(_T_1784, _T_1754) @[el2_dec_dec_ctl.scala 17:17] + node _T_1786 = and(_T_1785, _T_1756) @[el2_dec_dec_ctl.scala 17:17] + node _T_1787 = and(_T_1786, _T_1757) @[el2_dec_dec_ctl.scala 17:17] + node _T_1788 = and(_T_1787, _T_1758) @[el2_dec_dec_ctl.scala 17:17] + node _T_1789 = and(_T_1788, _T_1759) @[el2_dec_dec_ctl.scala 17:17] + node _T_1790 = and(_T_1789, _T_1760) @[el2_dec_dec_ctl.scala 17:17] + node _T_1791 = or(_T_1702, _T_1790) @[el2_dec_dec_ctl.scala 112:39] + node _T_1792 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 15:53] + node _T_1793 = eq(_T_1792, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1794 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 15:53] + node _T_1795 = eq(_T_1794, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1796 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 15:53] + node _T_1797 = eq(_T_1796, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1798 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 15:53] + node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1800 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 15:53] + node _T_1801 = eq(_T_1800, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1802 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 15:53] + node _T_1803 = eq(_T_1802, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1804 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 15:53] + node _T_1805 = eq(_T_1804, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1806 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 15:53] + node _T_1807 = eq(_T_1806, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1808 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 15:53] + node _T_1809 = eq(_T_1808, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1810 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_1811 = eq(_T_1810, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1812 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1813 = eq(_T_1812, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1814 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_1815 = eq(_T_1814, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1816 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 15:53] + node _T_1817 = eq(_T_1816, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1818 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 15:53] + node _T_1819 = eq(_T_1818, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1820 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 15:53] + node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1822 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 15:53] + node _T_1823 = eq(_T_1822, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1824 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 15:53] + node _T_1825 = eq(_T_1824, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1826 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_1827 = eq(_T_1826, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1828 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_1829 = eq(_T_1828, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1830 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_1831 = eq(_T_1830, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1832 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:34] + node _T_1833 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:34] + node _T_1834 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1835 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1836 = and(_T_1793, _T_1795) @[el2_dec_dec_ctl.scala 17:17] + node _T_1837 = and(_T_1836, _T_1797) @[el2_dec_dec_ctl.scala 17:17] + node _T_1838 = and(_T_1837, _T_1799) @[el2_dec_dec_ctl.scala 17:17] + node _T_1839 = and(_T_1838, _T_1801) @[el2_dec_dec_ctl.scala 17:17] + node _T_1840 = and(_T_1839, _T_1803) @[el2_dec_dec_ctl.scala 17:17] + node _T_1841 = and(_T_1840, _T_1805) @[el2_dec_dec_ctl.scala 17:17] + node _T_1842 = and(_T_1841, _T_1807) @[el2_dec_dec_ctl.scala 17:17] + node _T_1843 = and(_T_1842, _T_1809) @[el2_dec_dec_ctl.scala 17:17] + node _T_1844 = and(_T_1843, _T_1811) @[el2_dec_dec_ctl.scala 17:17] + node _T_1845 = and(_T_1844, _T_1813) @[el2_dec_dec_ctl.scala 17:17] + node _T_1846 = and(_T_1845, _T_1815) @[el2_dec_dec_ctl.scala 17:17] + node _T_1847 = and(_T_1846, _T_1817) @[el2_dec_dec_ctl.scala 17:17] + node _T_1848 = and(_T_1847, _T_1819) @[el2_dec_dec_ctl.scala 17:17] + node _T_1849 = and(_T_1848, _T_1821) @[el2_dec_dec_ctl.scala 17:17] + node _T_1850 = and(_T_1849, _T_1823) @[el2_dec_dec_ctl.scala 17:17] + node _T_1851 = and(_T_1850, _T_1825) @[el2_dec_dec_ctl.scala 17:17] + node _T_1852 = and(_T_1851, _T_1827) @[el2_dec_dec_ctl.scala 17:17] + node _T_1853 = and(_T_1852, _T_1829) @[el2_dec_dec_ctl.scala 17:17] + node _T_1854 = and(_T_1853, _T_1831) @[el2_dec_dec_ctl.scala 17:17] + node _T_1855 = and(_T_1854, _T_1832) @[el2_dec_dec_ctl.scala 17:17] + node _T_1856 = and(_T_1855, _T_1833) @[el2_dec_dec_ctl.scala 17:17] + node _T_1857 = and(_T_1856, _T_1834) @[el2_dec_dec_ctl.scala 17:17] + node _T_1858 = and(_T_1857, _T_1835) @[el2_dec_dec_ctl.scala 17:17] + node _T_1859 = or(_T_1791, _T_1858) @[el2_dec_dec_ctl.scala 113:130] + node _T_1860 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_1861 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1862 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_1863 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1864 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1865 = eq(_T_1864, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1866 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1868 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1869 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1870 = and(_T_1860, _T_1861) @[el2_dec_dec_ctl.scala 17:17] + node _T_1871 = and(_T_1870, _T_1862) @[el2_dec_dec_ctl.scala 17:17] + node _T_1872 = and(_T_1871, _T_1863) @[el2_dec_dec_ctl.scala 17:17] + node _T_1873 = and(_T_1872, _T_1865) @[el2_dec_dec_ctl.scala 17:17] + node _T_1874 = and(_T_1873, _T_1867) @[el2_dec_dec_ctl.scala 17:17] + node _T_1875 = and(_T_1874, _T_1868) @[el2_dec_dec_ctl.scala 17:17] + node _T_1876 = and(_T_1875, _T_1869) @[el2_dec_dec_ctl.scala 17:17] + node _T_1877 = or(_T_1859, _T_1876) @[el2_dec_dec_ctl.scala 114:102] + node _T_1878 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:53] + node _T_1879 = eq(_T_1878, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1880 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1882 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_1883 = eq(_T_1882, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1884 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_1885 = eq(_T_1884, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1886 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1888 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_1889 = eq(_T_1888, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1890 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1891 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1892 = and(_T_1879, _T_1881) @[el2_dec_dec_ctl.scala 17:17] + node _T_1893 = and(_T_1892, _T_1883) @[el2_dec_dec_ctl.scala 17:17] + node _T_1894 = and(_T_1893, _T_1885) @[el2_dec_dec_ctl.scala 17:17] + node _T_1895 = and(_T_1894, _T_1887) @[el2_dec_dec_ctl.scala 17:17] + node _T_1896 = and(_T_1895, _T_1889) @[el2_dec_dec_ctl.scala 17:17] + node _T_1897 = and(_T_1896, _T_1890) @[el2_dec_dec_ctl.scala 17:17] + node _T_1898 = and(_T_1897, _T_1891) @[el2_dec_dec_ctl.scala 17:17] + node _T_1899 = or(_T_1877, _T_1898) @[el2_dec_dec_ctl.scala 115:39] + node _T_1900 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:34] + node _T_1901 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:34] + node _T_1902 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_1903 = eq(_T_1902, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1904 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:34] + node _T_1905 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:34] + node _T_1906 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1907 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1908 = and(_T_1900, _T_1901) @[el2_dec_dec_ctl.scala 17:17] + node _T_1909 = and(_T_1908, _T_1903) @[el2_dec_dec_ctl.scala 17:17] + node _T_1910 = and(_T_1909, _T_1904) @[el2_dec_dec_ctl.scala 17:17] + node _T_1911 = and(_T_1910, _T_1905) @[el2_dec_dec_ctl.scala 17:17] + node _T_1912 = and(_T_1911, _T_1906) @[el2_dec_dec_ctl.scala 17:17] + node _T_1913 = and(_T_1912, _T_1907) @[el2_dec_dec_ctl.scala 17:17] + node _T_1914 = or(_T_1899, _T_1913) @[el2_dec_dec_ctl.scala 116:43] + node _T_1915 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 15:34] + node _T_1916 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1918 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 15:53] + node _T_1919 = eq(_T_1918, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1920 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1921 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1922 = eq(_T_1921, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1923 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1924 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1925 = and(_T_1915, _T_1917) @[el2_dec_dec_ctl.scala 17:17] + node _T_1926 = and(_T_1925, _T_1919) @[el2_dec_dec_ctl.scala 17:17] + node _T_1927 = and(_T_1926, _T_1920) @[el2_dec_dec_ctl.scala 17:17] + node _T_1928 = and(_T_1927, _T_1922) @[el2_dec_dec_ctl.scala 17:17] + node _T_1929 = and(_T_1928, _T_1923) @[el2_dec_dec_ctl.scala 17:17] + node _T_1930 = and(_T_1929, _T_1924) @[el2_dec_dec_ctl.scala 17:17] + node _T_1931 = or(_T_1914, _T_1930) @[el2_dec_dec_ctl.scala 117:35] + node _T_1932 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 15:53] + node _T_1933 = eq(_T_1932, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1934 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 15:53] + node _T_1935 = eq(_T_1934, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1936 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1938 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:53] + node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1940 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1941 = eq(_T_1940, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1942 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:53] + node _T_1943 = eq(_T_1942, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1944 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1945 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1946 = and(_T_1933, _T_1935) @[el2_dec_dec_ctl.scala 17:17] + node _T_1947 = and(_T_1946, _T_1937) @[el2_dec_dec_ctl.scala 17:17] + node _T_1948 = and(_T_1947, _T_1939) @[el2_dec_dec_ctl.scala 17:17] + node _T_1949 = and(_T_1948, _T_1941) @[el2_dec_dec_ctl.scala 17:17] + node _T_1950 = and(_T_1949, _T_1943) @[el2_dec_dec_ctl.scala 17:17] + node _T_1951 = and(_T_1950, _T_1944) @[el2_dec_dec_ctl.scala 17:17] + node _T_1952 = and(_T_1951, _T_1945) @[el2_dec_dec_ctl.scala 17:17] + node _T_1953 = or(_T_1931, _T_1952) @[el2_dec_dec_ctl.scala 118:38] + node _T_1954 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 15:53] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1956 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 15:34] + node _T_1957 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 15:53] + node _T_1958 = eq(_T_1957, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 15:46] + node _T_1959 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 15:34] + node _T_1960 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 15:34] + node _T_1961 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 15:34] + node _T_1962 = and(_T_1955, _T_1956) @[el2_dec_dec_ctl.scala 17:17] + node _T_1963 = and(_T_1962, _T_1958) @[el2_dec_dec_ctl.scala 17:17] + node _T_1964 = and(_T_1963, _T_1959) @[el2_dec_dec_ctl.scala 17:17] + node _T_1965 = and(_T_1964, _T_1960) @[el2_dec_dec_ctl.scala 17:17] + node _T_1966 = and(_T_1965, _T_1961) @[el2_dec_dec_ctl.scala 17:17] + node _T_1967 = or(_T_1953, _T_1966) @[el2_dec_dec_ctl.scala 119:44] + io.out.legal <= _T_1967 @[el2_dec_dec_ctl.scala 101:16] extmodule gated_latch_1 : output Q : Clock @@ -2573,11 +2558,11 @@ circuit el2_dec_decode_ctl : wire x_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 133:20] wire r_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 134:17] wire r_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 135:23] - wire d_d : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 136:17] - wire x_d : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 137:17] - wire r_d : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 138:17] - wire r_d_in : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 139:20] - wire wbd : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 140:17] + wire d_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 136:17] + wire x_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 137:17] + wire r_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 138:17] + wire r_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 139:20] + wire wbd : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 140:17] wire i0_d_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 141:20] wire i0_rs1_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 142:28] wire i0_rs2_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 143:28] @@ -3005,14 +2990,14 @@ circuit el2_dec_decode_ctl : cam_write <= io.lsu_nonblock_load_valid_m @[el2_dec_decode_ctl.scala 305:25] node cam_write_tag = bits(io.lsu_nonblock_load_tag_m, 1, 0) @[el2_dec_decode_ctl.scala 306:54] node cam_data_reset = or(io.lsu_nonblock_load_data_valid, io.lsu_nonblock_load_data_error) @[el2_dec_decode_ctl.scala 311:63] - node _T_89 = bits(x_d.i0load, 0, 0) @[el2_dec_decode_ctl.scala 314:43] - node nonblock_load_rd = mux(_T_89, x_d.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 314:31] + node _T_89 = bits(x_d.bits.i0load, 0, 0) @[el2_dec_decode_ctl.scala 314:48] + node nonblock_load_rd = mux(_T_89, x_d.bits.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 314:31] node _T_90 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 318:116] reg nonblock_load_valid_m_delay : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_90 : @[Reg.scala 28:19] nonblock_load_valid_m_delay <= io.lsu_nonblock_load_valid_m @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.i0load) @[el2_dec_decode_ctl.scala 319:56] + node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.bits.i0load) @[el2_dec_decode_ctl.scala 319:56] node _T_91 = eq(io.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 321:66] node _T_92 = and(io.lsu_nonblock_load_inv_r, _T_91) @[el2_dec_decode_ctl.scala 321:45] node _T_93 = and(_T_92, cam[0].valid) @[el2_dec_decode_ctl.scala 321:87] @@ -3046,17 +3031,17 @@ circuit el2_dec_decode_ctl : cam_in[0].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] cam_in[0].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] skip @[el2_dec_decode_ctl.scala 329:28] - else : @[el2_dec_decode_ctl.scala 334:126] + else : @[el2_dec_decode_ctl.scala 334:131] node _T_101 = bits(cam_inv_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 334:37] node _T_102 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] - node _T_103 = eq(r_d_in.i0rd, cam[0].bits.rd) @[el2_dec_decode_ctl.scala 334:80] + node _T_103 = eq(r_d_in.bits.i0rd, cam[0].bits.rd) @[el2_dec_decode_ctl.scala 334:85] node _T_104 = and(_T_102, _T_103) @[el2_dec_decode_ctl.scala 334:64] - node _T_105 = bits(cam[0].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:118] - node _T_106 = and(_T_104, _T_105) @[el2_dec_decode_ctl.scala 334:100] + node _T_105 = bits(cam[0].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_106 = and(_T_104, _T_105) @[el2_dec_decode_ctl.scala 334:105] node _T_107 = or(_T_101, _T_106) @[el2_dec_decode_ctl.scala 334:44] - when _T_107 : @[el2_dec_decode_ctl.scala 334:126] + when _T_107 : @[el2_dec_decode_ctl.scala 334:131] cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] - skip @[el2_dec_decode_ctl.scala 334:126] + skip @[el2_dec_decode_ctl.scala 334:131] else : @[el2_dec_decode_ctl.scala 336:16] cam_in[0].bits.rd <= cam[0].bits.rd @[el2_dec_decode_ctl.scala 337:22] cam_in[0].bits.tag <= cam[0].bits.tag @[el2_dec_decode_ctl.scala 337:22] @@ -3124,17 +3109,17 @@ circuit el2_dec_decode_ctl : cam_in[1].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] cam_in[1].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] skip @[el2_dec_decode_ctl.scala 329:28] - else : @[el2_dec_decode_ctl.scala 334:126] + else : @[el2_dec_decode_ctl.scala 334:131] node _T_127 = bits(cam_inv_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 334:37] node _T_128 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] - node _T_129 = eq(r_d_in.i0rd, cam[1].bits.rd) @[el2_dec_decode_ctl.scala 334:80] + node _T_129 = eq(r_d_in.bits.i0rd, cam[1].bits.rd) @[el2_dec_decode_ctl.scala 334:85] node _T_130 = and(_T_128, _T_129) @[el2_dec_decode_ctl.scala 334:64] - node _T_131 = bits(cam[1].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:118] - node _T_132 = and(_T_130, _T_131) @[el2_dec_decode_ctl.scala 334:100] + node _T_131 = bits(cam[1].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_132 = and(_T_130, _T_131) @[el2_dec_decode_ctl.scala 334:105] node _T_133 = or(_T_127, _T_132) @[el2_dec_decode_ctl.scala 334:44] - when _T_133 : @[el2_dec_decode_ctl.scala 334:126] + when _T_133 : @[el2_dec_decode_ctl.scala 334:131] cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] - skip @[el2_dec_decode_ctl.scala 334:126] + skip @[el2_dec_decode_ctl.scala 334:131] else : @[el2_dec_decode_ctl.scala 336:16] cam_in[1].bits.rd <= cam[1].bits.rd @[el2_dec_decode_ctl.scala 337:22] cam_in[1].bits.tag <= cam[1].bits.tag @[el2_dec_decode_ctl.scala 337:22] @@ -3202,17 +3187,17 @@ circuit el2_dec_decode_ctl : cam_in[2].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] cam_in[2].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] skip @[el2_dec_decode_ctl.scala 329:28] - else : @[el2_dec_decode_ctl.scala 334:126] + else : @[el2_dec_decode_ctl.scala 334:131] node _T_153 = bits(cam_inv_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 334:37] node _T_154 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] - node _T_155 = eq(r_d_in.i0rd, cam[2].bits.rd) @[el2_dec_decode_ctl.scala 334:80] + node _T_155 = eq(r_d_in.bits.i0rd, cam[2].bits.rd) @[el2_dec_decode_ctl.scala 334:85] node _T_156 = and(_T_154, _T_155) @[el2_dec_decode_ctl.scala 334:64] - node _T_157 = bits(cam[2].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:118] - node _T_158 = and(_T_156, _T_157) @[el2_dec_decode_ctl.scala 334:100] + node _T_157 = bits(cam[2].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_158 = and(_T_156, _T_157) @[el2_dec_decode_ctl.scala 334:105] node _T_159 = or(_T_153, _T_158) @[el2_dec_decode_ctl.scala 334:44] - when _T_159 : @[el2_dec_decode_ctl.scala 334:126] + when _T_159 : @[el2_dec_decode_ctl.scala 334:131] cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] - skip @[el2_dec_decode_ctl.scala 334:126] + skip @[el2_dec_decode_ctl.scala 334:131] else : @[el2_dec_decode_ctl.scala 336:16] cam_in[2].bits.rd <= cam[2].bits.rd @[el2_dec_decode_ctl.scala 337:22] cam_in[2].bits.tag <= cam[2].bits.tag @[el2_dec_decode_ctl.scala 337:22] @@ -3280,17 +3265,17 @@ circuit el2_dec_decode_ctl : cam_in[3].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] cam_in[3].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] skip @[el2_dec_decode_ctl.scala 329:28] - else : @[el2_dec_decode_ctl.scala 334:126] + else : @[el2_dec_decode_ctl.scala 334:131] node _T_179 = bits(cam_inv_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 334:37] node _T_180 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] - node _T_181 = eq(r_d_in.i0rd, cam[3].bits.rd) @[el2_dec_decode_ctl.scala 334:80] + node _T_181 = eq(r_d_in.bits.i0rd, cam[3].bits.rd) @[el2_dec_decode_ctl.scala 334:85] node _T_182 = and(_T_180, _T_181) @[el2_dec_decode_ctl.scala 334:64] - node _T_183 = bits(cam[3].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:118] - node _T_184 = and(_T_182, _T_183) @[el2_dec_decode_ctl.scala 334:100] + node _T_183 = bits(cam[3].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_184 = and(_T_182, _T_183) @[el2_dec_decode_ctl.scala 334:105] node _T_185 = or(_T_179, _T_184) @[el2_dec_decode_ctl.scala 334:44] - when _T_185 : @[el2_dec_decode_ctl.scala 334:126] + when _T_185 : @[el2_dec_decode_ctl.scala 334:131] cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] - skip @[el2_dec_decode_ctl.scala 334:126] + skip @[el2_dec_decode_ctl.scala 334:131] else : @[el2_dec_decode_ctl.scala 336:16] cam_in[3].bits.rd <= cam[3].bits.rd @[el2_dec_decode_ctl.scala 337:22] cam_in[3].bits.tag <= cam[3].bits.tag @[el2_dec_decode_ctl.scala 337:22] @@ -3326,8 +3311,8 @@ circuit el2_dec_decode_ctl : node _T_194 = and(_T_193, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 348:71] nonblock_load_write[3] <= _T_194 @[el2_dec_decode_ctl.scala 348:28] io.dec_nonblock_load_waddr <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 351:29] - node _T_195 = eq(r_d_in.i0rd, io.dec_nonblock_load_waddr) @[el2_dec_decode_ctl.scala 353:44] - node nonblock_load_cancel = and(_T_195, i0_wen_r) @[el2_dec_decode_ctl.scala 353:76] + node _T_195 = eq(r_d_in.bits.i0rd, io.dec_nonblock_load_waddr) @[el2_dec_decode_ctl.scala 353:49] + node nonblock_load_cancel = and(_T_195, i0_wen_r) @[el2_dec_decode_ctl.scala 353:81] node _T_196 = or(nonblock_load_write[0], nonblock_load_write[1]) @[el2_dec_decode_ctl.scala 354:95] node _T_197 = or(_T_196, nonblock_load_write[2]) @[el2_dec_decode_ctl.scala 354:95] node _T_198 = or(_T_197, nonblock_load_write[3]) @[el2_dec_decode_ctl.scala 354:95] @@ -3632,18 +3617,18 @@ circuit el2_dec_decode_ctl : io.dec_csr_wen_unq_d <= _T_350 @[el2_dec_decode_ctl.scala 463:24] node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 466:30] io.dec_csr_rdaddr_d <= _T_351 @[el2_dec_decode_ctl.scala 466:24] - io.dec_csr_wraddr_r <= r_d.csrwaddr @[el2_dec_decode_ctl.scala 467:23] - node _T_352 = and(r_d.csrwen, r_d.i0valid) @[el2_dec_decode_ctl.scala 471:34] - node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 471:50] - node _T_354 = and(_T_352, _T_353) @[el2_dec_decode_ctl.scala 471:48] + io.dec_csr_wraddr_r <= r_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 467:23] + node _T_352 = and(r_d.bits.csrwen, r_d.valid) @[el2_dec_decode_ctl.scala 471:39] + node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 471:53] + node _T_354 = and(_T_352, _T_353) @[el2_dec_decode_ctl.scala 471:51] io.dec_csr_wen_r <= _T_354 @[el2_dec_decode_ctl.scala 471:20] - node _T_355 = eq(r_d.csrwaddr, UInt<10>("h0300")) @[el2_dec_decode_ctl.scala 474:45] - node _T_356 = eq(r_d.csrwaddr, UInt<10>("h0304")) @[el2_dec_decode_ctl.scala 474:75] - node _T_357 = or(_T_355, _T_356) @[el2_dec_decode_ctl.scala 474:59] - node _T_358 = and(_T_357, r_d.csrwen) @[el2_dec_decode_ctl.scala 474:90] - node _T_359 = and(_T_358, r_d.i0valid) @[el2_dec_decode_ctl.scala 474:103] - node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 474:119] - node _T_361 = and(_T_359, _T_360) @[el2_dec_decode_ctl.scala 474:117] + node _T_355 = eq(r_d.bits.csrwaddr, UInt<10>("h0300")) @[el2_dec_decode_ctl.scala 474:50] + node _T_356 = eq(r_d.bits.csrwaddr, UInt<10>("h0304")) @[el2_dec_decode_ctl.scala 474:85] + node _T_357 = or(_T_355, _T_356) @[el2_dec_decode_ctl.scala 474:64] + node _T_358 = and(_T_357, r_d.bits.csrwen) @[el2_dec_decode_ctl.scala 474:100] + node _T_359 = and(_T_358, r_d.valid) @[el2_dec_decode_ctl.scala 474:118] + node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 474:132] + node _T_361 = and(_T_359, _T_360) @[el2_dec_decode_ctl.scala 474:130] io.dec_csr_stall_int_ff <= _T_361 @[el2_dec_decode_ctl.scala 474:27] reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 476:52] csr_read_x <= csr_read @[el2_dec_decode_ctl.scala 476:52] @@ -3790,11 +3775,11 @@ circuit el2_dec_decode_ctl : reg _T_429 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_429 <= write_csr_data_in @[el2_lib.scala 514:16] write_csr_data <= _T_429 @[el2_dec_decode_ctl.scala 508:18] - node _T_430 = bits(r_d.csrwonly, 0, 0) @[el2_dec_decode_ctl.scala 514:44] + node _T_430 = bits(r_d.bits.csrwonly, 0, 0) @[el2_dec_decode_ctl.scala 514:49] node _T_431 = mux(_T_430, i0_result_corr_r, write_csr_data) @[el2_dec_decode_ctl.scala 514:30] io.dec_csr_wrdata_r <= _T_431 @[el2_dec_decode_ctl.scala 514:24] - node _T_432 = or(x_d.csrwonly, r_d.csrwonly) @[el2_dec_decode_ctl.scala 516:38] - node prior_csr_write = or(_T_432, wbd.csrwonly) @[el2_dec_decode_ctl.scala 516:53] + node _T_432 = or(x_d.bits.csrwonly, r_d.bits.csrwonly) @[el2_dec_decode_ctl.scala 516:43] + node prior_csr_write = or(_T_432, wbd.bits.csrwonly) @[el2_dec_decode_ctl.scala 516:63] node _T_433 = bits(io.dbg_cmd_wrdata, 0, 0) @[el2_dec_decode_ctl.scala 518:67] node debug_fence_i = and(io.dec_debug_fence_d, _T_433) @[el2_dec_decode_ctl.scala 518:48] node _T_434 = bits(io.dbg_cmd_wrdata, 1, 1) @[el2_dec_decode_ctl.scala 519:67] @@ -3914,8 +3899,8 @@ circuit el2_dec_decode_ctl : io.dec_pmu_postsync_stall <= _T_500 @[el2_dec_decode_ctl.scala 559:29] node _T_501 = bits(presync_stall, 0, 0) @[el2_dec_decode_ctl.scala 560:46] io.dec_pmu_presync_stall <= _T_501 @[el2_dec_decode_ctl.scala 560:29] - node prior_inflight = or(x_d.i0valid, r_d.i0valid) @[el2_dec_decode_ctl.scala 564:41] - node prior_inflight_eff = mux(i0_dp.div, x_d.i0valid, prior_inflight) @[el2_dec_decode_ctl.scala 565:31] + node prior_inflight = or(x_d.valid, r_d.valid) @[el2_dec_decode_ctl.scala 564:41] + node prior_inflight_eff = mux(i0_dp.div, x_d.valid, prior_inflight) @[el2_dec_decode_ctl.scala 565:31] node _T_502 = and(i0_presync, prior_inflight_eff) @[el2_dec_decode_ctl.scala 567:37] presync_stall <= _T_502 @[el2_dec_decode_ctl.scala 567:22] reg _T_503 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 568:53] @@ -3924,7 +3909,7 @@ circuit el2_dec_decode_ctl : node _T_504 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 570:56] node _T_505 = or(i0_postsync, _T_504) @[el2_dec_decode_ctl.scala 570:54] node _T_506 = and(io.dec_i0_decode_d, _T_505) @[el2_dec_decode_ctl.scala 570:39] - node _T_507 = and(postsync_stall, x_d.i0valid) @[el2_dec_decode_ctl.scala 570:88] + node _T_507 = and(postsync_stall, x_d.valid) @[el2_dec_decode_ctl.scala 570:88] node _T_508 = or(_T_506, _T_507) @[el2_dec_decode_ctl.scala 570:69] ps_stall_in <= _T_508 @[el2_dec_decode_ctl.scala 570:15] node _T_509 = and(i0_exulegal_decode_d, i0_dp.alu) @[el2_dec_decode_ctl.scala 572:50] @@ -3935,8 +3920,8 @@ circuit el2_dec_decode_ctl : mul_decode_d <= _T_511 @[el2_dec_decode_ctl.scala 575:16] node _T_512 = and(i0_exulegal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 576:40] div_decode_d <= _T_512 @[el2_dec_decode_ctl.scala 576:16] - node _T_513 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 578:47] - node _T_514 = and(r_d.i0valid, _T_513) @[el2_dec_decode_ctl.scala 578:45] + node _T_513 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 578:45] + node _T_514 = and(r_d.valid, _T_513) @[el2_dec_decode_ctl.scala 578:43] io.dec_tlu_i0_valid_r <= _T_514 @[el2_dec_decode_ctl.scala 578:29] d_t.legal <= i0_legal_decode_d @[el2_dec_decode_ctl.scala 581:26] node _T_515 = and(i0_icaf_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 582:40] @@ -4073,7 +4058,7 @@ circuit el2_dec_decode_ctl : r_t_in.icaf_f1 <= r_t.icaf_f1 @[el2_dec_decode_ctl.scala 605:10] r_t_in.icaf <= r_t.icaf @[el2_dec_decode_ctl.scala 605:10] r_t_in.legal <= r_t.legal @[el2_dec_decode_ctl.scala 605:10] - node _T_536 = or(r_d.i0load, r_d.i0store) @[el2_dec_decode_ctl.scala 607:56] + node _T_536 = or(r_d.bits.i0load, r_d.bits.i0store) @[el2_dec_decode_ctl.scala 607:61] wire _T_537 : UInt<1>[4] @[el2_lib.scala 162:48] _T_537[0] <= _T_536 @[el2_lib.scala 162:48] _T_537[1] <= _T_536 @[el2_lib.scala 162:48] @@ -4082,8 +4067,8 @@ circuit el2_dec_decode_ctl : node _T_538 = cat(_T_537[0], _T_537[1]) @[Cat.scala 29:58] node _T_539 = cat(_T_538, _T_537[2]) @[Cat.scala 29:58] node _T_540 = cat(_T_539, _T_537[3]) @[Cat.scala 29:58] - node _T_541 = and(_T_540, lsu_trigger_match_r) @[el2_dec_decode_ctl.scala 607:72] - node _T_542 = or(_T_541, r_t.i0trigger) @[el2_dec_decode_ctl.scala 607:95] + node _T_541 = and(_T_540, lsu_trigger_match_r) @[el2_dec_decode_ctl.scala 607:82] + node _T_542 = or(_T_541, r_t.i0trigger) @[el2_dec_decode_ctl.scala 607:105] r_t_in.i0trigger <= _T_542 @[el2_dec_decode_ctl.scala 607:33] r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[el2_dec_decode_ctl.scala 608:33] node _T_543 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[el2_dec_decode_ctl.scala 610:35] @@ -4120,7 +4105,7 @@ circuit el2_dec_decode_ctl : io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[el2_dec_decode_ctl.scala 612:39] io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[el2_dec_decode_ctl.scala 612:39] io.dec_tlu_packet_r.legal <= r_t_in.legal @[el2_dec_decode_ctl.scala 612:39] - node _T_545 = and(r_d.i0div, r_d.i0valid) @[el2_dec_decode_ctl.scala 613:53] + node _T_545 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 613:58] io.dec_tlu_packet_r.pmu_divide <= _T_545 @[el2_dec_decode_ctl.scala 613:39] reg _T_546 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 616:52] _T_546 <= io.exu_flush_final @[el2_dec_decode_ctl.scala 616:52] @@ -4440,22 +4425,22 @@ circuit el2_dec_decode_ctl : io.dec_data_en <= _T_720 @[el2_dec_decode_ctl.scala 662:27] node _T_721 = cat(i0_x_ctl_en, i0_r_ctl_en) @[Cat.scala 29:58] io.dec_ctl_en <= _T_721 @[el2_dec_decode_ctl.scala 663:27] - d_d.i0rd <= i0r.rd @[el2_dec_decode_ctl.scala 665:29] - node _T_722 = and(i0_rd_en_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 666:45] - d_d.i0v <= _T_722 @[el2_dec_decode_ctl.scala 666:29] - d_d.i0valid <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 667:29] - node _T_723 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 669:45] - d_d.i0load <= _T_723 @[el2_dec_decode_ctl.scala 669:29] - node _T_724 = and(i0_dp.store, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 670:45] - d_d.i0store <= _T_724 @[el2_dec_decode_ctl.scala 670:29] - node _T_725 = and(i0_dp.div, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 671:45] - d_d.i0div <= _T_725 @[el2_dec_decode_ctl.scala 671:29] - node _T_726 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 673:56] - d_d.csrwen <= _T_726 @[el2_dec_decode_ctl.scala 673:29] - node _T_727 = and(i0_csr_write_only_d, io.dec_i0_decode_d) @[el2_dec_decode_ctl.scala 674:53] - d_d.csrwonly <= _T_727 @[el2_dec_decode_ctl.scala 674:29] - node _T_728 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 675:35] - d_d.csrwaddr <= _T_728 @[el2_dec_decode_ctl.scala 675:29] + d_d.bits.i0rd <= i0r.rd @[el2_dec_decode_ctl.scala 665:34] + node _T_722 = and(i0_rd_en_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 666:50] + d_d.bits.i0v <= _T_722 @[el2_dec_decode_ctl.scala 666:34] + d_d.valid <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 667:27] + node _T_723 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 669:50] + d_d.bits.i0load <= _T_723 @[el2_dec_decode_ctl.scala 669:34] + node _T_724 = and(i0_dp.store, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 670:50] + d_d.bits.i0store <= _T_724 @[el2_dec_decode_ctl.scala 670:34] + node _T_725 = and(i0_dp.div, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 671:50] + d_d.bits.i0div <= _T_725 @[el2_dec_decode_ctl.scala 671:34] + node _T_726 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 673:61] + d_d.bits.csrwen <= _T_726 @[el2_dec_decode_ctl.scala 673:34] + node _T_727 = and(i0_csr_write_only_d, io.dec_i0_decode_d) @[el2_dec_decode_ctl.scala 674:58] + d_d.bits.csrwonly <= _T_727 @[el2_dec_decode_ctl.scala 674:34] + node _T_728 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 675:40] + d_d.bits.csrwaddr <= _T_728 @[el2_dec_decode_ctl.scala 675:34] node _T_729 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 677:34] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 518:23] rvclkhdr_7.clock <= clock @@ -4463,55 +4448,55 @@ circuit el2_dec_decode_ctl : rvclkhdr_7.io.clk <= clock @[el2_lib.scala 520:18] rvclkhdr_7.io.en <= _T_729 @[el2_lib.scala 521:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] - wire _T_730 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_lib.scala 524:33] - _T_730.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] - _T_730.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.csrwen <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.i0valid <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.i0v <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.i0div <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.i0store <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] - reg _T_731 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_730)) @[el2_lib.scala 524:16] - _T_731.csrwaddr <= d_d.csrwaddr @[el2_lib.scala 524:16] - _T_731.csrwonly <= d_d.csrwonly @[el2_lib.scala 524:16] - _T_731.csrwen <= d_d.csrwen @[el2_lib.scala 524:16] - _T_731.i0valid <= d_d.i0valid @[el2_lib.scala 524:16] - _T_731.i0v <= d_d.i0v @[el2_lib.scala 524:16] - _T_731.i0div <= d_d.i0div @[el2_lib.scala 524:16] - _T_731.i0store <= d_d.i0store @[el2_lib.scala 524:16] - _T_731.i0load <= d_d.i0load @[el2_lib.scala 524:16] - _T_731.i0rd <= d_d.i0rd @[el2_lib.scala 524:16] - x_d.csrwaddr <= _T_731.csrwaddr @[el2_dec_decode_ctl.scala 677:7] - x_d.csrwonly <= _T_731.csrwonly @[el2_dec_decode_ctl.scala 677:7] - x_d.csrwen <= _T_731.csrwen @[el2_dec_decode_ctl.scala 677:7] - x_d.i0valid <= _T_731.i0valid @[el2_dec_decode_ctl.scala 677:7] - x_d.i0v <= _T_731.i0v @[el2_dec_decode_ctl.scala 677:7] - x_d.i0div <= _T_731.i0div @[el2_dec_decode_ctl.scala 677:7] - x_d.i0store <= _T_731.i0store @[el2_dec_decode_ctl.scala 677:7] - x_d.i0load <= _T_731.i0load @[el2_dec_decode_ctl.scala 677:7] - x_d.i0rd <= _T_731.i0rd @[el2_dec_decode_ctl.scala 677:7] - wire x_d_in : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 678:20] - x_d_in.csrwaddr <= x_d.csrwaddr @[el2_dec_decode_ctl.scala 679:10] - x_d_in.csrwonly <= x_d.csrwonly @[el2_dec_decode_ctl.scala 679:10] - x_d_in.csrwen <= x_d.csrwen @[el2_dec_decode_ctl.scala 679:10] - x_d_in.i0valid <= x_d.i0valid @[el2_dec_decode_ctl.scala 679:10] - x_d_in.i0v <= x_d.i0v @[el2_dec_decode_ctl.scala 679:10] - x_d_in.i0div <= x_d.i0div @[el2_dec_decode_ctl.scala 679:10] - x_d_in.i0store <= x_d.i0store @[el2_dec_decode_ctl.scala 679:10] - x_d_in.i0load <= x_d.i0load @[el2_dec_decode_ctl.scala 679:10] - x_d_in.i0rd <= x_d.i0rd @[el2_dec_decode_ctl.scala 679:10] - node _T_732 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 680:39] - node _T_733 = and(x_d.i0v, _T_732) @[el2_dec_decode_ctl.scala 680:37] - node _T_734 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 680:68] - node _T_735 = and(_T_733, _T_734) @[el2_dec_decode_ctl.scala 680:66] - x_d_in.i0v <= _T_735 @[el2_dec_decode_ctl.scala 680:22] - node _T_736 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 681:39] - node _T_737 = and(x_d.i0valid, _T_736) @[el2_dec_decode_ctl.scala 681:37] - node _T_738 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 681:68] - node _T_739 = and(_T_737, _T_738) @[el2_dec_decode_ctl.scala 681:66] - x_d_in.i0valid <= _T_739 @[el2_dec_decode_ctl.scala 681:22] + wire _T_730 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_lib.scala 524:33] + _T_730.bits.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] + _T_730.bits.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_730.bits.csrwen <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_730.bits.i0v <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_730.bits.i0div <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_730.bits.i0store <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_730.bits.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_730.bits.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] + _T_730.valid <= UInt<1>("h00") @[el2_lib.scala 524:33] + reg _T_731 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_730)) @[el2_lib.scala 524:16] + _T_731.bits.csrwaddr <= d_d.bits.csrwaddr @[el2_lib.scala 524:16] + _T_731.bits.csrwonly <= d_d.bits.csrwonly @[el2_lib.scala 524:16] + _T_731.bits.csrwen <= d_d.bits.csrwen @[el2_lib.scala 524:16] + _T_731.bits.i0v <= d_d.bits.i0v @[el2_lib.scala 524:16] + _T_731.bits.i0div <= d_d.bits.i0div @[el2_lib.scala 524:16] + _T_731.bits.i0store <= d_d.bits.i0store @[el2_lib.scala 524:16] + _T_731.bits.i0load <= d_d.bits.i0load @[el2_lib.scala 524:16] + _T_731.bits.i0rd <= d_d.bits.i0rd @[el2_lib.scala 524:16] + _T_731.valid <= d_d.valid @[el2_lib.scala 524:16] + x_d.bits.csrwaddr <= _T_731.bits.csrwaddr @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.csrwonly <= _T_731.bits.csrwonly @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.csrwen <= _T_731.bits.csrwen @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0v <= _T_731.bits.i0v @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0div <= _T_731.bits.i0div @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0store <= _T_731.bits.i0store @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0load <= _T_731.bits.i0load @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0rd <= _T_731.bits.i0rd @[el2_dec_decode_ctl.scala 677:7] + x_d.valid <= _T_731.valid @[el2_dec_decode_ctl.scala 677:7] + wire x_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 678:20] + x_d_in.bits.csrwaddr <= x_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.csrwonly <= x_d.bits.csrwonly @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.csrwen <= x_d.bits.csrwen @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0v <= x_d.bits.i0v @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0div <= x_d.bits.i0div @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0store <= x_d.bits.i0store @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0load <= x_d.bits.i0load @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0rd <= x_d.bits.i0rd @[el2_dec_decode_ctl.scala 679:10] + x_d_in.valid <= x_d.valid @[el2_dec_decode_ctl.scala 679:10] + node _T_732 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 680:49] + node _T_733 = and(x_d.bits.i0v, _T_732) @[el2_dec_decode_ctl.scala 680:47] + node _T_734 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 680:78] + node _T_735 = and(_T_733, _T_734) @[el2_dec_decode_ctl.scala 680:76] + x_d_in.bits.i0v <= _T_735 @[el2_dec_decode_ctl.scala 680:27] + node _T_736 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 681:35] + node _T_737 = and(x_d.valid, _T_736) @[el2_dec_decode_ctl.scala 681:33] + node _T_738 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 681:64] + node _T_739 = and(_T_737, _T_738) @[el2_dec_decode_ctl.scala 681:62] + x_d_in.valid <= _T_739 @[el2_dec_decode_ctl.scala 681:20] node _T_740 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 683:36] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 518:23] rvclkhdr_8.clock <= clock @@ -4519,57 +4504,57 @@ circuit el2_dec_decode_ctl : rvclkhdr_8.io.clk <= clock @[el2_lib.scala 520:18] rvclkhdr_8.io.en <= _T_740 @[el2_lib.scala 521:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] - wire _T_741 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_lib.scala 524:33] - _T_741.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] - _T_741.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.csrwen <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.i0valid <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.i0v <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.i0div <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.i0store <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] - reg _T_742 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_741)) @[el2_lib.scala 524:16] - _T_742.csrwaddr <= x_d_in.csrwaddr @[el2_lib.scala 524:16] - _T_742.csrwonly <= x_d_in.csrwonly @[el2_lib.scala 524:16] - _T_742.csrwen <= x_d_in.csrwen @[el2_lib.scala 524:16] - _T_742.i0valid <= x_d_in.i0valid @[el2_lib.scala 524:16] - _T_742.i0v <= x_d_in.i0v @[el2_lib.scala 524:16] - _T_742.i0div <= x_d_in.i0div @[el2_lib.scala 524:16] - _T_742.i0store <= x_d_in.i0store @[el2_lib.scala 524:16] - _T_742.i0load <= x_d_in.i0load @[el2_lib.scala 524:16] - _T_742.i0rd <= x_d_in.i0rd @[el2_lib.scala 524:16] - r_d.csrwaddr <= _T_742.csrwaddr @[el2_dec_decode_ctl.scala 683:7] - r_d.csrwonly <= _T_742.csrwonly @[el2_dec_decode_ctl.scala 683:7] - r_d.csrwen <= _T_742.csrwen @[el2_dec_decode_ctl.scala 683:7] - r_d.i0valid <= _T_742.i0valid @[el2_dec_decode_ctl.scala 683:7] - r_d.i0v <= _T_742.i0v @[el2_dec_decode_ctl.scala 683:7] - r_d.i0div <= _T_742.i0div @[el2_dec_decode_ctl.scala 683:7] - r_d.i0store <= _T_742.i0store @[el2_dec_decode_ctl.scala 683:7] - r_d.i0load <= _T_742.i0load @[el2_dec_decode_ctl.scala 683:7] - r_d.i0rd <= _T_742.i0rd @[el2_dec_decode_ctl.scala 683:7] - r_d_in.csrwaddr <= r_d.csrwaddr @[el2_dec_decode_ctl.scala 684:10] - r_d_in.csrwonly <= r_d.csrwonly @[el2_dec_decode_ctl.scala 684:10] - r_d_in.csrwen <= r_d.csrwen @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0valid <= r_d.i0valid @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0v <= r_d.i0v @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0div <= r_d.i0div @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0store <= r_d.i0store @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0load <= r_d.i0load @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0rd <= r_d.i0rd @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0rd <= r_d.i0rd @[el2_dec_decode_ctl.scala 685:17] - node _T_743 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 687:41] - node _T_744 = and(r_d.i0v, _T_743) @[el2_dec_decode_ctl.scala 687:39] - r_d_in.i0v <= _T_744 @[el2_dec_decode_ctl.scala 687:22] - node _T_745 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 688:41] - node _T_746 = and(r_d.i0valid, _T_745) @[el2_dec_decode_ctl.scala 688:39] - r_d_in.i0valid <= _T_746 @[el2_dec_decode_ctl.scala 688:22] - node _T_747 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 689:41] - node _T_748 = and(r_d.i0load, _T_747) @[el2_dec_decode_ctl.scala 689:39] - r_d_in.i0load <= _T_748 @[el2_dec_decode_ctl.scala 689:22] - node _T_749 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 690:41] - node _T_750 = and(r_d.i0store, _T_749) @[el2_dec_decode_ctl.scala 690:39] - r_d_in.i0store <= _T_750 @[el2_dec_decode_ctl.scala 690:22] + wire _T_741 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_lib.scala 524:33] + _T_741.bits.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] + _T_741.bits.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_741.bits.csrwen <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_741.bits.i0v <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_741.bits.i0div <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_741.bits.i0store <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_741.bits.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_741.bits.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] + _T_741.valid <= UInt<1>("h00") @[el2_lib.scala 524:33] + reg _T_742 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_741)) @[el2_lib.scala 524:16] + _T_742.bits.csrwaddr <= x_d_in.bits.csrwaddr @[el2_lib.scala 524:16] + _T_742.bits.csrwonly <= x_d_in.bits.csrwonly @[el2_lib.scala 524:16] + _T_742.bits.csrwen <= x_d_in.bits.csrwen @[el2_lib.scala 524:16] + _T_742.bits.i0v <= x_d_in.bits.i0v @[el2_lib.scala 524:16] + _T_742.bits.i0div <= x_d_in.bits.i0div @[el2_lib.scala 524:16] + _T_742.bits.i0store <= x_d_in.bits.i0store @[el2_lib.scala 524:16] + _T_742.bits.i0load <= x_d_in.bits.i0load @[el2_lib.scala 524:16] + _T_742.bits.i0rd <= x_d_in.bits.i0rd @[el2_lib.scala 524:16] + _T_742.valid <= x_d_in.valid @[el2_lib.scala 524:16] + r_d.bits.csrwaddr <= _T_742.bits.csrwaddr @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.csrwonly <= _T_742.bits.csrwonly @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.csrwen <= _T_742.bits.csrwen @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0v <= _T_742.bits.i0v @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0div <= _T_742.bits.i0div @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0store <= _T_742.bits.i0store @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0load <= _T_742.bits.i0load @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0rd <= _T_742.bits.i0rd @[el2_dec_decode_ctl.scala 683:7] + r_d.valid <= _T_742.valid @[el2_dec_decode_ctl.scala 683:7] + r_d_in.bits.csrwaddr <= r_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.csrwonly <= r_d.bits.csrwonly @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.csrwen <= r_d.bits.csrwen @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0v <= r_d.bits.i0v @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0div <= r_d.bits.i0div @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0store <= r_d.bits.i0store @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0load <= r_d.bits.i0load @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[el2_dec_decode_ctl.scala 684:10] + r_d_in.valid <= r_d.valid @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[el2_dec_decode_ctl.scala 685:22] + node _T_743 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 687:51] + node _T_744 = and(r_d.bits.i0v, _T_743) @[el2_dec_decode_ctl.scala 687:49] + r_d_in.bits.i0v <= _T_744 @[el2_dec_decode_ctl.scala 687:27] + node _T_745 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 688:37] + node _T_746 = and(r_d.valid, _T_745) @[el2_dec_decode_ctl.scala 688:35] + r_d_in.valid <= _T_746 @[el2_dec_decode_ctl.scala 688:20] + node _T_747 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 689:51] + node _T_748 = and(r_d.bits.i0load, _T_747) @[el2_dec_decode_ctl.scala 689:49] + r_d_in.bits.i0load <= _T_748 @[el2_dec_decode_ctl.scala 689:27] + node _T_749 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 690:51] + node _T_750 = and(r_d.bits.i0store, _T_749) @[el2_dec_decode_ctl.scala 690:49] + r_d_in.bits.i0store <= _T_750 @[el2_dec_decode_ctl.scala 690:27] node _T_751 = bits(i0_wb_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 692:37] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 518:23] rvclkhdr_9.clock <= clock @@ -4577,43 +4562,43 @@ circuit el2_dec_decode_ctl : rvclkhdr_9.io.clk <= clock @[el2_lib.scala 520:18] rvclkhdr_9.io.en <= _T_751 @[el2_lib.scala 521:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] - wire _T_752 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_lib.scala 524:33] - _T_752.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] - _T_752.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.csrwen <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.i0valid <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.i0v <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.i0div <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.i0store <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] - reg _T_753 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}, rvclkhdr_9.io.l1clk with : (reset => (reset, _T_752)) @[el2_lib.scala 524:16] - _T_753.csrwaddr <= r_d_in.csrwaddr @[el2_lib.scala 524:16] - _T_753.csrwonly <= r_d_in.csrwonly @[el2_lib.scala 524:16] - _T_753.csrwen <= r_d_in.csrwen @[el2_lib.scala 524:16] - _T_753.i0valid <= r_d_in.i0valid @[el2_lib.scala 524:16] - _T_753.i0v <= r_d_in.i0v @[el2_lib.scala 524:16] - _T_753.i0div <= r_d_in.i0div @[el2_lib.scala 524:16] - _T_753.i0store <= r_d_in.i0store @[el2_lib.scala 524:16] - _T_753.i0load <= r_d_in.i0load @[el2_lib.scala 524:16] - _T_753.i0rd <= r_d_in.i0rd @[el2_lib.scala 524:16] - wbd.csrwaddr <= _T_753.csrwaddr @[el2_dec_decode_ctl.scala 692:7] - wbd.csrwonly <= _T_753.csrwonly @[el2_dec_decode_ctl.scala 692:7] - wbd.csrwen <= _T_753.csrwen @[el2_dec_decode_ctl.scala 692:7] - wbd.i0valid <= _T_753.i0valid @[el2_dec_decode_ctl.scala 692:7] - wbd.i0v <= _T_753.i0v @[el2_dec_decode_ctl.scala 692:7] - wbd.i0div <= _T_753.i0div @[el2_dec_decode_ctl.scala 692:7] - wbd.i0store <= _T_753.i0store @[el2_dec_decode_ctl.scala 692:7] - wbd.i0load <= _T_753.i0load @[el2_dec_decode_ctl.scala 692:7] - wbd.i0rd <= _T_753.i0rd @[el2_dec_decode_ctl.scala 692:7] - io.dec_i0_waddr_r <= r_d_in.i0rd @[el2_dec_decode_ctl.scala 694:27] - node _T_754 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 695:42] - node _T_755 = and(r_d_in.i0v, _T_754) @[el2_dec_decode_ctl.scala 695:40] + wire _T_752 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_lib.scala 524:33] + _T_752.bits.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] + _T_752.bits.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_752.bits.csrwen <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_752.bits.i0v <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_752.bits.i0div <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_752.bits.i0store <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_752.bits.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_752.bits.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] + _T_752.valid <= UInt<1>("h00") @[el2_lib.scala 524:33] + reg _T_753 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_9.io.l1clk with : (reset => (reset, _T_752)) @[el2_lib.scala 524:16] + _T_753.bits.csrwaddr <= r_d_in.bits.csrwaddr @[el2_lib.scala 524:16] + _T_753.bits.csrwonly <= r_d_in.bits.csrwonly @[el2_lib.scala 524:16] + _T_753.bits.csrwen <= r_d_in.bits.csrwen @[el2_lib.scala 524:16] + _T_753.bits.i0v <= r_d_in.bits.i0v @[el2_lib.scala 524:16] + _T_753.bits.i0div <= r_d_in.bits.i0div @[el2_lib.scala 524:16] + _T_753.bits.i0store <= r_d_in.bits.i0store @[el2_lib.scala 524:16] + _T_753.bits.i0load <= r_d_in.bits.i0load @[el2_lib.scala 524:16] + _T_753.bits.i0rd <= r_d_in.bits.i0rd @[el2_lib.scala 524:16] + _T_753.valid <= r_d_in.valid @[el2_lib.scala 524:16] + wbd.bits.csrwaddr <= _T_753.bits.csrwaddr @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.csrwonly <= _T_753.bits.csrwonly @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.csrwen <= _T_753.bits.csrwen @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0v <= _T_753.bits.i0v @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0div <= _T_753.bits.i0div @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0store <= _T_753.bits.i0store @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0load <= _T_753.bits.i0load @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0rd <= _T_753.bits.i0rd @[el2_dec_decode_ctl.scala 692:7] + wbd.valid <= _T_753.valid @[el2_dec_decode_ctl.scala 692:7] + io.dec_i0_waddr_r <= r_d_in.bits.i0rd @[el2_dec_decode_ctl.scala 694:27] + node _T_754 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 695:47] + node _T_755 = and(r_d_in.bits.i0v, _T_754) @[el2_dec_decode_ctl.scala 695:45] i0_wen_r <= _T_755 @[el2_dec_decode_ctl.scala 695:25] - node _T_756 = eq(r_d_in.i0div, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 696:49] + node _T_756 = eq(r_d_in.bits.i0div, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 696:49] node _T_757 = and(i0_wen_r, _T_756) @[el2_dec_decode_ctl.scala 696:47] - node _T_758 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 696:65] - node _T_759 = and(_T_757, _T_758) @[el2_dec_decode_ctl.scala 696:63] + node _T_758 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 696:70] + node _T_759 = and(_T_757, _T_758) @[el2_dec_decode_ctl.scala 696:68] io.dec_i0_wen_r <= _T_759 @[el2_dec_decode_ctl.scala 696:32] io.dec_i0_wdata_r <= i0_result_corr_r @[el2_dec_decode_ctl.scala 697:26] node _T_760 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 699:57] @@ -4625,13 +4610,13 @@ circuit el2_dec_decode_ctl : rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg i0_result_r_raw : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] i0_result_r_raw <= i0_result_x @[el2_lib.scala 514:16] - node _T_761 = and(x_d.i0v, x_d.i0load) @[el2_dec_decode_ctl.scala 705:42] - node _T_762 = bits(_T_761, 0, 0) @[el2_dec_decode_ctl.scala 705:56] + node _T_761 = and(x_d.bits.i0v, x_d.bits.i0load) @[el2_dec_decode_ctl.scala 705:47] + node _T_762 = bits(_T_761, 0, 0) @[el2_dec_decode_ctl.scala 705:66] node _T_763 = mux(_T_762, io.lsu_result_m, io.exu_i0_result_x) @[el2_dec_decode_ctl.scala 705:32] i0_result_x <= _T_763 @[el2_dec_decode_ctl.scala 705:26] i0_result_r <= i0_result_r_raw @[el2_dec_decode_ctl.scala 706:26] - node _T_764 = and(r_d.i0v, r_d.i0load) @[el2_dec_decode_ctl.scala 710:37] - node _T_765 = bits(_T_764, 0, 0) @[el2_dec_decode_ctl.scala 710:51] + node _T_764 = and(r_d.bits.i0v, r_d.bits.i0load) @[el2_dec_decode_ctl.scala 710:42] + node _T_765 = bits(_T_764, 0, 0) @[el2_dec_decode_ctl.scala 710:61] node _T_766 = mux(_T_765, io.lsu_result_corr_r, i0_result_r_raw) @[el2_dec_decode_ctl.scala 710:27] i0_result_corr_r <= _T_766 @[el2_dec_decode_ctl.scala 710:21] node _T_767 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 711:54] @@ -4700,25 +4685,25 @@ circuit el2_dec_decode_ctl : reg _T_798 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_798 <= last_br_immed_d @[el2_lib.scala 514:16] last_br_immed_x <= _T_798 @[el2_dec_decode_ctl.scala 715:19] - node _T_799 = and(x_d.i0div, x_d.i0valid) @[el2_dec_decode_ctl.scala 719:40] - node _T_800 = and(r_d.i0div, r_d.i0valid) @[el2_dec_decode_ctl.scala 719:68] - node div_e1_to_r = or(_T_799, _T_800) @[el2_dec_decode_ctl.scala 719:55] - node _T_801 = and(x_d.i0div, x_d.i0valid) @[el2_dec_decode_ctl.scala 721:43] - node _T_802 = eq(x_d.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 721:69] - node _T_803 = and(_T_801, _T_802) @[el2_dec_decode_ctl.scala 721:57] - node _T_804 = and(x_d.i0div, x_d.i0valid) @[el2_dec_decode_ctl.scala 722:16] - node _T_805 = and(_T_804, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 722:30] - node _T_806 = or(_T_803, _T_805) @[el2_dec_decode_ctl.scala 721:86] - node _T_807 = and(r_d.i0div, r_d.i0valid) @[el2_dec_decode_ctl.scala 723:16] - node _T_808 = and(_T_807, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 723:30] - node _T_809 = and(_T_808, io.dec_tlu_i0_kill_writeb_r) @[el2_dec_decode_ctl.scala 723:57] - node div_flush = or(_T_806, _T_809) @[el2_dec_decode_ctl.scala 722:59] + node _T_799 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 719:45] + node _T_800 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 719:76] + node div_e1_to_r = or(_T_799, _T_800) @[el2_dec_decode_ctl.scala 719:58] + node _T_801 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 721:48] + node _T_802 = eq(x_d.bits.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 721:77] + node _T_803 = and(_T_801, _T_802) @[el2_dec_decode_ctl.scala 721:60] + node _T_804 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 722:21] + node _T_805 = and(_T_804, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 722:33] + node _T_806 = or(_T_803, _T_805) @[el2_dec_decode_ctl.scala 721:94] + node _T_807 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 723:21] + node _T_808 = and(_T_807, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 723:33] + node _T_809 = and(_T_808, io.dec_tlu_i0_kill_writeb_r) @[el2_dec_decode_ctl.scala 723:60] + node div_flush = or(_T_806, _T_809) @[el2_dec_decode_ctl.scala 722:62] node _T_810 = and(io.dec_div_active, div_flush) @[el2_dec_decode_ctl.scala 727:51] node _T_811 = eq(div_e1_to_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 728:26] node _T_812 = and(io.dec_div_active, _T_811) @[el2_dec_decode_ctl.scala 728:24] - node _T_813 = eq(r_d.i0rd, io.div_waddr_wb) @[el2_dec_decode_ctl.scala 728:51] + node _T_813 = eq(r_d.bits.i0rd, io.div_waddr_wb) @[el2_dec_decode_ctl.scala 728:56] node _T_814 = and(_T_812, _T_813) @[el2_dec_decode_ctl.scala 728:39] - node _T_815 = and(_T_814, i0_wen_r) @[el2_dec_decode_ctl.scala 728:72] + node _T_815 = and(_T_814, i0_wen_r) @[el2_dec_decode_ctl.scala 728:77] node nonblock_div_cancel = or(_T_810, _T_815) @[el2_dec_decode_ctl.scala 727:65] node _T_816 = bits(nonblock_div_cancel, 0, 0) @[el2_dec_decode_ctl.scala 730:53] io.dec_div_cancel <= _T_816 @[el2_dec_decode_ctl.scala 730:29] @@ -4858,18 +4843,18 @@ circuit el2_dec_decode_ctl : node temp_pred_correct_npc_x = cat(_T_874, UInt<1>("h00")) @[Cat.scala 29:58] node _T_875 = bits(temp_pred_correct_npc_x, 31, 1) @[el2_dec_decode_ctl.scala 764:51] io.pred_correct_npc_x <= _T_875 @[el2_dec_decode_ctl.scala 764:25] - node _T_876 = and(io.dec_i0_rs1_en_d, x_d.i0v) @[el2_dec_decode_ctl.scala 768:48] - node _T_877 = eq(x_d.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 768:70] - node i0_rs1_depend_i0_x = and(_T_876, _T_877) @[el2_dec_decode_ctl.scala 768:58] - node _T_878 = and(io.dec_i0_rs1_en_d, r_d.i0v) @[el2_dec_decode_ctl.scala 769:48] - node _T_879 = eq(r_d.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 769:70] - node i0_rs1_depend_i0_r = and(_T_878, _T_879) @[el2_dec_decode_ctl.scala 769:58] - node _T_880 = and(io.dec_i0_rs2_en_d, x_d.i0v) @[el2_dec_decode_ctl.scala 771:48] - node _T_881 = eq(x_d.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 771:70] - node i0_rs2_depend_i0_x = and(_T_880, _T_881) @[el2_dec_decode_ctl.scala 771:58] - node _T_882 = and(io.dec_i0_rs2_en_d, r_d.i0v) @[el2_dec_decode_ctl.scala 772:48] - node _T_883 = eq(r_d.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 772:70] - node i0_rs2_depend_i0_r = and(_T_882, _T_883) @[el2_dec_decode_ctl.scala 772:58] + node _T_876 = and(io.dec_i0_rs1_en_d, x_d.bits.i0v) @[el2_dec_decode_ctl.scala 768:48] + node _T_877 = eq(x_d.bits.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 768:80] + node i0_rs1_depend_i0_x = and(_T_876, _T_877) @[el2_dec_decode_ctl.scala 768:63] + node _T_878 = and(io.dec_i0_rs1_en_d, r_d.bits.i0v) @[el2_dec_decode_ctl.scala 769:48] + node _T_879 = eq(r_d.bits.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 769:80] + node i0_rs1_depend_i0_r = and(_T_878, _T_879) @[el2_dec_decode_ctl.scala 769:63] + node _T_880 = and(io.dec_i0_rs2_en_d, x_d.bits.i0v) @[el2_dec_decode_ctl.scala 771:48] + node _T_881 = eq(x_d.bits.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 771:80] + node i0_rs2_depend_i0_x = and(_T_880, _T_881) @[el2_dec_decode_ctl.scala 771:63] + node _T_882 = and(io.dec_i0_rs2_en_d, r_d.bits.i0v) @[el2_dec_decode_ctl.scala 772:48] + node _T_883 = eq(r_d.bits.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 772:80] + node i0_rs2_depend_i0_r = and(_T_882, _T_883) @[el2_dec_decode_ctl.scala 772:63] node _T_884 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 774:44] node _T_885 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 774:81] wire _T_886 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 774:109] diff --git a/el2_dec_decode_ctl.v b/el2_dec_decode_ctl.v index f5825fae..4dbd32e0 100644 --- a/el2_dec_decode_ctl.v +++ b/el2_dec_decode_ctl.v @@ -72,654 +72,661 @@ module el2_dec_dec_ctl( output io_out_pm_alu, output io_out_legal ); - wire _T_2 = io_ins[2] | io_ins[6]; // @[el2_dec_dec_ctl.scala 72:27] - wire _T_4 = ~io_ins[25]; // @[el2_dec_dec_ctl.scala 72:42] - wire _T_6 = _T_4 & io_ins[4]; // @[el2_dec_dec_ctl.scala 72:53] - wire _T_7 = _T_2 | _T_6; // @[el2_dec_dec_ctl.scala 72:39] - wire _T_9 = ~io_ins[5]; // @[el2_dec_dec_ctl.scala 72:68] - wire _T_11 = _T_9 & io_ins[4]; // @[el2_dec_dec_ctl.scala 72:78] - wire _T_14 = ~io_ins[14]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_16 = ~io_ins[13]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_18 = ~io_ins[2]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_19 = _T_14 & _T_16; // @[el2_dec_dec_ctl.scala 73:51] - wire _T_20 = _T_19 & _T_18; // @[el2_dec_dec_ctl.scala 73:51] - wire _T_26 = _T_16 & io_ins[11]; // @[el2_dec_dec_ctl.scala 73:90] - wire _T_27 = _T_26 & _T_18; // @[el2_dec_dec_ctl.scala 73:90] - wire _T_28 = _T_20 | _T_27; // @[el2_dec_dec_ctl.scala 73:55] - wire _T_33 = io_ins[19] & io_ins[13]; // @[el2_dec_dec_ctl.scala 74:37] - wire _T_34 = _T_33 & _T_18; // @[el2_dec_dec_ctl.scala 74:37] - wire _T_35 = _T_28 | _T_34; // @[el2_dec_dec_ctl.scala 73:94] - wire _T_41 = _T_16 & io_ins[10]; // @[el2_dec_dec_ctl.scala 74:76] - wire _T_42 = _T_41 & _T_18; // @[el2_dec_dec_ctl.scala 74:76] - wire _T_43 = _T_35 | _T_42; // @[el2_dec_dec_ctl.scala 74:41] - wire _T_45 = ~io_ins[18]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_49 = _T_45 & io_ins[13]; // @[el2_dec_dec_ctl.scala 75:38] - wire _T_50 = _T_49 & _T_18; // @[el2_dec_dec_ctl.scala 75:38] - wire _T_51 = _T_43 | _T_50; // @[el2_dec_dec_ctl.scala 74:80] - wire _T_57 = _T_16 & io_ins[9]; // @[el2_dec_dec_ctl.scala 75:76] - wire _T_58 = _T_57 & _T_18; // @[el2_dec_dec_ctl.scala 75:76] - wire _T_59 = _T_51 | _T_58; // @[el2_dec_dec_ctl.scala 75:42] - wire _T_64 = io_ins[17] & io_ins[13]; // @[el2_dec_dec_ctl.scala 76:37] - wire _T_65 = _T_64 & _T_18; // @[el2_dec_dec_ctl.scala 76:37] - wire _T_66 = _T_59 | _T_65; // @[el2_dec_dec_ctl.scala 75:80] - wire _T_72 = _T_16 & io_ins[8]; // @[el2_dec_dec_ctl.scala 76:75] - wire _T_73 = _T_72 & _T_18; // @[el2_dec_dec_ctl.scala 76:75] - wire _T_74 = _T_66 | _T_73; // @[el2_dec_dec_ctl.scala 76:41] - wire _T_79 = io_ins[16] & io_ins[13]; // @[el2_dec_dec_ctl.scala 77:37] - wire _T_80 = _T_79 & _T_18; // @[el2_dec_dec_ctl.scala 77:37] - wire _T_81 = _T_74 | _T_80; // @[el2_dec_dec_ctl.scala 76:79] - wire _T_87 = _T_16 & io_ins[7]; // @[el2_dec_dec_ctl.scala 77:75] - wire _T_88 = _T_87 & _T_18; // @[el2_dec_dec_ctl.scala 77:75] - wire _T_89 = _T_81 | _T_88; // @[el2_dec_dec_ctl.scala 77:41] - wire _T_94 = io_ins[15] & io_ins[13]; // @[el2_dec_dec_ctl.scala 78:37] - wire _T_95 = _T_94 & _T_18; // @[el2_dec_dec_ctl.scala 78:37] - wire _T_96 = _T_89 | _T_95; // @[el2_dec_dec_ctl.scala 77:79] - wire _T_98 = ~io_ins[4]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_100 = ~io_ins[3]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_101 = _T_98 & _T_100; // @[el2_dec_dec_ctl.scala 78:71] - wire _T_102 = _T_96 | _T_101; // @[el2_dec_dec_ctl.scala 78:41] - wire _T_104 = ~io_ins[6]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_107 = _T_104 & _T_18; // @[el2_dec_dec_ctl.scala 78:106] - wire _T_114 = io_ins[5] & _T_98; // @[el2_dec_dec_ctl.scala 79:48] - wire _T_115 = _T_114 & _T_18; // @[el2_dec_dec_ctl.scala 79:48] - wire _T_121 = _T_104 & io_ins[5]; // @[el2_dec_dec_ctl.scala 79:85] - wire _T_122 = _T_121 & _T_18; // @[el2_dec_dec_ctl.scala 79:85] - wire _T_130 = _T_101 & io_ins[2]; // @[el2_dec_dec_ctl.scala 80:50] - wire _T_137 = io_ins[13] & _T_9; // @[el2_dec_dec_ctl.scala 80:90] - wire _T_138 = _T_137 & io_ins[4]; // @[el2_dec_dec_ctl.scala 80:90] - wire _T_139 = _T_138 & _T_18; // @[el2_dec_dec_ctl.scala 80:90] - wire _T_140 = _T_130 | _T_139; // @[el2_dec_dec_ctl.scala 80:54] - wire _T_144 = ~io_ins[12]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_147 = _T_16 & _T_144; // @[el2_dec_dec_ctl.scala 81:40] - wire _T_148 = _T_147 & io_ins[6]; // @[el2_dec_dec_ctl.scala 81:40] - wire _T_149 = _T_148 & io_ins[4]; // @[el2_dec_dec_ctl.scala 81:40] - wire _T_150 = _T_140 | _T_149; // @[el2_dec_dec_ctl.scala 80:94] - wire _T_158 = _T_144 & _T_9; // @[el2_dec_dec_ctl.scala 81:81] - wire _T_159 = _T_158 & io_ins[4]; // @[el2_dec_dec_ctl.scala 81:81] - wire _T_160 = _T_159 & _T_18; // @[el2_dec_dec_ctl.scala 81:81] - wire _T_166 = _T_9 & _T_18; // @[el2_dec_dec_ctl.scala 82:28] - wire _T_169 = io_ins[5] & io_ins[2]; // @[el2_dec_dec_ctl.scala 82:55] - wire _T_170 = _T_166 | _T_169; // @[el2_dec_dec_ctl.scala 82:42] - wire _T_181 = _T_16 & io_ins[12]; // @[el2_dec_dec_ctl.scala 83:58] - wire _T_182 = _T_181 & _T_9; // @[el2_dec_dec_ctl.scala 83:58] - wire _T_183 = _T_182 & io_ins[4]; // @[el2_dec_dec_ctl.scala 83:58] - wire _T_187 = io_ins[5] & io_ins[3]; // @[el2_dec_dec_ctl.scala 84:29] - wire _T_190 = io_ins[4] & io_ins[2]; // @[el2_dec_dec_ctl.scala 84:53] - wire _T_196 = _T_9 & _T_100; // @[el2_dec_dec_ctl.scala 85:28] - wire _T_198 = _T_196 & io_ins[2]; // @[el2_dec_dec_ctl.scala 85:41] - wire _T_209 = _T_9 & _T_98; // @[el2_dec_dec_ctl.scala 86:50] - wire _T_224 = _T_104 & _T_98; // @[el2_dec_dec_ctl.scala 88:49] - wire _T_236 = _T_19 & _T_144; // @[el2_dec_dec_ctl.scala 89:57] - wire _T_237 = _T_236 & _T_9; // @[el2_dec_dec_ctl.scala 89:57] - wire _T_238 = _T_237 & io_ins[4]; // @[el2_dec_dec_ctl.scala 89:57] - wire _T_246 = _T_238 | _T_198; // @[el2_dec_dec_ctl.scala 89:61] - wire _T_248 = ~io_ins[30]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_262 = _T_248 & _T_4; // @[el2_dec_dec_ctl.scala 90:56] - wire _T_263 = _T_262 & _T_14; // @[el2_dec_dec_ctl.scala 90:56] - wire _T_264 = _T_263 & _T_16; // @[el2_dec_dec_ctl.scala 90:56] - wire _T_265 = _T_264 & _T_144; // @[el2_dec_dec_ctl.scala 90:56] - wire _T_266 = _T_265 & _T_104; // @[el2_dec_dec_ctl.scala 90:56] - wire _T_267 = _T_266 & io_ins[4]; // @[el2_dec_dec_ctl.scala 90:56] - wire _T_268 = _T_267 & _T_18; // @[el2_dec_dec_ctl.scala 90:56] - wire _T_279 = io_ins[30] & _T_144; // @[el2_dec_dec_ctl.scala 91:57] - wire _T_280 = _T_279 & _T_104; // @[el2_dec_dec_ctl.scala 91:57] - wire _T_281 = _T_280 & io_ins[5]; // @[el2_dec_dec_ctl.scala 91:57] - wire _T_282 = _T_281 & io_ins[4]; // @[el2_dec_dec_ctl.scala 91:57] - wire _T_283 = _T_282 & _T_18; // @[el2_dec_dec_ctl.scala 91:57] - wire _T_294 = _T_4 & _T_14; // @[el2_dec_dec_ctl.scala 91:105] - wire _T_295 = _T_294 & io_ins[13]; // @[el2_dec_dec_ctl.scala 91:105] - wire _T_296 = _T_295 & _T_104; // @[el2_dec_dec_ctl.scala 91:105] - wire _T_297 = _T_296 & io_ins[4]; // @[el2_dec_dec_ctl.scala 91:105] - wire _T_298 = _T_297 & _T_18; // @[el2_dec_dec_ctl.scala 91:105] - wire _T_299 = _T_283 | _T_298; // @[el2_dec_dec_ctl.scala 91:61] - wire _T_308 = _T_14 & io_ins[13]; // @[el2_dec_dec_ctl.scala 92:43] - wire _T_309 = _T_308 & _T_9; // @[el2_dec_dec_ctl.scala 92:43] - wire _T_310 = _T_309 & io_ins[4]; // @[el2_dec_dec_ctl.scala 92:43] - wire _T_311 = _T_310 & _T_18; // @[el2_dec_dec_ctl.scala 92:43] - wire _T_312 = _T_299 | _T_311; // @[el2_dec_dec_ctl.scala 91:109] - wire _T_318 = io_ins[6] & _T_98; // @[el2_dec_dec_ctl.scala 92:80] - wire _T_319 = _T_318 & _T_18; // @[el2_dec_dec_ctl.scala 92:80] - wire _T_328 = io_ins[14] & io_ins[13]; // @[el2_dec_dec_ctl.scala 93:56] - wire _T_329 = _T_328 & io_ins[12]; // @[el2_dec_dec_ctl.scala 93:56] - wire _T_330 = _T_329 & _T_9; // @[el2_dec_dec_ctl.scala 93:56] - wire _T_331 = _T_330 & _T_18; // @[el2_dec_dec_ctl.scala 93:56] - wire _T_341 = _T_4 & io_ins[14]; // @[el2_dec_dec_ctl.scala 93:104] - wire _T_342 = _T_341 & io_ins[13]; // @[el2_dec_dec_ctl.scala 93:104] - wire _T_343 = _T_342 & io_ins[12]; // @[el2_dec_dec_ctl.scala 93:104] - wire _T_344 = _T_343 & _T_104; // @[el2_dec_dec_ctl.scala 93:104] - wire _T_345 = _T_344 & _T_18; // @[el2_dec_dec_ctl.scala 93:104] - wire _T_350 = _T_104 & io_ins[3]; // @[el2_dec_dec_ctl.scala 94:45] - wire _T_363 = _T_342 & _T_144; // @[el2_dec_dec_ctl.scala 94:94] - wire _T_364 = _T_363 & _T_104; // @[el2_dec_dec_ctl.scala 94:94] - wire _T_365 = _T_364 & _T_18; // @[el2_dec_dec_ctl.scala 94:94] - wire _T_366 = _T_350 | _T_365; // @[el2_dec_dec_ctl.scala 94:49] - wire _T_370 = io_ins[5] & io_ins[4]; // @[el2_dec_dec_ctl.scala 95:34] - wire _T_371 = _T_370 & io_ins[2]; // @[el2_dec_dec_ctl.scala 95:34] - wire _T_372 = _T_366 | _T_371; // @[el2_dec_dec_ctl.scala 94:98] - wire _T_382 = _T_372 | _T_149; // @[el2_dec_dec_ctl.scala 95:38] - wire _T_392 = _T_328 & _T_144; // @[el2_dec_dec_ctl.scala 96:44] - wire _T_393 = _T_392 & _T_9; // @[el2_dec_dec_ctl.scala 96:44] - wire _T_394 = _T_393 & _T_18; // @[el2_dec_dec_ctl.scala 96:44] - wire _T_407 = _T_341 & _T_16; // @[el2_dec_dec_ctl.scala 97:61] - wire _T_408 = _T_407 & _T_144; // @[el2_dec_dec_ctl.scala 97:61] - wire _T_409 = _T_408 & io_ins[4]; // @[el2_dec_dec_ctl.scala 97:61] - wire _T_410 = _T_409 & _T_18; // @[el2_dec_dec_ctl.scala 97:61] - wire _T_421 = io_ins[14] & _T_16; // @[el2_dec_dec_ctl.scala 97:109] - wire _T_422 = _T_421 & _T_144; // @[el2_dec_dec_ctl.scala 97:109] - wire _T_423 = _T_422 & _T_9; // @[el2_dec_dec_ctl.scala 97:109] - wire _T_424 = _T_423 & io_ins[4]; // @[el2_dec_dec_ctl.scala 97:109] - wire _T_425 = _T_424 & _T_18; // @[el2_dec_dec_ctl.scala 97:109] - wire _T_440 = _T_294 & _T_16; // @[el2_dec_dec_ctl.scala 98:63] - wire _T_441 = _T_440 & io_ins[12]; // @[el2_dec_dec_ctl.scala 98:63] - wire _T_442 = _T_441 & _T_104; // @[el2_dec_dec_ctl.scala 98:63] - wire _T_443 = _T_442 & io_ins[4]; // @[el2_dec_dec_ctl.scala 98:63] - wire _T_454 = io_ins[30] & _T_16; // @[el2_dec_dec_ctl.scala 99:58] - wire _T_455 = _T_454 & io_ins[12]; // @[el2_dec_dec_ctl.scala 99:58] - wire _T_456 = _T_455 & _T_104; // @[el2_dec_dec_ctl.scala 99:58] - wire _T_457 = _T_456 & io_ins[4]; // @[el2_dec_dec_ctl.scala 99:58] - wire _T_473 = _T_262 & io_ins[14]; // @[el2_dec_dec_ctl.scala 100:66] - wire _T_474 = _T_473 & _T_16; // @[el2_dec_dec_ctl.scala 100:66] - wire _T_475 = _T_474 & io_ins[12]; // @[el2_dec_dec_ctl.scala 100:66] - wire _T_476 = _T_475 & _T_104; // @[el2_dec_dec_ctl.scala 100:66] - wire _T_477 = _T_476 & io_ins[4]; // @[el2_dec_dec_ctl.scala 100:66] - wire _T_492 = _T_295 & io_ins[12]; // @[el2_dec_dec_ctl.scala 101:62] - wire _T_493 = _T_492 & _T_104; // @[el2_dec_dec_ctl.scala 101:62] - wire _T_494 = _T_493 & io_ins[4]; // @[el2_dec_dec_ctl.scala 101:62] - wire _T_495 = _T_494 & _T_18; // @[el2_dec_dec_ctl.scala 101:62] - wire _T_518 = _T_308 & io_ins[12]; // @[el2_dec_dec_ctl.scala 102:59] - wire _T_519 = _T_518 & _T_9; // @[el2_dec_dec_ctl.scala 102:59] - wire _T_520 = _T_519 & _T_18; // @[el2_dec_dec_ctl.scala 102:59] - wire _T_527 = io_ins[13] & io_ins[6]; // @[el2_dec_dec_ctl.scala 102:99] - wire _T_528 = _T_527 & _T_98; // @[el2_dec_dec_ctl.scala 102:99] - wire _T_529 = _T_528 & _T_18; // @[el2_dec_dec_ctl.scala 102:99] - wire _T_530 = _T_520 | _T_529; // @[el2_dec_dec_ctl.scala 102:63] - wire _T_536 = io_ins[14] & _T_9; // @[el2_dec_dec_ctl.scala 103:37] - wire _T_537 = _T_536 & _T_98; // @[el2_dec_dec_ctl.scala 103:37] - wire _T_538 = _T_530 | _T_537; // @[el2_dec_dec_ctl.scala 102:103] - wire _T_553 = _T_493 & _T_18; // @[el2_dec_dec_ctl.scala 103:86] - wire _T_554 = _T_538 | _T_553; // @[el2_dec_dec_ctl.scala 103:41] - wire _T_563 = io_ins[25] & io_ins[14]; // @[el2_dec_dec_ctl.scala 104:45] - wire _T_564 = _T_563 & io_ins[12]; // @[el2_dec_dec_ctl.scala 104:45] - wire _T_565 = _T_564 & _T_104; // @[el2_dec_dec_ctl.scala 104:45] - wire _T_566 = _T_565 & io_ins[5]; // @[el2_dec_dec_ctl.scala 104:45] - wire _T_567 = _T_566 & _T_18; // @[el2_dec_dec_ctl.scala 104:45] - wire _T_585 = _T_14 & _T_144; // @[el2_dec_dec_ctl.scala 106:56] - wire _T_586 = _T_585 & io_ins[6]; // @[el2_dec_dec_ctl.scala 106:56] - wire _T_587 = _T_586 & _T_98; // @[el2_dec_dec_ctl.scala 106:56] - wire _T_597 = _T_14 & io_ins[12]; // @[el2_dec_dec_ctl.scala 107:55] - wire _T_598 = _T_597 & io_ins[6]; // @[el2_dec_dec_ctl.scala 107:55] - wire _T_599 = _T_598 & _T_98; // @[el2_dec_dec_ctl.scala 107:55] - wire _T_608 = io_ins[14] & io_ins[12]; // @[el2_dec_dec_ctl.scala 108:54] - wire _T_609 = _T_608 & io_ins[5]; // @[el2_dec_dec_ctl.scala 108:54] - wire _T_610 = _T_609 & _T_98; // @[el2_dec_dec_ctl.scala 108:54] - wire _T_620 = io_ins[14] & _T_144; // @[el2_dec_dec_ctl.scala 109:55] - wire _T_621 = _T_620 & io_ins[5]; // @[el2_dec_dec_ctl.scala 109:55] - wire _T_622 = _T_621 & _T_98; // @[el2_dec_dec_ctl.scala 109:55] - wire _T_638 = _T_147 & _T_104; // @[el2_dec_dec_ctl.scala 111:56] - wire _T_639 = _T_638 & _T_98; // @[el2_dec_dec_ctl.scala 111:56] - wire _T_648 = io_ins[12] & _T_104; // @[el2_dec_dec_ctl.scala 112:53] - wire _T_649 = _T_648 & _T_98; // @[el2_dec_dec_ctl.scala 112:53] - wire _T_656 = io_ins[13] & _T_104; // @[el2_dec_dec_ctl.scala 113:50] - wire _T_662 = _T_527 & io_ins[4]; // @[el2_dec_dec_ctl.scala 114:52] - wire _T_666 = io_ins[7] & io_ins[6]; // @[el2_dec_dec_ctl.scala 114:87] - wire _T_667 = _T_666 & io_ins[4]; // @[el2_dec_dec_ctl.scala 114:87] - wire _T_668 = _T_662 | _T_667; // @[el2_dec_dec_ctl.scala 114:56] - wire _T_672 = io_ins[8] & io_ins[6]; // @[el2_dec_dec_ctl.scala 115:34] - wire _T_673 = _T_672 & io_ins[4]; // @[el2_dec_dec_ctl.scala 115:34] - wire _T_674 = _T_668 | _T_673; // @[el2_dec_dec_ctl.scala 114:91] - wire _T_678 = io_ins[9] & io_ins[6]; // @[el2_dec_dec_ctl.scala 115:69] - wire _T_679 = _T_678 & io_ins[4]; // @[el2_dec_dec_ctl.scala 115:69] - wire _T_680 = _T_674 | _T_679; // @[el2_dec_dec_ctl.scala 115:38] - wire _T_684 = io_ins[10] & io_ins[6]; // @[el2_dec_dec_ctl.scala 115:105] - wire _T_685 = _T_684 & io_ins[4]; // @[el2_dec_dec_ctl.scala 115:105] - wire _T_686 = _T_680 | _T_685; // @[el2_dec_dec_ctl.scala 115:73] - wire _T_690 = io_ins[11] & io_ins[6]; // @[el2_dec_dec_ctl.scala 116:35] - wire _T_691 = _T_690 & io_ins[4]; // @[el2_dec_dec_ctl.scala 116:35] - wire _T_699 = _T_94 & io_ins[12]; // @[el2_dec_dec_ctl.scala 117:57] - wire _T_700 = _T_699 & io_ins[6]; // @[el2_dec_dec_ctl.scala 117:57] - wire _T_701 = _T_700 & io_ins[4]; // @[el2_dec_dec_ctl.scala 117:57] - wire _T_708 = _T_79 & io_ins[12]; // @[el2_dec_dec_ctl.scala 117:99] - wire _T_709 = _T_708 & io_ins[6]; // @[el2_dec_dec_ctl.scala 117:99] - wire _T_710 = _T_709 & io_ins[4]; // @[el2_dec_dec_ctl.scala 117:99] - wire _T_711 = _T_701 | _T_710; // @[el2_dec_dec_ctl.scala 117:61] - wire _T_718 = _T_64 & io_ins[12]; // @[el2_dec_dec_ctl.scala 118:41] - wire _T_719 = _T_718 & io_ins[6]; // @[el2_dec_dec_ctl.scala 118:41] - wire _T_720 = _T_719 & io_ins[4]; // @[el2_dec_dec_ctl.scala 118:41] - wire _T_721 = _T_711 | _T_720; // @[el2_dec_dec_ctl.scala 117:103] - wire _T_727 = io_ins[18] & _T_144; // @[el2_dec_dec_ctl.scala 118:81] - wire _T_728 = _T_727 & io_ins[6]; // @[el2_dec_dec_ctl.scala 118:81] - wire _T_729 = _T_728 & io_ins[4]; // @[el2_dec_dec_ctl.scala 118:81] - wire _T_730 = _T_721 | _T_729; // @[el2_dec_dec_ctl.scala 118:45] - wire _T_736 = io_ins[19] & _T_144; // @[el2_dec_dec_ctl.scala 119:39] - wire _T_737 = _T_736 & io_ins[6]; // @[el2_dec_dec_ctl.scala 119:39] - wire _T_738 = _T_737 & io_ins[4]; // @[el2_dec_dec_ctl.scala 119:39] - wire _T_746 = _T_181 & io_ins[6]; // @[el2_dec_dec_ctl.scala 120:57] - wire _T_754 = _T_421 & io_ins[6]; // @[el2_dec_dec_ctl.scala 121:55] - wire _T_755 = _T_754 & io_ins[4]; // @[el2_dec_dec_ctl.scala 121:55] - wire _T_760 = io_ins[15] & io_ins[14]; // @[el2_dec_dec_ctl.scala 121:94] - wire _T_761 = _T_760 & io_ins[6]; // @[el2_dec_dec_ctl.scala 121:94] - wire _T_762 = _T_761 & io_ins[4]; // @[el2_dec_dec_ctl.scala 121:94] - wire _T_763 = _T_755 | _T_762; // @[el2_dec_dec_ctl.scala 121:59] - wire _T_768 = io_ins[16] & io_ins[14]; // @[el2_dec_dec_ctl.scala 122:38] - wire _T_769 = _T_768 & io_ins[6]; // @[el2_dec_dec_ctl.scala 122:38] - wire _T_770 = _T_769 & io_ins[4]; // @[el2_dec_dec_ctl.scala 122:38] - wire _T_771 = _T_763 | _T_770; // @[el2_dec_dec_ctl.scala 121:98] - wire _T_776 = io_ins[17] & io_ins[14]; // @[el2_dec_dec_ctl.scala 122:77] - wire _T_777 = _T_776 & io_ins[6]; // @[el2_dec_dec_ctl.scala 122:77] - wire _T_778 = _T_777 & io_ins[4]; // @[el2_dec_dec_ctl.scala 122:77] - wire _T_779 = _T_771 | _T_778; // @[el2_dec_dec_ctl.scala 122:42] - wire _T_784 = io_ins[18] & io_ins[14]; // @[el2_dec_dec_ctl.scala 123:38] - wire _T_785 = _T_784 & io_ins[6]; // @[el2_dec_dec_ctl.scala 123:38] - wire _T_786 = _T_785 & io_ins[4]; // @[el2_dec_dec_ctl.scala 123:38] - wire _T_787 = _T_779 | _T_786; // @[el2_dec_dec_ctl.scala 122:81] - wire _T_792 = io_ins[19] & io_ins[14]; // @[el2_dec_dec_ctl.scala 123:77] - wire _T_793 = _T_792 & io_ins[6]; // @[el2_dec_dec_ctl.scala 123:77] - wire _T_794 = _T_793 & io_ins[4]; // @[el2_dec_dec_ctl.scala 123:77] - wire _T_801 = io_ins[15] & _T_144; // @[el2_dec_dec_ctl.scala 124:55] - wire _T_802 = _T_801 & io_ins[6]; // @[el2_dec_dec_ctl.scala 124:55] - wire _T_803 = _T_802 & io_ins[4]; // @[el2_dec_dec_ctl.scala 124:55] - wire _T_809 = io_ins[16] & _T_144; // @[el2_dec_dec_ctl.scala 124:95] - wire _T_810 = _T_809 & io_ins[6]; // @[el2_dec_dec_ctl.scala 124:95] - wire _T_811 = _T_810 & io_ins[4]; // @[el2_dec_dec_ctl.scala 124:95] - wire _T_812 = _T_803 | _T_811; // @[el2_dec_dec_ctl.scala 124:59] - wire _T_818 = io_ins[17] & _T_144; // @[el2_dec_dec_ctl.scala 125:39] - wire _T_819 = _T_818 & io_ins[6]; // @[el2_dec_dec_ctl.scala 125:39] - wire _T_820 = _T_819 & io_ins[4]; // @[el2_dec_dec_ctl.scala 125:39] - wire _T_821 = _T_812 | _T_820; // @[el2_dec_dec_ctl.scala 124:99] - wire _T_830 = _T_821 | _T_729; // @[el2_dec_dec_ctl.scala 125:43] - wire _T_841 = ~io_ins[22]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_849 = _T_841 & io_ins[20]; // @[el2_dec_dec_ctl.scala 127:62] - wire _T_850 = _T_849 & _T_16; // @[el2_dec_dec_ctl.scala 127:62] - wire _T_851 = _T_850 & _T_144; // @[el2_dec_dec_ctl.scala 127:62] - wire _T_852 = _T_851 & io_ins[6]; // @[el2_dec_dec_ctl.scala 127:62] - wire _T_855 = ~io_ins[21]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_857 = ~io_ins[20]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_864 = _T_855 & _T_857; // @[el2_dec_dec_ctl.scala 128:62] - wire _T_865 = _T_864 & _T_16; // @[el2_dec_dec_ctl.scala 128:62] - wire _T_866 = _T_865 & _T_144; // @[el2_dec_dec_ctl.scala 128:62] - wire _T_867 = _T_866 & io_ins[6]; // @[el2_dec_dec_ctl.scala 128:62] - wire _T_876 = io_ins[29] & _T_16; // @[el2_dec_dec_ctl.scala 129:56] - wire _T_877 = _T_876 & _T_144; // @[el2_dec_dec_ctl.scala 129:56] - wire _T_878 = _T_877 & io_ins[6]; // @[el2_dec_dec_ctl.scala 129:56] - wire _T_889 = io_ins[25] & _T_14; // @[el2_dec_dec_ctl.scala 130:57] - wire _T_890 = _T_889 & _T_104; // @[el2_dec_dec_ctl.scala 130:57] - wire _T_891 = _T_890 & io_ins[5]; // @[el2_dec_dec_ctl.scala 130:57] - wire _T_892 = _T_891 & io_ins[4]; // @[el2_dec_dec_ctl.scala 130:57] - wire _T_907 = _T_889 & io_ins[13]; // @[el2_dec_dec_ctl.scala 131:69] - wire _T_908 = _T_907 & _T_144; // @[el2_dec_dec_ctl.scala 131:69] - wire _T_909 = _T_908 & _T_104; // @[el2_dec_dec_ctl.scala 131:69] - wire _T_910 = _T_909 & io_ins[5]; // @[el2_dec_dec_ctl.scala 131:69] - wire _T_911 = _T_910 & io_ins[4]; // @[el2_dec_dec_ctl.scala 131:69] - wire _T_912 = _T_911 & _T_18; // @[el2_dec_dec_ctl.scala 131:69] - wire _T_925 = _T_889 & _T_16; // @[el2_dec_dec_ctl.scala 132:50] - wire _T_926 = _T_925 & io_ins[12]; // @[el2_dec_dec_ctl.scala 132:50] - wire _T_927 = _T_926 & _T_104; // @[el2_dec_dec_ctl.scala 132:50] - wire _T_928 = _T_927 & io_ins[4]; // @[el2_dec_dec_ctl.scala 132:50] - wire _T_929 = _T_928 & _T_18; // @[el2_dec_dec_ctl.scala 132:50] - wire _T_961 = _T_925 & _T_144; // @[el2_dec_dec_ctl.scala 134:62] - wire _T_962 = _T_961 & io_ins[5]; // @[el2_dec_dec_ctl.scala 134:62] - wire _T_963 = _T_962 & io_ins[4]; // @[el2_dec_dec_ctl.scala 134:62] - wire _T_973 = _T_563 & _T_104; // @[el2_dec_dec_ctl.scala 135:54] - wire _T_974 = _T_973 & io_ins[5]; // @[el2_dec_dec_ctl.scala 135:54] - wire _T_985 = _T_563 & io_ins[13]; // @[el2_dec_dec_ctl.scala 136:57] - wire _T_986 = _T_985 & _T_104; // @[el2_dec_dec_ctl.scala 136:57] - wire _T_987 = _T_986 & io_ins[5]; // @[el2_dec_dec_ctl.scala 136:57] - wire _T_992 = _T_9 & io_ins[3]; // @[el2_dec_dec_ctl.scala 137:47] - wire _T_997 = io_ins[12] & _T_9; // @[el2_dec_dec_ctl.scala 138:52] - wire _T_998 = _T_997 & io_ins[3]; // @[el2_dec_dec_ctl.scala 138:52] - wire _T_1006 = io_ins[28] & io_ins[22]; // @[el2_dec_dec_ctl.scala 139:59] - wire _T_1007 = _T_1006 & _T_16; // @[el2_dec_dec_ctl.scala 139:59] - wire _T_1008 = _T_1007 & _T_144; // @[el2_dec_dec_ctl.scala 139:59] - wire _T_1009 = _T_1008 & io_ins[4]; // @[el2_dec_dec_ctl.scala 139:59] - wire _T_1013 = _T_1009 | _T_190; // @[el2_dec_dec_ctl.scala 139:63] - wire _T_1019 = _T_4 & _T_104; // @[el2_dec_dec_ctl.scala 140:37] - wire _T_1020 = _T_1019 & io_ins[4]; // @[el2_dec_dec_ctl.scala 140:37] - wire _T_1021 = _T_1013 | _T_1020; // @[el2_dec_dec_ctl.scala 139:96] - wire _T_1037 = _T_87 & io_ins[6]; // @[el2_dec_dec_ctl.scala 141:88] - wire _T_1038 = _T_1037 & io_ins[4]; // @[el2_dec_dec_ctl.scala 141:88] - wire _T_1039 = _T_992 | _T_1038; // @[el2_dec_dec_ctl.scala 141:53] - wire _T_1046 = _T_72 & io_ins[6]; // @[el2_dec_dec_ctl.scala 142:38] - wire _T_1047 = _T_1046 & io_ins[4]; // @[el2_dec_dec_ctl.scala 142:38] - wire _T_1048 = _T_1039 | _T_1047; // @[el2_dec_dec_ctl.scala 141:92] - wire _T_1055 = _T_57 & io_ins[6]; // @[el2_dec_dec_ctl.scala 142:77] - wire _T_1056 = _T_1055 & io_ins[4]; // @[el2_dec_dec_ctl.scala 142:77] - wire _T_1057 = _T_1048 | _T_1056; // @[el2_dec_dec_ctl.scala 142:42] - wire _T_1066 = _T_1057 | _T_1056; // @[el2_dec_dec_ctl.scala 142:81] - wire _T_1073 = _T_41 & io_ins[6]; // @[el2_dec_dec_ctl.scala 143:78] - wire _T_1074 = _T_1073 & io_ins[4]; // @[el2_dec_dec_ctl.scala 143:78] - wire _T_1075 = _T_1066 | _T_1074; // @[el2_dec_dec_ctl.scala 143:42] - wire _T_1082 = _T_26 & io_ins[6]; // @[el2_dec_dec_ctl.scala 144:39] - wire _T_1083 = _T_1082 & io_ins[4]; // @[el2_dec_dec_ctl.scala 144:39] - wire _T_1084 = _T_1075 | _T_1083; // @[el2_dec_dec_ctl.scala 143:82] - wire _T_1090 = _T_94 & io_ins[6]; // @[el2_dec_dec_ctl.scala 144:78] - wire _T_1091 = _T_1090 & io_ins[4]; // @[el2_dec_dec_ctl.scala 144:78] - wire _T_1092 = _T_1084 | _T_1091; // @[el2_dec_dec_ctl.scala 144:43] - wire _T_1098 = _T_79 & io_ins[6]; // @[el2_dec_dec_ctl.scala 145:38] - wire _T_1099 = _T_1098 & io_ins[4]; // @[el2_dec_dec_ctl.scala 145:38] - wire _T_1100 = _T_1092 | _T_1099; // @[el2_dec_dec_ctl.scala 144:82] - wire _T_1106 = _T_64 & io_ins[6]; // @[el2_dec_dec_ctl.scala 145:77] - wire _T_1107 = _T_1106 & io_ins[4]; // @[el2_dec_dec_ctl.scala 145:77] - wire _T_1108 = _T_1100 | _T_1107; // @[el2_dec_dec_ctl.scala 145:42] - wire _T_1113 = io_ins[18] & io_ins[13]; // @[el2_dec_dec_ctl.scala 146:38] - wire _T_1114 = _T_1113 & io_ins[6]; // @[el2_dec_dec_ctl.scala 146:38] - wire _T_1115 = _T_1114 & io_ins[4]; // @[el2_dec_dec_ctl.scala 146:38] - wire _T_1116 = _T_1108 | _T_1115; // @[el2_dec_dec_ctl.scala 145:81] - wire _T_1122 = _T_33 & io_ins[6]; // @[el2_dec_dec_ctl.scala 146:77] - wire _T_1123 = _T_1122 & io_ins[4]; // @[el2_dec_dec_ctl.scala 146:77] - wire _T_1139 = _T_841 & _T_16; // @[el2_dec_dec_ctl.scala 147:98] - wire _T_1140 = _T_1139 & _T_144; // @[el2_dec_dec_ctl.scala 147:98] - wire _T_1141 = _T_1140 & io_ins[6]; // @[el2_dec_dec_ctl.scala 147:98] - wire _T_1142 = _T_1141 & io_ins[4]; // @[el2_dec_dec_ctl.scala 147:98] - wire _T_1143 = _T_998 | _T_1142; // @[el2_dec_dec_ctl.scala 147:57] - wire _T_1152 = _T_1143 | _T_1038; // @[el2_dec_dec_ctl.scala 147:102] - wire _T_1161 = _T_1152 | _T_1047; // @[el2_dec_dec_ctl.scala 148:42] - wire _T_1170 = _T_1161 | _T_1056; // @[el2_dec_dec_ctl.scala 148:81] - wire _T_1179 = _T_1170 | _T_1074; // @[el2_dec_dec_ctl.scala 149:42] - wire _T_1188 = _T_1179 | _T_1083; // @[el2_dec_dec_ctl.scala 149:82] - wire _T_1196 = _T_1188 | _T_1091; // @[el2_dec_dec_ctl.scala 150:43] - wire _T_1204 = _T_1196 | _T_1099; // @[el2_dec_dec_ctl.scala 150:82] - wire _T_1212 = _T_1204 | _T_1107; // @[el2_dec_dec_ctl.scala 151:42] - wire _T_1220 = _T_1212 | _T_1115; // @[el2_dec_dec_ctl.scala 151:81] - wire _T_1230 = ~io_ins[31]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1236 = ~io_ins[27]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1238 = ~io_ins[26]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1242 = ~io_ins[24]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1244 = ~io_ins[23]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1251 = ~io_ins[19]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1255 = ~io_ins[17]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1257 = ~io_ins[16]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1259 = ~io_ins[15]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1263 = ~io_ins[11]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1265 = ~io_ins[10]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1267 = ~io_ins[9]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1269 = ~io_ins[8]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1271 = ~io_ins[7]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1281 = ~io_ins[0]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1282 = _T_1230 & _T_248; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1283 = _T_1282 & io_ins[29]; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1284 = _T_1283 & io_ins[28]; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1285 = _T_1284 & _T_1236; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1286 = _T_1285 & _T_1238; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1287 = _T_1286 & _T_4; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1288 = _T_1287 & _T_1242; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1289 = _T_1288 & _T_1244; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1290 = _T_1289 & _T_841; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1291 = _T_1290 & io_ins[21]; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1292 = _T_1291 & _T_857; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1293 = _T_1292 & _T_1251; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1294 = _T_1293 & _T_45; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1295 = _T_1294 & _T_1255; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1296 = _T_1295 & _T_1257; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1297 = _T_1296 & _T_1259; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1298 = _T_1297 & _T_14; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1299 = _T_1298 & _T_1263; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1300 = _T_1299 & _T_1265; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1301 = _T_1300 & _T_1267; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1302 = _T_1301 & _T_1269; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1303 = _T_1302 & _T_1271; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1304 = _T_1303 & io_ins[6]; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1305 = _T_1304 & io_ins[5]; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1306 = _T_1305 & io_ins[4]; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1307 = _T_1306 & _T_100; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1308 = _T_1307 & _T_18; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1309 = _T_1308 & io_ins[1]; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1310 = _T_1309 & _T_1281; // @[el2_dec_dec_ctl.scala 153:144] - wire _T_1316 = ~io_ins[29]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1365 = _T_1282 & _T_1316; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1366 = _T_1365 & io_ins[28]; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1367 = _T_1366 & _T_1236; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1368 = _T_1367 & _T_1238; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1369 = _T_1368 & _T_4; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1370 = _T_1369 & _T_1242; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1371 = _T_1370 & _T_1244; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1372 = _T_1371 & io_ins[22]; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1373 = _T_1372 & _T_855; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1374 = _T_1373 & io_ins[20]; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1375 = _T_1374 & _T_1251; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1376 = _T_1375 & _T_45; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1377 = _T_1376 & _T_1255; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1378 = _T_1377 & _T_1257; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1379 = _T_1378 & _T_1259; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1380 = _T_1379 & _T_14; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1381 = _T_1380 & _T_1263; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1382 = _T_1381 & _T_1265; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1383 = _T_1382 & _T_1267; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1384 = _T_1383 & _T_1269; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1385 = _T_1384 & _T_1271; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1386 = _T_1385 & io_ins[6]; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1387 = _T_1386 & io_ins[5]; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1388 = _T_1387 & io_ins[4]; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1389 = _T_1388 & _T_100; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1390 = _T_1389 & _T_18; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1391 = _T_1390 & io_ins[1]; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1392 = _T_1391 & _T_1281; // @[el2_dec_dec_ctl.scala 154:130] - wire _T_1393 = _T_1310 | _T_1392; // @[el2_dec_dec_ctl.scala 153:148] - wire _T_1401 = ~io_ins[28]; // @[el2_dec_dec_ctl.scala 67:45] - wire _T_1449 = _T_1365 & _T_1401; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1450 = _T_1449 & _T_1236; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1451 = _T_1450 & _T_1238; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1452 = _T_1451 & _T_4; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1453 = _T_1452 & _T_1242; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1454 = _T_1453 & _T_1244; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1455 = _T_1454 & _T_841; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1456 = _T_1455 & _T_855; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1457 = _T_1456 & _T_1251; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1458 = _T_1457 & _T_45; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1459 = _T_1458 & _T_1255; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1460 = _T_1459 & _T_1257; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1461 = _T_1460 & _T_1259; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1462 = _T_1461 & _T_14; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1463 = _T_1462 & _T_1263; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1464 = _T_1463 & _T_1265; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1465 = _T_1464 & _T_1267; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1466 = _T_1465 & _T_1269; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1467 = _T_1466 & _T_1271; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1468 = _T_1467 & io_ins[5]; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1469 = _T_1468 & io_ins[4]; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1470 = _T_1469 & _T_100; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1471 = _T_1470 & _T_18; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1472 = _T_1471 & io_ins[1]; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1473 = _T_1472 & _T_1281; // @[el2_dec_dec_ctl.scala 155:127] - wire _T_1474 = _T_1393 | _T_1473; // @[el2_dec_dec_ctl.scala 154:134] - wire _T_1503 = _T_1452 & _T_104; // @[el2_dec_dec_ctl.scala 156:68] - wire _T_1504 = _T_1503 & io_ins[4]; // @[el2_dec_dec_ctl.scala 156:68] - wire _T_1505 = _T_1504 & _T_100; // @[el2_dec_dec_ctl.scala 156:68] - wire _T_1506 = _T_1505 & io_ins[1]; // @[el2_dec_dec_ctl.scala 156:68] - wire _T_1507 = _T_1506 & _T_1281; // @[el2_dec_dec_ctl.scala 156:68] - wire _T_1508 = _T_1474 | _T_1507; // @[el2_dec_dec_ctl.scala 155:131] - wire _T_1536 = _T_1230 & _T_1316; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1537 = _T_1536 & _T_1401; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1538 = _T_1537 & _T_1236; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1539 = _T_1538 & _T_1238; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1540 = _T_1539 & _T_4; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1541 = _T_1540 & _T_14; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1542 = _T_1541 & _T_16; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1543 = _T_1542 & _T_144; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1544 = _T_1543 & _T_104; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1545 = _T_1544 & _T_100; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1546 = _T_1545 & _T_18; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1547 = _T_1546 & io_ins[1]; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1548 = _T_1547 & _T_1281; // @[el2_dec_dec_ctl.scala 157:77] - wire _T_1549 = _T_1508 | _T_1548; // @[el2_dec_dec_ctl.scala 156:72] - wire _T_1579 = _T_1540 & io_ins[14]; // @[el2_dec_dec_ctl.scala 158:74] - wire _T_1580 = _T_1579 & _T_16; // @[el2_dec_dec_ctl.scala 158:74] - wire _T_1581 = _T_1580 & io_ins[12]; // @[el2_dec_dec_ctl.scala 158:74] - wire _T_1582 = _T_1581 & _T_104; // @[el2_dec_dec_ctl.scala 158:74] - wire _T_1583 = _T_1582 & io_ins[4]; // @[el2_dec_dec_ctl.scala 158:74] - wire _T_1584 = _T_1583 & _T_100; // @[el2_dec_dec_ctl.scala 158:74] - wire _T_1585 = _T_1584 & io_ins[1]; // @[el2_dec_dec_ctl.scala 158:74] - wire _T_1586 = _T_1585 & _T_1281; // @[el2_dec_dec_ctl.scala 158:74] - wire _T_1587 = _T_1549 | _T_1586; // @[el2_dec_dec_ctl.scala 157:81] - wire _T_1614 = _T_1451 & _T_104; // @[el2_dec_dec_ctl.scala 159:66] - wire _T_1615 = _T_1614 & io_ins[5]; // @[el2_dec_dec_ctl.scala 159:66] - wire _T_1616 = _T_1615 & io_ins[4]; // @[el2_dec_dec_ctl.scala 159:66] - wire _T_1617 = _T_1616 & _T_100; // @[el2_dec_dec_ctl.scala 159:66] - wire _T_1618 = _T_1617 & io_ins[1]; // @[el2_dec_dec_ctl.scala 159:66] - wire _T_1619 = _T_1618 & _T_1281; // @[el2_dec_dec_ctl.scala 159:66] - wire _T_1620 = _T_1587 | _T_1619; // @[el2_dec_dec_ctl.scala 158:78] - wire _T_1638 = _T_236 & io_ins[6]; // @[el2_dec_dec_ctl.scala 160:54] - wire _T_1639 = _T_1638 & io_ins[5]; // @[el2_dec_dec_ctl.scala 160:54] - wire _T_1640 = _T_1639 & _T_98; // @[el2_dec_dec_ctl.scala 160:54] - wire _T_1641 = _T_1640 & _T_100; // @[el2_dec_dec_ctl.scala 160:54] - wire _T_1642 = _T_1641 & io_ins[1]; // @[el2_dec_dec_ctl.scala 160:54] - wire _T_1643 = _T_1642 & _T_1281; // @[el2_dec_dec_ctl.scala 160:54] - wire _T_1644 = _T_1620 | _T_1643; // @[el2_dec_dec_ctl.scala 159:70] - wire _T_1657 = io_ins[14] & io_ins[6]; // @[el2_dec_dec_ctl.scala 161:48] - wire _T_1658 = _T_1657 & io_ins[5]; // @[el2_dec_dec_ctl.scala 161:48] - wire _T_1659 = _T_1658 & _T_98; // @[el2_dec_dec_ctl.scala 161:48] - wire _T_1660 = _T_1659 & _T_100; // @[el2_dec_dec_ctl.scala 161:48] - wire _T_1661 = _T_1660 & _T_18; // @[el2_dec_dec_ctl.scala 161:48] - wire _T_1662 = _T_1661 & io_ins[1]; // @[el2_dec_dec_ctl.scala 161:48] - wire _T_1663 = _T_1662 & _T_1281; // @[el2_dec_dec_ctl.scala 161:48] - wire _T_1664 = _T_1644 | _T_1663; // @[el2_dec_dec_ctl.scala 160:58] - wire _T_1677 = _T_144 & _T_104; // @[el2_dec_dec_ctl.scala 162:47] - wire _T_1678 = _T_1677 & _T_9; // @[el2_dec_dec_ctl.scala 162:47] - wire _T_1679 = _T_1678 & io_ins[4]; // @[el2_dec_dec_ctl.scala 162:47] - wire _T_1680 = _T_1679 & _T_100; // @[el2_dec_dec_ctl.scala 162:47] - wire _T_1681 = _T_1680 & io_ins[1]; // @[el2_dec_dec_ctl.scala 162:47] - wire _T_1682 = _T_1681 & _T_1281; // @[el2_dec_dec_ctl.scala 162:47] - wire _T_1683 = _T_1664 | _T_1682; // @[el2_dec_dec_ctl.scala 161:52] - wire _T_1699 = _T_19 & io_ins[5]; // @[el2_dec_dec_ctl.scala 162:99] - wire _T_1700 = _T_1699 & _T_98; // @[el2_dec_dec_ctl.scala 162:99] - wire _T_1701 = _T_1700 & _T_100; // @[el2_dec_dec_ctl.scala 162:99] - wire _T_1702 = _T_1701 & _T_18; // @[el2_dec_dec_ctl.scala 162:99] - wire _T_1703 = _T_1702 & io_ins[1]; // @[el2_dec_dec_ctl.scala 162:99] - wire _T_1704 = _T_1703 & _T_1281; // @[el2_dec_dec_ctl.scala 162:99] - wire _T_1705 = _T_1683 | _T_1704; // @[el2_dec_dec_ctl.scala 162:51] - wire _T_1717 = io_ins[12] & io_ins[6]; // @[el2_dec_dec_ctl.scala 163:47] - wire _T_1718 = _T_1717 & io_ins[5]; // @[el2_dec_dec_ctl.scala 163:47] - wire _T_1719 = _T_1718 & io_ins[4]; // @[el2_dec_dec_ctl.scala 163:47] - wire _T_1720 = _T_1719 & _T_100; // @[el2_dec_dec_ctl.scala 163:47] - wire _T_1721 = _T_1720 & _T_18; // @[el2_dec_dec_ctl.scala 163:47] - wire _T_1722 = _T_1721 & io_ins[1]; // @[el2_dec_dec_ctl.scala 163:47] - wire _T_1723 = _T_1722 & _T_1281; // @[el2_dec_dec_ctl.scala 163:47] - wire _T_1724 = _T_1705 | _T_1723; // @[el2_dec_dec_ctl.scala 162:103] - wire _T_1796 = _T_1456 & _T_857; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1797 = _T_1796 & _T_1251; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1798 = _T_1797 & _T_45; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1799 = _T_1798 & _T_1255; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1800 = _T_1799 & _T_1257; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1801 = _T_1800 & _T_1259; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1802 = _T_1801 & _T_14; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1803 = _T_1802 & _T_16; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1804 = _T_1803 & _T_144; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1805 = _T_1804 & _T_1263; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1806 = _T_1805 & _T_1265; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1807 = _T_1806 & _T_1267; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1808 = _T_1807 & _T_1269; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1809 = _T_1808 & _T_1271; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1810 = _T_1809 & _T_104; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1811 = _T_1810 & _T_9; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1812 = _T_1811 & _T_98; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1813 = _T_1812 & io_ins[3]; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1814 = _T_1813 & io_ins[2]; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1815 = _T_1814 & io_ins[1]; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1816 = _T_1815 & _T_1281; // @[el2_dec_dec_ctl.scala 164:142] - wire _T_1817 = _T_1724 | _T_1816; // @[el2_dec_dec_ctl.scala 163:51] - wire _T_1866 = _T_1449 & _T_1251; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1867 = _T_1866 & _T_45; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1868 = _T_1867 & _T_1255; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1869 = _T_1868 & _T_1257; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1870 = _T_1869 & _T_1259; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1871 = _T_1870 & _T_14; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1872 = _T_1871 & _T_16; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1873 = _T_1872 & _T_144; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1874 = _T_1873 & _T_1263; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1875 = _T_1874 & _T_1265; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1876 = _T_1875 & _T_1267; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1877 = _T_1876 & _T_1269; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1878 = _T_1877 & _T_1271; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1879 = _T_1878 & _T_104; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1880 = _T_1879 & _T_9; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1881 = _T_1880 & _T_98; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1882 = _T_1881 & io_ins[3]; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1883 = _T_1882 & io_ins[2]; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1884 = _T_1883 & io_ins[1]; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1885 = _T_1884 & _T_1281; // @[el2_dec_dec_ctl.scala 165:110] - wire _T_1886 = _T_1817 | _T_1885; // @[el2_dec_dec_ctl.scala 164:146] - wire _T_1902 = _T_16 & _T_104; // @[el2_dec_dec_ctl.scala 166:51] - wire _T_1903 = _T_1902 & _T_9; // @[el2_dec_dec_ctl.scala 166:51] - wire _T_1904 = _T_1903 & _T_98; // @[el2_dec_dec_ctl.scala 166:51] - wire _T_1905 = _T_1904 & _T_100; // @[el2_dec_dec_ctl.scala 166:51] - wire _T_1906 = _T_1905 & _T_18; // @[el2_dec_dec_ctl.scala 166:51] - wire _T_1907 = _T_1906 & io_ins[1]; // @[el2_dec_dec_ctl.scala 166:51] - wire _T_1908 = _T_1907 & _T_1281; // @[el2_dec_dec_ctl.scala 166:51] - wire _T_1909 = _T_1886 | _T_1908; // @[el2_dec_dec_ctl.scala 165:114] - wire _T_1919 = io_ins[6] & io_ins[5]; // @[el2_dec_dec_ctl.scala 166:95] - wire _T_1920 = _T_1919 & _T_98; // @[el2_dec_dec_ctl.scala 166:95] - wire _T_1921 = _T_1920 & io_ins[3]; // @[el2_dec_dec_ctl.scala 166:95] - wire _T_1922 = _T_1921 & io_ins[2]; // @[el2_dec_dec_ctl.scala 166:95] - wire _T_1923 = _T_1922 & io_ins[1]; // @[el2_dec_dec_ctl.scala 166:95] - wire _T_1924 = _T_1923 & _T_1281; // @[el2_dec_dec_ctl.scala 166:95] - wire _T_1925 = _T_1909 | _T_1924; // @[el2_dec_dec_ctl.scala 166:55] - wire _T_1938 = _T_656 & _T_9; // @[el2_dec_dec_ctl.scala 167:46] - wire _T_1939 = _T_1938 & io_ins[4]; // @[el2_dec_dec_ctl.scala 167:46] - wire _T_1940 = _T_1939 & _T_100; // @[el2_dec_dec_ctl.scala 167:46] - wire _T_1941 = _T_1940 & io_ins[1]; // @[el2_dec_dec_ctl.scala 167:46] - wire _T_1942 = _T_1941 & _T_1281; // @[el2_dec_dec_ctl.scala 167:46] - wire _T_1943 = _T_1925 | _T_1942; // @[el2_dec_dec_ctl.scala 166:99] - wire _T_1960 = _T_585 & _T_104; // @[el2_dec_dec_ctl.scala 167:99] - wire _T_1961 = _T_1960 & _T_98; // @[el2_dec_dec_ctl.scala 167:99] - wire _T_1962 = _T_1961 & _T_100; // @[el2_dec_dec_ctl.scala 167:99] - wire _T_1963 = _T_1962 & _T_18; // @[el2_dec_dec_ctl.scala 167:99] - wire _T_1964 = _T_1963 & io_ins[1]; // @[el2_dec_dec_ctl.scala 167:99] - wire _T_1965 = _T_1964 & _T_1281; // @[el2_dec_dec_ctl.scala 167:99] - wire _T_1966 = _T_1943 | _T_1965; // @[el2_dec_dec_ctl.scala 167:50] - wire _T_1977 = _T_104 & io_ins[4]; // @[el2_dec_dec_ctl.scala 168:43] - wire _T_1978 = _T_1977 & _T_100; // @[el2_dec_dec_ctl.scala 168:43] - wire _T_1979 = _T_1978 & _T_18; // @[el2_dec_dec_ctl.scala 168:43] - wire _T_1980 = _T_1979 & io_ins[1]; // @[el2_dec_dec_ctl.scala 168:43] - wire _T_1981 = _T_1980 & _T_1281; // @[el2_dec_dec_ctl.scala 168:43] - assign io_out_alu = _T_7 | _T_11; // @[el2_dec_dec_ctl.scala 72:14] - assign io_out_rs1 = _T_102 | _T_107; // @[el2_dec_dec_ctl.scala 73:14] - assign io_out_rs2 = _T_115 | _T_122; // @[el2_dec_dec_ctl.scala 79:14] - assign io_out_imm12 = _T_150 | _T_160; // @[el2_dec_dec_ctl.scala 80:16] - assign io_out_rd = _T_170 | io_ins[4]; // @[el2_dec_dec_ctl.scala 82:13] - assign io_out_shimm5 = _T_183 & _T_18; // @[el2_dec_dec_ctl.scala 83:17] - assign io_out_imm20 = _T_187 | _T_190; // @[el2_dec_dec_ctl.scala 84:16] - assign io_out_pc = _T_198 | _T_187; // @[el2_dec_dec_ctl.scala 85:13] - assign io_out_load = _T_209 & _T_18; // @[el2_dec_dec_ctl.scala 86:15] - assign io_out_store = _T_121 & _T_98; // @[el2_dec_dec_ctl.scala 87:16] - assign io_out_lsu = _T_224 & _T_18; // @[el2_dec_dec_ctl.scala 88:14] - assign io_out_add = _T_246 | _T_268; // @[el2_dec_dec_ctl.scala 89:14] - assign io_out_sub = _T_312 | _T_319; // @[el2_dec_dec_ctl.scala 91:14] - assign io_out_land = _T_331 | _T_345; // @[el2_dec_dec_ctl.scala 93:15] - assign io_out_lor = _T_382 | _T_394; // @[el2_dec_dec_ctl.scala 94:14] - assign io_out_lxor = _T_410 | _T_425; // @[el2_dec_dec_ctl.scala 97:15] - assign io_out_sll = _T_443 & _T_18; // @[el2_dec_dec_ctl.scala 98:14] - assign io_out_sra = _T_457 & _T_18; // @[el2_dec_dec_ctl.scala 99:14] - assign io_out_srl = _T_477 & _T_18; // @[el2_dec_dec_ctl.scala 100:14] - assign io_out_slt = _T_495 | _T_311; // @[el2_dec_dec_ctl.scala 101:14] - assign io_out_unsign = _T_554 | _T_567; // @[el2_dec_dec_ctl.scala 102:17] - assign io_out_condbr = _T_318 & _T_18; // @[el2_dec_dec_ctl.scala 105:17] - assign io_out_beq = _T_587 & _T_18; // @[el2_dec_dec_ctl.scala 106:14] - assign io_out_bne = _T_599 & _T_18; // @[el2_dec_dec_ctl.scala 107:14] - assign io_out_bge = _T_610 & _T_18; // @[el2_dec_dec_ctl.scala 108:14] - assign io_out_blt = _T_622 & _T_18; // @[el2_dec_dec_ctl.scala 109:14] - assign io_out_jal = io_ins[6] & io_ins[2]; // @[el2_dec_dec_ctl.scala 110:14] - assign io_out_by = _T_639 & _T_18; // @[el2_dec_dec_ctl.scala 111:13] - assign io_out_half = _T_649 & _T_18; // @[el2_dec_dec_ctl.scala 112:15] - assign io_out_word = _T_656 & _T_98; // @[el2_dec_dec_ctl.scala 113:15] - assign io_out_csr_read = _T_686 | _T_691; // @[el2_dec_dec_ctl.scala 114:19] - assign io_out_csr_clr = _T_730 | _T_738; // @[el2_dec_dec_ctl.scala 117:18] - assign io_out_csr_set = _T_830 | _T_738; // @[el2_dec_dec_ctl.scala 124:18] - assign io_out_csr_write = _T_746 & io_ins[4]; // @[el2_dec_dec_ctl.scala 120:20] - assign io_out_csr_imm = _T_787 | _T_794; // @[el2_dec_dec_ctl.scala 121:18] - assign io_out_presync = _T_1116 | _T_1123; // @[el2_dec_dec_ctl.scala 141:18] - assign io_out_postsync = _T_1220 | _T_1123; // @[el2_dec_dec_ctl.scala 147:19] - assign io_out_ebreak = _T_852 & io_ins[4]; // @[el2_dec_dec_ctl.scala 127:17] - assign io_out_ecall = _T_867 & io_ins[4]; // @[el2_dec_dec_ctl.scala 128:16] - assign io_out_mret = _T_878 & io_ins[4]; // @[el2_dec_dec_ctl.scala 129:15] - assign io_out_mul = _T_892 & _T_18; // @[el2_dec_dec_ctl.scala 130:14] - assign io_out_rs1_sign = _T_912 | _T_929; // @[el2_dec_dec_ctl.scala 131:19] - assign io_out_rs2_sign = _T_928 & _T_18; // @[el2_dec_dec_ctl.scala 133:19] - assign io_out_low = _T_963 & _T_18; // @[el2_dec_dec_ctl.scala 134:14] - assign io_out_div = _T_974 & _T_18; // @[el2_dec_dec_ctl.scala 135:14] - assign io_out_rem = _T_987 & _T_18; // @[el2_dec_dec_ctl.scala 136:14] - assign io_out_fence = _T_9 & io_ins[3]; // @[el2_dec_dec_ctl.scala 137:16] - assign io_out_fence_i = _T_997 & io_ins[3]; // @[el2_dec_dec_ctl.scala 138:18] - assign io_out_pm_alu = _T_1021 | _T_11; // @[el2_dec_dec_ctl.scala 139:17] - assign io_out_legal = _T_1966 | _T_1981; // @[el2_dec_dec_ctl.scala 153:16] + wire _T_2 = io_ins[2] | io_ins[6]; // @[el2_dec_dec_ctl.scala 20:27] + wire _T_4 = ~io_ins[25]; // @[el2_dec_dec_ctl.scala 20:42] + wire _T_6 = _T_4 & io_ins[4]; // @[el2_dec_dec_ctl.scala 20:53] + wire _T_7 = _T_2 | _T_6; // @[el2_dec_dec_ctl.scala 20:39] + wire _T_9 = ~io_ins[5]; // @[el2_dec_dec_ctl.scala 20:68] + wire _T_11 = _T_9 & io_ins[4]; // @[el2_dec_dec_ctl.scala 20:78] + wire _T_14 = ~io_ins[14]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_16 = ~io_ins[13]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_18 = ~io_ins[2]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_19 = _T_14 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_20 = _T_19 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_26 = _T_16 & io_ins[11]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_27 = _T_26 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_28 = _T_20 | _T_27; // @[el2_dec_dec_ctl.scala 21:43] + wire _T_33 = io_ins[19] & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_34 = _T_33 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_35 = _T_28 | _T_34; // @[el2_dec_dec_ctl.scala 21:70] + wire _T_41 = _T_16 & io_ins[10]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_42 = _T_41 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_43 = _T_35 | _T_42; // @[el2_dec_dec_ctl.scala 22:29] + wire _T_48 = io_ins[18] & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_49 = _T_48 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_50 = _T_43 | _T_49; // @[el2_dec_dec_ctl.scala 22:56] + wire _T_56 = _T_16 & io_ins[9]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_57 = _T_56 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_58 = _T_50 | _T_57; // @[el2_dec_dec_ctl.scala 23:29] + wire _T_63 = io_ins[17] & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_64 = _T_63 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_65 = _T_58 | _T_64; // @[el2_dec_dec_ctl.scala 23:55] + wire _T_71 = _T_16 & io_ins[8]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_72 = _T_71 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_73 = _T_65 | _T_72; // @[el2_dec_dec_ctl.scala 24:29] + wire _T_78 = io_ins[16] & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_79 = _T_78 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_80 = _T_73 | _T_79; // @[el2_dec_dec_ctl.scala 24:55] + wire _T_86 = _T_16 & io_ins[7]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_87 = _T_86 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_88 = _T_80 | _T_87; // @[el2_dec_dec_ctl.scala 25:29] + wire _T_93 = io_ins[15] & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_94 = _T_93 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_95 = _T_88 | _T_94; // @[el2_dec_dec_ctl.scala 25:55] + wire _T_97 = ~io_ins[4]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_99 = ~io_ins[3]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_100 = _T_97 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_101 = _T_95 | _T_100; // @[el2_dec_dec_ctl.scala 26:29] + wire _T_103 = ~io_ins[6]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_106 = _T_103 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_113 = io_ins[5] & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_114 = _T_113 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_120 = _T_103 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_121 = _T_120 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_129 = _T_100 & io_ins[2]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_136 = io_ins[13] & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_137 = _T_136 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_138 = _T_137 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_139 = _T_129 | _T_138; // @[el2_dec_dec_ctl.scala 28:42] + wire _T_143 = ~io_ins[12]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_146 = _T_16 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_147 = _T_146 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_148 = _T_147 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_149 = _T_139 | _T_148; // @[el2_dec_dec_ctl.scala 28:70] + wire _T_157 = _T_143 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_158 = _T_157 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_159 = _T_158 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_165 = _T_9 & _T_18; // @[el2_dec_dec_ctl.scala 30:28] + wire _T_168 = io_ins[5] & io_ins[2]; // @[el2_dec_dec_ctl.scala 30:55] + wire _T_169 = _T_165 | _T_168; // @[el2_dec_dec_ctl.scala 30:42] + wire _T_180 = _T_16 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_181 = _T_180 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_182 = _T_181 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_186 = io_ins[5] & io_ins[3]; // @[el2_dec_dec_ctl.scala 32:29] + wire _T_189 = io_ins[4] & io_ins[2]; // @[el2_dec_dec_ctl.scala 32:53] + wire _T_195 = _T_9 & _T_99; // @[el2_dec_dec_ctl.scala 33:28] + wire _T_197 = _T_195 & io_ins[2]; // @[el2_dec_dec_ctl.scala 33:41] + wire _T_208 = _T_9 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_223 = _T_103 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_235 = _T_19 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_236 = _T_235 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_237 = _T_236 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_245 = _T_237 | _T_197; // @[el2_dec_dec_ctl.scala 37:49] + wire _T_247 = ~io_ins[30]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_261 = _T_247 & _T_4; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_262 = _T_261 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_263 = _T_262 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_264 = _T_263 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_265 = _T_264 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_266 = _T_265 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_267 = _T_266 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_278 = io_ins[30] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_279 = _T_278 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_280 = _T_279 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_281 = _T_280 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_282 = _T_281 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_293 = _T_4 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_294 = _T_293 & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_295 = _T_294 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_296 = _T_295 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_297 = _T_296 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_298 = _T_282 | _T_297; // @[el2_dec_dec_ctl.scala 39:49] + wire _T_307 = _T_14 & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_308 = _T_307 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_309 = _T_308 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_310 = _T_309 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_311 = _T_298 | _T_310; // @[el2_dec_dec_ctl.scala 39:85] + wire _T_317 = io_ins[6] & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_318 = _T_317 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_327 = io_ins[14] & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_328 = _T_327 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_329 = _T_328 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_330 = _T_329 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_340 = _T_4 & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_341 = _T_340 & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_342 = _T_341 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_343 = _T_342 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_344 = _T_343 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_349 = _T_103 & io_ins[3]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_362 = _T_341 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_363 = _T_362 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_364 = _T_363 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_365 = _T_349 | _T_364; // @[el2_dec_dec_ctl.scala 42:37] + wire _T_369 = io_ins[5] & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_370 = _T_369 & io_ins[2]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_371 = _T_365 | _T_370; // @[el2_dec_dec_ctl.scala 42:74] + wire _T_381 = _T_371 | _T_148; // @[el2_dec_dec_ctl.scala 43:26] + wire _T_391 = _T_327 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_392 = _T_391 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_393 = _T_392 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_406 = _T_340 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_407 = _T_406 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_408 = _T_407 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_409 = _T_408 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_420 = io_ins[14] & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_421 = _T_420 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_422 = _T_421 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_423 = _T_422 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_424 = _T_423 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_439 = _T_293 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_440 = _T_439 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_441 = _T_440 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_442 = _T_441 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_453 = io_ins[30] & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_454 = _T_453 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_455 = _T_454 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_456 = _T_455 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_472 = _T_261 & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_473 = _T_472 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_474 = _T_473 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_475 = _T_474 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_476 = _T_475 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_515 = _T_307 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_516 = _T_515 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_517 = _T_516 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_524 = io_ins[13] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_525 = _T_524 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_526 = _T_525 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_527 = _T_517 | _T_526; // @[el2_dec_dec_ctl.scala 50:51] + wire _T_533 = io_ins[14] & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_534 = _T_533 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_535 = _T_527 | _T_534; // @[el2_dec_dec_ctl.scala 50:79] + wire _T_548 = _T_294 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_549 = _T_548 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_550 = _T_549 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_551 = _T_535 | _T_550; // @[el2_dec_dec_ctl.scala 51:29] + wire _T_560 = io_ins[25] & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_561 = _T_560 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_562 = _T_561 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_563 = _T_562 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_564 = _T_563 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_582 = _T_14 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_583 = _T_582 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_584 = _T_583 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_594 = _T_14 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_595 = _T_594 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_596 = _T_595 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_605 = io_ins[14] & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_606 = _T_605 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_607 = _T_606 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_617 = io_ins[14] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_618 = _T_617 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_619 = _T_618 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_635 = _T_146 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_636 = _T_635 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_645 = io_ins[12] & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_646 = _T_645 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_653 = io_ins[13] & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_659 = _T_524 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_663 = io_ins[7] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_664 = _T_663 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_665 = _T_659 | _T_664; // @[el2_dec_dec_ctl.scala 62:44] + wire _T_669 = io_ins[8] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_670 = _T_669 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_671 = _T_665 | _T_670; // @[el2_dec_dec_ctl.scala 62:67] + wire _T_675 = io_ins[9] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_676 = _T_675 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_677 = _T_671 | _T_676; // @[el2_dec_dec_ctl.scala 63:26] + wire _T_681 = io_ins[10] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_682 = _T_681 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_683 = _T_677 | _T_682; // @[el2_dec_dec_ctl.scala 63:49] + wire _T_687 = io_ins[11] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_688 = _T_687 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_696 = _T_93 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_697 = _T_696 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_698 = _T_697 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_705 = _T_78 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_706 = _T_705 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_707 = _T_706 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_708 = _T_698 | _T_707; // @[el2_dec_dec_ctl.scala 65:49] + wire _T_715 = _T_63 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_716 = _T_715 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_717 = _T_716 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_718 = _T_708 | _T_717; // @[el2_dec_dec_ctl.scala 65:79] + wire _T_725 = _T_48 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_726 = _T_725 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_727 = _T_726 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_728 = _T_718 | _T_727; // @[el2_dec_dec_ctl.scala 66:33] + wire _T_735 = _T_33 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_736 = _T_735 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_737 = _T_736 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_745 = _T_180 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_753 = _T_420 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_754 = _T_753 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_759 = io_ins[15] & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_760 = _T_759 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_761 = _T_760 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_762 = _T_754 | _T_761; // @[el2_dec_dec_ctl.scala 69:47] + wire _T_767 = io_ins[16] & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_768 = _T_767 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_769 = _T_768 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_770 = _T_762 | _T_769; // @[el2_dec_dec_ctl.scala 69:74] + wire _T_775 = io_ins[17] & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_776 = _T_775 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_777 = _T_776 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_778 = _T_770 | _T_777; // @[el2_dec_dec_ctl.scala 70:30] + wire _T_783 = io_ins[18] & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_784 = _T_783 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_785 = _T_784 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_786 = _T_778 | _T_785; // @[el2_dec_dec_ctl.scala 70:57] + wire _T_791 = io_ins[19] & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_792 = _T_791 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_793 = _T_792 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_800 = io_ins[15] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_801 = _T_800 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_802 = _T_801 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_808 = io_ins[16] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_809 = _T_808 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_810 = _T_809 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_811 = _T_802 | _T_810; // @[el2_dec_dec_ctl.scala 72:47] + wire _T_817 = io_ins[17] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_818 = _T_817 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_819 = _T_818 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_820 = _T_811 | _T_819; // @[el2_dec_dec_ctl.scala 72:75] + wire _T_826 = io_ins[18] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_827 = _T_826 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_828 = _T_827 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_829 = _T_820 | _T_828; // @[el2_dec_dec_ctl.scala 73:31] + wire _T_835 = io_ins[19] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_836 = _T_835 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_837 = _T_836 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_840 = ~io_ins[22]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_848 = _T_840 & io_ins[20]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_849 = _T_848 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_850 = _T_849 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_851 = _T_850 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_854 = ~io_ins[21]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_856 = ~io_ins[20]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_863 = _T_854 & _T_856; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_864 = _T_863 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_865 = _T_864 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_866 = _T_865 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_875 = io_ins[29] & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_876 = _T_875 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_877 = _T_876 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_888 = io_ins[25] & _T_14; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_889 = _T_888 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_890 = _T_889 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_891 = _T_890 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_906 = _T_888 & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_907 = _T_906 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_908 = _T_907 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_909 = _T_908 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_910 = _T_909 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_911 = _T_910 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_924 = _T_888 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_925 = _T_924 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_926 = _T_925 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_927 = _T_926 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_928 = _T_927 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_960 = _T_924 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_961 = _T_960 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_962 = _T_961 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_972 = _T_560 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_973 = _T_972 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_984 = _T_560 & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_985 = _T_984 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_986 = _T_985 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_991 = _T_9 & io_ins[3]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_996 = io_ins[12] & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_997 = _T_996 & io_ins[3]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1005 = io_ins[28] & io_ins[22]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1006 = _T_1005 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1007 = _T_1006 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1008 = _T_1007 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1012 = _T_1008 | _T_189; // @[el2_dec_dec_ctl.scala 87:51] + wire _T_1018 = _T_4 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1019 = _T_1018 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1020 = _T_1012 | _T_1019; // @[el2_dec_dec_ctl.scala 87:72] + wire _T_1036 = _T_86 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1037 = _T_1036 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1038 = _T_991 | _T_1037; // @[el2_dec_dec_ctl.scala 89:41] + wire _T_1045 = _T_71 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1046 = _T_1045 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1047 = _T_1038 | _T_1046; // @[el2_dec_dec_ctl.scala 89:68] + wire _T_1054 = _T_56 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1055 = _T_1054 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1056 = _T_1047 | _T_1055; // @[el2_dec_dec_ctl.scala 90:30] + wire _T_1063 = _T_41 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1064 = _T_1063 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1065 = _T_1056 | _T_1064; // @[el2_dec_dec_ctl.scala 90:57] + wire _T_1072 = _T_26 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1073 = _T_1072 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1074 = _T_1065 | _T_1073; // @[el2_dec_dec_ctl.scala 91:31] + wire _T_1080 = _T_93 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1081 = _T_1080 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1082 = _T_1074 | _T_1081; // @[el2_dec_dec_ctl.scala 91:59] + wire _T_1088 = _T_78 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1089 = _T_1088 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1090 = _T_1082 | _T_1089; // @[el2_dec_dec_ctl.scala 92:30] + wire _T_1096 = _T_63 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1097 = _T_1096 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1098 = _T_1090 | _T_1097; // @[el2_dec_dec_ctl.scala 92:57] + wire _T_1104 = _T_48 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1105 = _T_1104 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1106 = _T_1098 | _T_1105; // @[el2_dec_dec_ctl.scala 93:30] + wire _T_1112 = _T_33 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1113 = _T_1112 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1129 = _T_840 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1130 = _T_1129 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1131 = _T_1130 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1132 = _T_1131 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1133 = _T_997 | _T_1132; // @[el2_dec_dec_ctl.scala 95:45] + wire _T_1142 = _T_1133 | _T_1037; // @[el2_dec_dec_ctl.scala 95:78] + wire _T_1151 = _T_1142 | _T_1046; // @[el2_dec_dec_ctl.scala 96:30] + wire _T_1160 = _T_1151 | _T_1055; // @[el2_dec_dec_ctl.scala 96:57] + wire _T_1169 = _T_1160 | _T_1064; // @[el2_dec_dec_ctl.scala 97:30] + wire _T_1178 = _T_1169 | _T_1073; // @[el2_dec_dec_ctl.scala 97:58] + wire _T_1186 = _T_1178 | _T_1081; // @[el2_dec_dec_ctl.scala 98:31] + wire _T_1194 = _T_1186 | _T_1089; // @[el2_dec_dec_ctl.scala 98:58] + wire _T_1202 = _T_1194 | _T_1097; // @[el2_dec_dec_ctl.scala 99:30] + wire _T_1210 = _T_1202 | _T_1105; // @[el2_dec_dec_ctl.scala 99:57] + wire _T_1220 = ~io_ins[31]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1226 = ~io_ins[27]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1228 = ~io_ins[26]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1232 = ~io_ins[24]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1234 = ~io_ins[23]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1241 = ~io_ins[19]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1243 = ~io_ins[18]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1245 = ~io_ins[17]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1247 = ~io_ins[16]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1249 = ~io_ins[15]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1253 = ~io_ins[11]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1255 = ~io_ins[10]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1257 = ~io_ins[9]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1259 = ~io_ins[8]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1261 = ~io_ins[7]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1271 = _T_1220 & _T_247; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1272 = _T_1271 & io_ins[29]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1273 = _T_1272 & io_ins[28]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1274 = _T_1273 & _T_1226; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1275 = _T_1274 & _T_1228; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1276 = _T_1275 & _T_4; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1277 = _T_1276 & _T_1232; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1278 = _T_1277 & _T_1234; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1279 = _T_1278 & _T_840; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1280 = _T_1279 & io_ins[21]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1281 = _T_1280 & _T_856; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1282 = _T_1281 & _T_1241; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1283 = _T_1282 & _T_1243; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1284 = _T_1283 & _T_1245; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1285 = _T_1284 & _T_1247; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1286 = _T_1285 & _T_1249; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1287 = _T_1286 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1288 = _T_1287 & _T_1253; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1289 = _T_1288 & _T_1255; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1290 = _T_1289 & _T_1257; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1291 = _T_1290 & _T_1259; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1292 = _T_1291 & _T_1261; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1293 = _T_1292 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1294 = _T_1293 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1295 = _T_1294 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1296 = _T_1295 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1297 = _T_1296 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1298 = _T_1297 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1299 = _T_1298 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1305 = ~io_ins[29]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1353 = _T_1271 & _T_1305; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1354 = _T_1353 & io_ins[28]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1355 = _T_1354 & _T_1226; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1356 = _T_1355 & _T_1228; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1357 = _T_1356 & _T_4; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1358 = _T_1357 & _T_1232; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1359 = _T_1358 & _T_1234; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1360 = _T_1359 & io_ins[22]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1361 = _T_1360 & _T_854; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1362 = _T_1361 & io_ins[20]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1363 = _T_1362 & _T_1241; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1364 = _T_1363 & _T_1243; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1365 = _T_1364 & _T_1245; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1366 = _T_1365 & _T_1247; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1367 = _T_1366 & _T_1249; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1368 = _T_1367 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1369 = _T_1368 & _T_1253; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1370 = _T_1369 & _T_1255; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1371 = _T_1370 & _T_1257; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1372 = _T_1371 & _T_1259; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1373 = _T_1372 & _T_1261; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1374 = _T_1373 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1375 = _T_1374 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1376 = _T_1375 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1377 = _T_1376 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1378 = _T_1377 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1379 = _T_1378 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1380 = _T_1379 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1381 = _T_1299 | _T_1380; // @[el2_dec_dec_ctl.scala 101:136] + wire _T_1389 = ~io_ins[28]; // @[el2_dec_dec_ctl.scala 15:46] + wire _T_1436 = _T_1353 & _T_1389; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1437 = _T_1436 & _T_1226; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1438 = _T_1437 & _T_1228; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1439 = _T_1438 & _T_4; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1440 = _T_1439 & _T_1232; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1441 = _T_1440 & _T_1234; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1442 = _T_1441 & _T_840; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1443 = _T_1442 & _T_854; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1444 = _T_1443 & _T_1241; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1445 = _T_1444 & _T_1243; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1446 = _T_1445 & _T_1245; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1447 = _T_1446 & _T_1247; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1448 = _T_1447 & _T_1249; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1449 = _T_1448 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1450 = _T_1449 & _T_1253; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1451 = _T_1450 & _T_1255; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1452 = _T_1451 & _T_1257; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1453 = _T_1452 & _T_1259; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1454 = _T_1453 & _T_1261; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1455 = _T_1454 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1456 = _T_1455 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1457 = _T_1456 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1458 = _T_1457 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1459 = _T_1458 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1460 = _T_1459 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1461 = _T_1381 | _T_1460; // @[el2_dec_dec_ctl.scala 102:122] + wire _T_1489 = _T_1439 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1490 = _T_1489 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1491 = _T_1490 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1492 = _T_1491 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1493 = _T_1492 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1494 = _T_1461 | _T_1493; // @[el2_dec_dec_ctl.scala 103:119] + wire _T_1521 = _T_1220 & _T_1305; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1522 = _T_1521 & _T_1389; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1523 = _T_1522 & _T_1226; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1524 = _T_1523 & _T_1228; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1525 = _T_1524 & _T_4; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1526 = _T_1525 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1527 = _T_1526 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1528 = _T_1527 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1529 = _T_1528 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1530 = _T_1529 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1531 = _T_1530 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1532 = _T_1531 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1533 = _T_1532 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1534 = _T_1494 | _T_1533; // @[el2_dec_dec_ctl.scala 104:60] + wire _T_1563 = _T_1525 & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1564 = _T_1563 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1565 = _T_1564 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1566 = _T_1565 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1567 = _T_1566 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1568 = _T_1567 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1569 = _T_1568 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1570 = _T_1569 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1571 = _T_1534 | _T_1570; // @[el2_dec_dec_ctl.scala 105:69] + wire _T_1597 = _T_1438 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1598 = _T_1597 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1599 = _T_1598 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1600 = _T_1599 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1601 = _T_1600 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1602 = _T_1601 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1603 = _T_1571 | _T_1602; // @[el2_dec_dec_ctl.scala 106:66] + wire _T_1620 = _T_235 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1621 = _T_1620 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1622 = _T_1621 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1623 = _T_1622 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1624 = _T_1623 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1625 = _T_1624 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1626 = _T_1603 | _T_1625; // @[el2_dec_dec_ctl.scala 107:58] + wire _T_1638 = io_ins[14] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1639 = _T_1638 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1640 = _T_1639 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1641 = _T_1640 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1642 = _T_1641 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1643 = _T_1642 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1644 = _T_1643 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1645 = _T_1626 | _T_1644; // @[el2_dec_dec_ctl.scala 108:46] + wire _T_1657 = _T_143 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1658 = _T_1657 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1659 = _T_1658 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1660 = _T_1659 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1661 = _T_1660 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1662 = _T_1661 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1663 = _T_1645 | _T_1662; // @[el2_dec_dec_ctl.scala 109:40] + wire _T_1678 = _T_19 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1679 = _T_1678 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1680 = _T_1679 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1681 = _T_1680 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1682 = _T_1681 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1683 = _T_1682 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1684 = _T_1663 | _T_1683; // @[el2_dec_dec_ctl.scala 110:39] + wire _T_1695 = io_ins[12] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1696 = _T_1695 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1697 = _T_1696 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1698 = _T_1697 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1699 = _T_1698 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1700 = _T_1699 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1701 = _T_1700 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1702 = _T_1684 | _T_1701; // @[el2_dec_dec_ctl.scala 111:43] + wire _T_1771 = _T_1443 & _T_856; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1772 = _T_1771 & _T_1241; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1773 = _T_1772 & _T_1243; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1774 = _T_1773 & _T_1245; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1775 = _T_1774 & _T_1247; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1776 = _T_1775 & _T_1249; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1777 = _T_1776 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1778 = _T_1777 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1779 = _T_1778 & _T_1253; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1780 = _T_1779 & _T_1255; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1781 = _T_1780 & _T_1257; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1782 = _T_1781 & _T_1259; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1783 = _T_1782 & _T_1261; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1784 = _T_1783 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1785 = _T_1784 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1786 = _T_1785 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1787 = _T_1786 & io_ins[3]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1788 = _T_1787 & io_ins[2]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1789 = _T_1788 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1790 = _T_1789 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1791 = _T_1702 | _T_1790; // @[el2_dec_dec_ctl.scala 112:39] + wire _T_1839 = _T_1436 & _T_1241; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1840 = _T_1839 & _T_1243; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1841 = _T_1840 & _T_1245; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1842 = _T_1841 & _T_1247; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1843 = _T_1842 & _T_1249; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1844 = _T_1843 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1845 = _T_1844 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1846 = _T_1845 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1847 = _T_1846 & _T_1253; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1848 = _T_1847 & _T_1255; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1849 = _T_1848 & _T_1257; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1850 = _T_1849 & _T_1259; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1851 = _T_1850 & _T_1261; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1852 = _T_1851 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1853 = _T_1852 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1854 = _T_1853 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1855 = _T_1854 & io_ins[3]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1856 = _T_1855 & io_ins[2]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1857 = _T_1856 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1858 = _T_1857 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1859 = _T_1791 | _T_1858; // @[el2_dec_dec_ctl.scala 113:130] + wire _T_1871 = _T_524 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1872 = _T_1871 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1873 = _T_1872 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1874 = _T_1873 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1875 = _T_1874 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1876 = _T_1875 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1877 = _T_1859 | _T_1876; // @[el2_dec_dec_ctl.scala 114:102] + wire _T_1892 = _T_16 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1893 = _T_1892 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1894 = _T_1893 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1895 = _T_1894 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1896 = _T_1895 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1897 = _T_1896 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1898 = _T_1897 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1899 = _T_1877 | _T_1898; // @[el2_dec_dec_ctl.scala 115:39] + wire _T_1908 = io_ins[6] & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1909 = _T_1908 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1910 = _T_1909 & io_ins[3]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1911 = _T_1910 & io_ins[2]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1912 = _T_1911 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1913 = _T_1912 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1914 = _T_1899 | _T_1913; // @[el2_dec_dec_ctl.scala 116:43] + wire _T_1926 = _T_653 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1927 = _T_1926 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1928 = _T_1927 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1929 = _T_1928 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1930 = _T_1929 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1931 = _T_1914 | _T_1930; // @[el2_dec_dec_ctl.scala 117:35] + wire _T_1947 = _T_582 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1948 = _T_1947 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1949 = _T_1948 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1950 = _T_1949 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1951 = _T_1950 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1952 = _T_1951 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1953 = _T_1931 | _T_1952; // @[el2_dec_dec_ctl.scala 118:38] + wire _T_1962 = _T_103 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1963 = _T_1962 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1964 = _T_1963 & io_ins[2]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1965 = _T_1964 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] + wire _T_1966 = _T_1965 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] + assign io_out_alu = _T_7 | _T_11; // @[el2_dec_dec_ctl.scala 20:14] + assign io_out_rs1 = _T_101 | _T_106; // @[el2_dec_dec_ctl.scala 21:14] + assign io_out_rs2 = _T_114 | _T_121; // @[el2_dec_dec_ctl.scala 27:14] + assign io_out_imm12 = _T_149 | _T_159; // @[el2_dec_dec_ctl.scala 28:16] + assign io_out_rd = _T_169 | io_ins[4]; // @[el2_dec_dec_ctl.scala 30:13] + assign io_out_shimm5 = _T_182 & _T_18; // @[el2_dec_dec_ctl.scala 31:17] + assign io_out_imm20 = _T_186 | _T_189; // @[el2_dec_dec_ctl.scala 32:16] + assign io_out_pc = _T_197 | _T_186; // @[el2_dec_dec_ctl.scala 33:13] + assign io_out_load = _T_208 & _T_18; // @[el2_dec_dec_ctl.scala 34:15] + assign io_out_store = _T_120 & _T_97; // @[el2_dec_dec_ctl.scala 35:16] + assign io_out_lsu = _T_223 & _T_18; // @[el2_dec_dec_ctl.scala 36:14] + assign io_out_add = _T_245 | _T_267; // @[el2_dec_dec_ctl.scala 37:14] + assign io_out_sub = _T_311 | _T_318; // @[el2_dec_dec_ctl.scala 39:14] + assign io_out_land = _T_330 | _T_344; // @[el2_dec_dec_ctl.scala 41:15] + assign io_out_lor = _T_381 | _T_393; // @[el2_dec_dec_ctl.scala 42:14] + assign io_out_lxor = _T_409 | _T_424; // @[el2_dec_dec_ctl.scala 45:15] + assign io_out_sll = _T_442 & _T_18; // @[el2_dec_dec_ctl.scala 46:14] + assign io_out_sra = _T_456 & _T_18; // @[el2_dec_dec_ctl.scala 47:14] + assign io_out_srl = _T_476 & _T_18; // @[el2_dec_dec_ctl.scala 48:14] + assign io_out_slt = _T_297 | _T_310; // @[el2_dec_dec_ctl.scala 49:14] + assign io_out_unsign = _T_551 | _T_564; // @[el2_dec_dec_ctl.scala 50:17] + assign io_out_condbr = _T_317 & _T_18; // @[el2_dec_dec_ctl.scala 53:17] + assign io_out_beq = _T_584 & _T_18; // @[el2_dec_dec_ctl.scala 54:14] + assign io_out_bne = _T_596 & _T_18; // @[el2_dec_dec_ctl.scala 55:14] + assign io_out_bge = _T_607 & _T_18; // @[el2_dec_dec_ctl.scala 56:14] + assign io_out_blt = _T_619 & _T_18; // @[el2_dec_dec_ctl.scala 57:14] + assign io_out_jal = io_ins[6] & io_ins[2]; // @[el2_dec_dec_ctl.scala 58:14] + assign io_out_by = _T_636 & _T_18; // @[el2_dec_dec_ctl.scala 59:13] + assign io_out_half = _T_646 & _T_18; // @[el2_dec_dec_ctl.scala 60:15] + assign io_out_word = _T_653 & _T_97; // @[el2_dec_dec_ctl.scala 61:15] + assign io_out_csr_read = _T_683 | _T_688; // @[el2_dec_dec_ctl.scala 62:19] + assign io_out_csr_clr = _T_728 | _T_737; // @[el2_dec_dec_ctl.scala 65:18] + assign io_out_csr_set = _T_829 | _T_837; // @[el2_dec_dec_ctl.scala 72:18] + assign io_out_csr_write = _T_745 & io_ins[4]; // @[el2_dec_dec_ctl.scala 68:20] + assign io_out_csr_imm = _T_786 | _T_793; // @[el2_dec_dec_ctl.scala 69:18] + assign io_out_presync = _T_1106 | _T_1113; // @[el2_dec_dec_ctl.scala 89:18] + assign io_out_postsync = _T_1210 | _T_1113; // @[el2_dec_dec_ctl.scala 95:19] + assign io_out_ebreak = _T_851 & io_ins[4]; // @[el2_dec_dec_ctl.scala 75:17] + assign io_out_ecall = _T_866 & io_ins[4]; // @[el2_dec_dec_ctl.scala 76:16] + assign io_out_mret = _T_877 & io_ins[4]; // @[el2_dec_dec_ctl.scala 77:15] + assign io_out_mul = _T_891 & _T_18; // @[el2_dec_dec_ctl.scala 78:14] + assign io_out_rs1_sign = _T_911 | _T_928; // @[el2_dec_dec_ctl.scala 79:19] + assign io_out_rs2_sign = _T_927 & _T_18; // @[el2_dec_dec_ctl.scala 81:19] + assign io_out_low = _T_962 & _T_18; // @[el2_dec_dec_ctl.scala 82:14] + assign io_out_div = _T_973 & _T_18; // @[el2_dec_dec_ctl.scala 83:14] + assign io_out_rem = _T_986 & _T_18; // @[el2_dec_dec_ctl.scala 84:14] + assign io_out_fence = _T_9 & io_ins[3]; // @[el2_dec_dec_ctl.scala 85:16] + assign io_out_fence_i = _T_996 & io_ins[3]; // @[el2_dec_dec_ctl.scala 86:18] + assign io_out_pm_alu = _T_1020 | _T_11; // @[el2_dec_dec_ctl.scala 87:17] + assign io_out_legal = _T_1953 | _T_1966; // @[el2_dec_dec_ctl.scala 101:16] endmodule module el2_dec_decode_ctl( input clock, @@ -1248,8 +1255,8 @@ module el2_dec_decode_ctl( wire _T_505 = i0_postsync | _T_504; // @[el2_dec_decode_ctl.scala 570:54] wire _T_506 = io_dec_i0_decode_d & _T_505; // @[el2_dec_decode_ctl.scala 570:39] reg postsync_stall; // @[el2_dec_decode_ctl.scala 568:53] - reg x_d_i0valid; // @[el2_lib.scala 524:16] - wire _T_507 = postsync_stall & x_d_i0valid; // @[el2_dec_decode_ctl.scala 570:88] + reg x_d_valid; // @[el2_lib.scala 524:16] + wire _T_507 = postsync_stall & x_d_valid; // @[el2_dec_decode_ctl.scala 570:88] wire ps_stall_in = _T_506 | _T_507; // @[el2_dec_decode_ctl.scala 570:69] wire _T_12 = ps_stall_in ^ postsync_stall; // @[el2_dec_decode_ctl.scala 217:32] wire _T_13 = _T_11 | _T_12; // @[el2_dec_decode_ctl.scala 216:56] @@ -1392,34 +1399,34 @@ module el2_dec_decode_ctl( wire [2:0] _T_86 = _GEN_128 | _T_83; // @[Mux.scala 27:72] wire [3:0] _GEN_129 = {{1'd0}, _T_86}; // @[Mux.scala 27:72] wire [3:0] cam_wen = _GEN_129 | _T_84; // @[Mux.scala 27:72] - reg x_d_i0load; // @[el2_lib.scala 524:16] - reg [4:0] x_d_i0rd; // @[el2_lib.scala 524:16] - wire [4:0] nonblock_load_rd = x_d_i0load ? x_d_i0rd : 5'h0; // @[el2_dec_decode_ctl.scala 314:31] + reg x_d_bits_i0load; // @[el2_lib.scala 524:16] + reg [4:0] x_d_bits_i0rd; // @[el2_lib.scala 524:16] + wire [4:0] nonblock_load_rd = x_d_bits_i0load ? x_d_bits_i0rd : 5'h0; // @[el2_dec_decode_ctl.scala 314:31] reg [2:0] _T_701; // @[el2_dec_decode_ctl.scala 652:72] wire [3:0] i0_pipe_en = {io_dec_i0_decode_d,_T_701}; // @[Cat.scala 29:58] wire _T_707 = |i0_pipe_en[2:1]; // @[el2_dec_decode_ctl.scala 655:49] wire i0_r_ctl_en = _T_707 | io_clk_override; // @[el2_dec_decode_ctl.scala 655:53] reg nonblock_load_valid_m_delay; // @[Reg.scala 27:20] - reg r_d_i0load; // @[el2_lib.scala 524:16] - wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_i0load; // @[el2_dec_decode_ctl.scala 319:56] + reg r_d_bits_i0load; // @[el2_lib.scala 524:16] + wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_bits_i0load; // @[el2_dec_decode_ctl.scala 319:56] wire [2:0] _GEN_130 = {{1'd0}, io_lsu_nonblock_load_inv_tag_r}; // @[el2_dec_decode_ctl.scala 321:66] wire _T_91 = _GEN_130 == cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 321:66] wire _T_92 = io_lsu_nonblock_load_inv_r & _T_91; // @[el2_dec_decode_ctl.scala 321:45] wire cam_inv_reset_val_0 = _T_92 & cam_0_valid; // @[el2_dec_decode_ctl.scala 321:87] - reg r_d_i0v; // @[el2_lib.scala 524:16] - wire _T_743 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_decode_ctl.scala 687:41] - wire r_d_in_i0v = r_d_i0v & _T_743; // @[el2_dec_decode_ctl.scala 687:39] - wire _T_754 = ~io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 695:42] - wire i0_wen_r = r_d_in_i0v & _T_754; // @[el2_dec_decode_ctl.scala 695:40] - reg [4:0] r_d_i0rd; // @[el2_lib.scala 524:16] + reg r_d_bits_i0v; // @[el2_lib.scala 524:16] + wire _T_743 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_decode_ctl.scala 687:51] + wire r_d_in_bits_i0v = r_d_bits_i0v & _T_743; // @[el2_dec_decode_ctl.scala 687:49] + wire _T_754 = ~io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 695:47] + wire i0_wen_r = r_d_in_bits_i0v & _T_754; // @[el2_dec_decode_ctl.scala 695:45] + reg [4:0] r_d_bits_i0rd; // @[el2_lib.scala 524:16] reg [4:0] cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_103 = r_d_i0rd == cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 334:80] + wire _T_103 = r_d_bits_i0rd == cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] wire _T_104 = i0_wen_r & _T_103; // @[el2_dec_decode_ctl.scala 334:64] reg cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_106 = _T_104 & cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 334:100] + wire _T_106 = _T_104 & cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] wire _T_107 = cam_inv_reset_val_0 | _T_106; // @[el2_dec_decode_ctl.scala 334:44] - wire _GEN_52 = _T_107 ? 1'h0 : cam_0_valid; // @[el2_dec_decode_ctl.scala 334:126] - wire _GEN_55 = _T_107 ? 1'h0 : cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 334:126] + wire _GEN_52 = _T_107 ? 1'h0 : cam_0_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_55 = _T_107 ? 1'h0 : cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] wire _GEN_56 = cam_wen[0] | _GEN_52; // @[el2_dec_decode_ctl.scala 329:28] wire _GEN_57 = cam_wen[0] ? 1'h0 : _GEN_55; // @[el2_dec_decode_ctl.scala 329:28] wire _T_110 = nonblock_load_valid_m_delay & _T_91; // @[el2_dec_decode_ctl.scala 339:44] @@ -1429,13 +1436,13 @@ module el2_dec_decode_ctl( wire _T_118 = io_lsu_nonblock_load_inv_r & _T_117; // @[el2_dec_decode_ctl.scala 321:45] wire cam_inv_reset_val_1 = _T_118 & cam_1_valid; // @[el2_dec_decode_ctl.scala 321:87] reg [4:0] cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_129 = r_d_i0rd == cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 334:80] + wire _T_129 = r_d_bits_i0rd == cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] wire _T_130 = i0_wen_r & _T_129; // @[el2_dec_decode_ctl.scala 334:64] reg cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_132 = _T_130 & cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 334:100] + wire _T_132 = _T_130 & cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] wire _T_133 = cam_inv_reset_val_1 | _T_132; // @[el2_dec_decode_ctl.scala 334:44] - wire _GEN_63 = _T_133 ? 1'h0 : cam_1_valid; // @[el2_dec_decode_ctl.scala 334:126] - wire _GEN_66 = _T_133 ? 1'h0 : cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 334:126] + wire _GEN_63 = _T_133 ? 1'h0 : cam_1_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_66 = _T_133 ? 1'h0 : cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] wire _GEN_67 = cam_wen[1] | _GEN_63; // @[el2_dec_decode_ctl.scala 329:28] wire _GEN_68 = cam_wen[1] ? 1'h0 : _GEN_66; // @[el2_dec_decode_ctl.scala 329:28] wire _T_136 = nonblock_load_valid_m_delay & _T_117; // @[el2_dec_decode_ctl.scala 339:44] @@ -1445,13 +1452,13 @@ module el2_dec_decode_ctl( wire _T_144 = io_lsu_nonblock_load_inv_r & _T_143; // @[el2_dec_decode_ctl.scala 321:45] wire cam_inv_reset_val_2 = _T_144 & cam_2_valid; // @[el2_dec_decode_ctl.scala 321:87] reg [4:0] cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_155 = r_d_i0rd == cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 334:80] + wire _T_155 = r_d_bits_i0rd == cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] wire _T_156 = i0_wen_r & _T_155; // @[el2_dec_decode_ctl.scala 334:64] reg cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_158 = _T_156 & cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 334:100] + wire _T_158 = _T_156 & cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] wire _T_159 = cam_inv_reset_val_2 | _T_158; // @[el2_dec_decode_ctl.scala 334:44] - wire _GEN_74 = _T_159 ? 1'h0 : cam_2_valid; // @[el2_dec_decode_ctl.scala 334:126] - wire _GEN_77 = _T_159 ? 1'h0 : cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 334:126] + wire _GEN_74 = _T_159 ? 1'h0 : cam_2_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_77 = _T_159 ? 1'h0 : cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] wire _GEN_78 = cam_wen[2] | _GEN_74; // @[el2_dec_decode_ctl.scala 329:28] wire _GEN_79 = cam_wen[2] ? 1'h0 : _GEN_77; // @[el2_dec_decode_ctl.scala 329:28] wire _T_162 = nonblock_load_valid_m_delay & _T_143; // @[el2_dec_decode_ctl.scala 339:44] @@ -1461,20 +1468,20 @@ module el2_dec_decode_ctl( wire _T_170 = io_lsu_nonblock_load_inv_r & _T_169; // @[el2_dec_decode_ctl.scala 321:45] wire cam_inv_reset_val_3 = _T_170 & cam_3_valid; // @[el2_dec_decode_ctl.scala 321:87] reg [4:0] cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_181 = r_d_i0rd == cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 334:80] + wire _T_181 = r_d_bits_i0rd == cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] wire _T_182 = i0_wen_r & _T_181; // @[el2_dec_decode_ctl.scala 334:64] reg cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_184 = _T_182 & cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 334:100] + wire _T_184 = _T_182 & cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] wire _T_185 = cam_inv_reset_val_3 | _T_184; // @[el2_dec_decode_ctl.scala 334:44] - wire _GEN_85 = _T_185 ? 1'h0 : cam_3_valid; // @[el2_dec_decode_ctl.scala 334:126] - wire _GEN_88 = _T_185 ? 1'h0 : cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 334:126] + wire _GEN_85 = _T_185 ? 1'h0 : cam_3_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_88 = _T_185 ? 1'h0 : cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] wire _GEN_89 = cam_wen[3] | _GEN_85; // @[el2_dec_decode_ctl.scala 329:28] wire _GEN_90 = cam_wen[3] ? 1'h0 : _GEN_88; // @[el2_dec_decode_ctl.scala 329:28] wire _T_188 = nonblock_load_valid_m_delay & _T_169; // @[el2_dec_decode_ctl.scala 339:44] wire _T_190 = _T_188 & cam_3_valid; // @[el2_dec_decode_ctl.scala 339:100] wire nonblock_load_write_3 = _T_172 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 348:71] - wire _T_195 = r_d_i0rd == io_dec_nonblock_load_waddr; // @[el2_dec_decode_ctl.scala 353:44] - wire nonblock_load_cancel = _T_195 & i0_wen_r; // @[el2_dec_decode_ctl.scala 353:76] + wire _T_195 = r_d_bits_i0rd == io_dec_nonblock_load_waddr; // @[el2_dec_decode_ctl.scala 353:49] + wire nonblock_load_cancel = _T_195 & i0_wen_r; // @[el2_dec_decode_ctl.scala 353:81] wire _T_196 = nonblock_load_write_0 | nonblock_load_write_1; // @[el2_dec_decode_ctl.scala 354:95] wire _T_197 = _T_196 | nonblock_load_write_2; // @[el2_dec_decode_ctl.scala 354:95] wire _T_198 = _T_197 | nonblock_load_write_3; // @[el2_dec_decode_ctl.scala 354:95] @@ -1561,13 +1568,13 @@ module el2_dec_decode_ctl( reg _T_339; // @[el2_dec_decode_ctl.scala 432:58] wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 574:40] wire _T_902 = i0_dp_load | i0_dp_store; // @[el2_dec_decode_ctl.scala 788:43] - reg x_d_i0v; // @[el2_lib.scala 524:16] - wire _T_876 = io_dec_i0_rs1_en_d & x_d_i0v; // @[el2_dec_decode_ctl.scala 768:48] - wire _T_877 = x_d_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 768:70] - wire i0_rs1_depend_i0_x = _T_876 & _T_877; // @[el2_dec_decode_ctl.scala 768:58] - wire _T_878 = io_dec_i0_rs1_en_d & r_d_i0v; // @[el2_dec_decode_ctl.scala 769:48] - wire _T_879 = r_d_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 769:70] - wire i0_rs1_depend_i0_r = _T_878 & _T_879; // @[el2_dec_decode_ctl.scala 769:58] + reg x_d_bits_i0v; // @[el2_lib.scala 524:16] + wire _T_876 = io_dec_i0_rs1_en_d & x_d_bits_i0v; // @[el2_dec_decode_ctl.scala 768:48] + wire _T_877 = x_d_bits_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 768:80] + wire i0_rs1_depend_i0_x = _T_876 & _T_877; // @[el2_dec_decode_ctl.scala 768:63] + wire _T_878 = io_dec_i0_rs1_en_d & r_d_bits_i0v; // @[el2_dec_decode_ctl.scala 769:48] + wire _T_879 = r_d_bits_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 769:80] + wire i0_rs1_depend_i0_r = _T_878 & _T_879; // @[el2_dec_decode_ctl.scala 769:63] wire [1:0] _T_891 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 775:63] wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_891; // @[el2_dec_decode_ctl.scala 775:24] wire _T_904 = _T_902 & i0_rs1_depth_d[0]; // @[el2_dec_decode_ctl.scala 788:58] @@ -1576,12 +1583,12 @@ module el2_dec_decode_ctl( wire _T_887_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 774:61] wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_887_load; // @[el2_dec_decode_ctl.scala 774:24] wire load_ldst_bypass_d = _T_904 & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 788:78] - wire _T_880 = io_dec_i0_rs2_en_d & x_d_i0v; // @[el2_dec_decode_ctl.scala 771:48] - wire _T_881 = x_d_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 771:70] - wire i0_rs2_depend_i0_x = _T_880 & _T_881; // @[el2_dec_decode_ctl.scala 771:58] - wire _T_882 = io_dec_i0_rs2_en_d & r_d_i0v; // @[el2_dec_decode_ctl.scala 772:48] - wire _T_883 = r_d_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 772:70] - wire i0_rs2_depend_i0_r = _T_882 & _T_883; // @[el2_dec_decode_ctl.scala 772:58] + wire _T_880 = io_dec_i0_rs2_en_d & x_d_bits_i0v; // @[el2_dec_decode_ctl.scala 771:48] + wire _T_881 = x_d_bits_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 771:80] + wire i0_rs2_depend_i0_x = _T_880 & _T_881; // @[el2_dec_decode_ctl.scala 771:63] + wire _T_882 = io_dec_i0_rs2_en_d & r_d_bits_i0v; // @[el2_dec_decode_ctl.scala 772:48] + wire _T_883 = r_d_bits_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 772:80] + wire i0_rs2_depend_i0_r = _T_882 & _T_883; // @[el2_dec_decode_ctl.scala 772:63] wire [1:0] _T_900 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 777:63] wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_900; // @[el2_dec_decode_ctl.scala 777:24] wire _T_907 = i0_dp_store & i0_rs2_depth_d[0]; // @[el2_dec_decode_ctl.scala 789:43] @@ -1589,16 +1596,16 @@ module el2_dec_decode_ctl( wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_896_load; // @[el2_dec_decode_ctl.scala 776:24] wire store_data_bypass_d = _T_907 & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 789:63] wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[el2_dec_decode_ctl.scala 463:42] - reg r_d_csrwen; // @[el2_lib.scala 524:16] - reg r_d_i0valid; // @[el2_lib.scala 524:16] - wire _T_352 = r_d_csrwen & r_d_i0valid; // @[el2_dec_decode_ctl.scala 471:34] - reg [11:0] r_d_csrwaddr; // @[el2_lib.scala 524:16] - wire _T_355 = r_d_csrwaddr == 12'h300; // @[el2_dec_decode_ctl.scala 474:45] - wire _T_356 = r_d_csrwaddr == 12'h304; // @[el2_dec_decode_ctl.scala 474:75] - wire _T_357 = _T_355 | _T_356; // @[el2_dec_decode_ctl.scala 474:59] - wire _T_358 = _T_357 & r_d_csrwen; // @[el2_dec_decode_ctl.scala 474:90] - wire _T_359 = _T_358 & r_d_i0valid; // @[el2_dec_decode_ctl.scala 474:103] - wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec_decode_ctl.scala 474:119] + reg r_d_bits_csrwen; // @[el2_lib.scala 524:16] + reg r_d_valid; // @[el2_lib.scala 524:16] + wire _T_352 = r_d_bits_csrwen & r_d_valid; // @[el2_dec_decode_ctl.scala 471:39] + reg [11:0] r_d_bits_csrwaddr; // @[el2_lib.scala 524:16] + wire _T_355 = r_d_bits_csrwaddr == 12'h300; // @[el2_dec_decode_ctl.scala 474:50] + wire _T_356 = r_d_bits_csrwaddr == 12'h304; // @[el2_dec_decode_ctl.scala 474:85] + wire _T_357 = _T_355 | _T_356; // @[el2_dec_decode_ctl.scala 474:64] + wire _T_358 = _T_357 & r_d_bits_csrwen; // @[el2_dec_decode_ctl.scala 474:100] + wire _T_359 = _T_358 & r_d_valid; // @[el2_dec_decode_ctl.scala 474:118] + wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec_decode_ctl.scala 474:132] reg csr_read_x; // @[el2_dec_decode_ctl.scala 476:52] reg csr_clr_x; // @[el2_dec_decode_ctl.scala 477:51] reg csr_set_x; // @[el2_dec_decode_ctl.scala 478:51] @@ -1628,14 +1635,14 @@ module el2_dec_decode_ctl( wire _T_426 = _T_425 | csr_write_x; // @[el2_dec_decode_ctl.scala 507:46] wire _T_427 = _T_426 & csr_read_x; // @[el2_dec_decode_ctl.scala 507:61] wire _T_428 = _T_427 | io_dec_tlu_wr_pause_r; // @[el2_dec_decode_ctl.scala 507:75] - reg r_d_csrwonly; // @[el2_lib.scala 524:16] - wire _T_764 = r_d_i0v & r_d_i0load; // @[el2_dec_decode_ctl.scala 710:37] + reg r_d_bits_csrwonly; // @[el2_lib.scala 524:16] + wire _T_764 = r_d_bits_i0v & r_d_bits_i0load; // @[el2_dec_decode_ctl.scala 710:42] reg [31:0] i0_result_r_raw; // @[el2_lib.scala 514:16] wire [31:0] i0_result_corr_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 710:27] - reg x_d_csrwonly; // @[el2_lib.scala 524:16] - wire _T_432 = x_d_csrwonly | r_d_csrwonly; // @[el2_dec_decode_ctl.scala 516:38] - reg wbd_csrwonly; // @[el2_lib.scala 524:16] - wire prior_csr_write = _T_432 | wbd_csrwonly; // @[el2_dec_decode_ctl.scala 516:53] + reg x_d_bits_csrwonly; // @[el2_lib.scala 524:16] + wire _T_432 = x_d_bits_csrwonly | r_d_bits_csrwonly; // @[el2_dec_decode_ctl.scala 516:43] + reg wbd_bits_csrwonly; // @[el2_lib.scala 524:16] + wire prior_csr_write = _T_432 | wbd_bits_csrwonly; // @[el2_dec_decode_ctl.scala 516:63] wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_cmd_wrdata[1]; // @[el2_dec_decode_ctl.scala 519:48] wire debug_fence = debug_fence_raw | debug_fence_i; // @[el2_dec_decode_ctl.scala 520:40] wire _T_436 = i0_dp_presync | io_dec_tlu_presync_d; // @[el2_dec_decode_ctl.scala 523:34] @@ -1652,8 +1659,8 @@ module el2_dec_decode_ctl( wire _T_473 = _T_472 | leak1_i0_stall; // @[el2_dec_decode_ctl.scala 541:95] wire _T_474 = _T_473 | io_dec_tlu_debug_stall; // @[el2_dec_decode_ctl.scala 542:20] wire _T_475 = _T_474 | postsync_stall; // @[el2_dec_decode_ctl.scala 542:45] - wire prior_inflight = x_d_i0valid | r_d_i0valid; // @[el2_dec_decode_ctl.scala 564:41] - wire prior_inflight_eff = i0_dp_div ? x_d_i0valid : prior_inflight; // @[el2_dec_decode_ctl.scala 565:31] + wire prior_inflight = x_d_valid | r_d_valid; // @[el2_dec_decode_ctl.scala 564:41] + wire prior_inflight_eff = i0_dp_div ? x_d_valid : prior_inflight; // @[el2_dec_decode_ctl.scala 565:31] wire presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 567:37] wire _T_476 = _T_475 | presync_stall; // @[el2_dec_decode_ctl.scala 542:62] wire _T_477 = i0_dp_fence | debug_fence; // @[el2_dec_decode_ctl.scala 543:19] @@ -1709,13 +1716,13 @@ module el2_dec_decode_ctl( reg r_t_pmu_i0_br_unpred; // @[el2_lib.scala 524:16] reg [3:0] lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 602:36] reg lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 603:37] - reg r_d_i0store; // @[el2_lib.scala 524:16] - wire _T_536 = r_d_i0load | r_d_i0store; // @[el2_dec_decode_ctl.scala 607:56] + reg r_d_bits_i0store; // @[el2_lib.scala 524:16] + wire _T_536 = r_d_bits_i0load | r_d_bits_i0store; // @[el2_dec_decode_ctl.scala 607:61] wire [3:0] _T_540 = {_T_536,_T_536,_T_536,_T_536}; // @[Cat.scala 29:58] - wire [3:0] _T_541 = _T_540 & lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 607:72] - wire [3:0] _T_542 = _T_541 | r_t_i0trigger; // @[el2_dec_decode_ctl.scala 607:95] - reg r_d_i0div; // @[el2_lib.scala 524:16] - wire _T_545 = r_d_i0div & r_d_i0valid; // @[el2_dec_decode_ctl.scala 613:53] + wire [3:0] _T_541 = _T_540 & lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 607:82] + wire [3:0] _T_542 = _T_541 | r_t_i0trigger; // @[el2_dec_decode_ctl.scala 607:105] + reg r_d_bits_i0div; // @[el2_lib.scala 524:16] + wire _T_545 = r_d_bits_i0div & r_d_valid; // @[el2_dec_decode_ctl.scala 613:58] wire _T_556 = i0r_rs1 != 5'h0; // @[el2_dec_decode_ctl.scala 624:49] wire _T_558 = i0r_rs2 != 5'h0; // @[el2_dec_decode_ctl.scala 625:49] wire _T_560 = i0r_rd != 5'h0; // @[el2_dec_decode_ctl.scala 626:48] @@ -1751,34 +1758,34 @@ module el2_dec_decode_ctl( reg i0_r_c_alu; // @[Reg.scala 15:16] wire _T_710 = |i0_pipe_en[1:0]; // @[el2_dec_decode_ctl.scala 656:49] wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[el2_dec_decode_ctl.scala 658:50] - reg x_d_i0store; // @[el2_lib.scala 524:16] - reg x_d_i0div; // @[el2_lib.scala 524:16] - reg x_d_csrwen; // @[el2_lib.scala 524:16] - reg [11:0] x_d_csrwaddr; // @[el2_lib.scala 524:16] - wire _T_733 = x_d_i0v & _T_743; // @[el2_dec_decode_ctl.scala 680:37] - wire _T_737 = x_d_i0valid & _T_743; // @[el2_dec_decode_ctl.scala 681:37] - wire _T_756 = ~r_d_i0div; // @[el2_dec_decode_ctl.scala 696:49] + reg x_d_bits_i0store; // @[el2_lib.scala 524:16] + reg x_d_bits_i0div; // @[el2_lib.scala 524:16] + reg x_d_bits_csrwen; // @[el2_lib.scala 524:16] + reg [11:0] x_d_bits_csrwaddr; // @[el2_lib.scala 524:16] + wire _T_733 = x_d_bits_i0v & _T_743; // @[el2_dec_decode_ctl.scala 680:47] + wire _T_737 = x_d_valid & _T_743; // @[el2_dec_decode_ctl.scala 681:33] + wire _T_756 = ~r_d_bits_i0div; // @[el2_dec_decode_ctl.scala 696:49] wire _T_757 = i0_wen_r & _T_756; // @[el2_dec_decode_ctl.scala 696:47] - wire _T_758 = ~i0_load_kill_wen_r; // @[el2_dec_decode_ctl.scala 696:65] - wire _T_761 = x_d_i0v & x_d_i0load; // @[el2_dec_decode_ctl.scala 705:42] + wire _T_758 = ~i0_load_kill_wen_r; // @[el2_dec_decode_ctl.scala 696:70] + wire _T_761 = x_d_bits_i0v & x_d_bits_i0load; // @[el2_dec_decode_ctl.scala 705:47] wire _T_768 = io_i0_ap_predict_nt & _T_561; // @[el2_dec_decode_ctl.scala 711:52] wire [11:0] _T_781 = {10'h0,io_dec_i0_pc4_d,i0_ap_pc2}; // @[Cat.scala 29:58] reg [11:0] last_br_immed_x; // @[el2_lib.scala 514:16] - wire _T_799 = x_d_i0div & x_d_i0valid; // @[el2_dec_decode_ctl.scala 719:40] - wire div_e1_to_r = _T_799 | _T_545; // @[el2_dec_decode_ctl.scala 719:55] - wire _T_802 = x_d_i0rd == 5'h0; // @[el2_dec_decode_ctl.scala 721:69] - wire _T_803 = _T_799 & _T_802; // @[el2_dec_decode_ctl.scala 721:57] - wire _T_805 = _T_799 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 722:30] - wire _T_806 = _T_803 | _T_805; // @[el2_dec_decode_ctl.scala 721:86] - wire _T_808 = _T_545 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 723:30] - wire _T_809 = _T_808 & io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 723:57] - wire div_flush = _T_806 | _T_809; // @[el2_dec_decode_ctl.scala 722:59] + wire _T_799 = x_d_bits_i0div & x_d_valid; // @[el2_dec_decode_ctl.scala 719:45] + wire div_e1_to_r = _T_799 | _T_545; // @[el2_dec_decode_ctl.scala 719:58] + wire _T_802 = x_d_bits_i0rd == 5'h0; // @[el2_dec_decode_ctl.scala 721:77] + wire _T_803 = _T_799 & _T_802; // @[el2_dec_decode_ctl.scala 721:60] + wire _T_805 = _T_799 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 722:33] + wire _T_806 = _T_803 | _T_805; // @[el2_dec_decode_ctl.scala 721:94] + wire _T_808 = _T_545 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 723:33] + wire _T_809 = _T_808 & io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 723:60] + wire div_flush = _T_806 | _T_809; // @[el2_dec_decode_ctl.scala 722:62] wire _T_810 = io_dec_div_active & div_flush; // @[el2_dec_decode_ctl.scala 727:51] wire _T_811 = ~div_e1_to_r; // @[el2_dec_decode_ctl.scala 728:26] wire _T_812 = io_dec_div_active & _T_811; // @[el2_dec_decode_ctl.scala 728:24] - wire _T_813 = r_d_i0rd == io_div_waddr_wb; // @[el2_dec_decode_ctl.scala 728:51] + wire _T_813 = r_d_bits_i0rd == io_div_waddr_wb; // @[el2_dec_decode_ctl.scala 728:56] wire _T_814 = _T_812 & _T_813; // @[el2_dec_decode_ctl.scala 728:39] - wire _T_815 = _T_814 & i0_wen_r; // @[el2_dec_decode_ctl.scala 728:72] + wire _T_815 = _T_814 & i0_wen_r; // @[el2_dec_decode_ctl.scala 728:77] wire nonblock_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 727:65] wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 731:55] wire _T_817 = ~io_exu_div_wren; // @[el2_dec_decode_ctl.scala 733:62] @@ -2077,7 +2084,7 @@ module el2_dec_decode_ctl( assign io_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[el2_dec_decode_ctl.scala 572:26] assign io_dec_i0_rs1_bypass_data_d = _T_967 | _T_966; // @[el2_dec_decode_ctl.scala 807:31] assign io_dec_i0_rs2_bypass_data_d = _T_984 | _T_983; // @[el2_dec_decode_ctl.scala 812:31] - assign io_dec_i0_waddr_r = r_d_i0rd; // @[el2_dec_decode_ctl.scala 694:27] + assign io_dec_i0_waddr_r = r_d_bits_i0rd; // @[el2_dec_decode_ctl.scala 694:27] assign io_dec_i0_wen_r = _T_757 & _T_758; // @[el2_dec_decode_ctl.scala 696:32] assign io_dec_i0_wdata_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 697:26] assign io_dec_i0_select_pc_d = _T_41 ? 1'h0 : i0_dp_raw_pc; // @[el2_dec_decode_ctl.scala 271:25] @@ -2127,10 +2134,10 @@ module el2_dec_decode_ctl( assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 529:24] assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[el2_dec_decode_ctl.scala 466:24] assign io_dec_csr_wen_r = _T_352 & _T_754; // @[el2_dec_decode_ctl.scala 471:20] - assign io_dec_csr_wraddr_r = r_d_csrwaddr; // @[el2_dec_decode_ctl.scala 467:23] - assign io_dec_csr_wrdata_r = r_d_csrwonly ? i0_result_corr_r : write_csr_data; // @[el2_dec_decode_ctl.scala 514:24] + assign io_dec_csr_wraddr_r = r_d_bits_csrwaddr; // @[el2_dec_decode_ctl.scala 467:23] + assign io_dec_csr_wrdata_r = r_d_bits_csrwonly ? i0_result_corr_r : write_csr_data; // @[el2_dec_decode_ctl.scala 514:24] assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[el2_dec_decode_ctl.scala 474:27] - assign io_dec_tlu_i0_valid_r = r_d_i0valid & _T_743; // @[el2_dec_decode_ctl.scala 578:29] + assign io_dec_tlu_i0_valid_r = r_d_valid & _T_743; // @[el2_dec_decode_ctl.scala 578:29] assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[el2_dec_decode_ctl.scala 612:39] assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[el2_dec_decode_ctl.scala 612:39] assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[el2_dec_decode_ctl.scala 612:39] @@ -2139,7 +2146,7 @@ module el2_dec_decode_ctl( assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_542; // @[el2_dec_decode_ctl.scala 612:39] assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[el2_dec_decode_ctl.scala 612:39] assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[el2_dec_decode_ctl.scala 612:39] - assign io_dec_tlu_packet_r_pmu_divide = r_d_i0div & r_d_i0valid; // @[el2_dec_decode_ctl.scala 612:39 el2_dec_decode_ctl.scala 613:39] + assign io_dec_tlu_packet_r_pmu_divide = r_d_bits_i0div & r_d_valid; // @[el2_dec_decode_ctl.scala 612:39 el2_dec_decode_ctl.scala 613:39] assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 612:39] assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[el2_dec_decode_ctl.scala 759:27] assign io_dec_illegal_inst = _T_465; // @[el2_dec_decode_ctl.scala 536:23] @@ -2283,7 +2290,7 @@ initial begin _RAND_6 = {1{`RANDOM}}; postsync_stall = _RAND_6[0:0]; _RAND_7 = {1{`RANDOM}}; - x_d_i0valid = _RAND_7[0:0]; + x_d_valid = _RAND_7[0:0]; _RAND_8 = {1{`RANDOM}}; flush_final_r = _RAND_8[0:0]; _RAND_9 = {1{`RANDOM}}; @@ -2305,19 +2312,19 @@ initial begin _RAND_17 = {1{`RANDOM}}; cam_raw_3_valid = _RAND_17[0:0]; _RAND_18 = {1{`RANDOM}}; - x_d_i0load = _RAND_18[0:0]; + x_d_bits_i0load = _RAND_18[0:0]; _RAND_19 = {1{`RANDOM}}; - x_d_i0rd = _RAND_19[4:0]; + x_d_bits_i0rd = _RAND_19[4:0]; _RAND_20 = {1{`RANDOM}}; _T_701 = _RAND_20[2:0]; _RAND_21 = {1{`RANDOM}}; nonblock_load_valid_m_delay = _RAND_21[0:0]; _RAND_22 = {1{`RANDOM}}; - r_d_i0load = _RAND_22[0:0]; + r_d_bits_i0load = _RAND_22[0:0]; _RAND_23 = {1{`RANDOM}}; - r_d_i0v = _RAND_23[0:0]; + r_d_bits_i0v = _RAND_23[0:0]; _RAND_24 = {1{`RANDOM}}; - r_d_i0rd = _RAND_24[4:0]; + r_d_bits_i0rd = _RAND_24[4:0]; _RAND_25 = {1{`RANDOM}}; cam_raw_0_bits_rd = _RAND_25[4:0]; _RAND_26 = {1{`RANDOM}}; @@ -2339,17 +2346,17 @@ initial begin _RAND_34 = {1{`RANDOM}}; _T_339 = _RAND_34[0:0]; _RAND_35 = {1{`RANDOM}}; - x_d_i0v = _RAND_35[0:0]; + x_d_bits_i0v = _RAND_35[0:0]; _RAND_36 = {1{`RANDOM}}; i0_x_c_load = _RAND_36[0:0]; _RAND_37 = {1{`RANDOM}}; i0_r_c_load = _RAND_37[0:0]; _RAND_38 = {1{`RANDOM}}; - r_d_csrwen = _RAND_38[0:0]; + r_d_bits_csrwen = _RAND_38[0:0]; _RAND_39 = {1{`RANDOM}}; - r_d_i0valid = _RAND_39[0:0]; + r_d_valid = _RAND_39[0:0]; _RAND_40 = {1{`RANDOM}}; - r_d_csrwaddr = _RAND_40[11:0]; + r_d_bits_csrwaddr = _RAND_40[11:0]; _RAND_41 = {1{`RANDOM}}; csr_read_x = _RAND_41[0:0]; _RAND_42 = {1{`RANDOM}}; @@ -2365,13 +2372,13 @@ initial begin _RAND_47 = {1{`RANDOM}}; csr_rddata_x = _RAND_47[31:0]; _RAND_48 = {1{`RANDOM}}; - r_d_csrwonly = _RAND_48[0:0]; + r_d_bits_csrwonly = _RAND_48[0:0]; _RAND_49 = {1{`RANDOM}}; i0_result_r_raw = _RAND_49[31:0]; _RAND_50 = {1{`RANDOM}}; - x_d_csrwonly = _RAND_50[0:0]; + x_d_bits_csrwonly = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - wbd_csrwonly = _RAND_51[0:0]; + wbd_bits_csrwonly = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; _T_465 = _RAND_52[31:0]; _RAND_53 = {1{`RANDOM}}; @@ -2411,9 +2418,9 @@ initial begin _RAND_70 = {1{`RANDOM}}; lsu_pmu_misaligned_r = _RAND_70[0:0]; _RAND_71 = {1{`RANDOM}}; - r_d_i0store = _RAND_71[0:0]; + r_d_bits_i0store = _RAND_71[0:0]; _RAND_72 = {1{`RANDOM}}; - r_d_i0div = _RAND_72[0:0]; + r_d_bits_i0div = _RAND_72[0:0]; _RAND_73 = {1{`RANDOM}}; i0_x_c_mul = _RAND_73[0:0]; _RAND_74 = {1{`RANDOM}}; @@ -2423,13 +2430,13 @@ initial begin _RAND_76 = {1{`RANDOM}}; i0_r_c_alu = _RAND_76[0:0]; _RAND_77 = {1{`RANDOM}}; - x_d_i0store = _RAND_77[0:0]; + x_d_bits_i0store = _RAND_77[0:0]; _RAND_78 = {1{`RANDOM}}; - x_d_i0div = _RAND_78[0:0]; + x_d_bits_i0div = _RAND_78[0:0]; _RAND_79 = {1{`RANDOM}}; - x_d_csrwen = _RAND_79[0:0]; + x_d_bits_csrwen = _RAND_79[0:0]; _RAND_80 = {1{`RANDOM}}; - x_d_csrwaddr = _RAND_80[11:0]; + x_d_bits_csrwaddr = _RAND_80[11:0]; _RAND_81 = {1{`RANDOM}}; last_br_immed_x = _RAND_81[11:0]; _RAND_82 = {1{`RANDOM}}; @@ -2473,7 +2480,7 @@ initial begin postsync_stall = 1'h0; end if (reset) begin - x_d_i0valid = 1'h0; + x_d_valid = 1'h0; end if (reset) begin flush_final_r = 1'h0; @@ -2506,10 +2513,10 @@ initial begin cam_raw_3_valid = 1'h0; end if (reset) begin - x_d_i0load = 1'h0; + x_d_bits_i0load = 1'h0; end if (reset) begin - x_d_i0rd = 5'h0; + x_d_bits_i0rd = 5'h0; end if (reset) begin _T_701 = 3'h0; @@ -2518,13 +2525,13 @@ initial begin nonblock_load_valid_m_delay = 1'h0; end if (reset) begin - r_d_i0load = 1'h0; + r_d_bits_i0load = 1'h0; end if (reset) begin - r_d_i0v = 1'h0; + r_d_bits_i0v = 1'h0; end if (reset) begin - r_d_i0rd = 5'h0; + r_d_bits_i0rd = 5'h0; end if (reset) begin cam_raw_0_bits_rd = 5'h0; @@ -2557,16 +2564,16 @@ initial begin _T_339 = 1'h0; end if (reset) begin - x_d_i0v = 1'h0; + x_d_bits_i0v = 1'h0; end if (reset) begin - r_d_csrwen = 1'h0; + r_d_bits_csrwen = 1'h0; end if (reset) begin - r_d_i0valid = 1'h0; + r_d_valid = 1'h0; end if (reset) begin - r_d_csrwaddr = 12'h0; + r_d_bits_csrwaddr = 12'h0; end if (reset) begin csr_read_x = 1'h0; @@ -2590,16 +2597,16 @@ initial begin csr_rddata_x = 32'h0; end if (reset) begin - r_d_csrwonly = 1'h0; + r_d_bits_csrwonly = 1'h0; end if (reset) begin i0_result_r_raw = 32'h0; end if (reset) begin - x_d_csrwonly = 1'h0; + x_d_bits_csrwonly = 1'h0; end if (reset) begin - wbd_csrwonly = 1'h0; + wbd_bits_csrwonly = 1'h0; end if (reset) begin _T_465 = 32'h0; @@ -2659,22 +2666,22 @@ initial begin lsu_pmu_misaligned_r = 1'h0; end if (reset) begin - r_d_i0store = 1'h0; + r_d_bits_i0store = 1'h0; end if (reset) begin - r_d_i0div = 1'h0; + r_d_bits_i0div = 1'h0; end if (reset) begin - x_d_i0store = 1'h0; + x_d_bits_i0store = 1'h0; end if (reset) begin - x_d_i0div = 1'h0; + x_d_bits_i0div = 1'h0; end if (reset) begin - x_d_csrwen = 1'h0; + x_d_bits_csrwen = 1'h0; end if (reset) begin - x_d_csrwaddr = 12'h0; + x_d_bits_csrwaddr = 12'h0; end if (reset) begin last_br_immed_x = 12'h0; @@ -2787,9 +2794,9 @@ end // initial end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_i0valid <= 1'h0; + x_d_valid <= 1'h0; end else begin - x_d_i0valid <= io_dec_i0_decode_d; + x_d_valid <= io_dec_i0_decode_d; end end always @(posedge rvclkhdr_io_l1clk or posedge reset) begin @@ -2880,16 +2887,16 @@ end // initial end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_i0load <= 1'h0; + x_d_bits_i0load <= 1'h0; end else begin - x_d_i0load <= i0_dp_load & i0_legal_decode_d; + x_d_bits_i0load <= i0_dp_load & i0_legal_decode_d; end end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_i0rd <= 5'h0; + x_d_bits_i0rd <= 5'h0; end else begin - x_d_i0rd <= io_dec_i0_instr_d[11:7]; + x_d_bits_i0rd <= io_dec_i0_instr_d[11:7]; end end always @(posedge io_active_clk or posedge reset) begin @@ -2908,31 +2915,31 @@ end // initial end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_i0load <= 1'h0; + r_d_bits_i0load <= 1'h0; end else begin - r_d_i0load <= x_d_i0load; + r_d_bits_i0load <= x_d_bits_i0load; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_i0v <= 1'h0; + r_d_bits_i0v <= 1'h0; end else begin - r_d_i0v <= _T_733 & _T_280; + r_d_bits_i0v <= _T_733 & _T_280; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_i0rd <= 5'h0; + r_d_bits_i0rd <= 5'h0; end else begin - r_d_i0rd <= x_d_i0rd; + r_d_bits_i0rd <= x_d_bits_i0rd; end end always @(posedge io_free_clk or posedge reset) begin if (reset) begin cam_raw_0_bits_rd <= 5'h0; end else if (cam_wen[0]) begin - if (x_d_i0load) begin - cam_raw_0_bits_rd <= x_d_i0rd; + if (x_d_bits_i0load) begin + cam_raw_0_bits_rd <= x_d_bits_i0rd; end else begin cam_raw_0_bits_rd <= 5'h0; end @@ -2951,8 +2958,8 @@ end // initial if (reset) begin cam_raw_1_bits_rd <= 5'h0; end else if (cam_wen[1]) begin - if (x_d_i0load) begin - cam_raw_1_bits_rd <= x_d_i0rd; + if (x_d_bits_i0load) begin + cam_raw_1_bits_rd <= x_d_bits_i0rd; end else begin cam_raw_1_bits_rd <= 5'h0; end @@ -2971,8 +2978,8 @@ end // initial if (reset) begin cam_raw_2_bits_rd <= 5'h0; end else if (cam_wen[2]) begin - if (x_d_i0load) begin - cam_raw_2_bits_rd <= x_d_i0rd; + if (x_d_bits_i0load) begin + cam_raw_2_bits_rd <= x_d_bits_i0rd; end else begin cam_raw_2_bits_rd <= 5'h0; end @@ -2991,8 +2998,8 @@ end // initial if (reset) begin cam_raw_3_bits_rd <= 5'h0; end else if (cam_wen[3]) begin - if (x_d_i0load) begin - cam_raw_3_bits_rd <= x_d_i0rd; + if (x_d_bits_i0load) begin + cam_raw_3_bits_rd <= x_d_bits_i0rd; end else begin cam_raw_3_bits_rd <= 5'h0; end @@ -3023,30 +3030,30 @@ end // initial end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_i0v <= 1'h0; + x_d_bits_i0v <= 1'h0; end else begin - x_d_i0v <= i0_rd_en_d & i0_legal_decode_d; + x_d_bits_i0v <= i0_rd_en_d & i0_legal_decode_d; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_csrwen <= 1'h0; + r_d_bits_csrwen <= 1'h0; end else begin - r_d_csrwen <= x_d_csrwen; + r_d_bits_csrwen <= x_d_bits_csrwen; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_i0valid <= 1'h0; + r_d_valid <= 1'h0; end else begin - r_d_i0valid <= _T_737 & _T_280; + r_d_valid <= _T_737 & _T_280; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_csrwaddr <= 12'h0; + r_d_bits_csrwaddr <= 12'h0; end else begin - r_d_csrwaddr <= x_d_csrwaddr; + r_d_bits_csrwaddr <= x_d_bits_csrwaddr; end end always @(posedge io_active_clk or posedge reset) begin @@ -3102,9 +3109,9 @@ end // initial end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_csrwonly <= 1'h0; + r_d_bits_csrwonly <= 1'h0; end else begin - r_d_csrwonly <= x_d_csrwonly; + r_d_bits_csrwonly <= x_d_bits_csrwonly; end end always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin @@ -3118,16 +3125,16 @@ end // initial end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_csrwonly <= 1'h0; + x_d_bits_csrwonly <= 1'h0; end else begin - x_d_csrwonly <= i0_csr_write_only_d & io_dec_i0_decode_d; + x_d_bits_csrwonly <= i0_csr_write_only_d & io_dec_i0_decode_d; end end always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin - wbd_csrwonly <= 1'h0; + wbd_bits_csrwonly <= 1'h0; end else begin - wbd_csrwonly <= r_d_csrwonly; + wbd_bits_csrwonly <= r_d_bits_csrwonly; end end always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin @@ -3267,44 +3274,44 @@ end // initial end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_i0store <= 1'h0; + r_d_bits_i0store <= 1'h0; end else begin - r_d_i0store <= x_d_i0store; + r_d_bits_i0store <= x_d_bits_i0store; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_i0div <= 1'h0; + r_d_bits_i0div <= 1'h0; end else begin - r_d_i0div <= x_d_i0div; + r_d_bits_i0div <= x_d_bits_i0div; end end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_i0store <= 1'h0; + x_d_bits_i0store <= 1'h0; end else begin - x_d_i0store <= i0_dp_store & i0_legal_decode_d; + x_d_bits_i0store <= i0_dp_store & i0_legal_decode_d; end end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_i0div <= 1'h0; + x_d_bits_i0div <= 1'h0; end else begin - x_d_i0div <= i0_dp_div & i0_legal_decode_d; + x_d_bits_i0div <= i0_dp_div & i0_legal_decode_d; end end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_csrwen <= 1'h0; + x_d_bits_csrwen <= 1'h0; end else begin - x_d_csrwen <= io_dec_csr_wen_unq_d & i0_legal_decode_d; + x_d_bits_csrwen <= io_dec_csr_wen_unq_d & i0_legal_decode_d; end end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_csrwaddr <= 12'h0; + x_d_bits_csrwaddr <= 12'h0; end else begin - x_d_csrwaddr <= io_dec_i0_instr_d[31:20]; + x_d_bits_csrwaddr <= io_dec_i0_instr_d[31:20]; end end always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin diff --git a/el2_swerv_wrapper.fir b/el2_swerv_wrapper.fir index ee7402c7..e647c908 100644 --- a/el2_swerv_wrapper.fir +++ b/el2_swerv_wrapper.fir @@ -66855,11 +66855,11 @@ circuit el2_swerv_wrapper : wire x_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 133:20] wire r_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 134:17] wire r_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 135:23] - wire d_d : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 136:17] - wire x_d : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 137:17] - wire r_d : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 138:17] - wire r_d_in : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 139:20] - wire wbd : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 140:17] + wire d_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 136:17] + wire x_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 137:17] + wire r_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 138:17] + wire r_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 139:20] + wire wbd : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 140:17] wire i0_d_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 141:20] wire i0_rs1_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 142:28] wire i0_rs2_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 143:28] @@ -67287,14 +67287,14 @@ circuit el2_swerv_wrapper : cam_write <= io.lsu_nonblock_load_valid_m @[el2_dec_decode_ctl.scala 305:25] node cam_write_tag = bits(io.lsu_nonblock_load_tag_m, 1, 0) @[el2_dec_decode_ctl.scala 306:54] node cam_data_reset = or(io.lsu_nonblock_load_data_valid, io.lsu_nonblock_load_data_error) @[el2_dec_decode_ctl.scala 311:63] - node _T_89 = bits(x_d.i0load, 0, 0) @[el2_dec_decode_ctl.scala 314:43] - node nonblock_load_rd = mux(_T_89, x_d.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 314:31] + node _T_89 = bits(x_d.bits.i0load, 0, 0) @[el2_dec_decode_ctl.scala 314:48] + node nonblock_load_rd = mux(_T_89, x_d.bits.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 314:31] node _T_90 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 318:116] reg nonblock_load_valid_m_delay : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_90 : @[Reg.scala 28:19] nonblock_load_valid_m_delay <= io.lsu_nonblock_load_valid_m @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.i0load) @[el2_dec_decode_ctl.scala 319:56] + node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.bits.i0load) @[el2_dec_decode_ctl.scala 319:56] node _T_91 = eq(io.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 321:66] node _T_92 = and(io.lsu_nonblock_load_inv_r, _T_91) @[el2_dec_decode_ctl.scala 321:45] node _T_93 = and(_T_92, cam[0].valid) @[el2_dec_decode_ctl.scala 321:87] @@ -67328,17 +67328,17 @@ circuit el2_swerv_wrapper : cam_in[0].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] cam_in[0].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] skip @[el2_dec_decode_ctl.scala 329:28] - else : @[el2_dec_decode_ctl.scala 334:126] + else : @[el2_dec_decode_ctl.scala 334:131] node _T_101 = bits(cam_inv_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 334:37] node _T_102 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] - node _T_103 = eq(r_d_in.i0rd, cam[0].bits.rd) @[el2_dec_decode_ctl.scala 334:80] + node _T_103 = eq(r_d_in.bits.i0rd, cam[0].bits.rd) @[el2_dec_decode_ctl.scala 334:85] node _T_104 = and(_T_102, _T_103) @[el2_dec_decode_ctl.scala 334:64] - node _T_105 = bits(cam[0].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:118] - node _T_106 = and(_T_104, _T_105) @[el2_dec_decode_ctl.scala 334:100] + node _T_105 = bits(cam[0].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_106 = and(_T_104, _T_105) @[el2_dec_decode_ctl.scala 334:105] node _T_107 = or(_T_101, _T_106) @[el2_dec_decode_ctl.scala 334:44] - when _T_107 : @[el2_dec_decode_ctl.scala 334:126] + when _T_107 : @[el2_dec_decode_ctl.scala 334:131] cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] - skip @[el2_dec_decode_ctl.scala 334:126] + skip @[el2_dec_decode_ctl.scala 334:131] else : @[el2_dec_decode_ctl.scala 336:16] cam_in[0].bits.rd <= cam[0].bits.rd @[el2_dec_decode_ctl.scala 337:22] cam_in[0].bits.tag <= cam[0].bits.tag @[el2_dec_decode_ctl.scala 337:22] @@ -67406,17 +67406,17 @@ circuit el2_swerv_wrapper : cam_in[1].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] cam_in[1].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] skip @[el2_dec_decode_ctl.scala 329:28] - else : @[el2_dec_decode_ctl.scala 334:126] + else : @[el2_dec_decode_ctl.scala 334:131] node _T_127 = bits(cam_inv_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 334:37] node _T_128 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] - node _T_129 = eq(r_d_in.i0rd, cam[1].bits.rd) @[el2_dec_decode_ctl.scala 334:80] + node _T_129 = eq(r_d_in.bits.i0rd, cam[1].bits.rd) @[el2_dec_decode_ctl.scala 334:85] node _T_130 = and(_T_128, _T_129) @[el2_dec_decode_ctl.scala 334:64] - node _T_131 = bits(cam[1].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:118] - node _T_132 = and(_T_130, _T_131) @[el2_dec_decode_ctl.scala 334:100] + node _T_131 = bits(cam[1].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_132 = and(_T_130, _T_131) @[el2_dec_decode_ctl.scala 334:105] node _T_133 = or(_T_127, _T_132) @[el2_dec_decode_ctl.scala 334:44] - when _T_133 : @[el2_dec_decode_ctl.scala 334:126] + when _T_133 : @[el2_dec_decode_ctl.scala 334:131] cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] - skip @[el2_dec_decode_ctl.scala 334:126] + skip @[el2_dec_decode_ctl.scala 334:131] else : @[el2_dec_decode_ctl.scala 336:16] cam_in[1].bits.rd <= cam[1].bits.rd @[el2_dec_decode_ctl.scala 337:22] cam_in[1].bits.tag <= cam[1].bits.tag @[el2_dec_decode_ctl.scala 337:22] @@ -67484,17 +67484,17 @@ circuit el2_swerv_wrapper : cam_in[2].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] cam_in[2].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] skip @[el2_dec_decode_ctl.scala 329:28] - else : @[el2_dec_decode_ctl.scala 334:126] + else : @[el2_dec_decode_ctl.scala 334:131] node _T_153 = bits(cam_inv_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 334:37] node _T_154 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] - node _T_155 = eq(r_d_in.i0rd, cam[2].bits.rd) @[el2_dec_decode_ctl.scala 334:80] + node _T_155 = eq(r_d_in.bits.i0rd, cam[2].bits.rd) @[el2_dec_decode_ctl.scala 334:85] node _T_156 = and(_T_154, _T_155) @[el2_dec_decode_ctl.scala 334:64] - node _T_157 = bits(cam[2].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:118] - node _T_158 = and(_T_156, _T_157) @[el2_dec_decode_ctl.scala 334:100] + node _T_157 = bits(cam[2].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_158 = and(_T_156, _T_157) @[el2_dec_decode_ctl.scala 334:105] node _T_159 = or(_T_153, _T_158) @[el2_dec_decode_ctl.scala 334:44] - when _T_159 : @[el2_dec_decode_ctl.scala 334:126] + when _T_159 : @[el2_dec_decode_ctl.scala 334:131] cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] - skip @[el2_dec_decode_ctl.scala 334:126] + skip @[el2_dec_decode_ctl.scala 334:131] else : @[el2_dec_decode_ctl.scala 336:16] cam_in[2].bits.rd <= cam[2].bits.rd @[el2_dec_decode_ctl.scala 337:22] cam_in[2].bits.tag <= cam[2].bits.tag @[el2_dec_decode_ctl.scala 337:22] @@ -67562,17 +67562,17 @@ circuit el2_swerv_wrapper : cam_in[3].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] cam_in[3].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] skip @[el2_dec_decode_ctl.scala 329:28] - else : @[el2_dec_decode_ctl.scala 334:126] + else : @[el2_dec_decode_ctl.scala 334:131] node _T_179 = bits(cam_inv_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 334:37] node _T_180 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] - node _T_181 = eq(r_d_in.i0rd, cam[3].bits.rd) @[el2_dec_decode_ctl.scala 334:80] + node _T_181 = eq(r_d_in.bits.i0rd, cam[3].bits.rd) @[el2_dec_decode_ctl.scala 334:85] node _T_182 = and(_T_180, _T_181) @[el2_dec_decode_ctl.scala 334:64] - node _T_183 = bits(cam[3].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:118] - node _T_184 = and(_T_182, _T_183) @[el2_dec_decode_ctl.scala 334:100] + node _T_183 = bits(cam[3].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_184 = and(_T_182, _T_183) @[el2_dec_decode_ctl.scala 334:105] node _T_185 = or(_T_179, _T_184) @[el2_dec_decode_ctl.scala 334:44] - when _T_185 : @[el2_dec_decode_ctl.scala 334:126] + when _T_185 : @[el2_dec_decode_ctl.scala 334:131] cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] - skip @[el2_dec_decode_ctl.scala 334:126] + skip @[el2_dec_decode_ctl.scala 334:131] else : @[el2_dec_decode_ctl.scala 336:16] cam_in[3].bits.rd <= cam[3].bits.rd @[el2_dec_decode_ctl.scala 337:22] cam_in[3].bits.tag <= cam[3].bits.tag @[el2_dec_decode_ctl.scala 337:22] @@ -67608,8 +67608,8 @@ circuit el2_swerv_wrapper : node _T_194 = and(_T_193, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 348:71] nonblock_load_write[3] <= _T_194 @[el2_dec_decode_ctl.scala 348:28] io.dec_nonblock_load_waddr <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 351:29] - node _T_195 = eq(r_d_in.i0rd, io.dec_nonblock_load_waddr) @[el2_dec_decode_ctl.scala 353:44] - node nonblock_load_cancel = and(_T_195, i0_wen_r) @[el2_dec_decode_ctl.scala 353:76] + node _T_195 = eq(r_d_in.bits.i0rd, io.dec_nonblock_load_waddr) @[el2_dec_decode_ctl.scala 353:49] + node nonblock_load_cancel = and(_T_195, i0_wen_r) @[el2_dec_decode_ctl.scala 353:81] node _T_196 = or(nonblock_load_write[0], nonblock_load_write[1]) @[el2_dec_decode_ctl.scala 354:95] node _T_197 = or(_T_196, nonblock_load_write[2]) @[el2_dec_decode_ctl.scala 354:95] node _T_198 = or(_T_197, nonblock_load_write[3]) @[el2_dec_decode_ctl.scala 354:95] @@ -67914,18 +67914,18 @@ circuit el2_swerv_wrapper : io.dec_csr_wen_unq_d <= _T_350 @[el2_dec_decode_ctl.scala 463:24] node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 466:30] io.dec_csr_rdaddr_d <= _T_351 @[el2_dec_decode_ctl.scala 466:24] - io.dec_csr_wraddr_r <= r_d.csrwaddr @[el2_dec_decode_ctl.scala 467:23] - node _T_352 = and(r_d.csrwen, r_d.i0valid) @[el2_dec_decode_ctl.scala 471:34] - node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 471:50] - node _T_354 = and(_T_352, _T_353) @[el2_dec_decode_ctl.scala 471:48] + io.dec_csr_wraddr_r <= r_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 467:23] + node _T_352 = and(r_d.bits.csrwen, r_d.valid) @[el2_dec_decode_ctl.scala 471:39] + node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 471:53] + node _T_354 = and(_T_352, _T_353) @[el2_dec_decode_ctl.scala 471:51] io.dec_csr_wen_r <= _T_354 @[el2_dec_decode_ctl.scala 471:20] - node _T_355 = eq(r_d.csrwaddr, UInt<10>("h0300")) @[el2_dec_decode_ctl.scala 474:45] - node _T_356 = eq(r_d.csrwaddr, UInt<10>("h0304")) @[el2_dec_decode_ctl.scala 474:75] - node _T_357 = or(_T_355, _T_356) @[el2_dec_decode_ctl.scala 474:59] - node _T_358 = and(_T_357, r_d.csrwen) @[el2_dec_decode_ctl.scala 474:90] - node _T_359 = and(_T_358, r_d.i0valid) @[el2_dec_decode_ctl.scala 474:103] - node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 474:119] - node _T_361 = and(_T_359, _T_360) @[el2_dec_decode_ctl.scala 474:117] + node _T_355 = eq(r_d.bits.csrwaddr, UInt<10>("h0300")) @[el2_dec_decode_ctl.scala 474:50] + node _T_356 = eq(r_d.bits.csrwaddr, UInt<10>("h0304")) @[el2_dec_decode_ctl.scala 474:85] + node _T_357 = or(_T_355, _T_356) @[el2_dec_decode_ctl.scala 474:64] + node _T_358 = and(_T_357, r_d.bits.csrwen) @[el2_dec_decode_ctl.scala 474:100] + node _T_359 = and(_T_358, r_d.valid) @[el2_dec_decode_ctl.scala 474:118] + node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 474:132] + node _T_361 = and(_T_359, _T_360) @[el2_dec_decode_ctl.scala 474:130] io.dec_csr_stall_int_ff <= _T_361 @[el2_dec_decode_ctl.scala 474:27] reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 476:52] csr_read_x <= csr_read @[el2_dec_decode_ctl.scala 476:52] @@ -68072,11 +68072,11 @@ circuit el2_swerv_wrapper : reg _T_429 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_429 <= write_csr_data_in @[el2_lib.scala 514:16] write_csr_data <= _T_429 @[el2_dec_decode_ctl.scala 508:18] - node _T_430 = bits(r_d.csrwonly, 0, 0) @[el2_dec_decode_ctl.scala 514:44] + node _T_430 = bits(r_d.bits.csrwonly, 0, 0) @[el2_dec_decode_ctl.scala 514:49] node _T_431 = mux(_T_430, i0_result_corr_r, write_csr_data) @[el2_dec_decode_ctl.scala 514:30] io.dec_csr_wrdata_r <= _T_431 @[el2_dec_decode_ctl.scala 514:24] - node _T_432 = or(x_d.csrwonly, r_d.csrwonly) @[el2_dec_decode_ctl.scala 516:38] - node prior_csr_write = or(_T_432, wbd.csrwonly) @[el2_dec_decode_ctl.scala 516:53] + node _T_432 = or(x_d.bits.csrwonly, r_d.bits.csrwonly) @[el2_dec_decode_ctl.scala 516:43] + node prior_csr_write = or(_T_432, wbd.bits.csrwonly) @[el2_dec_decode_ctl.scala 516:63] node _T_433 = bits(io.dbg_cmd_wrdata, 0, 0) @[el2_dec_decode_ctl.scala 518:67] node debug_fence_i = and(io.dec_debug_fence_d, _T_433) @[el2_dec_decode_ctl.scala 518:48] node _T_434 = bits(io.dbg_cmd_wrdata, 1, 1) @[el2_dec_decode_ctl.scala 519:67] @@ -68196,8 +68196,8 @@ circuit el2_swerv_wrapper : io.dec_pmu_postsync_stall <= _T_500 @[el2_dec_decode_ctl.scala 559:29] node _T_501 = bits(presync_stall, 0, 0) @[el2_dec_decode_ctl.scala 560:46] io.dec_pmu_presync_stall <= _T_501 @[el2_dec_decode_ctl.scala 560:29] - node prior_inflight = or(x_d.i0valid, r_d.i0valid) @[el2_dec_decode_ctl.scala 564:41] - node prior_inflight_eff = mux(i0_dp.div, x_d.i0valid, prior_inflight) @[el2_dec_decode_ctl.scala 565:31] + node prior_inflight = or(x_d.valid, r_d.valid) @[el2_dec_decode_ctl.scala 564:41] + node prior_inflight_eff = mux(i0_dp.div, x_d.valid, prior_inflight) @[el2_dec_decode_ctl.scala 565:31] node _T_502 = and(i0_presync, prior_inflight_eff) @[el2_dec_decode_ctl.scala 567:37] presync_stall <= _T_502 @[el2_dec_decode_ctl.scala 567:22] reg _T_503 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 568:53] @@ -68206,7 +68206,7 @@ circuit el2_swerv_wrapper : node _T_504 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 570:56] node _T_505 = or(i0_postsync, _T_504) @[el2_dec_decode_ctl.scala 570:54] node _T_506 = and(io.dec_i0_decode_d, _T_505) @[el2_dec_decode_ctl.scala 570:39] - node _T_507 = and(postsync_stall, x_d.i0valid) @[el2_dec_decode_ctl.scala 570:88] + node _T_507 = and(postsync_stall, x_d.valid) @[el2_dec_decode_ctl.scala 570:88] node _T_508 = or(_T_506, _T_507) @[el2_dec_decode_ctl.scala 570:69] ps_stall_in <= _T_508 @[el2_dec_decode_ctl.scala 570:15] node _T_509 = and(i0_exulegal_decode_d, i0_dp.alu) @[el2_dec_decode_ctl.scala 572:50] @@ -68217,8 +68217,8 @@ circuit el2_swerv_wrapper : mul_decode_d <= _T_511 @[el2_dec_decode_ctl.scala 575:16] node _T_512 = and(i0_exulegal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 576:40] div_decode_d <= _T_512 @[el2_dec_decode_ctl.scala 576:16] - node _T_513 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 578:47] - node _T_514 = and(r_d.i0valid, _T_513) @[el2_dec_decode_ctl.scala 578:45] + node _T_513 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 578:45] + node _T_514 = and(r_d.valid, _T_513) @[el2_dec_decode_ctl.scala 578:43] io.dec_tlu_i0_valid_r <= _T_514 @[el2_dec_decode_ctl.scala 578:29] d_t.legal <= i0_legal_decode_d @[el2_dec_decode_ctl.scala 581:26] node _T_515 = and(i0_icaf_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 582:40] @@ -68355,7 +68355,7 @@ circuit el2_swerv_wrapper : r_t_in.icaf_f1 <= r_t.icaf_f1 @[el2_dec_decode_ctl.scala 605:10] r_t_in.icaf <= r_t.icaf @[el2_dec_decode_ctl.scala 605:10] r_t_in.legal <= r_t.legal @[el2_dec_decode_ctl.scala 605:10] - node _T_536 = or(r_d.i0load, r_d.i0store) @[el2_dec_decode_ctl.scala 607:56] + node _T_536 = or(r_d.bits.i0load, r_d.bits.i0store) @[el2_dec_decode_ctl.scala 607:61] wire _T_537 : UInt<1>[4] @[el2_lib.scala 162:48] _T_537[0] <= _T_536 @[el2_lib.scala 162:48] _T_537[1] <= _T_536 @[el2_lib.scala 162:48] @@ -68364,8 +68364,8 @@ circuit el2_swerv_wrapper : node _T_538 = cat(_T_537[0], _T_537[1]) @[Cat.scala 29:58] node _T_539 = cat(_T_538, _T_537[2]) @[Cat.scala 29:58] node _T_540 = cat(_T_539, _T_537[3]) @[Cat.scala 29:58] - node _T_541 = and(_T_540, lsu_trigger_match_r) @[el2_dec_decode_ctl.scala 607:72] - node _T_542 = or(_T_541, r_t.i0trigger) @[el2_dec_decode_ctl.scala 607:95] + node _T_541 = and(_T_540, lsu_trigger_match_r) @[el2_dec_decode_ctl.scala 607:82] + node _T_542 = or(_T_541, r_t.i0trigger) @[el2_dec_decode_ctl.scala 607:105] r_t_in.i0trigger <= _T_542 @[el2_dec_decode_ctl.scala 607:33] r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[el2_dec_decode_ctl.scala 608:33] node _T_543 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[el2_dec_decode_ctl.scala 610:35] @@ -68402,7 +68402,7 @@ circuit el2_swerv_wrapper : io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[el2_dec_decode_ctl.scala 612:39] io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[el2_dec_decode_ctl.scala 612:39] io.dec_tlu_packet_r.legal <= r_t_in.legal @[el2_dec_decode_ctl.scala 612:39] - node _T_545 = and(r_d.i0div, r_d.i0valid) @[el2_dec_decode_ctl.scala 613:53] + node _T_545 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 613:58] io.dec_tlu_packet_r.pmu_divide <= _T_545 @[el2_dec_decode_ctl.scala 613:39] reg _T_546 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 616:52] _T_546 <= io.exu_flush_final @[el2_dec_decode_ctl.scala 616:52] @@ -68722,22 +68722,22 @@ circuit el2_swerv_wrapper : io.dec_data_en <= _T_720 @[el2_dec_decode_ctl.scala 662:27] node _T_721 = cat(i0_x_ctl_en, i0_r_ctl_en) @[Cat.scala 29:58] io.dec_ctl_en <= _T_721 @[el2_dec_decode_ctl.scala 663:27] - d_d.i0rd <= i0r.rd @[el2_dec_decode_ctl.scala 665:29] - node _T_722 = and(i0_rd_en_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 666:45] - d_d.i0v <= _T_722 @[el2_dec_decode_ctl.scala 666:29] - d_d.i0valid <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 667:29] - node _T_723 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 669:45] - d_d.i0load <= _T_723 @[el2_dec_decode_ctl.scala 669:29] - node _T_724 = and(i0_dp.store, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 670:45] - d_d.i0store <= _T_724 @[el2_dec_decode_ctl.scala 670:29] - node _T_725 = and(i0_dp.div, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 671:45] - d_d.i0div <= _T_725 @[el2_dec_decode_ctl.scala 671:29] - node _T_726 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 673:56] - d_d.csrwen <= _T_726 @[el2_dec_decode_ctl.scala 673:29] - node _T_727 = and(i0_csr_write_only_d, io.dec_i0_decode_d) @[el2_dec_decode_ctl.scala 674:53] - d_d.csrwonly <= _T_727 @[el2_dec_decode_ctl.scala 674:29] - node _T_728 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 675:35] - d_d.csrwaddr <= _T_728 @[el2_dec_decode_ctl.scala 675:29] + d_d.bits.i0rd <= i0r.rd @[el2_dec_decode_ctl.scala 665:34] + node _T_722 = and(i0_rd_en_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 666:50] + d_d.bits.i0v <= _T_722 @[el2_dec_decode_ctl.scala 666:34] + d_d.valid <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 667:27] + node _T_723 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 669:50] + d_d.bits.i0load <= _T_723 @[el2_dec_decode_ctl.scala 669:34] + node _T_724 = and(i0_dp.store, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 670:50] + d_d.bits.i0store <= _T_724 @[el2_dec_decode_ctl.scala 670:34] + node _T_725 = and(i0_dp.div, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 671:50] + d_d.bits.i0div <= _T_725 @[el2_dec_decode_ctl.scala 671:34] + node _T_726 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 673:61] + d_d.bits.csrwen <= _T_726 @[el2_dec_decode_ctl.scala 673:34] + node _T_727 = and(i0_csr_write_only_d, io.dec_i0_decode_d) @[el2_dec_decode_ctl.scala 674:58] + d_d.bits.csrwonly <= _T_727 @[el2_dec_decode_ctl.scala 674:34] + node _T_728 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 675:40] + d_d.bits.csrwaddr <= _T_728 @[el2_dec_decode_ctl.scala 675:34] node _T_729 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 677:34] inst rvclkhdr_7 of rvclkhdr_668 @[el2_lib.scala 518:23] rvclkhdr_7.clock <= clock @@ -68745,55 +68745,55 @@ circuit el2_swerv_wrapper : rvclkhdr_7.io.clk <= clock @[el2_lib.scala 520:18] rvclkhdr_7.io.en <= _T_729 @[el2_lib.scala 521:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] - wire _T_730 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_lib.scala 524:33] - _T_730.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] - _T_730.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.csrwen <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.i0valid <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.i0v <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.i0div <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.i0store <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_730.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] - reg _T_731 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_730)) @[el2_lib.scala 524:16] - _T_731.csrwaddr <= d_d.csrwaddr @[el2_lib.scala 524:16] - _T_731.csrwonly <= d_d.csrwonly @[el2_lib.scala 524:16] - _T_731.csrwen <= d_d.csrwen @[el2_lib.scala 524:16] - _T_731.i0valid <= d_d.i0valid @[el2_lib.scala 524:16] - _T_731.i0v <= d_d.i0v @[el2_lib.scala 524:16] - _T_731.i0div <= d_d.i0div @[el2_lib.scala 524:16] - _T_731.i0store <= d_d.i0store @[el2_lib.scala 524:16] - _T_731.i0load <= d_d.i0load @[el2_lib.scala 524:16] - _T_731.i0rd <= d_d.i0rd @[el2_lib.scala 524:16] - x_d.csrwaddr <= _T_731.csrwaddr @[el2_dec_decode_ctl.scala 677:7] - x_d.csrwonly <= _T_731.csrwonly @[el2_dec_decode_ctl.scala 677:7] - x_d.csrwen <= _T_731.csrwen @[el2_dec_decode_ctl.scala 677:7] - x_d.i0valid <= _T_731.i0valid @[el2_dec_decode_ctl.scala 677:7] - x_d.i0v <= _T_731.i0v @[el2_dec_decode_ctl.scala 677:7] - x_d.i0div <= _T_731.i0div @[el2_dec_decode_ctl.scala 677:7] - x_d.i0store <= _T_731.i0store @[el2_dec_decode_ctl.scala 677:7] - x_d.i0load <= _T_731.i0load @[el2_dec_decode_ctl.scala 677:7] - x_d.i0rd <= _T_731.i0rd @[el2_dec_decode_ctl.scala 677:7] - wire x_d_in : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 678:20] - x_d_in.csrwaddr <= x_d.csrwaddr @[el2_dec_decode_ctl.scala 679:10] - x_d_in.csrwonly <= x_d.csrwonly @[el2_dec_decode_ctl.scala 679:10] - x_d_in.csrwen <= x_d.csrwen @[el2_dec_decode_ctl.scala 679:10] - x_d_in.i0valid <= x_d.i0valid @[el2_dec_decode_ctl.scala 679:10] - x_d_in.i0v <= x_d.i0v @[el2_dec_decode_ctl.scala 679:10] - x_d_in.i0div <= x_d.i0div @[el2_dec_decode_ctl.scala 679:10] - x_d_in.i0store <= x_d.i0store @[el2_dec_decode_ctl.scala 679:10] - x_d_in.i0load <= x_d.i0load @[el2_dec_decode_ctl.scala 679:10] - x_d_in.i0rd <= x_d.i0rd @[el2_dec_decode_ctl.scala 679:10] - node _T_732 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 680:39] - node _T_733 = and(x_d.i0v, _T_732) @[el2_dec_decode_ctl.scala 680:37] - node _T_734 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 680:68] - node _T_735 = and(_T_733, _T_734) @[el2_dec_decode_ctl.scala 680:66] - x_d_in.i0v <= _T_735 @[el2_dec_decode_ctl.scala 680:22] - node _T_736 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 681:39] - node _T_737 = and(x_d.i0valid, _T_736) @[el2_dec_decode_ctl.scala 681:37] - node _T_738 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 681:68] - node _T_739 = and(_T_737, _T_738) @[el2_dec_decode_ctl.scala 681:66] - x_d_in.i0valid <= _T_739 @[el2_dec_decode_ctl.scala 681:22] + wire _T_730 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_lib.scala 524:33] + _T_730.bits.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] + _T_730.bits.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_730.bits.csrwen <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_730.bits.i0v <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_730.bits.i0div <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_730.bits.i0store <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_730.bits.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_730.bits.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] + _T_730.valid <= UInt<1>("h00") @[el2_lib.scala 524:33] + reg _T_731 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_730)) @[el2_lib.scala 524:16] + _T_731.bits.csrwaddr <= d_d.bits.csrwaddr @[el2_lib.scala 524:16] + _T_731.bits.csrwonly <= d_d.bits.csrwonly @[el2_lib.scala 524:16] + _T_731.bits.csrwen <= d_d.bits.csrwen @[el2_lib.scala 524:16] + _T_731.bits.i0v <= d_d.bits.i0v @[el2_lib.scala 524:16] + _T_731.bits.i0div <= d_d.bits.i0div @[el2_lib.scala 524:16] + _T_731.bits.i0store <= d_d.bits.i0store @[el2_lib.scala 524:16] + _T_731.bits.i0load <= d_d.bits.i0load @[el2_lib.scala 524:16] + _T_731.bits.i0rd <= d_d.bits.i0rd @[el2_lib.scala 524:16] + _T_731.valid <= d_d.valid @[el2_lib.scala 524:16] + x_d.bits.csrwaddr <= _T_731.bits.csrwaddr @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.csrwonly <= _T_731.bits.csrwonly @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.csrwen <= _T_731.bits.csrwen @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0v <= _T_731.bits.i0v @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0div <= _T_731.bits.i0div @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0store <= _T_731.bits.i0store @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0load <= _T_731.bits.i0load @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0rd <= _T_731.bits.i0rd @[el2_dec_decode_ctl.scala 677:7] + x_d.valid <= _T_731.valid @[el2_dec_decode_ctl.scala 677:7] + wire x_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 678:20] + x_d_in.bits.csrwaddr <= x_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.csrwonly <= x_d.bits.csrwonly @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.csrwen <= x_d.bits.csrwen @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0v <= x_d.bits.i0v @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0div <= x_d.bits.i0div @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0store <= x_d.bits.i0store @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0load <= x_d.bits.i0load @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0rd <= x_d.bits.i0rd @[el2_dec_decode_ctl.scala 679:10] + x_d_in.valid <= x_d.valid @[el2_dec_decode_ctl.scala 679:10] + node _T_732 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 680:49] + node _T_733 = and(x_d.bits.i0v, _T_732) @[el2_dec_decode_ctl.scala 680:47] + node _T_734 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 680:78] + node _T_735 = and(_T_733, _T_734) @[el2_dec_decode_ctl.scala 680:76] + x_d_in.bits.i0v <= _T_735 @[el2_dec_decode_ctl.scala 680:27] + node _T_736 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 681:35] + node _T_737 = and(x_d.valid, _T_736) @[el2_dec_decode_ctl.scala 681:33] + node _T_738 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 681:64] + node _T_739 = and(_T_737, _T_738) @[el2_dec_decode_ctl.scala 681:62] + x_d_in.valid <= _T_739 @[el2_dec_decode_ctl.scala 681:20] node _T_740 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 683:36] inst rvclkhdr_8 of rvclkhdr_669 @[el2_lib.scala 518:23] rvclkhdr_8.clock <= clock @@ -68801,57 +68801,57 @@ circuit el2_swerv_wrapper : rvclkhdr_8.io.clk <= clock @[el2_lib.scala 520:18] rvclkhdr_8.io.en <= _T_740 @[el2_lib.scala 521:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] - wire _T_741 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_lib.scala 524:33] - _T_741.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] - _T_741.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.csrwen <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.i0valid <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.i0v <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.i0div <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.i0store <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_741.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] - reg _T_742 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_741)) @[el2_lib.scala 524:16] - _T_742.csrwaddr <= x_d_in.csrwaddr @[el2_lib.scala 524:16] - _T_742.csrwonly <= x_d_in.csrwonly @[el2_lib.scala 524:16] - _T_742.csrwen <= x_d_in.csrwen @[el2_lib.scala 524:16] - _T_742.i0valid <= x_d_in.i0valid @[el2_lib.scala 524:16] - _T_742.i0v <= x_d_in.i0v @[el2_lib.scala 524:16] - _T_742.i0div <= x_d_in.i0div @[el2_lib.scala 524:16] - _T_742.i0store <= x_d_in.i0store @[el2_lib.scala 524:16] - _T_742.i0load <= x_d_in.i0load @[el2_lib.scala 524:16] - _T_742.i0rd <= x_d_in.i0rd @[el2_lib.scala 524:16] - r_d.csrwaddr <= _T_742.csrwaddr @[el2_dec_decode_ctl.scala 683:7] - r_d.csrwonly <= _T_742.csrwonly @[el2_dec_decode_ctl.scala 683:7] - r_d.csrwen <= _T_742.csrwen @[el2_dec_decode_ctl.scala 683:7] - r_d.i0valid <= _T_742.i0valid @[el2_dec_decode_ctl.scala 683:7] - r_d.i0v <= _T_742.i0v @[el2_dec_decode_ctl.scala 683:7] - r_d.i0div <= _T_742.i0div @[el2_dec_decode_ctl.scala 683:7] - r_d.i0store <= _T_742.i0store @[el2_dec_decode_ctl.scala 683:7] - r_d.i0load <= _T_742.i0load @[el2_dec_decode_ctl.scala 683:7] - r_d.i0rd <= _T_742.i0rd @[el2_dec_decode_ctl.scala 683:7] - r_d_in.csrwaddr <= r_d.csrwaddr @[el2_dec_decode_ctl.scala 684:10] - r_d_in.csrwonly <= r_d.csrwonly @[el2_dec_decode_ctl.scala 684:10] - r_d_in.csrwen <= r_d.csrwen @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0valid <= r_d.i0valid @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0v <= r_d.i0v @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0div <= r_d.i0div @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0store <= r_d.i0store @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0load <= r_d.i0load @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0rd <= r_d.i0rd @[el2_dec_decode_ctl.scala 684:10] - r_d_in.i0rd <= r_d.i0rd @[el2_dec_decode_ctl.scala 685:17] - node _T_743 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 687:41] - node _T_744 = and(r_d.i0v, _T_743) @[el2_dec_decode_ctl.scala 687:39] - r_d_in.i0v <= _T_744 @[el2_dec_decode_ctl.scala 687:22] - node _T_745 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 688:41] - node _T_746 = and(r_d.i0valid, _T_745) @[el2_dec_decode_ctl.scala 688:39] - r_d_in.i0valid <= _T_746 @[el2_dec_decode_ctl.scala 688:22] - node _T_747 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 689:41] - node _T_748 = and(r_d.i0load, _T_747) @[el2_dec_decode_ctl.scala 689:39] - r_d_in.i0load <= _T_748 @[el2_dec_decode_ctl.scala 689:22] - node _T_749 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 690:41] - node _T_750 = and(r_d.i0store, _T_749) @[el2_dec_decode_ctl.scala 690:39] - r_d_in.i0store <= _T_750 @[el2_dec_decode_ctl.scala 690:22] + wire _T_741 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_lib.scala 524:33] + _T_741.bits.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] + _T_741.bits.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_741.bits.csrwen <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_741.bits.i0v <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_741.bits.i0div <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_741.bits.i0store <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_741.bits.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_741.bits.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] + _T_741.valid <= UInt<1>("h00") @[el2_lib.scala 524:33] + reg _T_742 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_741)) @[el2_lib.scala 524:16] + _T_742.bits.csrwaddr <= x_d_in.bits.csrwaddr @[el2_lib.scala 524:16] + _T_742.bits.csrwonly <= x_d_in.bits.csrwonly @[el2_lib.scala 524:16] + _T_742.bits.csrwen <= x_d_in.bits.csrwen @[el2_lib.scala 524:16] + _T_742.bits.i0v <= x_d_in.bits.i0v @[el2_lib.scala 524:16] + _T_742.bits.i0div <= x_d_in.bits.i0div @[el2_lib.scala 524:16] + _T_742.bits.i0store <= x_d_in.bits.i0store @[el2_lib.scala 524:16] + _T_742.bits.i0load <= x_d_in.bits.i0load @[el2_lib.scala 524:16] + _T_742.bits.i0rd <= x_d_in.bits.i0rd @[el2_lib.scala 524:16] + _T_742.valid <= x_d_in.valid @[el2_lib.scala 524:16] + r_d.bits.csrwaddr <= _T_742.bits.csrwaddr @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.csrwonly <= _T_742.bits.csrwonly @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.csrwen <= _T_742.bits.csrwen @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0v <= _T_742.bits.i0v @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0div <= _T_742.bits.i0div @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0store <= _T_742.bits.i0store @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0load <= _T_742.bits.i0load @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0rd <= _T_742.bits.i0rd @[el2_dec_decode_ctl.scala 683:7] + r_d.valid <= _T_742.valid @[el2_dec_decode_ctl.scala 683:7] + r_d_in.bits.csrwaddr <= r_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.csrwonly <= r_d.bits.csrwonly @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.csrwen <= r_d.bits.csrwen @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0v <= r_d.bits.i0v @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0div <= r_d.bits.i0div @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0store <= r_d.bits.i0store @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0load <= r_d.bits.i0load @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[el2_dec_decode_ctl.scala 684:10] + r_d_in.valid <= r_d.valid @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[el2_dec_decode_ctl.scala 685:22] + node _T_743 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 687:51] + node _T_744 = and(r_d.bits.i0v, _T_743) @[el2_dec_decode_ctl.scala 687:49] + r_d_in.bits.i0v <= _T_744 @[el2_dec_decode_ctl.scala 687:27] + node _T_745 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 688:37] + node _T_746 = and(r_d.valid, _T_745) @[el2_dec_decode_ctl.scala 688:35] + r_d_in.valid <= _T_746 @[el2_dec_decode_ctl.scala 688:20] + node _T_747 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 689:51] + node _T_748 = and(r_d.bits.i0load, _T_747) @[el2_dec_decode_ctl.scala 689:49] + r_d_in.bits.i0load <= _T_748 @[el2_dec_decode_ctl.scala 689:27] + node _T_749 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 690:51] + node _T_750 = and(r_d.bits.i0store, _T_749) @[el2_dec_decode_ctl.scala 690:49] + r_d_in.bits.i0store <= _T_750 @[el2_dec_decode_ctl.scala 690:27] node _T_751 = bits(i0_wb_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 692:37] inst rvclkhdr_9 of rvclkhdr_670 @[el2_lib.scala 518:23] rvclkhdr_9.clock <= clock @@ -68859,43 +68859,43 @@ circuit el2_swerv_wrapper : rvclkhdr_9.io.clk <= clock @[el2_lib.scala 520:18] rvclkhdr_9.io.en <= _T_751 @[el2_lib.scala 521:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] - wire _T_752 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_lib.scala 524:33] - _T_752.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] - _T_752.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.csrwen <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.i0valid <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.i0v <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.i0div <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.i0store <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] - _T_752.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] - reg _T_753 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}, rvclkhdr_9.io.l1clk with : (reset => (reset, _T_752)) @[el2_lib.scala 524:16] - _T_753.csrwaddr <= r_d_in.csrwaddr @[el2_lib.scala 524:16] - _T_753.csrwonly <= r_d_in.csrwonly @[el2_lib.scala 524:16] - _T_753.csrwen <= r_d_in.csrwen @[el2_lib.scala 524:16] - _T_753.i0valid <= r_d_in.i0valid @[el2_lib.scala 524:16] - _T_753.i0v <= r_d_in.i0v @[el2_lib.scala 524:16] - _T_753.i0div <= r_d_in.i0div @[el2_lib.scala 524:16] - _T_753.i0store <= r_d_in.i0store @[el2_lib.scala 524:16] - _T_753.i0load <= r_d_in.i0load @[el2_lib.scala 524:16] - _T_753.i0rd <= r_d_in.i0rd @[el2_lib.scala 524:16] - wbd.csrwaddr <= _T_753.csrwaddr @[el2_dec_decode_ctl.scala 692:7] - wbd.csrwonly <= _T_753.csrwonly @[el2_dec_decode_ctl.scala 692:7] - wbd.csrwen <= _T_753.csrwen @[el2_dec_decode_ctl.scala 692:7] - wbd.i0valid <= _T_753.i0valid @[el2_dec_decode_ctl.scala 692:7] - wbd.i0v <= _T_753.i0v @[el2_dec_decode_ctl.scala 692:7] - wbd.i0div <= _T_753.i0div @[el2_dec_decode_ctl.scala 692:7] - wbd.i0store <= _T_753.i0store @[el2_dec_decode_ctl.scala 692:7] - wbd.i0load <= _T_753.i0load @[el2_dec_decode_ctl.scala 692:7] - wbd.i0rd <= _T_753.i0rd @[el2_dec_decode_ctl.scala 692:7] - io.dec_i0_waddr_r <= r_d_in.i0rd @[el2_dec_decode_ctl.scala 694:27] - node _T_754 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 695:42] - node _T_755 = and(r_d_in.i0v, _T_754) @[el2_dec_decode_ctl.scala 695:40] + wire _T_752 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_lib.scala 524:33] + _T_752.bits.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] + _T_752.bits.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_752.bits.csrwen <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_752.bits.i0v <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_752.bits.i0div <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_752.bits.i0store <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_752.bits.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] + _T_752.bits.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] + _T_752.valid <= UInt<1>("h00") @[el2_lib.scala 524:33] + reg _T_753 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_9.io.l1clk with : (reset => (reset, _T_752)) @[el2_lib.scala 524:16] + _T_753.bits.csrwaddr <= r_d_in.bits.csrwaddr @[el2_lib.scala 524:16] + _T_753.bits.csrwonly <= r_d_in.bits.csrwonly @[el2_lib.scala 524:16] + _T_753.bits.csrwen <= r_d_in.bits.csrwen @[el2_lib.scala 524:16] + _T_753.bits.i0v <= r_d_in.bits.i0v @[el2_lib.scala 524:16] + _T_753.bits.i0div <= r_d_in.bits.i0div @[el2_lib.scala 524:16] + _T_753.bits.i0store <= r_d_in.bits.i0store @[el2_lib.scala 524:16] + _T_753.bits.i0load <= r_d_in.bits.i0load @[el2_lib.scala 524:16] + _T_753.bits.i0rd <= r_d_in.bits.i0rd @[el2_lib.scala 524:16] + _T_753.valid <= r_d_in.valid @[el2_lib.scala 524:16] + wbd.bits.csrwaddr <= _T_753.bits.csrwaddr @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.csrwonly <= _T_753.bits.csrwonly @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.csrwen <= _T_753.bits.csrwen @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0v <= _T_753.bits.i0v @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0div <= _T_753.bits.i0div @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0store <= _T_753.bits.i0store @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0load <= _T_753.bits.i0load @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0rd <= _T_753.bits.i0rd @[el2_dec_decode_ctl.scala 692:7] + wbd.valid <= _T_753.valid @[el2_dec_decode_ctl.scala 692:7] + io.dec_i0_waddr_r <= r_d_in.bits.i0rd @[el2_dec_decode_ctl.scala 694:27] + node _T_754 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 695:47] + node _T_755 = and(r_d_in.bits.i0v, _T_754) @[el2_dec_decode_ctl.scala 695:45] i0_wen_r <= _T_755 @[el2_dec_decode_ctl.scala 695:25] - node _T_756 = eq(r_d_in.i0div, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 696:49] + node _T_756 = eq(r_d_in.bits.i0div, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 696:49] node _T_757 = and(i0_wen_r, _T_756) @[el2_dec_decode_ctl.scala 696:47] - node _T_758 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 696:65] - node _T_759 = and(_T_757, _T_758) @[el2_dec_decode_ctl.scala 696:63] + node _T_758 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 696:70] + node _T_759 = and(_T_757, _T_758) @[el2_dec_decode_ctl.scala 696:68] io.dec_i0_wen_r <= _T_759 @[el2_dec_decode_ctl.scala 696:32] io.dec_i0_wdata_r <= i0_result_corr_r @[el2_dec_decode_ctl.scala 697:26] node _T_760 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 699:57] @@ -68907,13 +68907,13 @@ circuit el2_swerv_wrapper : rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg i0_result_r_raw : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] i0_result_r_raw <= i0_result_x @[el2_lib.scala 514:16] - node _T_761 = and(x_d.i0v, x_d.i0load) @[el2_dec_decode_ctl.scala 705:42] - node _T_762 = bits(_T_761, 0, 0) @[el2_dec_decode_ctl.scala 705:56] + node _T_761 = and(x_d.bits.i0v, x_d.bits.i0load) @[el2_dec_decode_ctl.scala 705:47] + node _T_762 = bits(_T_761, 0, 0) @[el2_dec_decode_ctl.scala 705:66] node _T_763 = mux(_T_762, io.lsu_result_m, io.exu_i0_result_x) @[el2_dec_decode_ctl.scala 705:32] i0_result_x <= _T_763 @[el2_dec_decode_ctl.scala 705:26] i0_result_r <= i0_result_r_raw @[el2_dec_decode_ctl.scala 706:26] - node _T_764 = and(r_d.i0v, r_d.i0load) @[el2_dec_decode_ctl.scala 710:37] - node _T_765 = bits(_T_764, 0, 0) @[el2_dec_decode_ctl.scala 710:51] + node _T_764 = and(r_d.bits.i0v, r_d.bits.i0load) @[el2_dec_decode_ctl.scala 710:42] + node _T_765 = bits(_T_764, 0, 0) @[el2_dec_decode_ctl.scala 710:61] node _T_766 = mux(_T_765, io.lsu_result_corr_r, i0_result_r_raw) @[el2_dec_decode_ctl.scala 710:27] i0_result_corr_r <= _T_766 @[el2_dec_decode_ctl.scala 710:21] node _T_767 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 711:54] @@ -68982,25 +68982,25 @@ circuit el2_swerv_wrapper : reg _T_798 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_798 <= last_br_immed_d @[el2_lib.scala 514:16] last_br_immed_x <= _T_798 @[el2_dec_decode_ctl.scala 715:19] - node _T_799 = and(x_d.i0div, x_d.i0valid) @[el2_dec_decode_ctl.scala 719:40] - node _T_800 = and(r_d.i0div, r_d.i0valid) @[el2_dec_decode_ctl.scala 719:68] - node div_e1_to_r = or(_T_799, _T_800) @[el2_dec_decode_ctl.scala 719:55] - node _T_801 = and(x_d.i0div, x_d.i0valid) @[el2_dec_decode_ctl.scala 721:43] - node _T_802 = eq(x_d.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 721:69] - node _T_803 = and(_T_801, _T_802) @[el2_dec_decode_ctl.scala 721:57] - node _T_804 = and(x_d.i0div, x_d.i0valid) @[el2_dec_decode_ctl.scala 722:16] - node _T_805 = and(_T_804, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 722:30] - node _T_806 = or(_T_803, _T_805) @[el2_dec_decode_ctl.scala 721:86] - node _T_807 = and(r_d.i0div, r_d.i0valid) @[el2_dec_decode_ctl.scala 723:16] - node _T_808 = and(_T_807, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 723:30] - node _T_809 = and(_T_808, io.dec_tlu_i0_kill_writeb_r) @[el2_dec_decode_ctl.scala 723:57] - node div_flush = or(_T_806, _T_809) @[el2_dec_decode_ctl.scala 722:59] + node _T_799 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 719:45] + node _T_800 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 719:76] + node div_e1_to_r = or(_T_799, _T_800) @[el2_dec_decode_ctl.scala 719:58] + node _T_801 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 721:48] + node _T_802 = eq(x_d.bits.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 721:77] + node _T_803 = and(_T_801, _T_802) @[el2_dec_decode_ctl.scala 721:60] + node _T_804 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 722:21] + node _T_805 = and(_T_804, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 722:33] + node _T_806 = or(_T_803, _T_805) @[el2_dec_decode_ctl.scala 721:94] + node _T_807 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 723:21] + node _T_808 = and(_T_807, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 723:33] + node _T_809 = and(_T_808, io.dec_tlu_i0_kill_writeb_r) @[el2_dec_decode_ctl.scala 723:60] + node div_flush = or(_T_806, _T_809) @[el2_dec_decode_ctl.scala 722:62] node _T_810 = and(io.dec_div_active, div_flush) @[el2_dec_decode_ctl.scala 727:51] node _T_811 = eq(div_e1_to_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 728:26] node _T_812 = and(io.dec_div_active, _T_811) @[el2_dec_decode_ctl.scala 728:24] - node _T_813 = eq(r_d.i0rd, io.div_waddr_wb) @[el2_dec_decode_ctl.scala 728:51] + node _T_813 = eq(r_d.bits.i0rd, io.div_waddr_wb) @[el2_dec_decode_ctl.scala 728:56] node _T_814 = and(_T_812, _T_813) @[el2_dec_decode_ctl.scala 728:39] - node _T_815 = and(_T_814, i0_wen_r) @[el2_dec_decode_ctl.scala 728:72] + node _T_815 = and(_T_814, i0_wen_r) @[el2_dec_decode_ctl.scala 728:77] node nonblock_div_cancel = or(_T_810, _T_815) @[el2_dec_decode_ctl.scala 727:65] node _T_816 = bits(nonblock_div_cancel, 0, 0) @[el2_dec_decode_ctl.scala 730:53] io.dec_div_cancel <= _T_816 @[el2_dec_decode_ctl.scala 730:29] @@ -69140,18 +69140,18 @@ circuit el2_swerv_wrapper : node temp_pred_correct_npc_x = cat(_T_874, UInt<1>("h00")) @[Cat.scala 29:58] node _T_875 = bits(temp_pred_correct_npc_x, 31, 1) @[el2_dec_decode_ctl.scala 764:51] io.pred_correct_npc_x <= _T_875 @[el2_dec_decode_ctl.scala 764:25] - node _T_876 = and(io.dec_i0_rs1_en_d, x_d.i0v) @[el2_dec_decode_ctl.scala 768:48] - node _T_877 = eq(x_d.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 768:70] - node i0_rs1_depend_i0_x = and(_T_876, _T_877) @[el2_dec_decode_ctl.scala 768:58] - node _T_878 = and(io.dec_i0_rs1_en_d, r_d.i0v) @[el2_dec_decode_ctl.scala 769:48] - node _T_879 = eq(r_d.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 769:70] - node i0_rs1_depend_i0_r = and(_T_878, _T_879) @[el2_dec_decode_ctl.scala 769:58] - node _T_880 = and(io.dec_i0_rs2_en_d, x_d.i0v) @[el2_dec_decode_ctl.scala 771:48] - node _T_881 = eq(x_d.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 771:70] - node i0_rs2_depend_i0_x = and(_T_880, _T_881) @[el2_dec_decode_ctl.scala 771:58] - node _T_882 = and(io.dec_i0_rs2_en_d, r_d.i0v) @[el2_dec_decode_ctl.scala 772:48] - node _T_883 = eq(r_d.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 772:70] - node i0_rs2_depend_i0_r = and(_T_882, _T_883) @[el2_dec_decode_ctl.scala 772:58] + node _T_876 = and(io.dec_i0_rs1_en_d, x_d.bits.i0v) @[el2_dec_decode_ctl.scala 768:48] + node _T_877 = eq(x_d.bits.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 768:80] + node i0_rs1_depend_i0_x = and(_T_876, _T_877) @[el2_dec_decode_ctl.scala 768:63] + node _T_878 = and(io.dec_i0_rs1_en_d, r_d.bits.i0v) @[el2_dec_decode_ctl.scala 769:48] + node _T_879 = eq(r_d.bits.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 769:80] + node i0_rs1_depend_i0_r = and(_T_878, _T_879) @[el2_dec_decode_ctl.scala 769:63] + node _T_880 = and(io.dec_i0_rs2_en_d, x_d.bits.i0v) @[el2_dec_decode_ctl.scala 771:48] + node _T_881 = eq(x_d.bits.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 771:80] + node i0_rs2_depend_i0_x = and(_T_880, _T_881) @[el2_dec_decode_ctl.scala 771:63] + node _T_882 = and(io.dec_i0_rs2_en_d, r_d.bits.i0v) @[el2_dec_decode_ctl.scala 772:48] + node _T_883 = eq(r_d.bits.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 772:80] + node i0_rs2_depend_i0_r = and(_T_882, _T_883) @[el2_dec_decode_ctl.scala 772:63] node _T_884 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 774:44] node _T_885 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 774:81] wire _T_886 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 774:109] diff --git a/el2_swerv_wrapper.v b/el2_swerv_wrapper.v index e7d83994..3ab73a18 100644 --- a/el2_swerv_wrapper.v +++ b/el2_swerv_wrapper.v @@ -46421,8 +46421,8 @@ module el2_dec_decode_ctl( wire _T_505 = i0_postsync | _T_504; // @[el2_dec_decode_ctl.scala 570:54] wire _T_506 = io_dec_i0_decode_d & _T_505; // @[el2_dec_decode_ctl.scala 570:39] reg postsync_stall; // @[el2_dec_decode_ctl.scala 568:53] - reg x_d_i0valid; // @[el2_lib.scala 524:16] - wire _T_507 = postsync_stall & x_d_i0valid; // @[el2_dec_decode_ctl.scala 570:88] + reg x_d_valid; // @[el2_lib.scala 524:16] + wire _T_507 = postsync_stall & x_d_valid; // @[el2_dec_decode_ctl.scala 570:88] wire ps_stall_in = _T_506 | _T_507; // @[el2_dec_decode_ctl.scala 570:69] wire _T_12 = ps_stall_in ^ postsync_stall; // @[el2_dec_decode_ctl.scala 217:32] wire _T_13 = _T_11 | _T_12; // @[el2_dec_decode_ctl.scala 216:56] @@ -46565,34 +46565,34 @@ module el2_dec_decode_ctl( wire [2:0] _T_86 = _GEN_128 | _T_83; // @[Mux.scala 27:72] wire [3:0] _GEN_129 = {{1'd0}, _T_86}; // @[Mux.scala 27:72] wire [3:0] cam_wen = _GEN_129 | _T_84; // @[Mux.scala 27:72] - reg x_d_i0load; // @[el2_lib.scala 524:16] - reg [4:0] x_d_i0rd; // @[el2_lib.scala 524:16] - wire [4:0] nonblock_load_rd = x_d_i0load ? x_d_i0rd : 5'h0; // @[el2_dec_decode_ctl.scala 314:31] + reg x_d_bits_i0load; // @[el2_lib.scala 524:16] + reg [4:0] x_d_bits_i0rd; // @[el2_lib.scala 524:16] + wire [4:0] nonblock_load_rd = x_d_bits_i0load ? x_d_bits_i0rd : 5'h0; // @[el2_dec_decode_ctl.scala 314:31] reg [2:0] _T_701; // @[el2_dec_decode_ctl.scala 652:72] wire [3:0] i0_pipe_en = {io_dec_i0_decode_d,_T_701}; // @[Cat.scala 29:58] wire _T_707 = |i0_pipe_en[2:1]; // @[el2_dec_decode_ctl.scala 655:49] wire i0_r_ctl_en = _T_707 | io_clk_override; // @[el2_dec_decode_ctl.scala 655:53] reg nonblock_load_valid_m_delay; // @[Reg.scala 27:20] - reg r_d_i0load; // @[el2_lib.scala 524:16] - wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_i0load; // @[el2_dec_decode_ctl.scala 319:56] + reg r_d_bits_i0load; // @[el2_lib.scala 524:16] + wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_bits_i0load; // @[el2_dec_decode_ctl.scala 319:56] wire [2:0] _GEN_130 = {{1'd0}, io_lsu_nonblock_load_inv_tag_r}; // @[el2_dec_decode_ctl.scala 321:66] wire _T_91 = _GEN_130 == cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 321:66] wire _T_92 = io_lsu_nonblock_load_inv_r & _T_91; // @[el2_dec_decode_ctl.scala 321:45] wire cam_inv_reset_val_0 = _T_92 & cam_0_valid; // @[el2_dec_decode_ctl.scala 321:87] - reg r_d_i0v; // @[el2_lib.scala 524:16] - wire _T_743 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_decode_ctl.scala 687:41] - wire r_d_in_i0v = r_d_i0v & _T_743; // @[el2_dec_decode_ctl.scala 687:39] - wire _T_754 = ~io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 695:42] - wire i0_wen_r = r_d_in_i0v & _T_754; // @[el2_dec_decode_ctl.scala 695:40] - reg [4:0] r_d_i0rd; // @[el2_lib.scala 524:16] + reg r_d_bits_i0v; // @[el2_lib.scala 524:16] + wire _T_743 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_decode_ctl.scala 687:51] + wire r_d_in_bits_i0v = r_d_bits_i0v & _T_743; // @[el2_dec_decode_ctl.scala 687:49] + wire _T_754 = ~io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 695:47] + wire i0_wen_r = r_d_in_bits_i0v & _T_754; // @[el2_dec_decode_ctl.scala 695:45] + reg [4:0] r_d_bits_i0rd; // @[el2_lib.scala 524:16] reg [4:0] cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_103 = r_d_i0rd == cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 334:80] + wire _T_103 = r_d_bits_i0rd == cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] wire _T_104 = i0_wen_r & _T_103; // @[el2_dec_decode_ctl.scala 334:64] reg cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_106 = _T_104 & cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 334:100] + wire _T_106 = _T_104 & cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] wire _T_107 = cam_inv_reset_val_0 | _T_106; // @[el2_dec_decode_ctl.scala 334:44] - wire _GEN_52 = _T_107 ? 1'h0 : cam_0_valid; // @[el2_dec_decode_ctl.scala 334:126] - wire _GEN_55 = _T_107 ? 1'h0 : cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 334:126] + wire _GEN_52 = _T_107 ? 1'h0 : cam_0_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_55 = _T_107 ? 1'h0 : cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] wire _GEN_56 = cam_wen[0] | _GEN_52; // @[el2_dec_decode_ctl.scala 329:28] wire _GEN_57 = cam_wen[0] ? 1'h0 : _GEN_55; // @[el2_dec_decode_ctl.scala 329:28] wire _T_110 = nonblock_load_valid_m_delay & _T_91; // @[el2_dec_decode_ctl.scala 339:44] @@ -46602,13 +46602,13 @@ module el2_dec_decode_ctl( wire _T_118 = io_lsu_nonblock_load_inv_r & _T_117; // @[el2_dec_decode_ctl.scala 321:45] wire cam_inv_reset_val_1 = _T_118 & cam_1_valid; // @[el2_dec_decode_ctl.scala 321:87] reg [4:0] cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_129 = r_d_i0rd == cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 334:80] + wire _T_129 = r_d_bits_i0rd == cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] wire _T_130 = i0_wen_r & _T_129; // @[el2_dec_decode_ctl.scala 334:64] reg cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_132 = _T_130 & cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 334:100] + wire _T_132 = _T_130 & cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] wire _T_133 = cam_inv_reset_val_1 | _T_132; // @[el2_dec_decode_ctl.scala 334:44] - wire _GEN_63 = _T_133 ? 1'h0 : cam_1_valid; // @[el2_dec_decode_ctl.scala 334:126] - wire _GEN_66 = _T_133 ? 1'h0 : cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 334:126] + wire _GEN_63 = _T_133 ? 1'h0 : cam_1_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_66 = _T_133 ? 1'h0 : cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] wire _GEN_67 = cam_wen[1] | _GEN_63; // @[el2_dec_decode_ctl.scala 329:28] wire _GEN_68 = cam_wen[1] ? 1'h0 : _GEN_66; // @[el2_dec_decode_ctl.scala 329:28] wire _T_136 = nonblock_load_valid_m_delay & _T_117; // @[el2_dec_decode_ctl.scala 339:44] @@ -46618,13 +46618,13 @@ module el2_dec_decode_ctl( wire _T_144 = io_lsu_nonblock_load_inv_r & _T_143; // @[el2_dec_decode_ctl.scala 321:45] wire cam_inv_reset_val_2 = _T_144 & cam_2_valid; // @[el2_dec_decode_ctl.scala 321:87] reg [4:0] cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_155 = r_d_i0rd == cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 334:80] + wire _T_155 = r_d_bits_i0rd == cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] wire _T_156 = i0_wen_r & _T_155; // @[el2_dec_decode_ctl.scala 334:64] reg cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_158 = _T_156 & cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 334:100] + wire _T_158 = _T_156 & cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] wire _T_159 = cam_inv_reset_val_2 | _T_158; // @[el2_dec_decode_ctl.scala 334:44] - wire _GEN_74 = _T_159 ? 1'h0 : cam_2_valid; // @[el2_dec_decode_ctl.scala 334:126] - wire _GEN_77 = _T_159 ? 1'h0 : cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 334:126] + wire _GEN_74 = _T_159 ? 1'h0 : cam_2_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_77 = _T_159 ? 1'h0 : cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] wire _GEN_78 = cam_wen[2] | _GEN_74; // @[el2_dec_decode_ctl.scala 329:28] wire _GEN_79 = cam_wen[2] ? 1'h0 : _GEN_77; // @[el2_dec_decode_ctl.scala 329:28] wire _T_162 = nonblock_load_valid_m_delay & _T_143; // @[el2_dec_decode_ctl.scala 339:44] @@ -46634,20 +46634,20 @@ module el2_dec_decode_ctl( wire _T_170 = io_lsu_nonblock_load_inv_r & _T_169; // @[el2_dec_decode_ctl.scala 321:45] wire cam_inv_reset_val_3 = _T_170 & cam_3_valid; // @[el2_dec_decode_ctl.scala 321:87] reg [4:0] cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_181 = r_d_i0rd == cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 334:80] + wire _T_181 = r_d_bits_i0rd == cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] wire _T_182 = i0_wen_r & _T_181; // @[el2_dec_decode_ctl.scala 334:64] reg cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] - wire _T_184 = _T_182 & cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 334:100] + wire _T_184 = _T_182 & cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] wire _T_185 = cam_inv_reset_val_3 | _T_184; // @[el2_dec_decode_ctl.scala 334:44] - wire _GEN_85 = _T_185 ? 1'h0 : cam_3_valid; // @[el2_dec_decode_ctl.scala 334:126] - wire _GEN_88 = _T_185 ? 1'h0 : cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 334:126] + wire _GEN_85 = _T_185 ? 1'h0 : cam_3_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_88 = _T_185 ? 1'h0 : cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] wire _GEN_89 = cam_wen[3] | _GEN_85; // @[el2_dec_decode_ctl.scala 329:28] wire _GEN_90 = cam_wen[3] ? 1'h0 : _GEN_88; // @[el2_dec_decode_ctl.scala 329:28] wire _T_188 = nonblock_load_valid_m_delay & _T_169; // @[el2_dec_decode_ctl.scala 339:44] wire _T_190 = _T_188 & cam_3_valid; // @[el2_dec_decode_ctl.scala 339:100] wire nonblock_load_write_3 = _T_172 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 348:71] - wire _T_195 = r_d_i0rd == io_dec_nonblock_load_waddr; // @[el2_dec_decode_ctl.scala 353:44] - wire nonblock_load_cancel = _T_195 & i0_wen_r; // @[el2_dec_decode_ctl.scala 353:76] + wire _T_195 = r_d_bits_i0rd == io_dec_nonblock_load_waddr; // @[el2_dec_decode_ctl.scala 353:49] + wire nonblock_load_cancel = _T_195 & i0_wen_r; // @[el2_dec_decode_ctl.scala 353:81] wire _T_196 = nonblock_load_write_0 | nonblock_load_write_1; // @[el2_dec_decode_ctl.scala 354:95] wire _T_197 = _T_196 | nonblock_load_write_2; // @[el2_dec_decode_ctl.scala 354:95] wire _T_198 = _T_197 | nonblock_load_write_3; // @[el2_dec_decode_ctl.scala 354:95] @@ -46734,13 +46734,13 @@ module el2_dec_decode_ctl( reg _T_339; // @[el2_dec_decode_ctl.scala 432:58] wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 574:40] wire _T_902 = i0_dp_load | i0_dp_store; // @[el2_dec_decode_ctl.scala 788:43] - reg x_d_i0v; // @[el2_lib.scala 524:16] - wire _T_876 = io_dec_i0_rs1_en_d & x_d_i0v; // @[el2_dec_decode_ctl.scala 768:48] - wire _T_877 = x_d_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 768:70] - wire i0_rs1_depend_i0_x = _T_876 & _T_877; // @[el2_dec_decode_ctl.scala 768:58] - wire _T_878 = io_dec_i0_rs1_en_d & r_d_i0v; // @[el2_dec_decode_ctl.scala 769:48] - wire _T_879 = r_d_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 769:70] - wire i0_rs1_depend_i0_r = _T_878 & _T_879; // @[el2_dec_decode_ctl.scala 769:58] + reg x_d_bits_i0v; // @[el2_lib.scala 524:16] + wire _T_876 = io_dec_i0_rs1_en_d & x_d_bits_i0v; // @[el2_dec_decode_ctl.scala 768:48] + wire _T_877 = x_d_bits_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 768:80] + wire i0_rs1_depend_i0_x = _T_876 & _T_877; // @[el2_dec_decode_ctl.scala 768:63] + wire _T_878 = io_dec_i0_rs1_en_d & r_d_bits_i0v; // @[el2_dec_decode_ctl.scala 769:48] + wire _T_879 = r_d_bits_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 769:80] + wire i0_rs1_depend_i0_r = _T_878 & _T_879; // @[el2_dec_decode_ctl.scala 769:63] wire [1:0] _T_891 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 775:63] wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_891; // @[el2_dec_decode_ctl.scala 775:24] wire _T_904 = _T_902 & i0_rs1_depth_d[0]; // @[el2_dec_decode_ctl.scala 788:58] @@ -46749,12 +46749,12 @@ module el2_dec_decode_ctl( wire _T_887_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 774:61] wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_887_load; // @[el2_dec_decode_ctl.scala 774:24] wire load_ldst_bypass_d = _T_904 & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 788:78] - wire _T_880 = io_dec_i0_rs2_en_d & x_d_i0v; // @[el2_dec_decode_ctl.scala 771:48] - wire _T_881 = x_d_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 771:70] - wire i0_rs2_depend_i0_x = _T_880 & _T_881; // @[el2_dec_decode_ctl.scala 771:58] - wire _T_882 = io_dec_i0_rs2_en_d & r_d_i0v; // @[el2_dec_decode_ctl.scala 772:48] - wire _T_883 = r_d_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 772:70] - wire i0_rs2_depend_i0_r = _T_882 & _T_883; // @[el2_dec_decode_ctl.scala 772:58] + wire _T_880 = io_dec_i0_rs2_en_d & x_d_bits_i0v; // @[el2_dec_decode_ctl.scala 771:48] + wire _T_881 = x_d_bits_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 771:80] + wire i0_rs2_depend_i0_x = _T_880 & _T_881; // @[el2_dec_decode_ctl.scala 771:63] + wire _T_882 = io_dec_i0_rs2_en_d & r_d_bits_i0v; // @[el2_dec_decode_ctl.scala 772:48] + wire _T_883 = r_d_bits_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 772:80] + wire i0_rs2_depend_i0_r = _T_882 & _T_883; // @[el2_dec_decode_ctl.scala 772:63] wire [1:0] _T_900 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 777:63] wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_900; // @[el2_dec_decode_ctl.scala 777:24] wire _T_907 = i0_dp_store & i0_rs2_depth_d[0]; // @[el2_dec_decode_ctl.scala 789:43] @@ -46762,16 +46762,16 @@ module el2_dec_decode_ctl( wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_896_load; // @[el2_dec_decode_ctl.scala 776:24] wire store_data_bypass_d = _T_907 & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 789:63] wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[el2_dec_decode_ctl.scala 463:42] - reg r_d_csrwen; // @[el2_lib.scala 524:16] - reg r_d_i0valid; // @[el2_lib.scala 524:16] - wire _T_352 = r_d_csrwen & r_d_i0valid; // @[el2_dec_decode_ctl.scala 471:34] - reg [11:0] r_d_csrwaddr; // @[el2_lib.scala 524:16] - wire _T_355 = r_d_csrwaddr == 12'h300; // @[el2_dec_decode_ctl.scala 474:45] - wire _T_356 = r_d_csrwaddr == 12'h304; // @[el2_dec_decode_ctl.scala 474:75] - wire _T_357 = _T_355 | _T_356; // @[el2_dec_decode_ctl.scala 474:59] - wire _T_358 = _T_357 & r_d_csrwen; // @[el2_dec_decode_ctl.scala 474:90] - wire _T_359 = _T_358 & r_d_i0valid; // @[el2_dec_decode_ctl.scala 474:103] - wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec_decode_ctl.scala 474:119] + reg r_d_bits_csrwen; // @[el2_lib.scala 524:16] + reg r_d_valid; // @[el2_lib.scala 524:16] + wire _T_352 = r_d_bits_csrwen & r_d_valid; // @[el2_dec_decode_ctl.scala 471:39] + reg [11:0] r_d_bits_csrwaddr; // @[el2_lib.scala 524:16] + wire _T_355 = r_d_bits_csrwaddr == 12'h300; // @[el2_dec_decode_ctl.scala 474:50] + wire _T_356 = r_d_bits_csrwaddr == 12'h304; // @[el2_dec_decode_ctl.scala 474:85] + wire _T_357 = _T_355 | _T_356; // @[el2_dec_decode_ctl.scala 474:64] + wire _T_358 = _T_357 & r_d_bits_csrwen; // @[el2_dec_decode_ctl.scala 474:100] + wire _T_359 = _T_358 & r_d_valid; // @[el2_dec_decode_ctl.scala 474:118] + wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec_decode_ctl.scala 474:132] reg csr_read_x; // @[el2_dec_decode_ctl.scala 476:52] reg csr_clr_x; // @[el2_dec_decode_ctl.scala 477:51] reg csr_set_x; // @[el2_dec_decode_ctl.scala 478:51] @@ -46801,14 +46801,14 @@ module el2_dec_decode_ctl( wire _T_426 = _T_425 | csr_write_x; // @[el2_dec_decode_ctl.scala 507:46] wire _T_427 = _T_426 & csr_read_x; // @[el2_dec_decode_ctl.scala 507:61] wire _T_428 = _T_427 | io_dec_tlu_wr_pause_r; // @[el2_dec_decode_ctl.scala 507:75] - reg r_d_csrwonly; // @[el2_lib.scala 524:16] - wire _T_764 = r_d_i0v & r_d_i0load; // @[el2_dec_decode_ctl.scala 710:37] + reg r_d_bits_csrwonly; // @[el2_lib.scala 524:16] + wire _T_764 = r_d_bits_i0v & r_d_bits_i0load; // @[el2_dec_decode_ctl.scala 710:42] reg [31:0] i0_result_r_raw; // @[el2_lib.scala 514:16] wire [31:0] i0_result_corr_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 710:27] - reg x_d_csrwonly; // @[el2_lib.scala 524:16] - wire _T_432 = x_d_csrwonly | r_d_csrwonly; // @[el2_dec_decode_ctl.scala 516:38] - reg wbd_csrwonly; // @[el2_lib.scala 524:16] - wire prior_csr_write = _T_432 | wbd_csrwonly; // @[el2_dec_decode_ctl.scala 516:53] + reg x_d_bits_csrwonly; // @[el2_lib.scala 524:16] + wire _T_432 = x_d_bits_csrwonly | r_d_bits_csrwonly; // @[el2_dec_decode_ctl.scala 516:43] + reg wbd_bits_csrwonly; // @[el2_lib.scala 524:16] + wire prior_csr_write = _T_432 | wbd_bits_csrwonly; // @[el2_dec_decode_ctl.scala 516:63] wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_cmd_wrdata[1]; // @[el2_dec_decode_ctl.scala 519:48] wire debug_fence = debug_fence_raw | debug_fence_i; // @[el2_dec_decode_ctl.scala 520:40] wire _T_436 = i0_dp_presync | io_dec_tlu_presync_d; // @[el2_dec_decode_ctl.scala 523:34] @@ -46825,8 +46825,8 @@ module el2_dec_decode_ctl( wire _T_473 = _T_472 | leak1_i0_stall; // @[el2_dec_decode_ctl.scala 541:95] wire _T_474 = _T_473 | io_dec_tlu_debug_stall; // @[el2_dec_decode_ctl.scala 542:20] wire _T_475 = _T_474 | postsync_stall; // @[el2_dec_decode_ctl.scala 542:45] - wire prior_inflight = x_d_i0valid | r_d_i0valid; // @[el2_dec_decode_ctl.scala 564:41] - wire prior_inflight_eff = i0_dp_div ? x_d_i0valid : prior_inflight; // @[el2_dec_decode_ctl.scala 565:31] + wire prior_inflight = x_d_valid | r_d_valid; // @[el2_dec_decode_ctl.scala 564:41] + wire prior_inflight_eff = i0_dp_div ? x_d_valid : prior_inflight; // @[el2_dec_decode_ctl.scala 565:31] wire presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 567:37] wire _T_476 = _T_475 | presync_stall; // @[el2_dec_decode_ctl.scala 542:62] wire _T_477 = i0_dp_fence | debug_fence; // @[el2_dec_decode_ctl.scala 543:19] @@ -46882,13 +46882,13 @@ module el2_dec_decode_ctl( reg r_t_pmu_i0_br_unpred; // @[el2_lib.scala 524:16] reg [3:0] lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 602:36] reg lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 603:37] - reg r_d_i0store; // @[el2_lib.scala 524:16] - wire _T_536 = r_d_i0load | r_d_i0store; // @[el2_dec_decode_ctl.scala 607:56] + reg r_d_bits_i0store; // @[el2_lib.scala 524:16] + wire _T_536 = r_d_bits_i0load | r_d_bits_i0store; // @[el2_dec_decode_ctl.scala 607:61] wire [3:0] _T_540 = {_T_536,_T_536,_T_536,_T_536}; // @[Cat.scala 29:58] - wire [3:0] _T_541 = _T_540 & lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 607:72] - wire [3:0] _T_542 = _T_541 | r_t_i0trigger; // @[el2_dec_decode_ctl.scala 607:95] - reg r_d_i0div; // @[el2_lib.scala 524:16] - wire _T_545 = r_d_i0div & r_d_i0valid; // @[el2_dec_decode_ctl.scala 613:53] + wire [3:0] _T_541 = _T_540 & lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 607:82] + wire [3:0] _T_542 = _T_541 | r_t_i0trigger; // @[el2_dec_decode_ctl.scala 607:105] + reg r_d_bits_i0div; // @[el2_lib.scala 524:16] + wire _T_545 = r_d_bits_i0div & r_d_valid; // @[el2_dec_decode_ctl.scala 613:58] wire _T_556 = i0r_rs1 != 5'h0; // @[el2_dec_decode_ctl.scala 624:49] wire _T_558 = i0r_rs2 != 5'h0; // @[el2_dec_decode_ctl.scala 625:49] wire _T_560 = i0r_rd != 5'h0; // @[el2_dec_decode_ctl.scala 626:48] @@ -46924,34 +46924,34 @@ module el2_dec_decode_ctl( reg i0_r_c_alu; // @[Reg.scala 15:16] wire _T_710 = |i0_pipe_en[1:0]; // @[el2_dec_decode_ctl.scala 656:49] wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[el2_dec_decode_ctl.scala 658:50] - reg x_d_i0store; // @[el2_lib.scala 524:16] - reg x_d_i0div; // @[el2_lib.scala 524:16] - reg x_d_csrwen; // @[el2_lib.scala 524:16] - reg [11:0] x_d_csrwaddr; // @[el2_lib.scala 524:16] - wire _T_733 = x_d_i0v & _T_743; // @[el2_dec_decode_ctl.scala 680:37] - wire _T_737 = x_d_i0valid & _T_743; // @[el2_dec_decode_ctl.scala 681:37] - wire _T_756 = ~r_d_i0div; // @[el2_dec_decode_ctl.scala 696:49] + reg x_d_bits_i0store; // @[el2_lib.scala 524:16] + reg x_d_bits_i0div; // @[el2_lib.scala 524:16] + reg x_d_bits_csrwen; // @[el2_lib.scala 524:16] + reg [11:0] x_d_bits_csrwaddr; // @[el2_lib.scala 524:16] + wire _T_733 = x_d_bits_i0v & _T_743; // @[el2_dec_decode_ctl.scala 680:47] + wire _T_737 = x_d_valid & _T_743; // @[el2_dec_decode_ctl.scala 681:33] + wire _T_756 = ~r_d_bits_i0div; // @[el2_dec_decode_ctl.scala 696:49] wire _T_757 = i0_wen_r & _T_756; // @[el2_dec_decode_ctl.scala 696:47] - wire _T_758 = ~i0_load_kill_wen_r; // @[el2_dec_decode_ctl.scala 696:65] - wire _T_761 = x_d_i0v & x_d_i0load; // @[el2_dec_decode_ctl.scala 705:42] + wire _T_758 = ~i0_load_kill_wen_r; // @[el2_dec_decode_ctl.scala 696:70] + wire _T_761 = x_d_bits_i0v & x_d_bits_i0load; // @[el2_dec_decode_ctl.scala 705:47] wire _T_768 = io_i0_ap_predict_nt & _T_561; // @[el2_dec_decode_ctl.scala 711:52] wire [11:0] _T_781 = {10'h0,io_dec_i0_pc4_d,i0_ap_pc2}; // @[Cat.scala 29:58] reg [11:0] last_br_immed_x; // @[el2_lib.scala 514:16] - wire _T_799 = x_d_i0div & x_d_i0valid; // @[el2_dec_decode_ctl.scala 719:40] - wire div_e1_to_r = _T_799 | _T_545; // @[el2_dec_decode_ctl.scala 719:55] - wire _T_802 = x_d_i0rd == 5'h0; // @[el2_dec_decode_ctl.scala 721:69] - wire _T_803 = _T_799 & _T_802; // @[el2_dec_decode_ctl.scala 721:57] - wire _T_805 = _T_799 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 722:30] - wire _T_806 = _T_803 | _T_805; // @[el2_dec_decode_ctl.scala 721:86] - wire _T_808 = _T_545 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 723:30] - wire _T_809 = _T_808 & io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 723:57] - wire div_flush = _T_806 | _T_809; // @[el2_dec_decode_ctl.scala 722:59] + wire _T_799 = x_d_bits_i0div & x_d_valid; // @[el2_dec_decode_ctl.scala 719:45] + wire div_e1_to_r = _T_799 | _T_545; // @[el2_dec_decode_ctl.scala 719:58] + wire _T_802 = x_d_bits_i0rd == 5'h0; // @[el2_dec_decode_ctl.scala 721:77] + wire _T_803 = _T_799 & _T_802; // @[el2_dec_decode_ctl.scala 721:60] + wire _T_805 = _T_799 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 722:33] + wire _T_806 = _T_803 | _T_805; // @[el2_dec_decode_ctl.scala 721:94] + wire _T_808 = _T_545 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 723:33] + wire _T_809 = _T_808 & io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 723:60] + wire div_flush = _T_806 | _T_809; // @[el2_dec_decode_ctl.scala 722:62] wire _T_810 = io_dec_div_active & div_flush; // @[el2_dec_decode_ctl.scala 727:51] wire _T_811 = ~div_e1_to_r; // @[el2_dec_decode_ctl.scala 728:26] wire _T_812 = io_dec_div_active & _T_811; // @[el2_dec_decode_ctl.scala 728:24] - wire _T_813 = r_d_i0rd == io_div_waddr_wb; // @[el2_dec_decode_ctl.scala 728:51] + wire _T_813 = r_d_bits_i0rd == io_div_waddr_wb; // @[el2_dec_decode_ctl.scala 728:56] wire _T_814 = _T_812 & _T_813; // @[el2_dec_decode_ctl.scala 728:39] - wire _T_815 = _T_814 & i0_wen_r; // @[el2_dec_decode_ctl.scala 728:72] + wire _T_815 = _T_814 & i0_wen_r; // @[el2_dec_decode_ctl.scala 728:77] wire nonblock_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 727:65] wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 731:55] wire _T_817 = ~io_exu_div_wren; // @[el2_dec_decode_ctl.scala 733:62] @@ -47250,7 +47250,7 @@ module el2_dec_decode_ctl( assign io_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[el2_dec_decode_ctl.scala 572:26] assign io_dec_i0_rs1_bypass_data_d = _T_967 | _T_966; // @[el2_dec_decode_ctl.scala 807:31] assign io_dec_i0_rs2_bypass_data_d = _T_984 | _T_983; // @[el2_dec_decode_ctl.scala 812:31] - assign io_dec_i0_waddr_r = r_d_i0rd; // @[el2_dec_decode_ctl.scala 694:27] + assign io_dec_i0_waddr_r = r_d_bits_i0rd; // @[el2_dec_decode_ctl.scala 694:27] assign io_dec_i0_wen_r = _T_757 & _T_758; // @[el2_dec_decode_ctl.scala 696:32] assign io_dec_i0_wdata_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 697:26] assign io_dec_i0_select_pc_d = _T_41 ? 1'h0 : i0_dp_raw_pc; // @[el2_dec_decode_ctl.scala 271:25] @@ -47282,10 +47282,10 @@ module el2_dec_decode_ctl( assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 529:24] assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[el2_dec_decode_ctl.scala 466:24] assign io_dec_csr_wen_r = _T_352 & _T_754; // @[el2_dec_decode_ctl.scala 471:20] - assign io_dec_csr_wraddr_r = r_d_csrwaddr; // @[el2_dec_decode_ctl.scala 467:23] - assign io_dec_csr_wrdata_r = r_d_csrwonly ? i0_result_corr_r : write_csr_data; // @[el2_dec_decode_ctl.scala 514:24] + assign io_dec_csr_wraddr_r = r_d_bits_csrwaddr; // @[el2_dec_decode_ctl.scala 467:23] + assign io_dec_csr_wrdata_r = r_d_bits_csrwonly ? i0_result_corr_r : write_csr_data; // @[el2_dec_decode_ctl.scala 514:24] assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[el2_dec_decode_ctl.scala 474:27] - assign io_dec_tlu_i0_valid_r = r_d_i0valid & _T_743; // @[el2_dec_decode_ctl.scala 578:29] + assign io_dec_tlu_i0_valid_r = r_d_valid & _T_743; // @[el2_dec_decode_ctl.scala 578:29] assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[el2_dec_decode_ctl.scala 612:39] assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[el2_dec_decode_ctl.scala 612:39] assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[el2_dec_decode_ctl.scala 612:39] @@ -47294,7 +47294,7 @@ module el2_dec_decode_ctl( assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_542; // @[el2_dec_decode_ctl.scala 612:39] assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[el2_dec_decode_ctl.scala 612:39] assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[el2_dec_decode_ctl.scala 612:39] - assign io_dec_tlu_packet_r_pmu_divide = r_d_i0div & r_d_i0valid; // @[el2_dec_decode_ctl.scala 612:39 el2_dec_decode_ctl.scala 613:39] + assign io_dec_tlu_packet_r_pmu_divide = r_d_bits_i0div & r_d_valid; // @[el2_dec_decode_ctl.scala 612:39 el2_dec_decode_ctl.scala 613:39] assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 612:39] assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[el2_dec_decode_ctl.scala 759:27] assign io_dec_illegal_inst = _T_465; // @[el2_dec_decode_ctl.scala 536:23] @@ -47435,7 +47435,7 @@ initial begin _RAND_6 = {1{`RANDOM}}; postsync_stall = _RAND_6[0:0]; _RAND_7 = {1{`RANDOM}}; - x_d_i0valid = _RAND_7[0:0]; + x_d_valid = _RAND_7[0:0]; _RAND_8 = {1{`RANDOM}}; flush_final_r = _RAND_8[0:0]; _RAND_9 = {1{`RANDOM}}; @@ -47457,19 +47457,19 @@ initial begin _RAND_17 = {1{`RANDOM}}; cam_raw_3_valid = _RAND_17[0:0]; _RAND_18 = {1{`RANDOM}}; - x_d_i0load = _RAND_18[0:0]; + x_d_bits_i0load = _RAND_18[0:0]; _RAND_19 = {1{`RANDOM}}; - x_d_i0rd = _RAND_19[4:0]; + x_d_bits_i0rd = _RAND_19[4:0]; _RAND_20 = {1{`RANDOM}}; _T_701 = _RAND_20[2:0]; _RAND_21 = {1{`RANDOM}}; nonblock_load_valid_m_delay = _RAND_21[0:0]; _RAND_22 = {1{`RANDOM}}; - r_d_i0load = _RAND_22[0:0]; + r_d_bits_i0load = _RAND_22[0:0]; _RAND_23 = {1{`RANDOM}}; - r_d_i0v = _RAND_23[0:0]; + r_d_bits_i0v = _RAND_23[0:0]; _RAND_24 = {1{`RANDOM}}; - r_d_i0rd = _RAND_24[4:0]; + r_d_bits_i0rd = _RAND_24[4:0]; _RAND_25 = {1{`RANDOM}}; cam_raw_0_bits_rd = _RAND_25[4:0]; _RAND_26 = {1{`RANDOM}}; @@ -47491,17 +47491,17 @@ initial begin _RAND_34 = {1{`RANDOM}}; _T_339 = _RAND_34[0:0]; _RAND_35 = {1{`RANDOM}}; - x_d_i0v = _RAND_35[0:0]; + x_d_bits_i0v = _RAND_35[0:0]; _RAND_36 = {1{`RANDOM}}; i0_x_c_load = _RAND_36[0:0]; _RAND_37 = {1{`RANDOM}}; i0_r_c_load = _RAND_37[0:0]; _RAND_38 = {1{`RANDOM}}; - r_d_csrwen = _RAND_38[0:0]; + r_d_bits_csrwen = _RAND_38[0:0]; _RAND_39 = {1{`RANDOM}}; - r_d_i0valid = _RAND_39[0:0]; + r_d_valid = _RAND_39[0:0]; _RAND_40 = {1{`RANDOM}}; - r_d_csrwaddr = _RAND_40[11:0]; + r_d_bits_csrwaddr = _RAND_40[11:0]; _RAND_41 = {1{`RANDOM}}; csr_read_x = _RAND_41[0:0]; _RAND_42 = {1{`RANDOM}}; @@ -47517,13 +47517,13 @@ initial begin _RAND_47 = {1{`RANDOM}}; csr_rddata_x = _RAND_47[31:0]; _RAND_48 = {1{`RANDOM}}; - r_d_csrwonly = _RAND_48[0:0]; + r_d_bits_csrwonly = _RAND_48[0:0]; _RAND_49 = {1{`RANDOM}}; i0_result_r_raw = _RAND_49[31:0]; _RAND_50 = {1{`RANDOM}}; - x_d_csrwonly = _RAND_50[0:0]; + x_d_bits_csrwonly = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - wbd_csrwonly = _RAND_51[0:0]; + wbd_bits_csrwonly = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; _T_465 = _RAND_52[31:0]; _RAND_53 = {1{`RANDOM}}; @@ -47563,9 +47563,9 @@ initial begin _RAND_70 = {1{`RANDOM}}; lsu_pmu_misaligned_r = _RAND_70[0:0]; _RAND_71 = {1{`RANDOM}}; - r_d_i0store = _RAND_71[0:0]; + r_d_bits_i0store = _RAND_71[0:0]; _RAND_72 = {1{`RANDOM}}; - r_d_i0div = _RAND_72[0:0]; + r_d_bits_i0div = _RAND_72[0:0]; _RAND_73 = {1{`RANDOM}}; i0_x_c_mul = _RAND_73[0:0]; _RAND_74 = {1{`RANDOM}}; @@ -47575,13 +47575,13 @@ initial begin _RAND_76 = {1{`RANDOM}}; i0_r_c_alu = _RAND_76[0:0]; _RAND_77 = {1{`RANDOM}}; - x_d_i0store = _RAND_77[0:0]; + x_d_bits_i0store = _RAND_77[0:0]; _RAND_78 = {1{`RANDOM}}; - x_d_i0div = _RAND_78[0:0]; + x_d_bits_i0div = _RAND_78[0:0]; _RAND_79 = {1{`RANDOM}}; - x_d_csrwen = _RAND_79[0:0]; + x_d_bits_csrwen = _RAND_79[0:0]; _RAND_80 = {1{`RANDOM}}; - x_d_csrwaddr = _RAND_80[11:0]; + x_d_bits_csrwaddr = _RAND_80[11:0]; _RAND_81 = {1{`RANDOM}}; last_br_immed_x = _RAND_81[11:0]; _RAND_82 = {1{`RANDOM}}; @@ -47625,7 +47625,7 @@ initial begin postsync_stall = 1'h0; end if (reset) begin - x_d_i0valid = 1'h0; + x_d_valid = 1'h0; end if (reset) begin flush_final_r = 1'h0; @@ -47658,10 +47658,10 @@ initial begin cam_raw_3_valid = 1'h0; end if (reset) begin - x_d_i0load = 1'h0; + x_d_bits_i0load = 1'h0; end if (reset) begin - x_d_i0rd = 5'h0; + x_d_bits_i0rd = 5'h0; end if (reset) begin _T_701 = 3'h0; @@ -47670,13 +47670,13 @@ initial begin nonblock_load_valid_m_delay = 1'h0; end if (reset) begin - r_d_i0load = 1'h0; + r_d_bits_i0load = 1'h0; end if (reset) begin - r_d_i0v = 1'h0; + r_d_bits_i0v = 1'h0; end if (reset) begin - r_d_i0rd = 5'h0; + r_d_bits_i0rd = 5'h0; end if (reset) begin cam_raw_0_bits_rd = 5'h0; @@ -47709,16 +47709,16 @@ initial begin _T_339 = 1'h0; end if (reset) begin - x_d_i0v = 1'h0; + x_d_bits_i0v = 1'h0; end if (reset) begin - r_d_csrwen = 1'h0; + r_d_bits_csrwen = 1'h0; end if (reset) begin - r_d_i0valid = 1'h0; + r_d_valid = 1'h0; end if (reset) begin - r_d_csrwaddr = 12'h0; + r_d_bits_csrwaddr = 12'h0; end if (reset) begin csr_read_x = 1'h0; @@ -47742,16 +47742,16 @@ initial begin csr_rddata_x = 32'h0; end if (reset) begin - r_d_csrwonly = 1'h0; + r_d_bits_csrwonly = 1'h0; end if (reset) begin i0_result_r_raw = 32'h0; end if (reset) begin - x_d_csrwonly = 1'h0; + x_d_bits_csrwonly = 1'h0; end if (reset) begin - wbd_csrwonly = 1'h0; + wbd_bits_csrwonly = 1'h0; end if (reset) begin _T_465 = 32'h0; @@ -47811,22 +47811,22 @@ initial begin lsu_pmu_misaligned_r = 1'h0; end if (reset) begin - r_d_i0store = 1'h0; + r_d_bits_i0store = 1'h0; end if (reset) begin - r_d_i0div = 1'h0; + r_d_bits_i0div = 1'h0; end if (reset) begin - x_d_i0store = 1'h0; + x_d_bits_i0store = 1'h0; end if (reset) begin - x_d_i0div = 1'h0; + x_d_bits_i0div = 1'h0; end if (reset) begin - x_d_csrwen = 1'h0; + x_d_bits_csrwen = 1'h0; end if (reset) begin - x_d_csrwaddr = 12'h0; + x_d_bits_csrwaddr = 12'h0; end if (reset) begin last_br_immed_x = 12'h0; @@ -47939,9 +47939,9 @@ end // initial end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_i0valid <= 1'h0; + x_d_valid <= 1'h0; end else begin - x_d_i0valid <= io_dec_i0_decode_d; + x_d_valid <= io_dec_i0_decode_d; end end always @(posedge rvclkhdr_io_l1clk or posedge reset) begin @@ -48032,16 +48032,16 @@ end // initial end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_i0load <= 1'h0; + x_d_bits_i0load <= 1'h0; end else begin - x_d_i0load <= i0_dp_load & i0_legal_decode_d; + x_d_bits_i0load <= i0_dp_load & i0_legal_decode_d; end end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_i0rd <= 5'h0; + x_d_bits_i0rd <= 5'h0; end else begin - x_d_i0rd <= io_dec_i0_instr_d[11:7]; + x_d_bits_i0rd <= io_dec_i0_instr_d[11:7]; end end always @(posedge io_active_clk or posedge reset) begin @@ -48060,31 +48060,31 @@ end // initial end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_i0load <= 1'h0; + r_d_bits_i0load <= 1'h0; end else begin - r_d_i0load <= x_d_i0load; + r_d_bits_i0load <= x_d_bits_i0load; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_i0v <= 1'h0; + r_d_bits_i0v <= 1'h0; end else begin - r_d_i0v <= _T_733 & _T_280; + r_d_bits_i0v <= _T_733 & _T_280; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_i0rd <= 5'h0; + r_d_bits_i0rd <= 5'h0; end else begin - r_d_i0rd <= x_d_i0rd; + r_d_bits_i0rd <= x_d_bits_i0rd; end end always @(posedge io_free_clk or posedge reset) begin if (reset) begin cam_raw_0_bits_rd <= 5'h0; end else if (cam_wen[0]) begin - if (x_d_i0load) begin - cam_raw_0_bits_rd <= x_d_i0rd; + if (x_d_bits_i0load) begin + cam_raw_0_bits_rd <= x_d_bits_i0rd; end else begin cam_raw_0_bits_rd <= 5'h0; end @@ -48103,8 +48103,8 @@ end // initial if (reset) begin cam_raw_1_bits_rd <= 5'h0; end else if (cam_wen[1]) begin - if (x_d_i0load) begin - cam_raw_1_bits_rd <= x_d_i0rd; + if (x_d_bits_i0load) begin + cam_raw_1_bits_rd <= x_d_bits_i0rd; end else begin cam_raw_1_bits_rd <= 5'h0; end @@ -48123,8 +48123,8 @@ end // initial if (reset) begin cam_raw_2_bits_rd <= 5'h0; end else if (cam_wen[2]) begin - if (x_d_i0load) begin - cam_raw_2_bits_rd <= x_d_i0rd; + if (x_d_bits_i0load) begin + cam_raw_2_bits_rd <= x_d_bits_i0rd; end else begin cam_raw_2_bits_rd <= 5'h0; end @@ -48143,8 +48143,8 @@ end // initial if (reset) begin cam_raw_3_bits_rd <= 5'h0; end else if (cam_wen[3]) begin - if (x_d_i0load) begin - cam_raw_3_bits_rd <= x_d_i0rd; + if (x_d_bits_i0load) begin + cam_raw_3_bits_rd <= x_d_bits_i0rd; end else begin cam_raw_3_bits_rd <= 5'h0; end @@ -48175,30 +48175,30 @@ end // initial end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_i0v <= 1'h0; + x_d_bits_i0v <= 1'h0; end else begin - x_d_i0v <= i0_rd_en_d & i0_legal_decode_d; + x_d_bits_i0v <= i0_rd_en_d & i0_legal_decode_d; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_csrwen <= 1'h0; + r_d_bits_csrwen <= 1'h0; end else begin - r_d_csrwen <= x_d_csrwen; + r_d_bits_csrwen <= x_d_bits_csrwen; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_i0valid <= 1'h0; + r_d_valid <= 1'h0; end else begin - r_d_i0valid <= _T_737 & _T_280; + r_d_valid <= _T_737 & _T_280; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_csrwaddr <= 12'h0; + r_d_bits_csrwaddr <= 12'h0; end else begin - r_d_csrwaddr <= x_d_csrwaddr; + r_d_bits_csrwaddr <= x_d_bits_csrwaddr; end end always @(posedge io_active_clk or posedge reset) begin @@ -48254,9 +48254,9 @@ end // initial end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_csrwonly <= 1'h0; + r_d_bits_csrwonly <= 1'h0; end else begin - r_d_csrwonly <= x_d_csrwonly; + r_d_bits_csrwonly <= x_d_bits_csrwonly; end end always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin @@ -48270,16 +48270,16 @@ end // initial end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_csrwonly <= 1'h0; + x_d_bits_csrwonly <= 1'h0; end else begin - x_d_csrwonly <= i0_csr_write_only_d & io_dec_i0_decode_d; + x_d_bits_csrwonly <= i0_csr_write_only_d & io_dec_i0_decode_d; end end always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin - wbd_csrwonly <= 1'h0; + wbd_bits_csrwonly <= 1'h0; end else begin - wbd_csrwonly <= r_d_csrwonly; + wbd_bits_csrwonly <= r_d_bits_csrwonly; end end always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin @@ -48419,44 +48419,44 @@ end // initial end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_i0store <= 1'h0; + r_d_bits_i0store <= 1'h0; end else begin - r_d_i0store <= x_d_i0store; + r_d_bits_i0store <= x_d_bits_i0store; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - r_d_i0div <= 1'h0; + r_d_bits_i0div <= 1'h0; end else begin - r_d_i0div <= x_d_i0div; + r_d_bits_i0div <= x_d_bits_i0div; end end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_i0store <= 1'h0; + x_d_bits_i0store <= 1'h0; end else begin - x_d_i0store <= i0_dp_store & i0_legal_decode_d; + x_d_bits_i0store <= i0_dp_store & i0_legal_decode_d; end end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_i0div <= 1'h0; + x_d_bits_i0div <= 1'h0; end else begin - x_d_i0div <= i0_dp_div & i0_legal_decode_d; + x_d_bits_i0div <= i0_dp_div & i0_legal_decode_d; end end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_csrwen <= 1'h0; + x_d_bits_csrwen <= 1'h0; end else begin - x_d_csrwen <= io_dec_csr_wen_unq_d & i0_legal_decode_d; + x_d_bits_csrwen <= io_dec_csr_wen_unq_d & i0_legal_decode_d; end end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin - x_d_csrwaddr <= 12'h0; + x_d_bits_csrwaddr <= 12'h0; end else begin - x_d_csrwaddr <= io_dec_i0_instr_d[31:20]; + x_d_bits_csrwaddr <= io_dec_i0_instr_d[31:20]; end end always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin diff --git a/src/main/scala/dec/el2_dec_decode_ctl.scala b/src/main/scala/dec/el2_dec_decode_ctl.scala index 5dd95a64..51ea176d 100644 --- a/src/main/scala/dec/el2_dec_decode_ctl.scala +++ b/src/main/scala/dec/el2_dec_decode_ctl.scala @@ -133,11 +133,11 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ val x_t_in = Wire(new el2_trap_pkt_t) val r_t = Wire(new el2_trap_pkt_t) val r_t_in = Wire(new el2_trap_pkt_t) - val d_d = Wire(new el2_dest_pkt_t) - val x_d = Wire(new el2_dest_pkt_t) - val r_d = Wire(new el2_dest_pkt_t) - val r_d_in = Wire(new el2_dest_pkt_t) - val wbd = Wire(new el2_dest_pkt_t) + val d_d = Wire(Valid(new el2_dest_pkt_t)) + val x_d = Wire(Valid(new el2_dest_pkt_t)) + val r_d = Wire(Valid(new el2_dest_pkt_t)) + val r_d_in = Wire(Valid(new el2_dest_pkt_t)) + val wbd = Wire(Valid(new el2_dest_pkt_t)) val i0_d_c = Wire(new el2_class_pkt_t) val i0_rs1_class_d = Wire(new el2_class_pkt_t) val i0_rs2_class_d = Wire(new el2_class_pkt_t) @@ -311,12 +311,12 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ val cam_data_reset = io.lsu_nonblock_load_data_valid | io.lsu_nonblock_load_data_error val cam_data_reset_tag = io.lsu_nonblock_load_data_tag - val nonblock_load_rd = Mux(x_d.i0load.asBool, x_d.i0rd, 0.U(5.W)) // rd data + val nonblock_load_rd = Mux(x_d.bits.i0load.asBool, x_d.bits.i0rd, 0.U(5.W)) // rd data val load_data_tag = io.lsu_nonblock_load_data_tag // case of multiple loads to same dest ie. x1 ... you have to invalidate the older one // don't writeback a nonblock load val nonblock_load_valid_m_delay=withClock(io.active_clk){RegEnable(io.lsu_nonblock_load_valid_m,0.U, i0_r_ctl_en.asBool)} - val i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d.i0load + val i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d.bits.i0load for(i <- 0 until LSU_NUM_NBLOAD){ cam_inv_reset_val(i) := cam_inv_reset & (cam_inv_reset_tag === cam(i).bits.tag) & cam(i).valid cam_data_reset_val(i) := cam_data_reset & (cam_data_reset_tag === cam(i).bits.tag) & cam_raw(i).valid @@ -331,7 +331,7 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ cam_in(i).bits.wb := 0.U(1.W) cam_in(i).bits.tag := cam_write_tag cam_in(i).bits.rd := nonblock_load_rd - }.elsewhen(cam_inv_reset_val(i).asBool || (i0_wen_r.asBool && (r_d_in.i0rd === cam(i).bits.rd) && cam(i).bits.wb.asBool)){ + }.elsewhen(cam_inv_reset_val(i).asBool || (i0_wen_r.asBool && (r_d_in.bits.i0rd === cam(i).bits.rd) && cam(i).bits.wb.asBool)){ cam_in(i).valid := 0.U }.otherwise{ cam_in(i) := cam(i) @@ -350,7 +350,7 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ io.dec_nonblock_load_waddr:=0.U(5.W) // cancel if any younger inst (including another nonblock) committing this cycle - val nonblock_load_cancel = ((r_d_in.i0rd === io.dec_nonblock_load_waddr) & i0_wen_r) + val nonblock_load_cancel = ((r_d_in.bits.i0rd === io.dec_nonblock_load_waddr) & i0_wen_r) io.dec_nonblock_load_wen := (io.lsu_nonblock_load_data_valid && nonblock_load_write.reduce(_|_).asBool && !nonblock_load_cancel) val i0_nonblock_boundary_stall = ((nonblock_load_rd===i0r.rs1) & io.lsu_nonblock_load_valid_m & io.dec_i0_rs1_en_d)|((nonblock_load_rd===i0r.rs2) & io.lsu_nonblock_load_valid_m & io.dec_i0_rs2_en_d) @@ -464,14 +464,14 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ //dec_csr_wen_unq_d assigned as csr_write above io.dec_csr_rdaddr_d := i0(31,20) - io.dec_csr_wraddr_r := r_d.csrwaddr //r_d is a el2_dest_pkt + io.dec_csr_wraddr_r := r_d.bits.csrwaddr //r_d is a el2_dest_pkt // make sure csr doesn't write same cycle as dec_tlu_flush_lower_wb // also use valid so it's flushable - io.dec_csr_wen_r := r_d.csrwen & r_d.i0valid & !io.dec_tlu_i0_kill_writeb_r; + io.dec_csr_wen_r := r_d.bits.csrwen & r_d.valid & !io.dec_tlu_i0_kill_writeb_r; // If we are writing MIE or MSTATUS, hold off the external interrupt for a cycle on the write. - io.dec_csr_stall_int_ff := ((r_d.csrwaddr === "h300".U) | (r_d.csrwaddr === "h304".U)) & r_d.csrwen & r_d.i0valid & !io.dec_tlu_i0_kill_writeb_wb; + io.dec_csr_stall_int_ff := ((r_d.bits.csrwaddr === "h300".U) | (r_d.bits.csrwaddr === "h304".U)) & r_d.bits.csrwen & r_d.valid & !io.dec_tlu_i0_kill_writeb_wb; val csr_read_x = withClock(io.active_clk){RegNext(csr_ren_qual_d,init=0.B)} val csr_clr_x = withClock(io.active_clk){RegNext(csr_clr_d, init=0.B)} @@ -511,9 +511,9 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ val pause_stall = pause_state // for csr write only data is produced by the alu - io.dec_csr_wrdata_r := Mux(r_d.csrwonly.asBool,i0_result_corr_r,write_csr_data) + io.dec_csr_wrdata_r := Mux(r_d.bits.csrwonly.asBool,i0_result_corr_r,write_csr_data) - val prior_csr_write = x_d.csrwonly | r_d.csrwonly | wbd.csrwonly; + val prior_csr_write = x_d.bits.csrwonly | r_d.bits.csrwonly | wbd.bits.csrwonly; val debug_fence_i = io.dec_debug_fence_d & io.dbg_cmd_wrdata(0) val debug_fence_raw = io.dec_debug_fence_d & io.dbg_cmd_wrdata(1) @@ -559,8 +559,8 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ io.dec_pmu_postsync_stall := postsync_stall.asBool io.dec_pmu_presync_stall := presync_stall.asBool - val prior_inflight_x = x_d.i0valid - val prior_inflight_wb = r_d.i0valid + val prior_inflight_x = x_d.valid + val prior_inflight_wb = r_d.valid val prior_inflight = prior_inflight_x | prior_inflight_wb val prior_inflight_eff = Mux(i0_dp.div,prior_inflight_x,prior_inflight) @@ -575,7 +575,7 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ mul_decode_d := i0_exulegal_decode_d & i0_dp.mul div_decode_d := i0_exulegal_decode_d & i0_dp.div - io.dec_tlu_i0_valid_r := r_d.i0valid & !io.dec_tlu_flush_lower_wb + io.dec_tlu_i0_valid_r := r_d.valid & !io.dec_tlu_flush_lower_wb //traps for TLU (tlu stuff) d_t.legal := i0_legal_decode_d @@ -604,13 +604,13 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ r_t_in := r_t - r_t_in.i0trigger := (repl(4,(r_d.i0load | r_d.i0store)) & lsu_trigger_match_r) | r_t.i0trigger + r_t_in.i0trigger := (repl(4,(r_d.bits.i0load | r_d.bits.i0store)) & lsu_trigger_match_r) | r_t.i0trigger r_t_in.pmu_lsu_misaligned := lsu_pmu_misaligned_r // only valid if a load/store is valid in DC3 stage when (io.dec_tlu_flush_lower_wb.asBool) {r_t_in := 0.U.asTypeOf(r_t_in) } io.dec_tlu_packet_r := r_t_in - io.dec_tlu_packet_r.pmu_divide := r_d.i0div & r_d.i0valid + io.dec_tlu_packet_r.pmu_divide := r_d.bits.i0div & r_d.valid // end tlu stuff flush_final_r := withClock(data_gate_clk){RegNext(io.exu_flush_final, 0.U)} @@ -662,52 +662,52 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ io.dec_data_en := Cat(i0_x_data_en, i0_r_data_en) io.dec_ctl_en := Cat(i0_x_ctl_en, i0_r_ctl_en) - d_d.i0rd := i0r.rd - d_d.i0v := i0_rd_en_d & i0_legal_decode_d - d_d.i0valid := io.dec_i0_decode_d // has flush_final_r + d_d.bits.i0rd := i0r.rd + d_d.bits.i0v := i0_rd_en_d & i0_legal_decode_d + d_d.valid := io.dec_i0_decode_d // has flush_final_r - d_d.i0load := i0_dp.load & i0_legal_decode_d - d_d.i0store := i0_dp.store & i0_legal_decode_d - d_d.i0div := i0_dp.div & i0_legal_decode_d + d_d.bits.i0load := i0_dp.load & i0_legal_decode_d + d_d.bits.i0store := i0_dp.store & i0_legal_decode_d + d_d.bits.i0div := i0_dp.div & i0_legal_decode_d - d_d.csrwen := io.dec_csr_wen_unq_d & i0_legal_decode_d - d_d.csrwonly := i0_csr_write_only_d & io.dec_i0_decode_d - d_d.csrwaddr := i0(31,20) + d_d.bits.csrwen := io.dec_csr_wen_unq_d & i0_legal_decode_d + d_d.bits.csrwonly := i0_csr_write_only_d & io.dec_i0_decode_d + d_d.bits.csrwaddr := i0(31,20) x_d := rvdffe(d_d, i0_x_ctl_en.asBool,clock,io.scan_mode) - val x_d_in = Wire(new el2_dest_pkt_t) + val x_d_in = Wire(Valid(new el2_dest_pkt_t)) x_d_in := x_d - x_d_in.i0v := x_d.i0v & !io.dec_tlu_flush_lower_wb & !io.dec_tlu_flush_lower_r - x_d_in.i0valid := x_d.i0valid & !io.dec_tlu_flush_lower_wb & !io.dec_tlu_flush_lower_r + x_d_in.bits.i0v := x_d.bits.i0v & !io.dec_tlu_flush_lower_wb & !io.dec_tlu_flush_lower_r + x_d_in.valid := x_d.valid & !io.dec_tlu_flush_lower_wb & !io.dec_tlu_flush_lower_r r_d := rvdffe(x_d_in,i0_r_ctl_en.asBool,clock,io.scan_mode) r_d_in := r_d - r_d_in.i0rd := r_d.i0rd + r_d_in.bits.i0rd := r_d.bits.i0rd - r_d_in.i0v := (r_d.i0v & !io.dec_tlu_flush_lower_wb) - r_d_in.i0valid := (r_d.i0valid & !io.dec_tlu_flush_lower_wb) - r_d_in.i0load := r_d.i0load & !io.dec_tlu_flush_lower_wb - r_d_in.i0store := r_d.i0store & !io.dec_tlu_flush_lower_wb + r_d_in.bits.i0v := (r_d.bits.i0v & !io.dec_tlu_flush_lower_wb) + r_d_in.valid := (r_d.valid & !io.dec_tlu_flush_lower_wb) + r_d_in.bits.i0load := r_d.bits.i0load & !io.dec_tlu_flush_lower_wb + r_d_in.bits.i0store := r_d.bits.i0store & !io.dec_tlu_flush_lower_wb wbd := rvdffe(r_d_in,i0_wb_ctl_en.asBool,clock,io.scan_mode) - io.dec_i0_waddr_r := r_d_in.i0rd - i0_wen_r := r_d_in.i0v & !io.dec_tlu_i0_kill_writeb_r - io.dec_i0_wen_r := i0_wen_r & !r_d_in.i0div & !i0_load_kill_wen_r // don't write a nonblock load 1st time down the pipe + io.dec_i0_waddr_r := r_d_in.bits.i0rd + i0_wen_r := r_d_in.bits.i0v & !io.dec_tlu_i0_kill_writeb_r + io.dec_i0_wen_r := i0_wen_r & !r_d_in.bits.i0div & !i0_load_kill_wen_r // don't write a nonblock load 1st time down the pipe io.dec_i0_wdata_r := i0_result_corr_r val i0_result_r_raw = rvdffe(i0_result_x,i0_r_data_en.asBool,clock,io.scan_mode) if ( LOAD_TO_USE_PLUS1 == 1 ) { i0_result_x := io.exu_i0_result_x - i0_result_r := Mux((r_d.i0v & r_d.i0load).asBool,io.lsu_result_m, i0_result_r_raw) + i0_result_r := Mux((r_d.bits.i0v & r_d.bits.i0load).asBool,io.lsu_result_m, i0_result_r_raw) } else { - i0_result_x := Mux((x_d.i0v & x_d.i0load).asBool,io.lsu_result_m,io.exu_i0_result_x) + i0_result_x := Mux((x_d.bits.i0v & x_d.bits.i0load).asBool,io.lsu_result_m,io.exu_i0_result_x) i0_result_r := i0_result_r_raw } // correct lsu load data - don't use for bypass, do pass down the pipe - i0_result_corr_r := Mux((r_d.i0v & r_d.i0load).asBool,io.lsu_result_corr_r,i0_result_r_raw) + i0_result_corr_r := Mux((r_d.bits.i0v & r_d.bits.i0load).asBool,io.lsu_result_corr_r,i0_result_r_raw) io.dec_i0_br_immed_d := Mux((io.i0_ap.predict_nt & !i0_dp.jal).asBool,i0_br_offset,Cat(repl(10,0.U),i0_ap_pc4,i0_ap_pc2)) val last_br_immed_d = WireInit(UInt(12.W),0.U) last_br_immed_d := Mux((io.i0_ap.predict_nt).asBool,Cat(repl(10,0.U),i0_ap_pc4,i0_ap_pc2),i0_br_offset) @@ -716,16 +716,16 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ // divide stuff - val div_e1_to_r = (x_d.i0div & x_d.i0valid) | (r_d.i0div & r_d.i0valid) + val div_e1_to_r = (x_d.bits.i0div & x_d.valid) | (r_d.bits.i0div & r_d.valid) - val div_flush = (x_d.i0div & x_d.i0valid & (x_d.i0rd === 0.U(5.W))) | - (x_d.i0div & x_d.i0valid & io.dec_tlu_flush_lower_r ) | - (r_d.i0div & r_d.i0valid & io.dec_tlu_flush_lower_r & io.dec_tlu_i0_kill_writeb_r) + val div_flush = (x_d.bits.i0div & x_d.valid & (x_d.bits.i0rd === 0.U(5.W))) | + (x_d.bits.i0div & x_d.valid & io.dec_tlu_flush_lower_r ) | + (r_d.bits.i0div & r_d.valid & io.dec_tlu_flush_lower_r & io.dec_tlu_i0_kill_writeb_r) // cancel if any younger inst committing this cycle to same dest as nonblock divide val nonblock_div_cancel = (io.dec_div_active & div_flush) | - (io.dec_div_active & !div_e1_to_r & (r_d.i0rd === io.div_waddr_wb) & i0_wen_r) + (io.dec_div_active & !div_e1_to_r & (r_d.bits.i0rd === io.div_waddr_wb) & i0_wen_r) io.dec_div_cancel := nonblock_div_cancel.asBool val i0_div_decode_d = i0_legal_decode_d & i0_dp.div @@ -765,11 +765,11 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ // scheduling logic for primary alu's - val i0_rs1_depend_i0_x = io.dec_i0_rs1_en_d & x_d.i0v & (x_d.i0rd === i0r.rs1) - val i0_rs1_depend_i0_r = io.dec_i0_rs1_en_d & r_d.i0v & (r_d.i0rd === i0r.rs1) + val i0_rs1_depend_i0_x = io.dec_i0_rs1_en_d & x_d.bits.i0v & (x_d.bits.i0rd === i0r.rs1) + val i0_rs1_depend_i0_r = io.dec_i0_rs1_en_d & r_d.bits.i0v & (r_d.bits.i0rd === i0r.rs1) - val i0_rs2_depend_i0_x = io.dec_i0_rs2_en_d & x_d.i0v & (x_d.i0rd === i0r.rs2) - val i0_rs2_depend_i0_r = io.dec_i0_rs2_en_d & r_d.i0v & (r_d.i0rd === i0r.rs2) + val i0_rs2_depend_i0_x = io.dec_i0_rs2_en_d & x_d.bits.i0v & (x_d.bits.i0rd === i0r.rs2) + val i0_rs2_depend_i0_r = io.dec_i0_rs2_en_d & r_d.bits.i0v & (r_d.bits.i0rd === i0r.rs2) // order the producers as follows: , i0_x, i0_r, i0_wb i0_rs1_class_d := Mux(i0_rs1_depend_i0_x.asBool,i0_x_c,Mux(i0_rs1_depend_i0_r.asBool, i0_r_c, 0.U.asTypeOf(i0_rs1_class_d))) i0_rs1_depth_d := Mux(i0_rs1_depend_i0_x.asBool,1.U(2.W),Mux(i0_rs1_depend_i0_r.asBool, 2.U(2.W), 0.U)) diff --git a/src/main/scala/include/el2_bundle.scala b/src/main/scala/include/el2_bundle.scala index acc6c8c1..0ed6a7ea 100644 --- a/src/main/scala/include/el2_bundle.scala +++ b/src/main/scala/include/el2_bundle.scala @@ -107,7 +107,7 @@ class el2_dest_pkt_t extends Bundle { val i0store = UInt(1.W) val i0div = UInt(1.W) val i0v = UInt(1.W) - val i0valid = UInt(1.W) + // val i0valid = UInt(1.W) val csrwen = UInt(1.W) val csrwonly = UInt(1.W) val csrwaddr = UInt(12.W) diff --git a/target/scala-2.12/classes/dec/el2_dec_decode_ctl.class b/target/scala-2.12/classes/dec/el2_dec_decode_ctl.class index a5c80f1f..61f09e8d 100644 Binary files a/target/scala-2.12/classes/dec/el2_dec_decode_ctl.class and b/target/scala-2.12/classes/dec/el2_dec_decode_ctl.class differ diff --git a/target/scala-2.12/classes/include/el2_dest_pkt_t.class b/target/scala-2.12/classes/include/el2_dest_pkt_t.class index 8ca0161b..ad201c9a 100644 Binary files a/target/scala-2.12/classes/include/el2_dest_pkt_t.class and b/target/scala-2.12/classes/include/el2_dest_pkt_t.class differ