diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 79f6cd91..076b6304 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -1039,333 +1039,365 @@ circuit el2_lsu_bus_buffer : buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 221:12] wire fwd_data : UInt<32> fwd_data <= UInt<1>("h00") - node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 224:86] + node _T_552 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 223:81] node _T_553 = bits(_T_552, 0, 0) @[Bitwise.scala 72:15] node _T_554 = mux(_T_553, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 224:104] - node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 224:91] - node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 224:86] - node _T_558 = bits(_T_557, 0, 0) @[Bitwise.scala 72:15] - node _T_559 = mux(_T_558, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 224:104] - node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 224:91] - node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 224:86] - node _T_563 = bits(_T_562, 0, 0) @[Bitwise.scala 72:15] - node _T_564 = mux(_T_563, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 224:104] - node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 224:91] - node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 224:86] - node _T_568 = bits(_T_567, 0, 0) @[Bitwise.scala 72:15] - node _T_569 = mux(_T_568, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 224:104] - node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 224:91] - node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 224:123] - node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 224:123] - node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 224:123] - node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 225:60] + node _T_555 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 223:81] + node _T_556 = bits(_T_555, 0, 0) @[Bitwise.scala 72:15] + node _T_557 = mux(_T_556, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_558 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 223:81] + node _T_559 = bits(_T_558, 0, 0) @[Bitwise.scala 72:15] + node _T_560 = mux(_T_559, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_561 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 223:81] + node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] + node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_564 = cat(_T_563, _T_560) @[Cat.scala 29:58] + node _T_565 = cat(_T_564, _T_557) @[Cat.scala 29:58] + node ld_fwddata_buf_lo_initial = cat(_T_565, _T_554) @[Cat.scala 29:58] + node _T_566 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 224:81] + node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] + node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_569 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 224:81] + node _T_570 = bits(_T_569, 0, 0) @[Bitwise.scala 72:15] + node _T_571 = mux(_T_570, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_572 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 224:81] + node _T_573 = bits(_T_572, 0, 0) @[Bitwise.scala 72:15] + node _T_574 = mux(_T_573, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_575 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 224:81] node _T_576 = bits(_T_575, 0, 0) @[Bitwise.scala 72:15] node _T_577 = mux(_T_576, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 225:78] - node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 225:65] - node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 225:60] + node _T_578 = cat(_T_577, _T_574) @[Cat.scala 29:58] + node _T_579 = cat(_T_578, _T_571) @[Cat.scala 29:58] + node ld_fwddata_buf_hi_initial = cat(_T_579, _T_568) @[Cat.scala 29:58] + node _T_580 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 225:86] node _T_581 = bits(_T_580, 0, 0) @[Bitwise.scala 72:15] node _T_582 = mux(_T_581, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 225:78] - node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 225:65] - node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 225:60] + node _T_583 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 225:91] + node _T_585 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 225:86] node _T_586 = bits(_T_585, 0, 0) @[Bitwise.scala 72:15] node _T_587 = mux(_T_586, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 225:78] - node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 225:65] - node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 225:60] + node _T_588 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 225:91] + node _T_590 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 225:86] node _T_591 = bits(_T_590, 0, 0) @[Bitwise.scala 72:15] node _T_592 = mux(_T_591, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 225:78] - node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 225:65] - node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 225:97] - node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 225:97] - node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 225:97] - node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 226:60] - node _T_599 = bits(_T_598, 0, 0) @[Bitwise.scala 72:15] - node _T_600 = mux(_T_599, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 226:78] - node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 226:65] - node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 226:60] + node _T_593 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 225:91] + node _T_595 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 225:86] + node _T_596 = bits(_T_595, 0, 0) @[Bitwise.scala 72:15] + node _T_597 = mux(_T_596, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_598 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_599 = and(_T_597, _T_598) @[el2_lsu_bus_buffer.scala 225:91] + node _T_600 = or(_T_584, _T_589) @[el2_lsu_bus_buffer.scala 225:123] + node _T_601 = or(_T_600, _T_594) @[el2_lsu_bus_buffer.scala 225:123] + node _T_602 = or(_T_601, _T_599) @[el2_lsu_bus_buffer.scala 225:123] + node _T_603 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 226:60] node _T_604 = bits(_T_603, 0, 0) @[Bitwise.scala 72:15] node _T_605 = mux(_T_604, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 226:78] + node _T_606 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 226:65] - node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 226:60] + node _T_608 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 226:60] node _T_609 = bits(_T_608, 0, 0) @[Bitwise.scala 72:15] node _T_610 = mux(_T_609, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 226:78] + node _T_611 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 226:65] - node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 226:60] + node _T_613 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 226:60] node _T_614 = bits(_T_613, 0, 0) @[Bitwise.scala 72:15] node _T_615 = mux(_T_614, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 226:78] + node _T_616 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 226:65] - node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 226:96] - node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 226:96] - node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 226:96] - node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 227:60] - node _T_622 = bits(_T_621, 0, 0) @[Bitwise.scala 72:15] - node _T_623 = mux(_T_622, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 227:78] - node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 227:65] - node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 227:60] + node _T_618 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 226:60] + node _T_619 = bits(_T_618, 0, 0) @[Bitwise.scala 72:15] + node _T_620 = mux(_T_619, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_621 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_622 = and(_T_620, _T_621) @[el2_lsu_bus_buffer.scala 226:65] + node _T_623 = or(_T_607, _T_612) @[el2_lsu_bus_buffer.scala 226:97] + node _T_624 = or(_T_623, _T_617) @[el2_lsu_bus_buffer.scala 226:97] + node _T_625 = or(_T_624, _T_622) @[el2_lsu_bus_buffer.scala 226:97] + node _T_626 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 227:60] node _T_627 = bits(_T_626, 0, 0) @[Bitwise.scala 72:15] node _T_628 = mux(_T_627, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 227:78] + node _T_629 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 227:65] - node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 227:60] + node _T_631 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 227:60] node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] node _T_633 = mux(_T_632, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 227:78] + node _T_634 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 227:65] - node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 227:60] + node _T_636 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 227:60] node _T_637 = bits(_T_636, 0, 0) @[Bitwise.scala 72:15] node _T_638 = mux(_T_637, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 227:78] + node _T_639 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 227:65] - node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 227:95] - node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 227:95] - node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 227:95] - node _T_644 = cat(_T_620, _T_643) @[Cat.scala 29:58] - node _T_645 = cat(_T_574, _T_597) @[Cat.scala 29:58] - node _T_646 = cat(_T_645, _T_644) @[Cat.scala 29:58] - io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 224:24] - node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 229:86] - node _T_648 = bits(_T_647, 0, 0) @[Bitwise.scala 72:15] - node _T_649 = mux(_T_648, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 229:104] - node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 229:91] - node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 229:86] - node _T_653 = bits(_T_652, 0, 0) @[Bitwise.scala 72:15] - node _T_654 = mux(_T_653, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 229:104] - node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 229:91] - node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 229:86] - node _T_658 = bits(_T_657, 0, 0) @[Bitwise.scala 72:15] - node _T_659 = mux(_T_658, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 229:104] - node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 229:91] - node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 229:86] - node _T_663 = bits(_T_662, 0, 0) @[Bitwise.scala 72:15] - node _T_664 = mux(_T_663, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 229:104] - node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 229:91] - node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 229:123] - node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 229:123] - node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 229:123] - node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 230:60] - node _T_671 = bits(_T_670, 0, 0) @[Bitwise.scala 72:15] - node _T_672 = mux(_T_671, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 230:78] - node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 230:65] - node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 230:60] - node _T_676 = bits(_T_675, 0, 0) @[Bitwise.scala 72:15] - node _T_677 = mux(_T_676, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 230:78] - node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 230:65] - node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 230:60] - node _T_681 = bits(_T_680, 0, 0) @[Bitwise.scala 72:15] - node _T_682 = mux(_T_681, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 230:78] - node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 230:65] - node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 230:60] - node _T_686 = bits(_T_685, 0, 0) @[Bitwise.scala 72:15] - node _T_687 = mux(_T_686, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 230:78] - node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 230:65] - node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 230:97] - node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 230:97] - node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 230:97] - node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 231:60] - node _T_694 = bits(_T_693, 0, 0) @[Bitwise.scala 72:15] - node _T_695 = mux(_T_694, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 231:78] - node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 231:65] - node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 231:60] - node _T_699 = bits(_T_698, 0, 0) @[Bitwise.scala 72:15] - node _T_700 = mux(_T_699, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 231:78] - node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 231:65] - node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 231:60] - node _T_704 = bits(_T_703, 0, 0) @[Bitwise.scala 72:15] - node _T_705 = mux(_T_704, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 231:78] - node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 231:65] - node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 231:60] - node _T_709 = bits(_T_708, 0, 0) @[Bitwise.scala 72:15] - node _T_710 = mux(_T_709, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 231:78] - node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 231:65] - node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 231:96] - node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 231:96] - node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 231:96] - node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 232:60] - node _T_717 = bits(_T_716, 0, 0) @[Bitwise.scala 72:15] - node _T_718 = mux(_T_717, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 232:78] - node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 232:65] - node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 232:60] - node _T_722 = bits(_T_721, 0, 0) @[Bitwise.scala 72:15] - node _T_723 = mux(_T_722, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 232:78] - node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 232:65] - node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 232:60] - node _T_727 = bits(_T_726, 0, 0) @[Bitwise.scala 72:15] - node _T_728 = mux(_T_727, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 232:78] - node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 232:65] - node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 232:60] - node _T_732 = bits(_T_731, 0, 0) @[Bitwise.scala 72:15] - node _T_733 = mux(_T_732, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 232:78] - node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 232:65] - node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 232:95] - node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 232:95] - node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 232:95] - node _T_739 = cat(_T_715, _T_738) @[Cat.scala 29:58] - node _T_740 = cat(_T_669, _T_692) @[Cat.scala 29:58] - node _T_741 = cat(_T_740, _T_739) @[Cat.scala 29:58] - io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 229:24] - node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 234:65] - node _T_742 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_743 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_744 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_745 = or(_T_742, _T_743) @[Mux.scala 27:72] - node _T_746 = or(_T_745, _T_744) @[Mux.scala 27:72] + node _T_641 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 227:60] + node _T_642 = bits(_T_641, 0, 0) @[Bitwise.scala 72:15] + node _T_643 = mux(_T_642, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_644 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_645 = and(_T_643, _T_644) @[el2_lsu_bus_buffer.scala 227:65] + node _T_646 = or(_T_630, _T_635) @[el2_lsu_bus_buffer.scala 227:96] + node _T_647 = or(_T_646, _T_640) @[el2_lsu_bus_buffer.scala 227:96] + node _T_648 = or(_T_647, _T_645) @[el2_lsu_bus_buffer.scala 227:96] + node _T_649 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 228:60] + node _T_650 = bits(_T_649, 0, 0) @[Bitwise.scala 72:15] + node _T_651 = mux(_T_650, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_652 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_653 = and(_T_651, _T_652) @[el2_lsu_bus_buffer.scala 228:65] + node _T_654 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 228:60] + node _T_655 = bits(_T_654, 0, 0) @[Bitwise.scala 72:15] + node _T_656 = mux(_T_655, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_657 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_658 = and(_T_656, _T_657) @[el2_lsu_bus_buffer.scala 228:65] + node _T_659 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 228:60] + node _T_660 = bits(_T_659, 0, 0) @[Bitwise.scala 72:15] + node _T_661 = mux(_T_660, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_662 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_663 = and(_T_661, _T_662) @[el2_lsu_bus_buffer.scala 228:65] + node _T_664 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 228:60] + node _T_665 = bits(_T_664, 0, 0) @[Bitwise.scala 72:15] + node _T_666 = mux(_T_665, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_667 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_668 = and(_T_666, _T_667) @[el2_lsu_bus_buffer.scala 228:65] + node _T_669 = or(_T_653, _T_658) @[el2_lsu_bus_buffer.scala 228:95] + node _T_670 = or(_T_669, _T_663) @[el2_lsu_bus_buffer.scala 228:95] + node _T_671 = or(_T_670, _T_668) @[el2_lsu_bus_buffer.scala 228:95] + node _T_672 = cat(_T_648, _T_671) @[Cat.scala 29:58] + node _T_673 = cat(_T_602, _T_625) @[Cat.scala 29:58] + node _T_674 = cat(_T_673, _T_672) @[Cat.scala 29:58] + node _T_675 = or(_T_674, ld_fwddata_buf_lo_initial) @[el2_lsu_bus_buffer.scala 228:101] + io.ld_fwddata_buf_lo <= _T_675 @[el2_lsu_bus_buffer.scala 225:24] + node _T_676 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 230:86] + node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] + node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_679 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 230:104] + node _T_680 = and(_T_678, _T_679) @[el2_lsu_bus_buffer.scala 230:91] + node _T_681 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 230:86] + node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] + node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_684 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 230:104] + node _T_685 = and(_T_683, _T_684) @[el2_lsu_bus_buffer.scala 230:91] + node _T_686 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 230:86] + node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] + node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_689 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 230:104] + node _T_690 = and(_T_688, _T_689) @[el2_lsu_bus_buffer.scala 230:91] + node _T_691 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 230:86] + node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] + node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_694 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 230:104] + node _T_695 = and(_T_693, _T_694) @[el2_lsu_bus_buffer.scala 230:91] + node _T_696 = or(_T_680, _T_685) @[el2_lsu_bus_buffer.scala 230:123] + node _T_697 = or(_T_696, _T_690) @[el2_lsu_bus_buffer.scala 230:123] + node _T_698 = or(_T_697, _T_695) @[el2_lsu_bus_buffer.scala 230:123] + node _T_699 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 231:60] + node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] + node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_702 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 231:78] + node _T_703 = and(_T_701, _T_702) @[el2_lsu_bus_buffer.scala 231:65] + node _T_704 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 231:60] + node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] + node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_707 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 231:78] + node _T_708 = and(_T_706, _T_707) @[el2_lsu_bus_buffer.scala 231:65] + node _T_709 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 231:60] + node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] + node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_712 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 231:78] + node _T_713 = and(_T_711, _T_712) @[el2_lsu_bus_buffer.scala 231:65] + node _T_714 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 231:60] + node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] + node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_717 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 231:78] + node _T_718 = and(_T_716, _T_717) @[el2_lsu_bus_buffer.scala 231:65] + node _T_719 = or(_T_703, _T_708) @[el2_lsu_bus_buffer.scala 231:97] + node _T_720 = or(_T_719, _T_713) @[el2_lsu_bus_buffer.scala 231:97] + node _T_721 = or(_T_720, _T_718) @[el2_lsu_bus_buffer.scala 231:97] + node _T_722 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 232:60] + node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] + node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_725 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 232:78] + node _T_726 = and(_T_724, _T_725) @[el2_lsu_bus_buffer.scala 232:65] + node _T_727 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 232:60] + node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] + node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_730 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 232:78] + node _T_731 = and(_T_729, _T_730) @[el2_lsu_bus_buffer.scala 232:65] + node _T_732 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 232:60] + node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] + node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_735 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 232:78] + node _T_736 = and(_T_734, _T_735) @[el2_lsu_bus_buffer.scala 232:65] + node _T_737 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 232:60] + node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] + node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_740 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 232:78] + node _T_741 = and(_T_739, _T_740) @[el2_lsu_bus_buffer.scala 232:65] + node _T_742 = or(_T_726, _T_731) @[el2_lsu_bus_buffer.scala 232:96] + node _T_743 = or(_T_742, _T_736) @[el2_lsu_bus_buffer.scala 232:96] + node _T_744 = or(_T_743, _T_741) @[el2_lsu_bus_buffer.scala 232:96] + node _T_745 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 233:60] + node _T_746 = bits(_T_745, 0, 0) @[Bitwise.scala 72:15] + node _T_747 = mux(_T_746, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_748 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 233:78] + node _T_749 = and(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 233:65] + node _T_750 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 233:60] + node _T_751 = bits(_T_750, 0, 0) @[Bitwise.scala 72:15] + node _T_752 = mux(_T_751, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_753 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 233:78] + node _T_754 = and(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 233:65] + node _T_755 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 233:60] + node _T_756 = bits(_T_755, 0, 0) @[Bitwise.scala 72:15] + node _T_757 = mux(_T_756, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_758 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 233:78] + node _T_759 = and(_T_757, _T_758) @[el2_lsu_bus_buffer.scala 233:65] + node _T_760 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 233:60] + node _T_761 = bits(_T_760, 0, 0) @[Bitwise.scala 72:15] + node _T_762 = mux(_T_761, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_763 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 233:78] + node _T_764 = and(_T_762, _T_763) @[el2_lsu_bus_buffer.scala 233:65] + node _T_765 = or(_T_749, _T_754) @[el2_lsu_bus_buffer.scala 233:95] + node _T_766 = or(_T_765, _T_759) @[el2_lsu_bus_buffer.scala 233:95] + node _T_767 = or(_T_766, _T_764) @[el2_lsu_bus_buffer.scala 233:95] + node _T_768 = cat(_T_744, _T_767) @[Cat.scala 29:58] + node _T_769 = cat(_T_698, _T_721) @[Cat.scala 29:58] + node _T_770 = cat(_T_769, _T_768) @[Cat.scala 29:58] + node _T_771 = or(_T_770, ld_fwddata_buf_hi_initial) @[el2_lsu_bus_buffer.scala 233:101] + io.ld_fwddata_buf_hi <= _T_771 @[el2_lsu_bus_buffer.scala 230:24] + node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 235:65] + node _T_772 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_773 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_774 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_775 = or(_T_772, _T_773) @[Mux.scala 27:72] + node _T_776 = or(_T_775, _T_774) @[Mux.scala 27:72] wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] - ldst_byteen_r <= _T_746 @[Mux.scala 27:72] - node _T_747 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 239:50] - node _T_748 = eq(_T_747, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 239:55] - node _T_749 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 240:50] - node _T_750 = eq(_T_749, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 240:55] - node _T_751 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 240:91] - node _T_752 = cat(UInt<3>("h00"), _T_751) @[Cat.scala 29:58] - node _T_753 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:50] - node _T_754 = eq(_T_753, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 241:55] - node _T_755 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 241:91] - node _T_756 = cat(UInt<2>("h00"), _T_755) @[Cat.scala 29:58] - node _T_757 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:50] - node _T_758 = eq(_T_757, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 242:55] - node _T_759 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 242:91] - node _T_760 = cat(UInt<1>("h00"), _T_759) @[Cat.scala 29:58] - node _T_761 = mux(_T_748, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_762 = mux(_T_750, _T_752, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_763 = mux(_T_754, _T_756, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_764 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_765 = or(_T_761, _T_762) @[Mux.scala 27:72] - node _T_766 = or(_T_765, _T_763) @[Mux.scala 27:72] - node _T_767 = or(_T_766, _T_764) @[Mux.scala 27:72] + ldst_byteen_r <= _T_776 @[Mux.scala 27:72] + node _T_777 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 240:50] + node _T_778 = eq(_T_777, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 240:55] + node _T_779 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:50] + node _T_780 = eq(_T_779, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 241:55] + node _T_781 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 241:91] + node _T_782 = cat(UInt<3>("h00"), _T_781) @[Cat.scala 29:58] + node _T_783 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:50] + node _T_784 = eq(_T_783, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 242:55] + node _T_785 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 242:91] + node _T_786 = cat(UInt<2>("h00"), _T_785) @[Cat.scala 29:58] + node _T_787 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:50] + node _T_788 = eq(_T_787, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 243:55] + node _T_789 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 243:91] + node _T_790 = cat(UInt<1>("h00"), _T_789) @[Cat.scala 29:58] + node _T_791 = mux(_T_778, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_792 = mux(_T_780, _T_782, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_793 = mux(_T_784, _T_786, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_794 = mux(_T_788, _T_790, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_795 = or(_T_791, _T_792) @[Mux.scala 27:72] + node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] + node _T_797 = or(_T_796, _T_794) @[Mux.scala 27:72] wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] - ldst_byteen_hi_r <= _T_767 @[Mux.scala 27:72] - node _T_768 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:50] - node _T_769 = eq(_T_768, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 243:55] - node _T_770 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:50] - node _T_771 = eq(_T_770, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 244:55] - node _T_772 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 244:81] - node _T_773 = cat(_T_772, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_774 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:50] - node _T_775 = eq(_T_774, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 245:55] - node _T_776 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:81] - node _T_777 = cat(_T_776, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_778 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:50] - node _T_779 = eq(_T_778, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 246:55] - node _T_780 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 246:81] - node _T_781 = cat(_T_780, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_782 = mux(_T_769, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_783 = mux(_T_771, _T_773, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_784 = mux(_T_775, _T_777, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_785 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_786 = or(_T_782, _T_783) @[Mux.scala 27:72] - node _T_787 = or(_T_786, _T_784) @[Mux.scala 27:72] - node _T_788 = or(_T_787, _T_785) @[Mux.scala 27:72] + ldst_byteen_hi_r <= _T_797 @[Mux.scala 27:72] + node _T_798 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:50] + node _T_799 = eq(_T_798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:55] + node _T_800 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:50] + node _T_801 = eq(_T_800, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 245:55] + node _T_802 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 245:81] + node _T_803 = cat(_T_802, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_804 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:50] + node _T_805 = eq(_T_804, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 246:55] + node _T_806 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:81] + node _T_807 = cat(_T_806, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_808 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 247:50] + node _T_809 = eq(_T_808, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 247:55] + node _T_810 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 247:81] + node _T_811 = cat(_T_810, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_812 = mux(_T_799, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_813 = mux(_T_801, _T_803, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_814 = mux(_T_805, _T_807, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_815 = mux(_T_809, _T_811, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_816 = or(_T_812, _T_813) @[Mux.scala 27:72] + node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] + node _T_818 = or(_T_817, _T_815) @[Mux.scala 27:72] wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] - ldst_byteen_lo_r <= _T_788 @[Mux.scala 27:72] - node _T_789 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 248:49] - node _T_790 = eq(_T_789, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 248:54] - node _T_791 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 249:49] - node _T_792 = eq(_T_791, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 249:54] - node _T_793 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 249:93] - node _T_794 = cat(UInt<8>("h00"), _T_793) @[Cat.scala 29:58] - node _T_795 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 250:49] - node _T_796 = eq(_T_795, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 250:54] - node _T_797 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 250:93] - node _T_798 = cat(UInt<16>("h00"), _T_797) @[Cat.scala 29:58] - node _T_799 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 251:49] - node _T_800 = eq(_T_799, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 251:54] - node _T_801 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 251:93] - node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] - node _T_803 = mux(_T_790, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_804 = mux(_T_792, _T_794, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_805 = mux(_T_796, _T_798, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_806 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_807 = or(_T_803, _T_804) @[Mux.scala 27:72] - node _T_808 = or(_T_807, _T_805) @[Mux.scala 27:72] - node _T_809 = or(_T_808, _T_806) @[Mux.scala 27:72] + ldst_byteen_lo_r <= _T_818 @[Mux.scala 27:72] + node _T_819 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 249:49] + node _T_820 = eq(_T_819, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 249:54] + node _T_821 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 250:49] + node _T_822 = eq(_T_821, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 250:54] + node _T_823 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 250:93] + node _T_824 = cat(UInt<8>("h00"), _T_823) @[Cat.scala 29:58] + node _T_825 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 251:49] + node _T_826 = eq(_T_825, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 251:54] + node _T_827 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 251:93] + node _T_828 = cat(UInt<16>("h00"), _T_827) @[Cat.scala 29:58] + node _T_829 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 252:49] + node _T_830 = eq(_T_829, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 252:54] + node _T_831 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 252:93] + node _T_832 = cat(UInt<24>("h00"), _T_831) @[Cat.scala 29:58] + node _T_833 = mux(_T_820, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_834 = mux(_T_822, _T_824, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_835 = mux(_T_826, _T_828, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_836 = mux(_T_830, _T_832, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_837 = or(_T_833, _T_834) @[Mux.scala 27:72] + node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] + node _T_839 = or(_T_838, _T_836) @[Mux.scala 27:72] wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] - store_data_hi_r <= _T_809 @[Mux.scala 27:72] - node _T_810 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 253:49] - node _T_811 = eq(_T_810, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 253:54] - node _T_812 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 254:49] - node _T_813 = eq(_T_812, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 254:54] - node _T_814 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 254:82] - node _T_815 = cat(_T_814, UInt<8>("h00")) @[Cat.scala 29:58] - node _T_816 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 255:49] - node _T_817 = eq(_T_816, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 255:54] - node _T_818 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 255:82] - node _T_819 = cat(_T_818, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_820 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 256:49] - node _T_821 = eq(_T_820, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 256:54] - node _T_822 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 256:82] - node _T_823 = cat(_T_822, UInt<24>("h00")) @[Cat.scala 29:58] - node _T_824 = mux(_T_811, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_825 = mux(_T_813, _T_815, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_826 = mux(_T_817, _T_819, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_827 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_828 = or(_T_824, _T_825) @[Mux.scala 27:72] - node _T_829 = or(_T_828, _T_826) @[Mux.scala 27:72] - node _T_830 = or(_T_829, _T_827) @[Mux.scala 27:72] + store_data_hi_r <= _T_839 @[Mux.scala 27:72] + node _T_840 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 254:49] + node _T_841 = eq(_T_840, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 254:54] + node _T_842 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 255:49] + node _T_843 = eq(_T_842, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 255:54] + node _T_844 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 255:82] + node _T_845 = cat(_T_844, UInt<8>("h00")) @[Cat.scala 29:58] + node _T_846 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 256:49] + node _T_847 = eq(_T_846, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 256:54] + node _T_848 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 256:82] + node _T_849 = cat(_T_848, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_850 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 257:49] + node _T_851 = eq(_T_850, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 257:54] + node _T_852 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 257:82] + node _T_853 = cat(_T_852, UInt<24>("h00")) @[Cat.scala 29:58] + node _T_854 = mux(_T_841, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_855 = mux(_T_843, _T_845, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_856 = mux(_T_847, _T_849, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_857 = mux(_T_851, _T_853, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_858 = or(_T_854, _T_855) @[Mux.scala 27:72] + node _T_859 = or(_T_858, _T_856) @[Mux.scala 27:72] + node _T_860 = or(_T_859, _T_857) @[Mux.scala 27:72] wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] - store_data_lo_r <= _T_830 @[Mux.scala 27:72] - io.test <= ldst_byteen_r @[el2_lsu_bus_buffer.scala 258:11] - node _T_831 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 260:36] - node _T_832 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 260:57] - node ldst_samedw_r = eq(_T_831, _T_832) @[el2_lsu_bus_buffer.scala 260:40] - node _T_833 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 261:67] - node _T_834 = eq(_T_833, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 261:74] - node _T_835 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 262:40] - node _T_836 = eq(_T_835, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 262:26] - node _T_837 = mux(io.lsu_pkt_r.word, _T_834, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_838 = mux(io.lsu_pkt_r.half, _T_836, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_839 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_840 = or(_T_837, _T_838) @[Mux.scala 27:72] - node _T_841 = or(_T_840, _T_839) @[Mux.scala 27:72] + store_data_lo_r <= _T_860 @[Mux.scala 27:72] + io.test <= ldst_byteen_r @[el2_lsu_bus_buffer.scala 259:11] + node _T_861 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 261:36] + node _T_862 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 261:57] + node ldst_samedw_r = eq(_T_861, _T_862) @[el2_lsu_bus_buffer.scala 261:40] + node _T_863 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 262:67] + node _T_864 = eq(_T_863, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 262:74] + node _T_865 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 263:40] + node _T_866 = eq(_T_865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 263:26] + node _T_867 = mux(io.lsu_pkt_r.word, _T_864, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_868 = mux(io.lsu_pkt_r.half, _T_866, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_869 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_870 = or(_T_867, _T_868) @[Mux.scala 27:72] + node _T_871 = or(_T_870, _T_869) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] - is_aligned_r <= _T_841 @[Mux.scala 27:72] - node _T_842 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 264:55] - node _T_843 = and(io.lsu_busreq_r, _T_842) @[el2_lsu_bus_buffer.scala 264:34] - node _T_844 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 264:79] - node ibuf_byp = and(_T_843, _T_844) @[el2_lsu_bus_buffer.scala 264:77] - node _T_845 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 265:36] - node _T_846 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 265:56] - node ibuf_wr_en = and(_T_845, _T_846) @[el2_lsu_bus_buffer.scala 265:54] + is_aligned_r <= _T_871 @[Mux.scala 27:72] + node _T_872 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 265:55] + node _T_873 = and(io.lsu_busreq_r, _T_872) @[el2_lsu_bus_buffer.scala 265:34] + node _T_874 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 265:79] + node ibuf_byp = and(_T_873, _T_874) @[el2_lsu_bus_buffer.scala 265:77] + node _T_875 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 266:36] + node _T_876 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 266:56] + node ibuf_wr_en = and(_T_875, _T_876) @[el2_lsu_bus_buffer.scala 266:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_847 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:36] - node _T_848 = and(ibuf_drain_vld, _T_847) @[el2_lsu_bus_buffer.scala 267:34] - node ibuf_rst = or(_T_848, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 267:49] - node _T_849 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:44] - node _T_850 = and(io.lsu_busreq_m, _T_849) @[el2_lsu_bus_buffer.scala 268:42] - node _T_851 = and(_T_850, ibuf_valid) @[el2_lsu_bus_buffer.scala 268:61] - node _T_852 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 268:107] - node _T_853 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 268:132] - node _T_854 = neq(_T_852, _T_853) @[el2_lsu_bus_buffer.scala 268:115] - node _T_855 = or(io.lsu_pkt_m.load, _T_854) @[el2_lsu_bus_buffer.scala 268:95] - node ibuf_force_drain = and(_T_851, _T_855) @[el2_lsu_bus_buffer.scala 268:74] + node _T_877 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:36] + node _T_878 = and(ibuf_drain_vld, _T_877) @[el2_lsu_bus_buffer.scala 268:34] + node ibuf_rst = or(_T_878, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 268:49] + node _T_879 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:44] + node _T_880 = and(io.lsu_busreq_m, _T_879) @[el2_lsu_bus_buffer.scala 269:42] + node _T_881 = and(_T_880, ibuf_valid) @[el2_lsu_bus_buffer.scala 269:61] + node _T_882 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 269:107] + node _T_883 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 269:132] + node _T_884 = neq(_T_882, _T_883) @[el2_lsu_bus_buffer.scala 269:115] + node _T_885 = or(io.lsu_pkt_m.load, _T_884) @[el2_lsu_bus_buffer.scala 269:95] + node ibuf_force_drain = and(_T_881, _T_885) @[el2_lsu_bus_buffer.scala 269:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -1374,229 +1406,229 @@ circuit el2_lsu_bus_buffer : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_856 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 273:62] - node _T_857 = or(ibuf_wr_en, _T_856) @[el2_lsu_bus_buffer.scala 273:48] - node _T_858 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 273:98] - node _T_859 = eq(_T_858, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:82] - node _T_860 = and(_T_857, _T_859) @[el2_lsu_bus_buffer.scala 273:80] - node _T_861 = or(_T_860, ibuf_byp) @[el2_lsu_bus_buffer.scala 274:5] - node _T_862 = or(_T_861, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 274:16] - node _T_863 = or(_T_862, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 274:35] - node _T_864 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:55] - node _T_865 = or(_T_863, _T_864) @[el2_lsu_bus_buffer.scala 274:53] - node _T_866 = or(_T_865, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 274:67] - node _T_867 = and(ibuf_valid, _T_866) @[el2_lsu_bus_buffer.scala 273:32] - ibuf_drain_vld <= _T_867 @[el2_lsu_bus_buffer.scala 273:18] + node _T_886 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 274:62] + node _T_887 = or(ibuf_wr_en, _T_886) @[el2_lsu_bus_buffer.scala 274:48] + node _T_888 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 274:98] + node _T_889 = eq(_T_888, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:82] + node _T_890 = and(_T_887, _T_889) @[el2_lsu_bus_buffer.scala 274:80] + node _T_891 = or(_T_890, ibuf_byp) @[el2_lsu_bus_buffer.scala 275:5] + node _T_892 = or(_T_891, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 275:16] + node _T_893 = or(_T_892, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 275:35] + node _T_894 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 275:55] + node _T_895 = or(_T_893, _T_894) @[el2_lsu_bus_buffer.scala 275:53] + node _T_896 = or(_T_895, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 275:67] + node _T_897 = and(ibuf_valid, _T_896) @[el2_lsu_bus_buffer.scala 274:32] + ibuf_drain_vld <= _T_897 @[el2_lsu_bus_buffer.scala 274:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 278:15] - node _T_868 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 279:39] - node _T_869 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 279:69] - node ibuf_tag_in = mux(_T_868, ibuf_tag, _T_869) @[el2_lsu_bus_buffer.scala 279:24] + io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 279:15] + node _T_898 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 280:39] + node _T_899 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 280:69] + node ibuf_tag_in = mux(_T_898, ibuf_tag, _T_899) @[el2_lsu_bus_buffer.scala 280:24] node ibuf_sz_in = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 282:25] - node _T_870 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 283:42] - node _T_871 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 283:70] - node _T_872 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 283:95] - node _T_873 = or(_T_871, _T_872) @[el2_lsu_bus_buffer.scala 283:77] - node _T_874 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 284:41] - node _T_875 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 284:65] - node _T_876 = mux(io.ldst_dual_r, _T_874, _T_875) @[el2_lsu_bus_buffer.scala 284:8] - node ibuf_byteen_in = mux(_T_870, _T_873, _T_876) @[el2_lsu_bus_buffer.scala 283:27] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 283:25] + node _T_900 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 284:42] + node _T_901 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 284:70] + node _T_902 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 284:95] + node _T_903 = or(_T_901, _T_902) @[el2_lsu_bus_buffer.scala 284:77] + node _T_904 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 285:41] + node _T_905 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 285:65] + node _T_906 = mux(io.ldst_dual_r, _T_904, _T_905) @[el2_lsu_bus_buffer.scala 285:8] + node ibuf_byteen_in = mux(_T_900, _T_903, _T_906) @[el2_lsu_bus_buffer.scala 284:27] wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_877 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 287:61] - node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 288:25] - node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 288:45] - node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 288:76] - node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 288:8] - node _T_882 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 289:40] - node _T_883 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 289:77] - node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[el2_lsu_bus_buffer.scala 289:8] - node _T_885 = mux(_T_877, _T_881, _T_884) @[el2_lsu_bus_buffer.scala 287:46] - node _T_886 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 287:61] - node _T_887 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 288:25] - node _T_888 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 288:45] - node _T_889 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 288:76] - node _T_890 = mux(_T_887, _T_888, _T_889) @[el2_lsu_bus_buffer.scala 288:8] - node _T_891 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 289:40] - node _T_892 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 289:77] - node _T_893 = mux(io.ldst_dual_r, _T_891, _T_892) @[el2_lsu_bus_buffer.scala 289:8] - node _T_894 = mux(_T_886, _T_890, _T_893) @[el2_lsu_bus_buffer.scala 287:46] - node _T_895 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 287:61] - node _T_896 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 288:25] - node _T_897 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 288:45] - node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 288:76] - node _T_899 = mux(_T_896, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 288:8] - node _T_900 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 289:40] - node _T_901 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 289:77] - node _T_902 = mux(io.ldst_dual_r, _T_900, _T_901) @[el2_lsu_bus_buffer.scala 289:8] - node _T_903 = mux(_T_895, _T_899, _T_902) @[el2_lsu_bus_buffer.scala 287:46] - node _T_904 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 287:61] - node _T_905 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 288:25] - node _T_906 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 288:45] - node _T_907 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 288:76] - node _T_908 = mux(_T_905, _T_906, _T_907) @[el2_lsu_bus_buffer.scala 288:8] - node _T_909 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 289:40] - node _T_910 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 289:77] - node _T_911 = mux(io.ldst_dual_r, _T_909, _T_910) @[el2_lsu_bus_buffer.scala 289:8] - node _T_912 = mux(_T_904, _T_908, _T_911) @[el2_lsu_bus_buffer.scala 287:46] - node _T_913 = cat(_T_912, _T_903) @[Cat.scala 29:58] - node _T_914 = cat(_T_913, _T_894) @[Cat.scala 29:58] - node ibuf_data_in = cat(_T_914, _T_885) @[Cat.scala 29:58] - node _T_915 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 290:59] - node _T_916 = bits(_T_915, 0, 0) @[el2_lsu_bus_buffer.scala 290:79] - node _T_917 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 290:93] - node _T_918 = tail(_T_917, 1) @[el2_lsu_bus_buffer.scala 290:93] - node _T_919 = mux(_T_916, _T_918, ibuf_timer) @[el2_lsu_bus_buffer.scala 290:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_919) @[el2_lsu_bus_buffer.scala 290:26] - node _T_920 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 292:36] - node _T_921 = and(_T_920, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 292:54] - node _T_922 = and(_T_921, ibuf_valid) @[el2_lsu_bus_buffer.scala 292:75] - node _T_923 = and(_T_922, ibuf_write) @[el2_lsu_bus_buffer.scala 292:88] - node _T_924 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 292:117] - node _T_925 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 292:137] - node _T_926 = eq(_T_924, _T_925) @[el2_lsu_bus_buffer.scala 292:124] - node _T_927 = and(_T_923, _T_926) @[el2_lsu_bus_buffer.scala 292:101] - node _T_928 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:147] - node _T_929 = and(_T_927, _T_928) @[el2_lsu_bus_buffer.scala 292:145] - node _T_930 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:170] - node _T_931 = and(_T_929, _T_930) @[el2_lsu_bus_buffer.scala 292:168] - ibuf_merge_en <= _T_931 @[el2_lsu_bus_buffer.scala 292:17] - node _T_932 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:20] - ibuf_merge_in <= _T_932 @[el2_lsu_bus_buffer.scala 293:17] - node _T_933 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:65] - node _T_934 = and(ibuf_merge_en, _T_933) @[el2_lsu_bus_buffer.scala 294:63] - node _T_935 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 294:92] - node _T_936 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 294:114] - node _T_937 = or(_T_935, _T_936) @[el2_lsu_bus_buffer.scala 294:96] - node _T_938 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 294:130] - node _T_939 = mux(_T_934, _T_937, _T_938) @[el2_lsu_bus_buffer.scala 294:48] - node _T_940 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:65] - node _T_941 = and(ibuf_merge_en, _T_940) @[el2_lsu_bus_buffer.scala 294:63] - node _T_942 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 294:92] - node _T_943 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 294:114] - node _T_944 = or(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 294:96] - node _T_945 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 294:130] - node _T_946 = mux(_T_941, _T_944, _T_945) @[el2_lsu_bus_buffer.scala 294:48] - node _T_947 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:65] - node _T_948 = and(ibuf_merge_en, _T_947) @[el2_lsu_bus_buffer.scala 294:63] - node _T_949 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 294:92] - node _T_950 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 294:114] - node _T_951 = or(_T_949, _T_950) @[el2_lsu_bus_buffer.scala 294:96] - node _T_952 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 294:130] - node _T_953 = mux(_T_948, _T_951, _T_952) @[el2_lsu_bus_buffer.scala 294:48] - node _T_954 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:65] - node _T_955 = and(ibuf_merge_en, _T_954) @[el2_lsu_bus_buffer.scala 294:63] - node _T_956 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 294:92] - node _T_957 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 294:114] - node _T_958 = or(_T_956, _T_957) @[el2_lsu_bus_buffer.scala 294:96] - node _T_959 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 294:130] - node _T_960 = mux(_T_955, _T_958, _T_959) @[el2_lsu_bus_buffer.scala 294:48] - node _T_961 = cat(_T_960, _T_953) @[Cat.scala 29:58] - node _T_962 = cat(_T_961, _T_946) @[Cat.scala 29:58] - node ibuf_byteen_out = cat(_T_962, _T_939) @[Cat.scala 29:58] - node _T_963 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:62] - node _T_964 = and(ibuf_merge_en, _T_963) @[el2_lsu_bus_buffer.scala 295:60] - node _T_965 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 295:98] - node _T_966 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 295:118] - node _T_967 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 295:143] - node _T_968 = mux(_T_965, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 295:81] - node _T_969 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 295:169] - node _T_970 = mux(_T_964, _T_968, _T_969) @[el2_lsu_bus_buffer.scala 295:45] - node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:62] - node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 295:60] - node _T_973 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 295:98] - node _T_974 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 295:118] - node _T_975 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 295:143] - node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 295:81] - node _T_977 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 295:169] - node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 295:45] - node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:62] - node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 295:60] - node _T_981 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 295:98] - node _T_982 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 295:118] - node _T_983 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 295:143] - node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 295:81] - node _T_985 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 295:169] - node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 295:45] - node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:62] - node _T_988 = and(ibuf_merge_en, _T_987) @[el2_lsu_bus_buffer.scala 295:60] - node _T_989 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 295:98] - node _T_990 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 295:118] - node _T_991 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 295:143] - node _T_992 = mux(_T_989, _T_990, _T_991) @[el2_lsu_bus_buffer.scala 295:81] - node _T_993 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 295:169] - node _T_994 = mux(_T_988, _T_992, _T_993) @[el2_lsu_bus_buffer.scala 295:45] - node _T_995 = cat(_T_994, _T_986) @[Cat.scala 29:58] - node _T_996 = cat(_T_995, _T_978) @[Cat.scala 29:58] - node ibuf_data_out = cat(_T_996, _T_970) @[Cat.scala 29:58] - node _T_997 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 297:28] - node _T_998 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:63] - node _T_999 = and(_T_997, _T_998) @[el2_lsu_bus_buffer.scala 297:61] - reg _T_1000 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 297:24] - _T_1000 <= _T_999 @[el2_lsu_bus_buffer.scala 297:24] - ibuf_valid <= _T_1000 @[el2_lsu_bus_buffer.scala 297:14] - node _T_1001 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 298:120] - node _T_1002 = bits(_T_1001, 0, 0) @[el2_lsu_bus_buffer.scala 298:120] - node _T_1003 = and(ibuf_wr_en, _T_1002) @[el2_lsu_bus_buffer.scala 298:89] - reg _T_1004 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1003 : @[Reg.scala 28:19] - _T_1004 <= ibuf_tag_in @[Reg.scala 28:23] + node _T_907 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 288:61] + node _T_908 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 289:25] + node _T_909 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 289:45] + node _T_910 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 289:76] + node _T_911 = mux(_T_908, _T_909, _T_910) @[el2_lsu_bus_buffer.scala 289:8] + node _T_912 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 290:40] + node _T_913 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 290:77] + node _T_914 = mux(io.ldst_dual_r, _T_912, _T_913) @[el2_lsu_bus_buffer.scala 290:8] + node _T_915 = mux(_T_907, _T_911, _T_914) @[el2_lsu_bus_buffer.scala 288:46] + node _T_916 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 288:61] + node _T_917 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 289:25] + node _T_918 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 289:45] + node _T_919 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 289:76] + node _T_920 = mux(_T_917, _T_918, _T_919) @[el2_lsu_bus_buffer.scala 289:8] + node _T_921 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 290:40] + node _T_922 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 290:77] + node _T_923 = mux(io.ldst_dual_r, _T_921, _T_922) @[el2_lsu_bus_buffer.scala 290:8] + node _T_924 = mux(_T_916, _T_920, _T_923) @[el2_lsu_bus_buffer.scala 288:46] + node _T_925 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 288:61] + node _T_926 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 289:25] + node _T_927 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 289:45] + node _T_928 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 289:76] + node _T_929 = mux(_T_926, _T_927, _T_928) @[el2_lsu_bus_buffer.scala 289:8] + node _T_930 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 290:40] + node _T_931 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 290:77] + node _T_932 = mux(io.ldst_dual_r, _T_930, _T_931) @[el2_lsu_bus_buffer.scala 290:8] + node _T_933 = mux(_T_925, _T_929, _T_932) @[el2_lsu_bus_buffer.scala 288:46] + node _T_934 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 288:61] + node _T_935 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 289:25] + node _T_936 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 289:45] + node _T_937 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 289:76] + node _T_938 = mux(_T_935, _T_936, _T_937) @[el2_lsu_bus_buffer.scala 289:8] + node _T_939 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 290:40] + node _T_940 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 290:77] + node _T_941 = mux(io.ldst_dual_r, _T_939, _T_940) @[el2_lsu_bus_buffer.scala 290:8] + node _T_942 = mux(_T_934, _T_938, _T_941) @[el2_lsu_bus_buffer.scala 288:46] + node _T_943 = cat(_T_942, _T_933) @[Cat.scala 29:58] + node _T_944 = cat(_T_943, _T_924) @[Cat.scala 29:58] + node ibuf_data_in = cat(_T_944, _T_915) @[Cat.scala 29:58] + node _T_945 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 291:59] + node _T_946 = bits(_T_945, 0, 0) @[el2_lsu_bus_buffer.scala 291:79] + node _T_947 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 291:93] + node _T_948 = tail(_T_947, 1) @[el2_lsu_bus_buffer.scala 291:93] + node _T_949 = mux(_T_946, _T_948, ibuf_timer) @[el2_lsu_bus_buffer.scala 291:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_949) @[el2_lsu_bus_buffer.scala 291:26] + node _T_950 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 293:36] + node _T_951 = and(_T_950, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 293:54] + node _T_952 = and(_T_951, ibuf_valid) @[el2_lsu_bus_buffer.scala 293:75] + node _T_953 = and(_T_952, ibuf_write) @[el2_lsu_bus_buffer.scala 293:88] + node _T_954 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 293:117] + node _T_955 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 293:137] + node _T_956 = eq(_T_954, _T_955) @[el2_lsu_bus_buffer.scala 293:124] + node _T_957 = and(_T_953, _T_956) @[el2_lsu_bus_buffer.scala 293:101] + node _T_958 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:147] + node _T_959 = and(_T_957, _T_958) @[el2_lsu_bus_buffer.scala 293:145] + node _T_960 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:170] + node _T_961 = and(_T_959, _T_960) @[el2_lsu_bus_buffer.scala 293:168] + ibuf_merge_en <= _T_961 @[el2_lsu_bus_buffer.scala 293:17] + node _T_962 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:20] + ibuf_merge_in <= _T_962 @[el2_lsu_bus_buffer.scala 294:17] + node _T_963 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:65] + node _T_964 = and(ibuf_merge_en, _T_963) @[el2_lsu_bus_buffer.scala 295:63] + node _T_965 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 295:92] + node _T_966 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 295:114] + node _T_967 = or(_T_965, _T_966) @[el2_lsu_bus_buffer.scala 295:96] + node _T_968 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 295:130] + node _T_969 = mux(_T_964, _T_967, _T_968) @[el2_lsu_bus_buffer.scala 295:48] + node _T_970 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:65] + node _T_971 = and(ibuf_merge_en, _T_970) @[el2_lsu_bus_buffer.scala 295:63] + node _T_972 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 295:92] + node _T_973 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 295:114] + node _T_974 = or(_T_972, _T_973) @[el2_lsu_bus_buffer.scala 295:96] + node _T_975 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 295:130] + node _T_976 = mux(_T_971, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 295:48] + node _T_977 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:65] + node _T_978 = and(ibuf_merge_en, _T_977) @[el2_lsu_bus_buffer.scala 295:63] + node _T_979 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 295:92] + node _T_980 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 295:114] + node _T_981 = or(_T_979, _T_980) @[el2_lsu_bus_buffer.scala 295:96] + node _T_982 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 295:130] + node _T_983 = mux(_T_978, _T_981, _T_982) @[el2_lsu_bus_buffer.scala 295:48] + node _T_984 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:65] + node _T_985 = and(ibuf_merge_en, _T_984) @[el2_lsu_bus_buffer.scala 295:63] + node _T_986 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 295:92] + node _T_987 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 295:114] + node _T_988 = or(_T_986, _T_987) @[el2_lsu_bus_buffer.scala 295:96] + node _T_989 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 295:130] + node _T_990 = mux(_T_985, _T_988, _T_989) @[el2_lsu_bus_buffer.scala 295:48] + node _T_991 = cat(_T_990, _T_983) @[Cat.scala 29:58] + node _T_992 = cat(_T_991, _T_976) @[Cat.scala 29:58] + node ibuf_byteen_out = cat(_T_992, _T_969) @[Cat.scala 29:58] + node _T_993 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:62] + node _T_994 = and(ibuf_merge_en, _T_993) @[el2_lsu_bus_buffer.scala 296:60] + node _T_995 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 296:98] + node _T_996 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 296:118] + node _T_997 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 296:143] + node _T_998 = mux(_T_995, _T_996, _T_997) @[el2_lsu_bus_buffer.scala 296:81] + node _T_999 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 296:169] + node _T_1000 = mux(_T_994, _T_998, _T_999) @[el2_lsu_bus_buffer.scala 296:45] + node _T_1001 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:62] + node _T_1002 = and(ibuf_merge_en, _T_1001) @[el2_lsu_bus_buffer.scala 296:60] + node _T_1003 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 296:98] + node _T_1004 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 296:118] + node _T_1005 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 296:143] + node _T_1006 = mux(_T_1003, _T_1004, _T_1005) @[el2_lsu_bus_buffer.scala 296:81] + node _T_1007 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 296:169] + node _T_1008 = mux(_T_1002, _T_1006, _T_1007) @[el2_lsu_bus_buffer.scala 296:45] + node _T_1009 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:62] + node _T_1010 = and(ibuf_merge_en, _T_1009) @[el2_lsu_bus_buffer.scala 296:60] + node _T_1011 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 296:98] + node _T_1012 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 296:118] + node _T_1013 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 296:143] + node _T_1014 = mux(_T_1011, _T_1012, _T_1013) @[el2_lsu_bus_buffer.scala 296:81] + node _T_1015 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 296:169] + node _T_1016 = mux(_T_1010, _T_1014, _T_1015) @[el2_lsu_bus_buffer.scala 296:45] + node _T_1017 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:62] + node _T_1018 = and(ibuf_merge_en, _T_1017) @[el2_lsu_bus_buffer.scala 296:60] + node _T_1019 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 296:98] + node _T_1020 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 296:118] + node _T_1021 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 296:143] + node _T_1022 = mux(_T_1019, _T_1020, _T_1021) @[el2_lsu_bus_buffer.scala 296:81] + node _T_1023 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 296:169] + node _T_1024 = mux(_T_1018, _T_1022, _T_1023) @[el2_lsu_bus_buffer.scala 296:45] + node _T_1025 = cat(_T_1024, _T_1016) @[Cat.scala 29:58] + node _T_1026 = cat(_T_1025, _T_1008) @[Cat.scala 29:58] + node ibuf_data_out = cat(_T_1026, _T_1000) @[Cat.scala 29:58] + node _T_1027 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 298:28] + node _T_1028 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 298:63] + node _T_1029 = and(_T_1027, _T_1028) @[el2_lsu_bus_buffer.scala 298:61] + reg _T_1030 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 298:24] + _T_1030 <= _T_1029 @[el2_lsu_bus_buffer.scala 298:24] + ibuf_valid <= _T_1030 @[el2_lsu_bus_buffer.scala 298:14] + node _T_1031 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:120] + node _T_1032 = bits(_T_1031, 0, 0) @[el2_lsu_bus_buffer.scala 299:120] + node _T_1033 = and(ibuf_wr_en, _T_1032) @[el2_lsu_bus_buffer.scala 299:89] + reg _T_1034 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1033 : @[Reg.scala 28:19] + _T_1034 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_1004 @[el2_lsu_bus_buffer.scala 298:12] - node _T_1005 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:131] - node _T_1006 = bits(_T_1005, 0, 0) @[el2_lsu_bus_buffer.scala 299:131] - node _T_1007 = and(ibuf_wr_en, _T_1006) @[el2_lsu_bus_buffer.scala 299:100] + ibuf_tag <= _T_1034 @[el2_lsu_bus_buffer.scala 299:12] + node _T_1035 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:131] + node _T_1036 = bits(_T_1035, 0, 0) @[el2_lsu_bus_buffer.scala 300:131] + node _T_1037 = and(ibuf_wr_en, _T_1036) @[el2_lsu_bus_buffer.scala 300:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1007 : @[Reg.scala 28:19] + when _T_1037 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1008 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:127] - node _T_1009 = bits(_T_1008, 0, 0) @[el2_lsu_bus_buffer.scala 300:127] - node _T_1010 = and(ibuf_wr_en, _T_1009) @[el2_lsu_bus_buffer.scala 300:96] + node _T_1038 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:127] + node _T_1039 = bits(_T_1038, 0, 0) @[el2_lsu_bus_buffer.scala 301:127] + node _T_1040 = and(ibuf_wr_en, _T_1039) @[el2_lsu_bus_buffer.scala 301:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1010 : @[Reg.scala 28:19] + when _T_1040 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1011 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:128] - node _T_1012 = bits(_T_1011, 0, 0) @[el2_lsu_bus_buffer.scala 301:128] - node _T_1013 = and(ibuf_wr_en, _T_1012) @[el2_lsu_bus_buffer.scala 301:97] + node _T_1041 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 302:128] + node _T_1042 = bits(_T_1041, 0, 0) @[el2_lsu_bus_buffer.scala 302:128] + node _T_1043 = and(ibuf_wr_en, _T_1042) @[el2_lsu_bus_buffer.scala 302:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1013 : @[Reg.scala 28:19] + when _T_1043 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1014 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 302:135] - node _T_1015 = bits(_T_1014, 0, 0) @[el2_lsu_bus_buffer.scala 302:135] - node _T_1016 = and(ibuf_wr_en, _T_1015) @[el2_lsu_bus_buffer.scala 302:104] + node _T_1044 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 303:135] + node _T_1045 = bits(_T_1044, 0, 0) @[el2_lsu_bus_buffer.scala 303:135] + node _T_1046 = and(ibuf_wr_en, _T_1045) @[el2_lsu_bus_buffer.scala 303:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1016 : @[Reg.scala 28:19] + when _T_1046 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1017 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 303:135] - node _T_1018 = bits(_T_1017, 0, 0) @[el2_lsu_bus_buffer.scala 303:135] - node _T_1019 = and(ibuf_wr_en, _T_1018) @[el2_lsu_bus_buffer.scala 303:104] - reg _T_1020 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1019 : @[Reg.scala 28:19] - _T_1020 <= io.is_sideeffects_r @[Reg.scala 28:23] + node _T_1047 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 304:135] + node _T_1048 = bits(_T_1047, 0, 0) @[el2_lsu_bus_buffer.scala 304:135] + node _T_1049 = and(ibuf_wr_en, _T_1048) @[el2_lsu_bus_buffer.scala 304:104] + reg _T_1050 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1049 : @[Reg.scala 28:19] + _T_1050 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_1020 @[el2_lsu_bus_buffer.scala 303:19] - node _T_1021 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 304:134] - node _T_1022 = bits(_T_1021, 0, 0) @[el2_lsu_bus_buffer.scala 304:134] - node _T_1023 = and(ibuf_wr_en, _T_1022) @[el2_lsu_bus_buffer.scala 304:103] + ibuf_sideeffect <= _T_1050 @[el2_lsu_bus_buffer.scala 304:19] + node _T_1051 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 305:134] + node _T_1052 = bits(_T_1051, 0, 0) @[el2_lsu_bus_buffer.scala 305:134] + node _T_1053 = and(ibuf_wr_en, _T_1052) @[el2_lsu_bus_buffer.scala 305:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1023 : @[Reg.scala 28:19] + when _T_1053 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg _T_1024 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1054 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] - _T_1024 <= io.lsu_pkt_r.store @[Reg.scala 28:23] + _T_1054 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_1024 @[el2_lsu_bus_buffer.scala 305:14] + ibuf_write <= _T_1054 @[el2_lsu_bus_buffer.scala 306:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1607,146 +1639,146 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr.io.en <= ibuf_wr_en @[el2_lib.scala 488:17] rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1025 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1025 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_1025 @[el2_lsu_bus_buffer.scala 307:13] - reg _T_1026 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1055 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1055 <= ibuf_addr_in @[el2_lib.scala 491:16] + ibuf_addr <= _T_1055 @[el2_lsu_bus_buffer.scala 308:13] + reg _T_1056 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] - _T_1026 <= ibuf_byteen_in @[Reg.scala 28:23] + _T_1056 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_1026 @[el2_lsu_bus_buffer.scala 308:15] + ibuf_byteen <= _T_1056 @[el2_lsu_bus_buffer.scala 309:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_1.io.en <= ibuf_wr_en @[el2_lib.scala 488:17] rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1027 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1027 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_1027 @[el2_lsu_bus_buffer.scala 309:13] - reg _T_1028 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 310:59] - _T_1028 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 310:59] - ibuf_timer <= _T_1028 @[el2_lsu_bus_buffer.scala 310:14] + reg _T_1057 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1057 <= ibuf_data_in @[el2_lib.scala 491:16] + ibuf_data <= _T_1057 @[el2_lsu_bus_buffer.scala 310:13] + reg _T_1058 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 311:59] + _T_1058 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 311:59] + ibuf_timer <= _T_1058 @[el2_lsu_bus_buffer.scala 311:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 314:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 315:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 315:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 315:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 315:15] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 315:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 316:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 316:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 316:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 316:15] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_1029 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 320:43] - node _T_1030 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 320:72] - node _T_1031 = and(_T_1029, _T_1030) @[el2_lsu_bus_buffer.scala 320:51] - node _T_1032 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 320:97] - node _T_1033 = and(_T_1031, _T_1032) @[el2_lsu_bus_buffer.scala 320:80] - node _T_1034 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:5] - node _T_1035 = and(_T_1033, _T_1034) @[el2_lsu_bus_buffer.scala 320:114] - node _T_1036 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:114] - node _T_1037 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:114] - node _T_1038 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 321:114] - node _T_1039 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 321:114] - node _T_1040 = mux(_T_1036, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1041 = mux(_T_1037, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1042 = mux(_T_1038, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1043 = mux(_T_1039, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1044 = or(_T_1040, _T_1041) @[Mux.scala 27:72] - node _T_1045 = or(_T_1044, _T_1042) @[Mux.scala 27:72] - node _T_1046 = or(_T_1045, _T_1043) @[Mux.scala 27:72] - wire _T_1047 : UInt<1> @[Mux.scala 27:72] - _T_1047 <= _T_1046 @[Mux.scala 27:72] - node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:31] - node _T_1049 = and(_T_1035, _T_1048) @[el2_lsu_bus_buffer.scala 321:29] - node _T_1050 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:88] - node _T_1051 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 322:111] - node _T_1052 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:88] - node _T_1053 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 322:111] - node _T_1054 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 322:88] - node _T_1055 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 322:111] - node _T_1056 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 322:88] - node _T_1057 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 322:111] - node _T_1058 = mux(_T_1050, _T_1051, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1059 = mux(_T_1052, _T_1053, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1060 = mux(_T_1054, _T_1055, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1061 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1062 = or(_T_1058, _T_1059) @[Mux.scala 27:72] - node _T_1063 = or(_T_1062, _T_1060) @[Mux.scala 27:72] - node _T_1064 = or(_T_1063, _T_1061) @[Mux.scala 27:72] - wire _T_1065 : UInt<1> @[Mux.scala 27:72] - _T_1065 <= _T_1064 @[Mux.scala 27:72] - node _T_1066 = eq(_T_1065, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:5] - node _T_1067 = and(_T_1049, _T_1066) @[el2_lsu_bus_buffer.scala 321:140] - node _T_1068 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:119] - node obuf_wr_wait = and(_T_1067, _T_1068) @[el2_lsu_bus_buffer.scala 322:117] - node _T_1069 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 323:75] - node _T_1070 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 323:95] - node _T_1071 = and(_T_1069, _T_1070) @[el2_lsu_bus_buffer.scala 323:79] - node _T_1072 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:121] - node _T_1073 = tail(_T_1072, 1) @[el2_lsu_bus_buffer.scala 323:121] - node _T_1074 = mux(_T_1071, _T_1073, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 323:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1074) @[el2_lsu_bus_buffer.scala 323:29] - node _T_1075 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:41] - node _T_1076 = and(io.lsu_busreq_m, _T_1075) @[el2_lsu_bus_buffer.scala 324:39] - node _T_1077 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:60] - node _T_1078 = and(_T_1076, _T_1077) @[el2_lsu_bus_buffer.scala 324:58] - node _T_1079 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 324:93] - node _T_1080 = and(_T_1078, _T_1079) @[el2_lsu_bus_buffer.scala 324:72] - node _T_1081 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 324:117] - node _T_1082 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:208] - node _T_1083 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 324:228] - node _T_1084 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 324:208] - node _T_1085 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 324:228] - node _T_1086 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 324:208] - node _T_1087 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 324:228] - node _T_1088 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 324:208] - node _T_1089 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 324:228] - node _T_1090 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1091 = mux(_T_1084, _T_1085, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1092 = mux(_T_1086, _T_1087, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1093 = mux(_T_1088, _T_1089, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1094 = or(_T_1090, _T_1091) @[Mux.scala 27:72] - node _T_1095 = or(_T_1094, _T_1092) @[Mux.scala 27:72] - node _T_1096 = or(_T_1095, _T_1093) @[Mux.scala 27:72] - wire _T_1097 : UInt<30> @[Mux.scala 27:72] - _T_1097 <= _T_1096 @[Mux.scala 27:72] - node _T_1098 = neq(_T_1081, _T_1097) @[el2_lsu_bus_buffer.scala 324:123] - node _T_1099 = and(_T_1080, _T_1098) @[el2_lsu_bus_buffer.scala 324:101] - obuf_force_wr_en <= _T_1099 @[el2_lsu_bus_buffer.scala 324:20] + node _T_1059 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:43] + node _T_1060 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:72] + node _T_1061 = and(_T_1059, _T_1060) @[el2_lsu_bus_buffer.scala 321:51] + node _T_1062 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 321:97] + node _T_1063 = and(_T_1061, _T_1062) @[el2_lsu_bus_buffer.scala 321:80] + node _T_1064 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:5] + node _T_1065 = and(_T_1063, _T_1064) @[el2_lsu_bus_buffer.scala 321:114] + node _T_1066 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:114] + node _T_1067 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:114] + node _T_1068 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 322:114] + node _T_1069 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 322:114] + node _T_1070 = mux(_T_1066, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1071 = mux(_T_1067, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1072 = mux(_T_1068, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1073 = mux(_T_1069, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1074 = or(_T_1070, _T_1071) @[Mux.scala 27:72] + node _T_1075 = or(_T_1074, _T_1072) @[Mux.scala 27:72] + node _T_1076 = or(_T_1075, _T_1073) @[Mux.scala 27:72] + wire _T_1077 : UInt<1> @[Mux.scala 27:72] + _T_1077 <= _T_1076 @[Mux.scala 27:72] + node _T_1078 = eq(_T_1077, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:31] + node _T_1079 = and(_T_1065, _T_1078) @[el2_lsu_bus_buffer.scala 322:29] + node _T_1080 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:88] + node _T_1081 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 323:111] + node _T_1082 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:88] + node _T_1083 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 323:111] + node _T_1084 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 323:88] + node _T_1085 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 323:111] + node _T_1086 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 323:88] + node _T_1087 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 323:111] + node _T_1088 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1089 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1090 = mux(_T_1084, _T_1085, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1091 = mux(_T_1086, _T_1087, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1092 = or(_T_1088, _T_1089) @[Mux.scala 27:72] + node _T_1093 = or(_T_1092, _T_1090) @[Mux.scala 27:72] + node _T_1094 = or(_T_1093, _T_1091) @[Mux.scala 27:72] + wire _T_1095 : UInt<1> @[Mux.scala 27:72] + _T_1095 <= _T_1094 @[Mux.scala 27:72] + node _T_1096 = eq(_T_1095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:5] + node _T_1097 = and(_T_1079, _T_1096) @[el2_lsu_bus_buffer.scala 322:140] + node _T_1098 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:119] + node obuf_wr_wait = and(_T_1097, _T_1098) @[el2_lsu_bus_buffer.scala 323:117] + node _T_1099 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 324:75] + node _T_1100 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 324:95] + node _T_1101 = and(_T_1099, _T_1100) @[el2_lsu_bus_buffer.scala 324:79] + node _T_1102 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 324:121] + node _T_1103 = tail(_T_1102, 1) @[el2_lsu_bus_buffer.scala 324:121] + node _T_1104 = mux(_T_1101, _T_1103, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 324:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1104) @[el2_lsu_bus_buffer.scala 324:29] + node _T_1105 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:41] + node _T_1106 = and(io.lsu_busreq_m, _T_1105) @[el2_lsu_bus_buffer.scala 325:39] + node _T_1107 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:60] + node _T_1108 = and(_T_1106, _T_1107) @[el2_lsu_bus_buffer.scala 325:58] + node _T_1109 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 325:93] + node _T_1110 = and(_T_1108, _T_1109) @[el2_lsu_bus_buffer.scala 325:72] + node _T_1111 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 325:117] + node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:208] + node _T_1113 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 325:228] + node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 325:208] + node _T_1115 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 325:228] + node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 325:208] + node _T_1117 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 325:228] + node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 325:208] + node _T_1119 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 325:228] + node _T_1120 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1121 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1122 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1123 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1124 = or(_T_1120, _T_1121) @[Mux.scala 27:72] + node _T_1125 = or(_T_1124, _T_1122) @[Mux.scala 27:72] + node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] + wire _T_1127 : UInt<30> @[Mux.scala 27:72] + _T_1127 <= _T_1126 @[Mux.scala 27:72] + node _T_1128 = neq(_T_1111, _T_1127) @[el2_lsu_bus_buffer.scala 325:123] + node _T_1129 = and(_T_1110, _T_1128) @[el2_lsu_bus_buffer.scala 325:101] + obuf_force_wr_en <= _T_1129 @[el2_lsu_bus_buffer.scala 325:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1100 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 326:53] - node _T_1101 = and(ibuf_byp, _T_1100) @[el2_lsu_bus_buffer.scala 326:31] - node _T_1102 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 326:64] - node _T_1103 = or(_T_1102, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 326:84] - node ibuf_buf_byp = and(_T_1101, _T_1103) @[el2_lsu_bus_buffer.scala 326:61] + node _T_1130 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:53] + node _T_1131 = and(ibuf_byp, _T_1130) @[el2_lsu_bus_buffer.scala 327:31] + node _T_1132 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:64] + node _T_1133 = or(_T_1132, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 327:84] + node ibuf_buf_byp = and(_T_1131, _T_1133) @[el2_lsu_bus_buffer.scala 327:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 329:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:24] - wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 331:22] - buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:12] - buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:12] - buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:12] - buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:12] - wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 333:24] - buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:14] - buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:14] - buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:14] - buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 330:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:24] + wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 332:22] + buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:12] + buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:12] + buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:12] + buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:12] + wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 334:24] + buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 335:14] + buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 335:14] + buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 335:14] + buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 335:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -1759,280 +1791,280 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1104 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 341:32] - node _T_1105 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 341:74] - node _T_1106 = eq(_T_1105, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:52] - node _T_1107 = and(_T_1104, _T_1106) @[el2_lsu_bus_buffer.scala 341:50] - node _T_1108 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1109 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1110 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1111 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1112 = mux(_T_1108, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1113 = mux(_T_1109, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1114 = mux(_T_1110, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1115 = mux(_T_1111, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1116 = or(_T_1112, _T_1113) @[Mux.scala 27:72] - node _T_1117 = or(_T_1116, _T_1114) @[Mux.scala 27:72] - node _T_1118 = or(_T_1117, _T_1115) @[Mux.scala 27:72] - wire _T_1119 : UInt<3> @[Mux.scala 27:72] - _T_1119 <= _T_1118 @[Mux.scala 27:72] - node _T_1120 = eq(_T_1119, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 342:36] - node _T_1121 = and(_T_1120, found_cmdptr0) @[el2_lsu_bus_buffer.scala 342:47] - node _T_1122 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] - node _T_1123 = cat(_T_1122, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] - node _T_1124 = cat(_T_1123, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1125 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1126 = bits(_T_1124, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1127 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1128 = bits(_T_1124, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1129 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1130 = bits(_T_1124, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1131 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1132 = bits(_T_1124, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1133 = mux(_T_1125, _T_1126, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1134 = mux(_T_1127, _T_1128, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1135 = mux(_T_1129, _T_1130, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1136 = mux(_T_1131, _T_1132, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1137 = or(_T_1133, _T_1134) @[Mux.scala 27:72] - node _T_1138 = or(_T_1137, _T_1135) @[Mux.scala 27:72] - node _T_1139 = or(_T_1138, _T_1136) @[Mux.scala 27:72] - wire _T_1140 : UInt<1> @[Mux.scala 27:72] - _T_1140 <= _T_1139 @[Mux.scala 27:72] - node _T_1141 = eq(_T_1140, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:23] - node _T_1142 = and(_T_1121, _T_1141) @[el2_lsu_bus_buffer.scala 343:21] - node _T_1143 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1144 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1145 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1146 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1147 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1148 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1149 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1150 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1151 = mux(_T_1143, _T_1144, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1152 = mux(_T_1145, _T_1146, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1153 = mux(_T_1147, _T_1148, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1154 = mux(_T_1149, _T_1150, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1155 = or(_T_1151, _T_1152) @[Mux.scala 27:72] - node _T_1156 = or(_T_1155, _T_1153) @[Mux.scala 27:72] - node _T_1157 = or(_T_1156, _T_1154) @[Mux.scala 27:72] - wire _T_1158 : UInt<1> @[Mux.scala 27:72] - _T_1158 <= _T_1157 @[Mux.scala 27:72] - node _T_1159 = and(_T_1158, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 343:141] - node _T_1160 = eq(_T_1159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:105] - node _T_1161 = and(_T_1142, _T_1160) @[el2_lsu_bus_buffer.scala 343:103] - node _T_1162 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_1163 = cat(_T_1162, buf_dual[1]) @[Cat.scala 29:58] - node _T_1164 = cat(_T_1163, buf_dual[0]) @[Cat.scala 29:58] - node _T_1165 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1166 = bits(_T_1164, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1167 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1168 = bits(_T_1164, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1169 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1170 = bits(_T_1164, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1171 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1172 = bits(_T_1164, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1173 = mux(_T_1165, _T_1166, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1174 = mux(_T_1167, _T_1168, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1175 = mux(_T_1169, _T_1170, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1176 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1177 = or(_T_1173, _T_1174) @[Mux.scala 27:72] - node _T_1178 = or(_T_1177, _T_1175) @[Mux.scala 27:72] - node _T_1179 = or(_T_1178, _T_1176) @[Mux.scala 27:72] - wire _T_1180 : UInt<1> @[Mux.scala 27:72] - _T_1180 <= _T_1179 @[Mux.scala 27:72] - node _T_1181 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] - node _T_1182 = cat(_T_1181, buf_samedw[1]) @[Cat.scala 29:58] - node _T_1183 = cat(_T_1182, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1184 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1185 = bits(_T_1183, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1186 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1187 = bits(_T_1183, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1188 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1189 = bits(_T_1183, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1190 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1191 = bits(_T_1183, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1192 = mux(_T_1184, _T_1185, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1193 = mux(_T_1186, _T_1187, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1194 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1195 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1196 = or(_T_1192, _T_1193) @[Mux.scala 27:72] - node _T_1197 = or(_T_1196, _T_1194) @[Mux.scala 27:72] - node _T_1198 = or(_T_1197, _T_1195) @[Mux.scala 27:72] - wire _T_1199 : UInt<1> @[Mux.scala 27:72] - _T_1199 <= _T_1198 @[Mux.scala 27:72] - node _T_1200 = and(_T_1180, _T_1199) @[el2_lsu_bus_buffer.scala 344:77] - node _T_1201 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1202 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1203 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1204 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1205 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1206 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1207 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1208 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1209 = mux(_T_1201, _T_1202, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1210 = mux(_T_1203, _T_1204, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1211 = mux(_T_1205, _T_1206, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1212 = mux(_T_1207, _T_1208, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1213 = or(_T_1209, _T_1210) @[Mux.scala 27:72] - node _T_1214 = or(_T_1213, _T_1211) @[Mux.scala 27:72] - node _T_1215 = or(_T_1214, _T_1212) @[Mux.scala 27:72] - wire _T_1216 : UInt<1> @[Mux.scala 27:72] - _T_1216 <= _T_1215 @[Mux.scala 27:72] - node _T_1217 = eq(_T_1216, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:150] - node _T_1218 = and(_T_1200, _T_1217) @[el2_lsu_bus_buffer.scala 344:148] - node _T_1219 = eq(_T_1218, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:8] - node _T_1220 = or(_T_1219, found_cmdptr1) @[el2_lsu_bus_buffer.scala 344:181] - node _T_1221 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] - node _T_1222 = cat(_T_1221, buf_nomerge[1]) @[Cat.scala 29:58] - node _T_1223 = cat(_T_1222, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1224 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1225 = bits(_T_1223, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1226 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1227 = bits(_T_1223, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1228 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1229 = bits(_T_1223, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1230 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1231 = bits(_T_1223, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1232 = mux(_T_1224, _T_1225, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1233 = mux(_T_1226, _T_1227, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1234 = mux(_T_1228, _T_1229, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1235 = mux(_T_1230, _T_1231, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1236 = or(_T_1232, _T_1233) @[Mux.scala 27:72] - node _T_1237 = or(_T_1236, _T_1234) @[Mux.scala 27:72] - node _T_1238 = or(_T_1237, _T_1235) @[Mux.scala 27:72] - wire _T_1239 : UInt<1> @[Mux.scala 27:72] - _T_1239 <= _T_1238 @[Mux.scala 27:72] - node _T_1240 = or(_T_1220, _T_1239) @[el2_lsu_bus_buffer.scala 344:197] - node _T_1241 = or(_T_1240, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 344:269] - node _T_1242 = and(_T_1161, _T_1241) @[el2_lsu_bus_buffer.scala 343:164] - node _T_1243 = or(_T_1107, _T_1242) @[el2_lsu_bus_buffer.scala 341:98] - node _T_1244 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:48] - node _T_1245 = or(bus_cmd_ready, _T_1244) @[el2_lsu_bus_buffer.scala 345:46] - node _T_1246 = or(_T_1245, obuf_nosend) @[el2_lsu_bus_buffer.scala 345:60] - node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 345:29] - node _T_1248 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:77] - node _T_1249 = and(_T_1247, _T_1248) @[el2_lsu_bus_buffer.scala 345:75] - node _T_1250 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:93] - node _T_1251 = and(_T_1249, _T_1250) @[el2_lsu_bus_buffer.scala 345:91] - node _T_1252 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:118] - node _T_1253 = and(_T_1251, _T_1252) @[el2_lsu_bus_buffer.scala 345:116] - node _T_1254 = and(_T_1253, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 345:142] - obuf_wr_en <= _T_1254 @[el2_lsu_bus_buffer.scala 341:14] + node _T_1134 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 342:32] + node _T_1135 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 342:74] + node _T_1136 = eq(_T_1135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:52] + node _T_1137 = and(_T_1134, _T_1136) @[el2_lsu_bus_buffer.scala 342:50] + node _T_1138 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1139 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1140 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1141 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1142 = mux(_T_1138, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1143 = mux(_T_1139, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1144 = mux(_T_1140, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1145 = mux(_T_1141, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1146 = or(_T_1142, _T_1143) @[Mux.scala 27:72] + node _T_1147 = or(_T_1146, _T_1144) @[Mux.scala 27:72] + node _T_1148 = or(_T_1147, _T_1145) @[Mux.scala 27:72] + wire _T_1149 : UInt<3> @[Mux.scala 27:72] + _T_1149 <= _T_1148 @[Mux.scala 27:72] + node _T_1150 = eq(_T_1149, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 343:36] + node _T_1151 = and(_T_1150, found_cmdptr0) @[el2_lsu_bus_buffer.scala 343:47] + node _T_1152 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1153 = cat(_T_1152, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1154 = cat(_T_1153, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1155 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1156 = bits(_T_1154, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1157 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1158 = bits(_T_1154, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1159 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1160 = bits(_T_1154, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1161 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1162 = bits(_T_1154, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1163 = mux(_T_1155, _T_1156, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1164 = mux(_T_1157, _T_1158, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1165 = mux(_T_1159, _T_1160, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1166 = mux(_T_1161, _T_1162, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1167 = or(_T_1163, _T_1164) @[Mux.scala 27:72] + node _T_1168 = or(_T_1167, _T_1165) @[Mux.scala 27:72] + node _T_1169 = or(_T_1168, _T_1166) @[Mux.scala 27:72] + wire _T_1170 : UInt<1> @[Mux.scala 27:72] + _T_1170 <= _T_1169 @[Mux.scala 27:72] + node _T_1171 = eq(_T_1170, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:23] + node _T_1172 = and(_T_1151, _T_1171) @[el2_lsu_bus_buffer.scala 344:21] + node _T_1173 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1174 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1175 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1176 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1177 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1178 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1179 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1180 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1181 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1182 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1183 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1184 = mux(_T_1179, _T_1180, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1185 = or(_T_1181, _T_1182) @[Mux.scala 27:72] + node _T_1186 = or(_T_1185, _T_1183) @[Mux.scala 27:72] + node _T_1187 = or(_T_1186, _T_1184) @[Mux.scala 27:72] + wire _T_1188 : UInt<1> @[Mux.scala 27:72] + _T_1188 <= _T_1187 @[Mux.scala 27:72] + node _T_1189 = and(_T_1188, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 344:141] + node _T_1190 = eq(_T_1189, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:105] + node _T_1191 = and(_T_1172, _T_1190) @[el2_lsu_bus_buffer.scala 344:103] + node _T_1192 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1193 = cat(_T_1192, buf_dual[1]) @[Cat.scala 29:58] + node _T_1194 = cat(_T_1193, buf_dual[0]) @[Cat.scala 29:58] + node _T_1195 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1196 = bits(_T_1194, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1197 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1198 = bits(_T_1194, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1199 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1200 = bits(_T_1194, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1201 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1202 = bits(_T_1194, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1203 = mux(_T_1195, _T_1196, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1204 = mux(_T_1197, _T_1198, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1205 = mux(_T_1199, _T_1200, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1206 = mux(_T_1201, _T_1202, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1207 = or(_T_1203, _T_1204) @[Mux.scala 27:72] + node _T_1208 = or(_T_1207, _T_1205) @[Mux.scala 27:72] + node _T_1209 = or(_T_1208, _T_1206) @[Mux.scala 27:72] + wire _T_1210 : UInt<1> @[Mux.scala 27:72] + _T_1210 <= _T_1209 @[Mux.scala 27:72] + node _T_1211 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1212 = cat(_T_1211, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1213 = cat(_T_1212, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1214 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1215 = bits(_T_1213, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1216 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1217 = bits(_T_1213, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1218 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1219 = bits(_T_1213, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1220 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1221 = bits(_T_1213, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1222 = mux(_T_1214, _T_1215, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = mux(_T_1216, _T_1217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1224 = mux(_T_1218, _T_1219, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1225 = mux(_T_1220, _T_1221, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1226 = or(_T_1222, _T_1223) @[Mux.scala 27:72] + node _T_1227 = or(_T_1226, _T_1224) @[Mux.scala 27:72] + node _T_1228 = or(_T_1227, _T_1225) @[Mux.scala 27:72] + wire _T_1229 : UInt<1> @[Mux.scala 27:72] + _T_1229 <= _T_1228 @[Mux.scala 27:72] + node _T_1230 = and(_T_1210, _T_1229) @[el2_lsu_bus_buffer.scala 345:77] + node _T_1231 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1232 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1233 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1234 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1235 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1236 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1237 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1238 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1239 = mux(_T_1231, _T_1232, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1240 = mux(_T_1233, _T_1234, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1241 = mux(_T_1235, _T_1236, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1242 = mux(_T_1237, _T_1238, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1243 = or(_T_1239, _T_1240) @[Mux.scala 27:72] + node _T_1244 = or(_T_1243, _T_1241) @[Mux.scala 27:72] + node _T_1245 = or(_T_1244, _T_1242) @[Mux.scala 27:72] + wire _T_1246 : UInt<1> @[Mux.scala 27:72] + _T_1246 <= _T_1245 @[Mux.scala 27:72] + node _T_1247 = eq(_T_1246, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:150] + node _T_1248 = and(_T_1230, _T_1247) @[el2_lsu_bus_buffer.scala 345:148] + node _T_1249 = eq(_T_1248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:8] + node _T_1250 = or(_T_1249, found_cmdptr1) @[el2_lsu_bus_buffer.scala 345:181] + node _T_1251 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] + node _T_1252 = cat(_T_1251, buf_nomerge[1]) @[Cat.scala 29:58] + node _T_1253 = cat(_T_1252, buf_nomerge[0]) @[Cat.scala 29:58] + node _T_1254 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1255 = bits(_T_1253, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1256 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1257 = bits(_T_1253, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1258 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1259 = bits(_T_1253, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1260 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1261 = bits(_T_1253, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1262 = mux(_T_1254, _T_1255, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1263 = mux(_T_1256, _T_1257, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1264 = mux(_T_1258, _T_1259, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1265 = mux(_T_1260, _T_1261, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1266 = or(_T_1262, _T_1263) @[Mux.scala 27:72] + node _T_1267 = or(_T_1266, _T_1264) @[Mux.scala 27:72] + node _T_1268 = or(_T_1267, _T_1265) @[Mux.scala 27:72] + wire _T_1269 : UInt<1> @[Mux.scala 27:72] + _T_1269 <= _T_1268 @[Mux.scala 27:72] + node _T_1270 = or(_T_1250, _T_1269) @[el2_lsu_bus_buffer.scala 345:197] + node _T_1271 = or(_T_1270, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 345:269] + node _T_1272 = and(_T_1191, _T_1271) @[el2_lsu_bus_buffer.scala 344:164] + node _T_1273 = or(_T_1137, _T_1272) @[el2_lsu_bus_buffer.scala 342:98] + node _T_1274 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:48] + node _T_1275 = or(bus_cmd_ready, _T_1274) @[el2_lsu_bus_buffer.scala 346:46] + node _T_1276 = or(_T_1275, obuf_nosend) @[el2_lsu_bus_buffer.scala 346:60] + node _T_1277 = and(_T_1273, _T_1276) @[el2_lsu_bus_buffer.scala 346:29] + node _T_1278 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:77] + node _T_1279 = and(_T_1277, _T_1278) @[el2_lsu_bus_buffer.scala 346:75] + node _T_1280 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:93] + node _T_1281 = and(_T_1279, _T_1280) @[el2_lsu_bus_buffer.scala 346:91] + node _T_1282 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:118] + node _T_1283 = and(_T_1281, _T_1282) @[el2_lsu_bus_buffer.scala 346:116] + node _T_1284 = and(_T_1283, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 346:142] + obuf_wr_en <= _T_1284 @[el2_lsu_bus_buffer.scala 342:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1255 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 347:47] - node _T_1256 = or(bus_cmd_sent, _T_1255) @[el2_lsu_bus_buffer.scala 347:33] - node _T_1257 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 347:65] - node _T_1258 = and(_T_1256, _T_1257) @[el2_lsu_bus_buffer.scala 347:63] - node _T_1259 = and(_T_1258, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 347:77] - node obuf_rst = or(_T_1259, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 347:98] - node _T_1260 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1261 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1262 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1263 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1264 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1265 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1266 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1267 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1268 = mux(_T_1260, _T_1261, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1269 = mux(_T_1262, _T_1263, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1270 = mux(_T_1264, _T_1265, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1271 = mux(_T_1266, _T_1267, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1272 = or(_T_1268, _T_1269) @[Mux.scala 27:72] - node _T_1273 = or(_T_1272, _T_1270) @[Mux.scala 27:72] - node _T_1274 = or(_T_1273, _T_1271) @[Mux.scala 27:72] - wire _T_1275 : UInt<1> @[Mux.scala 27:72] - _T_1275 <= _T_1274 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1275) @[el2_lsu_bus_buffer.scala 348:26] - node _T_1276 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1277 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1278 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1279 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1280 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1281 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1283 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1284 = mux(_T_1276, _T_1277, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1285 = mux(_T_1278, _T_1279, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1286 = mux(_T_1280, _T_1281, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1287 = mux(_T_1282, _T_1283, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1288 = or(_T_1284, _T_1285) @[Mux.scala 27:72] - node _T_1289 = or(_T_1288, _T_1286) @[Mux.scala 27:72] - node _T_1290 = or(_T_1289, _T_1287) @[Mux.scala 27:72] - wire _T_1291 : UInt<1> @[Mux.scala 27:72] - _T_1291 <= _T_1290 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1291) @[el2_lsu_bus_buffer.scala 349:31] - node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1296 = mux(_T_1292, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1297 = mux(_T_1293, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1298 = mux(_T_1294, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1299 = mux(_T_1295, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1300 = or(_T_1296, _T_1297) @[Mux.scala 27:72] - node _T_1301 = or(_T_1300, _T_1298) @[Mux.scala 27:72] - node _T_1302 = or(_T_1301, _T_1299) @[Mux.scala 27:72] - wire _T_1303 : UInt<32> @[Mux.scala 27:72] - _T_1303 <= _T_1302 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1303) @[el2_lsu_bus_buffer.scala 350:25] - wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 351:20] - buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 352:10] - buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 352:10] - buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 352:10] - buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 352:10] - node _T_1304 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1305 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1306 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1307 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1308 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1309 = mux(_T_1305, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1310 = mux(_T_1306, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1311 = mux(_T_1307, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1312 = mux(_T_1308, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1313 = or(_T_1309, _T_1310) @[Mux.scala 27:72] - node _T_1314 = or(_T_1313, _T_1311) @[Mux.scala 27:72] - node _T_1315 = or(_T_1314, _T_1312) @[Mux.scala 27:72] - wire _T_1316 : UInt<2> @[Mux.scala 27:72] - _T_1316 <= _T_1315 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1304, _T_1316) @[el2_lsu_bus_buffer.scala 353:23] + node _T_1285 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 348:47] + node _T_1286 = or(bus_cmd_sent, _T_1285) @[el2_lsu_bus_buffer.scala 348:33] + node _T_1287 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:65] + node _T_1288 = and(_T_1286, _T_1287) @[el2_lsu_bus_buffer.scala 348:63] + node _T_1289 = and(_T_1288, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 348:77] + node obuf_rst = or(_T_1289, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 348:98] + node _T_1290 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1291 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1292 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1293 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1295 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1296 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1297 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1298 = mux(_T_1290, _T_1291, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1299 = mux(_T_1292, _T_1293, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1300 = mux(_T_1294, _T_1295, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1301 = mux(_T_1296, _T_1297, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1302 = or(_T_1298, _T_1299) @[Mux.scala 27:72] + node _T_1303 = or(_T_1302, _T_1300) @[Mux.scala 27:72] + node _T_1304 = or(_T_1303, _T_1301) @[Mux.scala 27:72] + wire _T_1305 : UInt<1> @[Mux.scala 27:72] + _T_1305 <= _T_1304 @[Mux.scala 27:72] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1305) @[el2_lsu_bus_buffer.scala 349:26] + node _T_1306 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1307 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1308 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1309 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1310 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1311 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1312 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1313 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1314 = mux(_T_1306, _T_1307, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1315 = mux(_T_1308, _T_1309, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1316 = mux(_T_1310, _T_1311, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1317 = mux(_T_1312, _T_1313, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1318 = or(_T_1314, _T_1315) @[Mux.scala 27:72] + node _T_1319 = or(_T_1318, _T_1316) @[Mux.scala 27:72] + node _T_1320 = or(_T_1319, _T_1317) @[Mux.scala 27:72] + wire _T_1321 : UInt<1> @[Mux.scala 27:72] + _T_1321 <= _T_1320 @[Mux.scala 27:72] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1321) @[el2_lsu_bus_buffer.scala 350:31] + node _T_1322 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1323 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1324 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1325 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1326 = mux(_T_1322, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1327 = mux(_T_1323, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1328 = mux(_T_1324, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1329 = mux(_T_1325, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1330 = or(_T_1326, _T_1327) @[Mux.scala 27:72] + node _T_1331 = or(_T_1330, _T_1328) @[Mux.scala 27:72] + node _T_1332 = or(_T_1331, _T_1329) @[Mux.scala 27:72] + wire _T_1333 : UInt<32> @[Mux.scala 27:72] + _T_1333 <= _T_1332 @[Mux.scala 27:72] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1333) @[el2_lsu_bus_buffer.scala 351:25] + wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 352:20] + buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 353:10] + buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 353:10] + buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 353:10] + buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 353:10] + node _T_1334 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_1335 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1336 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1337 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1338 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1339 = mux(_T_1335, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1340 = mux(_T_1336, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1341 = mux(_T_1337, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1342 = mux(_T_1338, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1343 = or(_T_1339, _T_1340) @[Mux.scala 27:72] + node _T_1344 = or(_T_1343, _T_1341) @[Mux.scala 27:72] + node _T_1345 = or(_T_1344, _T_1342) @[Mux.scala 27:72] + wire _T_1346 : UInt<2> @[Mux.scala 27:72] + _T_1346 <= _T_1345 @[Mux.scala 27:72] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1334, _T_1346) @[el2_lsu_bus_buffer.scala 354:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[el2_lsu_bus_buffer.scala 356:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[el2_lsu_bus_buffer.scala 357:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") - io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 358:14] - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 359:25] + io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 359:14] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 360:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1317 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 362:39] - node _T_1318 = eq(_T_1317, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 362:26] - node _T_1319 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 362:68] - node obuf_cmd_done_in = and(_T_1318, _T_1319) @[el2_lsu_bus_buffer.scala 362:51] + node _T_1347 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 363:39] + node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 363:26] + node _T_1349 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 363:68] + node obuf_cmd_done_in = and(_T_1348, _T_1349) @[el2_lsu_bus_buffer.scala 363:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1320 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 365:40] - node _T_1321 = eq(_T_1320, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:27] - node _T_1322 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 365:70] - node obuf_data_done_in = and(_T_1321, _T_1322) @[el2_lsu_bus_buffer.scala 365:52] - node _T_1323 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 366:67] - node _T_1324 = eq(_T_1323, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:72] - node _T_1325 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 366:92] - node _T_1326 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 366:111] - node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:98] - node _T_1328 = and(_T_1325, _T_1327) @[el2_lsu_bus_buffer.scala 366:96] - node _T_1329 = or(_T_1324, _T_1328) @[el2_lsu_bus_buffer.scala 366:79] - node _T_1330 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 366:129] - node _T_1331 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 366:147] - node _T_1332 = orr(_T_1331) @[el2_lsu_bus_buffer.scala 366:153] - node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:134] - node _T_1334 = and(_T_1330, _T_1333) @[el2_lsu_bus_buffer.scala 366:132] - node _T_1335 = or(_T_1329, _T_1334) @[el2_lsu_bus_buffer.scala 366:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1335) @[el2_lsu_bus_buffer.scala 366:28] + node _T_1350 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 366:40] + node _T_1351 = eq(_T_1350, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:27] + node _T_1352 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 366:70] + node obuf_data_done_in = and(_T_1351, _T_1352) @[el2_lsu_bus_buffer.scala 366:52] + node _T_1353 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 367:67] + node _T_1354 = eq(_T_1353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:72] + node _T_1355 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 367:92] + node _T_1356 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 367:111] + node _T_1357 = eq(_T_1356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:98] + node _T_1358 = and(_T_1355, _T_1357) @[el2_lsu_bus_buffer.scala 367:96] + node _T_1359 = or(_T_1354, _T_1358) @[el2_lsu_bus_buffer.scala 367:79] + node _T_1360 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 367:129] + node _T_1361 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 367:147] + node _T_1362 = orr(_T_1361) @[el2_lsu_bus_buffer.scala 367:153] + node _T_1363 = eq(_T_1362, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:134] + node _T_1364 = and(_T_1360, _T_1363) @[el2_lsu_bus_buffer.scala 367:132] + node _T_1365 = or(_T_1359, _T_1364) @[el2_lsu_bus_buffer.scala 367:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1365) @[el2_lsu_bus_buffer.scala 367:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -2045,598 +2077,598 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1336 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:44] - node _T_1337 = and(obuf_wr_en, _T_1336) @[el2_lsu_bus_buffer.scala 374:42] - node _T_1338 = eq(_T_1337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:29] - node _T_1339 = and(_T_1338, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 374:61] - node _T_1340 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 374:116] - node _T_1341 = and(bus_rsp_read, _T_1340) @[el2_lsu_bus_buffer.scala 374:96] - node _T_1342 = eq(_T_1341, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:81] - node _T_1343 = and(_T_1339, _T_1342) @[el2_lsu_bus_buffer.scala 374:79] - node _T_1344 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:22] - node _T_1345 = and(bus_cmd_sent, _T_1344) @[el2_lsu_bus_buffer.scala 375:20] - node _T_1346 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:37] - node _T_1347 = and(_T_1345, _T_1346) @[el2_lsu_bus_buffer.scala 375:35] - node obuf_rdrsp_pend_in = or(_T_1343, _T_1347) @[el2_lsu_bus_buffer.scala 374:138] + node _T_1366 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:44] + node _T_1367 = and(obuf_wr_en, _T_1366) @[el2_lsu_bus_buffer.scala 375:42] + node _T_1368 = eq(_T_1367, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:29] + node _T_1369 = and(_T_1368, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 375:61] + node _T_1370 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 375:116] + node _T_1371 = and(bus_rsp_read, _T_1370) @[el2_lsu_bus_buffer.scala 375:96] + node _T_1372 = eq(_T_1371, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:81] + node _T_1373 = and(_T_1369, _T_1372) @[el2_lsu_bus_buffer.scala 375:79] + node _T_1374 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:22] + node _T_1375 = and(bus_cmd_sent, _T_1374) @[el2_lsu_bus_buffer.scala 376:20] + node _T_1376 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:37] + node _T_1377 = and(_T_1375, _T_1376) @[el2_lsu_bus_buffer.scala 376:35] + node obuf_rdrsp_pend_in = or(_T_1373, _T_1377) @[el2_lsu_bus_buffer.scala 375:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1348 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 377:46] - node _T_1349 = or(bus_cmd_sent, _T_1348) @[el2_lsu_bus_buffer.scala 377:44] - node obuf_rdrsp_tag_in = mux(_T_1349, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 377:30] + node _T_1378 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:46] + node _T_1379 = or(bus_cmd_sent, _T_1378) @[el2_lsu_bus_buffer.scala 378:44] + node obuf_rdrsp_tag_in = mux(_T_1379, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 378:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1350 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 380:34] - node _T_1351 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 380:52] - node _T_1352 = eq(_T_1350, _T_1351) @[el2_lsu_bus_buffer.scala 380:40] - node _T_1353 = and(_T_1352, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 380:60] - node _T_1354 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:80] - node _T_1355 = and(_T_1353, _T_1354) @[el2_lsu_bus_buffer.scala 380:78] - node _T_1356 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:99] - node _T_1357 = and(_T_1355, _T_1356) @[el2_lsu_bus_buffer.scala 380:97] - node _T_1358 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:113] - node _T_1359 = and(_T_1357, _T_1358) @[el2_lsu_bus_buffer.scala 380:111] - node _T_1360 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:130] - node _T_1361 = and(_T_1359, _T_1360) @[el2_lsu_bus_buffer.scala 380:128] - node _T_1362 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:20] - node _T_1363 = and(obuf_valid, _T_1362) @[el2_lsu_bus_buffer.scala 381:18] - node _T_1364 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 381:90] - node _T_1365 = and(bus_rsp_read, _T_1364) @[el2_lsu_bus_buffer.scala 381:70] - node _T_1366 = eq(_T_1365, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:55] - node _T_1367 = and(obuf_rdrsp_pend, _T_1366) @[el2_lsu_bus_buffer.scala 381:53] - node _T_1368 = or(_T_1363, _T_1367) @[el2_lsu_bus_buffer.scala 381:34] - node _T_1369 = and(_T_1361, _T_1368) @[el2_lsu_bus_buffer.scala 380:165] - obuf_nosend_in <= _T_1369 @[el2_lsu_bus_buffer.scala 380:18] - node _T_1370 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 382:60] - node _T_1371 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1372 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1373 = mux(_T_1370, _T_1371, _T_1372) @[el2_lsu_bus_buffer.scala 382:46] - node _T_1374 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1375 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1376 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1377 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1378 = mux(_T_1374, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1379 = mux(_T_1375, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1380 = mux(_T_1376, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1381 = mux(_T_1377, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1382 = or(_T_1378, _T_1379) @[Mux.scala 27:72] - node _T_1383 = or(_T_1382, _T_1380) @[Mux.scala 27:72] - node _T_1384 = or(_T_1383, _T_1381) @[Mux.scala 27:72] - wire _T_1385 : UInt<32> @[Mux.scala 27:72] - _T_1385 <= _T_1384 @[Mux.scala 27:72] - node _T_1386 = bits(_T_1385, 2, 2) @[el2_lsu_bus_buffer.scala 383:36] - node _T_1387 = bits(_T_1386, 0, 0) @[el2_lsu_bus_buffer.scala 383:46] - node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1392 = mux(_T_1388, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1393 = mux(_T_1389, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1394 = mux(_T_1390, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1395 = mux(_T_1391, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1396 = or(_T_1392, _T_1393) @[Mux.scala 27:72] - node _T_1397 = or(_T_1396, _T_1394) @[Mux.scala 27:72] - node _T_1398 = or(_T_1397, _T_1395) @[Mux.scala 27:72] - wire _T_1399 : UInt<4> @[Mux.scala 27:72] - _T_1399 <= _T_1398 @[Mux.scala 27:72] - node _T_1400 = cat(_T_1399, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1401 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1402 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1403 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1404 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1405 = mux(_T_1401, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1406 = mux(_T_1402, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1407 = mux(_T_1403, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1408 = mux(_T_1404, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1409 = or(_T_1405, _T_1406) @[Mux.scala 27:72] - node _T_1410 = or(_T_1409, _T_1407) @[Mux.scala 27:72] - node _T_1411 = or(_T_1410, _T_1408) @[Mux.scala 27:72] - wire _T_1412 : UInt<4> @[Mux.scala 27:72] - _T_1412 <= _T_1411 @[Mux.scala 27:72] - node _T_1413 = cat(UInt<4>("h00"), _T_1412) @[Cat.scala 29:58] - node _T_1414 = mux(_T_1387, _T_1400, _T_1413) @[el2_lsu_bus_buffer.scala 383:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1373, _T_1414) @[el2_lsu_bus_buffer.scala 382:28] - node _T_1415 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 384:60] - node _T_1416 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1417 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1418 = mux(_T_1415, _T_1416, _T_1417) @[el2_lsu_bus_buffer.scala 384:46] - node _T_1419 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1420 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1421 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1422 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1423 = mux(_T_1419, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1424 = mux(_T_1420, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1425 = mux(_T_1421, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1426 = mux(_T_1422, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1427 = or(_T_1423, _T_1424) @[Mux.scala 27:72] + node _T_1380 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 381:34] + node _T_1381 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 381:52] + node _T_1382 = eq(_T_1380, _T_1381) @[el2_lsu_bus_buffer.scala 381:40] + node _T_1383 = and(_T_1382, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 381:60] + node _T_1384 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:80] + node _T_1385 = and(_T_1383, _T_1384) @[el2_lsu_bus_buffer.scala 381:78] + node _T_1386 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:99] + node _T_1387 = and(_T_1385, _T_1386) @[el2_lsu_bus_buffer.scala 381:97] + node _T_1388 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:113] + node _T_1389 = and(_T_1387, _T_1388) @[el2_lsu_bus_buffer.scala 381:111] + node _T_1390 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:130] + node _T_1391 = and(_T_1389, _T_1390) @[el2_lsu_bus_buffer.scala 381:128] + node _T_1392 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:20] + node _T_1393 = and(obuf_valid, _T_1392) @[el2_lsu_bus_buffer.scala 382:18] + node _T_1394 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 382:90] + node _T_1395 = and(bus_rsp_read, _T_1394) @[el2_lsu_bus_buffer.scala 382:70] + node _T_1396 = eq(_T_1395, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:55] + node _T_1397 = and(obuf_rdrsp_pend, _T_1396) @[el2_lsu_bus_buffer.scala 382:53] + node _T_1398 = or(_T_1393, _T_1397) @[el2_lsu_bus_buffer.scala 382:34] + node _T_1399 = and(_T_1391, _T_1398) @[el2_lsu_bus_buffer.scala 381:165] + obuf_nosend_in <= _T_1399 @[el2_lsu_bus_buffer.scala 381:18] + node _T_1400 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 383:60] + node _T_1401 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1402 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] + node _T_1403 = mux(_T_1400, _T_1401, _T_1402) @[el2_lsu_bus_buffer.scala 383:46] + node _T_1404 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1405 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1406 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1407 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1408 = mux(_T_1404, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1409 = mux(_T_1405, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1410 = mux(_T_1406, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1411 = mux(_T_1407, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1412 = or(_T_1408, _T_1409) @[Mux.scala 27:72] + node _T_1413 = or(_T_1412, _T_1410) @[Mux.scala 27:72] + node _T_1414 = or(_T_1413, _T_1411) @[Mux.scala 27:72] + wire _T_1415 : UInt<32> @[Mux.scala 27:72] + _T_1415 <= _T_1414 @[Mux.scala 27:72] + node _T_1416 = bits(_T_1415, 2, 2) @[el2_lsu_bus_buffer.scala 384:36] + node _T_1417 = bits(_T_1416, 0, 0) @[el2_lsu_bus_buffer.scala 384:46] + node _T_1418 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1419 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1420 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1421 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1422 = mux(_T_1418, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1423 = mux(_T_1419, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1424 = mux(_T_1420, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1425 = mux(_T_1421, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1426 = or(_T_1422, _T_1423) @[Mux.scala 27:72] + node _T_1427 = or(_T_1426, _T_1424) @[Mux.scala 27:72] node _T_1428 = or(_T_1427, _T_1425) @[Mux.scala 27:72] - node _T_1429 = or(_T_1428, _T_1426) @[Mux.scala 27:72] - wire _T_1430 : UInt<32> @[Mux.scala 27:72] - _T_1430 <= _T_1429 @[Mux.scala 27:72] - node _T_1431 = bits(_T_1430, 2, 2) @[el2_lsu_bus_buffer.scala 385:36] - node _T_1432 = bits(_T_1431, 0, 0) @[el2_lsu_bus_buffer.scala 385:46] - node _T_1433 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1434 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1435 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1436 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1437 = mux(_T_1433, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1438 = mux(_T_1434, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1439 = mux(_T_1435, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1440 = mux(_T_1436, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1441 = or(_T_1437, _T_1438) @[Mux.scala 27:72] - node _T_1442 = or(_T_1441, _T_1439) @[Mux.scala 27:72] - node _T_1443 = or(_T_1442, _T_1440) @[Mux.scala 27:72] - wire _T_1444 : UInt<4> @[Mux.scala 27:72] - _T_1444 <= _T_1443 @[Mux.scala 27:72] - node _T_1445 = cat(_T_1444, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1446 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1447 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1448 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1449 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1450 = mux(_T_1446, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1451 = mux(_T_1447, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1452 = mux(_T_1448, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1453 = mux(_T_1449, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1454 = or(_T_1450, _T_1451) @[Mux.scala 27:72] - node _T_1455 = or(_T_1454, _T_1452) @[Mux.scala 27:72] - node _T_1456 = or(_T_1455, _T_1453) @[Mux.scala 27:72] - wire _T_1457 : UInt<4> @[Mux.scala 27:72] - _T_1457 <= _T_1456 @[Mux.scala 27:72] - node _T_1458 = cat(UInt<4>("h00"), _T_1457) @[Cat.scala 29:58] - node _T_1459 = mux(_T_1432, _T_1445, _T_1458) @[el2_lsu_bus_buffer.scala 385:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1418, _T_1459) @[el2_lsu_bus_buffer.scala 384:28] - node _T_1460 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 387:58] - node _T_1461 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1462 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1463 = mux(_T_1460, _T_1461, _T_1462) @[el2_lsu_bus_buffer.scala 387:44] - node _T_1464 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1465 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1466 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1467 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1468 = mux(_T_1464, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1469 = mux(_T_1465, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1470 = mux(_T_1466, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1471 = mux(_T_1467, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1472 = or(_T_1468, _T_1469) @[Mux.scala 27:72] + wire _T_1429 : UInt<4> @[Mux.scala 27:72] + _T_1429 <= _T_1428 @[Mux.scala 27:72] + node _T_1430 = cat(_T_1429, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1431 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1432 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1433 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1434 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1435 = mux(_T_1431, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1436 = mux(_T_1432, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1437 = mux(_T_1433, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1438 = mux(_T_1434, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1439 = or(_T_1435, _T_1436) @[Mux.scala 27:72] + node _T_1440 = or(_T_1439, _T_1437) @[Mux.scala 27:72] + node _T_1441 = or(_T_1440, _T_1438) @[Mux.scala 27:72] + wire _T_1442 : UInt<4> @[Mux.scala 27:72] + _T_1442 <= _T_1441 @[Mux.scala 27:72] + node _T_1443 = cat(UInt<4>("h00"), _T_1442) @[Cat.scala 29:58] + node _T_1444 = mux(_T_1417, _T_1430, _T_1443) @[el2_lsu_bus_buffer.scala 384:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1403, _T_1444) @[el2_lsu_bus_buffer.scala 383:28] + node _T_1445 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 385:60] + node _T_1446 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1447 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] + node _T_1448 = mux(_T_1445, _T_1446, _T_1447) @[el2_lsu_bus_buffer.scala 385:46] + node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1453 = mux(_T_1449, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1454 = mux(_T_1450, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1455 = mux(_T_1451, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1456 = mux(_T_1452, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1457 = or(_T_1453, _T_1454) @[Mux.scala 27:72] + node _T_1458 = or(_T_1457, _T_1455) @[Mux.scala 27:72] + node _T_1459 = or(_T_1458, _T_1456) @[Mux.scala 27:72] + wire _T_1460 : UInt<32> @[Mux.scala 27:72] + _T_1460 <= _T_1459 @[Mux.scala 27:72] + node _T_1461 = bits(_T_1460, 2, 2) @[el2_lsu_bus_buffer.scala 386:36] + node _T_1462 = bits(_T_1461, 0, 0) @[el2_lsu_bus_buffer.scala 386:46] + node _T_1463 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1464 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1465 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1466 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1467 = mux(_T_1463, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1468 = mux(_T_1464, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1469 = mux(_T_1465, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1466, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = or(_T_1467, _T_1468) @[Mux.scala 27:72] + node _T_1472 = or(_T_1471, _T_1469) @[Mux.scala 27:72] node _T_1473 = or(_T_1472, _T_1470) @[Mux.scala 27:72] - node _T_1474 = or(_T_1473, _T_1471) @[Mux.scala 27:72] - wire _T_1475 : UInt<32> @[Mux.scala 27:72] - _T_1475 <= _T_1474 @[Mux.scala 27:72] - node _T_1476 = bits(_T_1475, 2, 2) @[el2_lsu_bus_buffer.scala 388:36] - node _T_1477 = bits(_T_1476, 0, 0) @[el2_lsu_bus_buffer.scala 388:46] - node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1482 = mux(_T_1478, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1483 = mux(_T_1479, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1484 = mux(_T_1480, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1485 = mux(_T_1481, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1486 = or(_T_1482, _T_1483) @[Mux.scala 27:72] - node _T_1487 = or(_T_1486, _T_1484) @[Mux.scala 27:72] - node _T_1488 = or(_T_1487, _T_1485) @[Mux.scala 27:72] - wire _T_1489 : UInt<32> @[Mux.scala 27:72] - _T_1489 <= _T_1488 @[Mux.scala 27:72] - node _T_1490 = cat(_T_1489, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1491 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1492 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1493 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1494 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1495 = mux(_T_1491, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1496 = mux(_T_1492, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1497 = mux(_T_1493, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1498 = mux(_T_1494, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1499 = or(_T_1495, _T_1496) @[Mux.scala 27:72] - node _T_1500 = or(_T_1499, _T_1497) @[Mux.scala 27:72] - node _T_1501 = or(_T_1500, _T_1498) @[Mux.scala 27:72] - wire _T_1502 : UInt<32> @[Mux.scala 27:72] - _T_1502 <= _T_1501 @[Mux.scala 27:72] - node _T_1503 = cat(UInt<32>("h00"), _T_1502) @[Cat.scala 29:58] - node _T_1504 = mux(_T_1477, _T_1490, _T_1503) @[el2_lsu_bus_buffer.scala 388:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1463, _T_1504) @[el2_lsu_bus_buffer.scala 387:26] - node _T_1505 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 389:58] - node _T_1506 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1507 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1508 = mux(_T_1505, _T_1506, _T_1507) @[el2_lsu_bus_buffer.scala 389:44] - node _T_1509 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1510 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1511 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1512 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1513 = mux(_T_1509, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1514 = mux(_T_1510, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1515 = mux(_T_1511, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1516 = mux(_T_1512, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1517 = or(_T_1513, _T_1514) @[Mux.scala 27:72] + wire _T_1474 : UInt<4> @[Mux.scala 27:72] + _T_1474 <= _T_1473 @[Mux.scala 27:72] + node _T_1475 = cat(_T_1474, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1476 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1477 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1478 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1479 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1480 = mux(_T_1476, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1481 = mux(_T_1477, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1482 = mux(_T_1478, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1479, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = or(_T_1480, _T_1481) @[Mux.scala 27:72] + node _T_1485 = or(_T_1484, _T_1482) @[Mux.scala 27:72] + node _T_1486 = or(_T_1485, _T_1483) @[Mux.scala 27:72] + wire _T_1487 : UInt<4> @[Mux.scala 27:72] + _T_1487 <= _T_1486 @[Mux.scala 27:72] + node _T_1488 = cat(UInt<4>("h00"), _T_1487) @[Cat.scala 29:58] + node _T_1489 = mux(_T_1462, _T_1475, _T_1488) @[el2_lsu_bus_buffer.scala 386:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1448, _T_1489) @[el2_lsu_bus_buffer.scala 385:28] + node _T_1490 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 388:58] + node _T_1491 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1492 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] + node _T_1493 = mux(_T_1490, _T_1491, _T_1492) @[el2_lsu_bus_buffer.scala 388:44] + node _T_1494 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1495 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1496 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1497 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1498 = mux(_T_1494, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1499 = mux(_T_1495, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1500 = mux(_T_1496, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1497, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = or(_T_1498, _T_1499) @[Mux.scala 27:72] + node _T_1503 = or(_T_1502, _T_1500) @[Mux.scala 27:72] + node _T_1504 = or(_T_1503, _T_1501) @[Mux.scala 27:72] + wire _T_1505 : UInt<32> @[Mux.scala 27:72] + _T_1505 <= _T_1504 @[Mux.scala 27:72] + node _T_1506 = bits(_T_1505, 2, 2) @[el2_lsu_bus_buffer.scala 389:36] + node _T_1507 = bits(_T_1506, 0, 0) @[el2_lsu_bus_buffer.scala 389:46] + node _T_1508 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1509 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1510 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1511 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1512 = mux(_T_1508, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1513 = mux(_T_1509, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1514 = mux(_T_1510, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1511, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = or(_T_1512, _T_1513) @[Mux.scala 27:72] + node _T_1517 = or(_T_1516, _T_1514) @[Mux.scala 27:72] node _T_1518 = or(_T_1517, _T_1515) @[Mux.scala 27:72] - node _T_1519 = or(_T_1518, _T_1516) @[Mux.scala 27:72] - wire _T_1520 : UInt<32> @[Mux.scala 27:72] - _T_1520 <= _T_1519 @[Mux.scala 27:72] - node _T_1521 = bits(_T_1520, 2, 2) @[el2_lsu_bus_buffer.scala 390:36] - node _T_1522 = bits(_T_1521, 0, 0) @[el2_lsu_bus_buffer.scala 390:46] - node _T_1523 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1524 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1525 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1526 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1527 = mux(_T_1523, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1528 = mux(_T_1524, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1529 = mux(_T_1525, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1530 = mux(_T_1526, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1531 = or(_T_1527, _T_1528) @[Mux.scala 27:72] - node _T_1532 = or(_T_1531, _T_1529) @[Mux.scala 27:72] - node _T_1533 = or(_T_1532, _T_1530) @[Mux.scala 27:72] - wire _T_1534 : UInt<32> @[Mux.scala 27:72] - _T_1534 <= _T_1533 @[Mux.scala 27:72] - node _T_1535 = cat(_T_1534, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1536 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1537 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1538 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1539 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1540 = mux(_T_1536, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1541 = mux(_T_1537, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1542 = mux(_T_1538, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1543 = mux(_T_1539, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1544 = or(_T_1540, _T_1541) @[Mux.scala 27:72] - node _T_1545 = or(_T_1544, _T_1542) @[Mux.scala 27:72] - node _T_1546 = or(_T_1545, _T_1543) @[Mux.scala 27:72] - wire _T_1547 : UInt<32> @[Mux.scala 27:72] - _T_1547 <= _T_1546 @[Mux.scala 27:72] - node _T_1548 = cat(UInt<32>("h00"), _T_1547) @[Cat.scala 29:58] - node _T_1549 = mux(_T_1522, _T_1535, _T_1548) @[el2_lsu_bus_buffer.scala 390:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1508, _T_1549) @[el2_lsu_bus_buffer.scala 389:26] - node _T_1550 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1551 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 391:97] - node _T_1552 = and(obuf_merge_en, _T_1551) @[el2_lsu_bus_buffer.scala 391:80] - node _T_1553 = or(_T_1550, _T_1552) @[el2_lsu_bus_buffer.scala 391:63] - node _T_1554 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1555 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 391:97] - node _T_1556 = and(obuf_merge_en, _T_1555) @[el2_lsu_bus_buffer.scala 391:80] - node _T_1557 = or(_T_1554, _T_1556) @[el2_lsu_bus_buffer.scala 391:63] - node _T_1558 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1559 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 391:97] - node _T_1560 = and(obuf_merge_en, _T_1559) @[el2_lsu_bus_buffer.scala 391:80] - node _T_1561 = or(_T_1558, _T_1560) @[el2_lsu_bus_buffer.scala 391:63] - node _T_1562 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1563 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 391:97] - node _T_1564 = and(obuf_merge_en, _T_1563) @[el2_lsu_bus_buffer.scala 391:80] - node _T_1565 = or(_T_1562, _T_1564) @[el2_lsu_bus_buffer.scala 391:63] - node _T_1566 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1567 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 391:97] - node _T_1568 = and(obuf_merge_en, _T_1567) @[el2_lsu_bus_buffer.scala 391:80] - node _T_1569 = or(_T_1566, _T_1568) @[el2_lsu_bus_buffer.scala 391:63] - node _T_1570 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1571 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 391:97] - node _T_1572 = and(obuf_merge_en, _T_1571) @[el2_lsu_bus_buffer.scala 391:80] - node _T_1573 = or(_T_1570, _T_1572) @[el2_lsu_bus_buffer.scala 391:63] - node _T_1574 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1575 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 391:97] - node _T_1576 = and(obuf_merge_en, _T_1575) @[el2_lsu_bus_buffer.scala 391:80] - node _T_1577 = or(_T_1574, _T_1576) @[el2_lsu_bus_buffer.scala 391:63] - node _T_1578 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1579 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 391:97] - node _T_1580 = and(obuf_merge_en, _T_1579) @[el2_lsu_bus_buffer.scala 391:80] - node _T_1581 = or(_T_1578, _T_1580) @[el2_lsu_bus_buffer.scala 391:63] - node _T_1582 = cat(_T_1581, _T_1577) @[Cat.scala 29:58] - node _T_1583 = cat(_T_1582, _T_1573) @[Cat.scala 29:58] - node _T_1584 = cat(_T_1583, _T_1569) @[Cat.scala 29:58] - node _T_1585 = cat(_T_1584, _T_1565) @[Cat.scala 29:58] - node _T_1586 = cat(_T_1585, _T_1561) @[Cat.scala 29:58] - node _T_1587 = cat(_T_1586, _T_1557) @[Cat.scala 29:58] - node obuf_byteen_in = cat(_T_1587, _T_1553) @[Cat.scala 29:58] - node _T_1588 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 392:76] - node _T_1589 = and(obuf_merge_en, _T_1588) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1590 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 392:94] - node _T_1591 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 392:123] - node _T_1592 = mux(_T_1589, _T_1590, _T_1591) @[el2_lsu_bus_buffer.scala 392:44] - node _T_1593 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 392:76] - node _T_1594 = and(obuf_merge_en, _T_1593) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1595 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 392:94] - node _T_1596 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 392:123] - node _T_1597 = mux(_T_1594, _T_1595, _T_1596) @[el2_lsu_bus_buffer.scala 392:44] - node _T_1598 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 392:76] - node _T_1599 = and(obuf_merge_en, _T_1598) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1600 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 392:94] - node _T_1601 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 392:123] - node _T_1602 = mux(_T_1599, _T_1600, _T_1601) @[el2_lsu_bus_buffer.scala 392:44] - node _T_1603 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 392:76] - node _T_1604 = and(obuf_merge_en, _T_1603) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1605 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 392:94] - node _T_1606 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 392:123] - node _T_1607 = mux(_T_1604, _T_1605, _T_1606) @[el2_lsu_bus_buffer.scala 392:44] - node _T_1608 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 392:76] - node _T_1609 = and(obuf_merge_en, _T_1608) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1610 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 392:94] - node _T_1611 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 392:123] - node _T_1612 = mux(_T_1609, _T_1610, _T_1611) @[el2_lsu_bus_buffer.scala 392:44] - node _T_1613 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 392:76] - node _T_1614 = and(obuf_merge_en, _T_1613) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1615 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 392:94] - node _T_1616 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 392:123] - node _T_1617 = mux(_T_1614, _T_1615, _T_1616) @[el2_lsu_bus_buffer.scala 392:44] - node _T_1618 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 392:76] - node _T_1619 = and(obuf_merge_en, _T_1618) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1620 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 392:94] - node _T_1621 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 392:123] - node _T_1622 = mux(_T_1619, _T_1620, _T_1621) @[el2_lsu_bus_buffer.scala 392:44] - node _T_1623 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 392:76] - node _T_1624 = and(obuf_merge_en, _T_1623) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1625 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 392:94] - node _T_1626 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 392:123] - node _T_1627 = mux(_T_1624, _T_1625, _T_1626) @[el2_lsu_bus_buffer.scala 392:44] - node _T_1628 = cat(_T_1627, _T_1622) @[Cat.scala 29:58] - node _T_1629 = cat(_T_1628, _T_1617) @[Cat.scala 29:58] - node _T_1630 = cat(_T_1629, _T_1612) @[Cat.scala 29:58] - node _T_1631 = cat(_T_1630, _T_1607) @[Cat.scala 29:58] - node _T_1632 = cat(_T_1631, _T_1602) @[Cat.scala 29:58] - node _T_1633 = cat(_T_1632, _T_1597) @[Cat.scala 29:58] - node obuf_data_in = cat(_T_1633, _T_1592) @[Cat.scala 29:58] - io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 393:15] - wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 394:24] - buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 395:14] - buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 395:14] - buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 395:14] - buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 395:14] - node _T_1634 = neq(CmdPtr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 396:30] - node _T_1635 = and(_T_1634, found_cmdptr0) @[el2_lsu_bus_buffer.scala 396:43] - node _T_1636 = and(_T_1635, found_cmdptr1) @[el2_lsu_bus_buffer.scala 396:59] - node _T_1637 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1638 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1639 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1640 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1641 = mux(_T_1637, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1642 = mux(_T_1638, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1643 = mux(_T_1639, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1644 = mux(_T_1640, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1645 = or(_T_1641, _T_1642) @[Mux.scala 27:72] - node _T_1646 = or(_T_1645, _T_1643) @[Mux.scala 27:72] - node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] - wire _T_1648 : UInt<3> @[Mux.scala 27:72] - _T_1648 <= _T_1647 @[Mux.scala 27:72] - node _T_1649 = eq(_T_1648, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 396:107] - node _T_1650 = and(_T_1636, _T_1649) @[el2_lsu_bus_buffer.scala 396:75] - node _T_1651 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1652 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1653 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1654 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1655 = mux(_T_1651, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1656 = mux(_T_1652, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1657 = mux(_T_1653, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1658 = mux(_T_1654, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1659 = or(_T_1655, _T_1656) @[Mux.scala 27:72] - node _T_1660 = or(_T_1659, _T_1657) @[Mux.scala 27:72] - node _T_1661 = or(_T_1660, _T_1658) @[Mux.scala 27:72] - wire _T_1662 : UInt<3> @[Mux.scala 27:72] - _T_1662 <= _T_1661 @[Mux.scala 27:72] - node _T_1663 = eq(_T_1662, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 396:150] - node _T_1664 = and(_T_1650, _T_1663) @[el2_lsu_bus_buffer.scala 396:118] - node _T_1665 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] - node _T_1666 = cat(_T_1665, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] - node _T_1667 = cat(_T_1666, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1668 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1669 = bits(_T_1667, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1670 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1671 = bits(_T_1667, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1672 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1673 = bits(_T_1667, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1674 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1675 = bits(_T_1667, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1676 = mux(_T_1668, _T_1669, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1677 = mux(_T_1670, _T_1671, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1678 = mux(_T_1672, _T_1673, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1679 = mux(_T_1674, _T_1675, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1680 = or(_T_1676, _T_1677) @[Mux.scala 27:72] - node _T_1681 = or(_T_1680, _T_1678) @[Mux.scala 27:72] - node _T_1682 = or(_T_1681, _T_1679) @[Mux.scala 27:72] - wire _T_1683 : UInt<1> @[Mux.scala 27:72] - _T_1683 <= _T_1682 @[Mux.scala 27:72] - node _T_1684 = eq(_T_1683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:3] - node _T_1685 = and(_T_1664, _T_1684) @[el2_lsu_bus_buffer.scala 396:161] - node _T_1686 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1687 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1688 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1689 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1690 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1691 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1692 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1693 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1694 = mux(_T_1686, _T_1687, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1695 = mux(_T_1688, _T_1689, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1696 = mux(_T_1690, _T_1691, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1697 = mux(_T_1692, _T_1693, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1698 = or(_T_1694, _T_1695) @[Mux.scala 27:72] - node _T_1699 = or(_T_1698, _T_1696) @[Mux.scala 27:72] - node _T_1700 = or(_T_1699, _T_1697) @[Mux.scala 27:72] - wire _T_1701 : UInt<1> @[Mux.scala 27:72] - _T_1701 <= _T_1700 @[Mux.scala 27:72] - node _T_1702 = eq(_T_1701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:85] - node _T_1703 = and(_T_1685, _T_1702) @[el2_lsu_bus_buffer.scala 397:83] - node _T_1704 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1705 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1706 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1707 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1708 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1709 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1710 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1711 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1712 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1713 = mux(_T_1706, _T_1707, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1714 = mux(_T_1708, _T_1709, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1715 = mux(_T_1710, _T_1711, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1716 = or(_T_1712, _T_1713) @[Mux.scala 27:72] - node _T_1717 = or(_T_1716, _T_1714) @[Mux.scala 27:72] - node _T_1718 = or(_T_1717, _T_1715) @[Mux.scala 27:72] - wire _T_1719 : UInt<1> @[Mux.scala 27:72] - _T_1719 <= _T_1718 @[Mux.scala 27:72] - node _T_1720 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1721 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1722 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1723 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1724 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1725 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1726 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1727 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1728 = mux(_T_1720, _T_1721, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1729 = mux(_T_1722, _T_1723, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1730 = mux(_T_1724, _T_1725, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1731 = mux(_T_1726, _T_1727, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1732 = or(_T_1728, _T_1729) @[Mux.scala 27:72] - node _T_1733 = or(_T_1732, _T_1730) @[Mux.scala 27:72] - node _T_1734 = or(_T_1733, _T_1731) @[Mux.scala 27:72] - wire _T_1735 : UInt<1> @[Mux.scala 27:72] - _T_1735 <= _T_1734 @[Mux.scala 27:72] - node _T_1736 = and(_T_1719, _T_1735) @[el2_lsu_bus_buffer.scala 398:36] - node _T_1737 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1738 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1739 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1740 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1741 = mux(_T_1737, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1742 = mux(_T_1738, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1743 = mux(_T_1739, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1744 = mux(_T_1740, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1745 = or(_T_1741, _T_1742) @[Mux.scala 27:72] - node _T_1746 = or(_T_1745, _T_1743) @[Mux.scala 27:72] + wire _T_1519 : UInt<32> @[Mux.scala 27:72] + _T_1519 <= _T_1518 @[Mux.scala 27:72] + node _T_1520 = cat(_T_1519, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1521 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1522 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1523 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1524 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1525 = mux(_T_1521, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1526 = mux(_T_1522, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1527 = mux(_T_1523, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1524, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = or(_T_1525, _T_1526) @[Mux.scala 27:72] + node _T_1530 = or(_T_1529, _T_1527) @[Mux.scala 27:72] + node _T_1531 = or(_T_1530, _T_1528) @[Mux.scala 27:72] + wire _T_1532 : UInt<32> @[Mux.scala 27:72] + _T_1532 <= _T_1531 @[Mux.scala 27:72] + node _T_1533 = cat(UInt<32>("h00"), _T_1532) @[Cat.scala 29:58] + node _T_1534 = mux(_T_1507, _T_1520, _T_1533) @[el2_lsu_bus_buffer.scala 389:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1493, _T_1534) @[el2_lsu_bus_buffer.scala 388:26] + node _T_1535 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 390:58] + node _T_1536 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1537 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] + node _T_1538 = mux(_T_1535, _T_1536, _T_1537) @[el2_lsu_bus_buffer.scala 390:44] + node _T_1539 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1540 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1541 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1542 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1543 = mux(_T_1539, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1544 = mux(_T_1540, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1545 = mux(_T_1541, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1546 = mux(_T_1542, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1547 = or(_T_1543, _T_1544) @[Mux.scala 27:72] + node _T_1548 = or(_T_1547, _T_1545) @[Mux.scala 27:72] + node _T_1549 = or(_T_1548, _T_1546) @[Mux.scala 27:72] + wire _T_1550 : UInt<32> @[Mux.scala 27:72] + _T_1550 <= _T_1549 @[Mux.scala 27:72] + node _T_1551 = bits(_T_1550, 2, 2) @[el2_lsu_bus_buffer.scala 391:36] + node _T_1552 = bits(_T_1551, 0, 0) @[el2_lsu_bus_buffer.scala 391:46] + node _T_1553 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1554 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1555 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1556 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1557 = mux(_T_1553, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1558 = mux(_T_1554, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1559 = mux(_T_1555, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1560 = mux(_T_1556, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1561 = or(_T_1557, _T_1558) @[Mux.scala 27:72] + node _T_1562 = or(_T_1561, _T_1559) @[Mux.scala 27:72] + node _T_1563 = or(_T_1562, _T_1560) @[Mux.scala 27:72] + wire _T_1564 : UInt<32> @[Mux.scala 27:72] + _T_1564 <= _T_1563 @[Mux.scala 27:72] + node _T_1565 = cat(_T_1564, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1566 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1567 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1568 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1569 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1570 = mux(_T_1566, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1571 = mux(_T_1567, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1572 = mux(_T_1568, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1573 = mux(_T_1569, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1574 = or(_T_1570, _T_1571) @[Mux.scala 27:72] + node _T_1575 = or(_T_1574, _T_1572) @[Mux.scala 27:72] + node _T_1576 = or(_T_1575, _T_1573) @[Mux.scala 27:72] + wire _T_1577 : UInt<32> @[Mux.scala 27:72] + _T_1577 <= _T_1576 @[Mux.scala 27:72] + node _T_1578 = cat(UInt<32>("h00"), _T_1577) @[Cat.scala 29:58] + node _T_1579 = mux(_T_1552, _T_1565, _T_1578) @[el2_lsu_bus_buffer.scala 391:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1538, _T_1579) @[el2_lsu_bus_buffer.scala 390:26] + node _T_1580 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1581 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1582 = and(obuf_merge_en, _T_1581) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1583 = or(_T_1580, _T_1582) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1584 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1585 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1586 = and(obuf_merge_en, _T_1585) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1587 = or(_T_1584, _T_1586) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1588 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1589 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1590 = and(obuf_merge_en, _T_1589) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1591 = or(_T_1588, _T_1590) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1592 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1593 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1594 = and(obuf_merge_en, _T_1593) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1595 = or(_T_1592, _T_1594) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1596 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1597 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1598 = and(obuf_merge_en, _T_1597) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1599 = or(_T_1596, _T_1598) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1600 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1601 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1602 = and(obuf_merge_en, _T_1601) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1603 = or(_T_1600, _T_1602) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1604 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1605 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1606 = and(obuf_merge_en, _T_1605) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1607 = or(_T_1604, _T_1606) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1608 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1609 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1610 = and(obuf_merge_en, _T_1609) @[el2_lsu_bus_buffer.scala 392:80] + node _T_1611 = or(_T_1608, _T_1610) @[el2_lsu_bus_buffer.scala 392:63] + node _T_1612 = cat(_T_1611, _T_1607) @[Cat.scala 29:58] + node _T_1613 = cat(_T_1612, _T_1603) @[Cat.scala 29:58] + node _T_1614 = cat(_T_1613, _T_1599) @[Cat.scala 29:58] + node _T_1615 = cat(_T_1614, _T_1595) @[Cat.scala 29:58] + node _T_1616 = cat(_T_1615, _T_1591) @[Cat.scala 29:58] + node _T_1617 = cat(_T_1616, _T_1587) @[Cat.scala 29:58] + node obuf_byteen_in = cat(_T_1617, _T_1583) @[Cat.scala 29:58] + node _T_1618 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1619 = and(obuf_merge_en, _T_1618) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1620 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1621 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1622 = mux(_T_1619, _T_1620, _T_1621) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1623 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1624 = and(obuf_merge_en, _T_1623) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1625 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1626 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1627 = mux(_T_1624, _T_1625, _T_1626) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1628 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1629 = and(obuf_merge_en, _T_1628) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1630 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1631 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1632 = mux(_T_1629, _T_1630, _T_1631) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1633 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1634 = and(obuf_merge_en, _T_1633) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1635 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1636 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1637 = mux(_T_1634, _T_1635, _T_1636) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1638 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1639 = and(obuf_merge_en, _T_1638) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1640 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1641 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1642 = mux(_T_1639, _T_1640, _T_1641) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1643 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1644 = and(obuf_merge_en, _T_1643) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1645 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1646 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1647 = mux(_T_1644, _T_1645, _T_1646) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1648 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1649 = and(obuf_merge_en, _T_1648) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1650 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1651 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1652 = mux(_T_1649, _T_1650, _T_1651) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1653 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 393:76] + node _T_1654 = and(obuf_merge_en, _T_1653) @[el2_lsu_bus_buffer.scala 393:59] + node _T_1655 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 393:94] + node _T_1656 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 393:123] + node _T_1657 = mux(_T_1654, _T_1655, _T_1656) @[el2_lsu_bus_buffer.scala 393:44] + node _T_1658 = cat(_T_1657, _T_1652) @[Cat.scala 29:58] + node _T_1659 = cat(_T_1658, _T_1647) @[Cat.scala 29:58] + node _T_1660 = cat(_T_1659, _T_1642) @[Cat.scala 29:58] + node _T_1661 = cat(_T_1660, _T_1637) @[Cat.scala 29:58] + node _T_1662 = cat(_T_1661, _T_1632) @[Cat.scala 29:58] + node _T_1663 = cat(_T_1662, _T_1627) @[Cat.scala 29:58] + node obuf_data_in = cat(_T_1663, _T_1622) @[Cat.scala 29:58] + io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 394:15] + wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 395:24] + buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 396:14] + buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 396:14] + buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 396:14] + buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 396:14] + node _T_1664 = neq(CmdPtr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 397:30] + node _T_1665 = and(_T_1664, found_cmdptr0) @[el2_lsu_bus_buffer.scala 397:43] + node _T_1666 = and(_T_1665, found_cmdptr1) @[el2_lsu_bus_buffer.scala 397:59] + node _T_1667 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1668 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1669 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1670 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1671 = mux(_T_1667, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1672 = mux(_T_1668, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1673 = mux(_T_1669, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1674 = mux(_T_1670, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1675 = or(_T_1671, _T_1672) @[Mux.scala 27:72] + node _T_1676 = or(_T_1675, _T_1673) @[Mux.scala 27:72] + node _T_1677 = or(_T_1676, _T_1674) @[Mux.scala 27:72] + wire _T_1678 : UInt<3> @[Mux.scala 27:72] + _T_1678 <= _T_1677 @[Mux.scala 27:72] + node _T_1679 = eq(_T_1678, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 397:107] + node _T_1680 = and(_T_1666, _T_1679) @[el2_lsu_bus_buffer.scala 397:75] + node _T_1681 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1682 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1683 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1684 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1685 = mux(_T_1681, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1686 = mux(_T_1682, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1687 = mux(_T_1683, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1688 = mux(_T_1684, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1689 = or(_T_1685, _T_1686) @[Mux.scala 27:72] + node _T_1690 = or(_T_1689, _T_1687) @[Mux.scala 27:72] + node _T_1691 = or(_T_1690, _T_1688) @[Mux.scala 27:72] + wire _T_1692 : UInt<3> @[Mux.scala 27:72] + _T_1692 <= _T_1691 @[Mux.scala 27:72] + node _T_1693 = eq(_T_1692, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 397:150] + node _T_1694 = and(_T_1680, _T_1693) @[el2_lsu_bus_buffer.scala 397:118] + node _T_1695 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1696 = cat(_T_1695, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1697 = cat(_T_1696, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1698 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1699 = bits(_T_1697, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1700 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1701 = bits(_T_1697, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1702 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1703 = bits(_T_1697, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1704 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1705 = bits(_T_1697, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1706 = mux(_T_1698, _T_1699, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1707 = mux(_T_1700, _T_1701, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1708 = mux(_T_1702, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1709 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1710 = or(_T_1706, _T_1707) @[Mux.scala 27:72] + node _T_1711 = or(_T_1710, _T_1708) @[Mux.scala 27:72] + node _T_1712 = or(_T_1711, _T_1709) @[Mux.scala 27:72] + wire _T_1713 : UInt<1> @[Mux.scala 27:72] + _T_1713 <= _T_1712 @[Mux.scala 27:72] + node _T_1714 = eq(_T_1713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:3] + node _T_1715 = and(_T_1694, _T_1714) @[el2_lsu_bus_buffer.scala 397:161] + node _T_1716 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1717 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1718 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1719 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1720 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1721 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1722 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1723 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1724 = mux(_T_1716, _T_1717, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1725 = mux(_T_1718, _T_1719, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1726 = mux(_T_1720, _T_1721, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1727 = mux(_T_1722, _T_1723, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1728 = or(_T_1724, _T_1725) @[Mux.scala 27:72] + node _T_1729 = or(_T_1728, _T_1726) @[Mux.scala 27:72] + node _T_1730 = or(_T_1729, _T_1727) @[Mux.scala 27:72] + wire _T_1731 : UInt<1> @[Mux.scala 27:72] + _T_1731 <= _T_1730 @[Mux.scala 27:72] + node _T_1732 = eq(_T_1731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:85] + node _T_1733 = and(_T_1715, _T_1732) @[el2_lsu_bus_buffer.scala 398:83] + node _T_1734 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1735 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1736 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1737 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1738 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1739 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1740 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1741 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1742 = mux(_T_1734, _T_1735, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1743 = mux(_T_1736, _T_1737, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1744 = mux(_T_1738, _T_1739, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1745 = mux(_T_1740, _T_1741, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1746 = or(_T_1742, _T_1743) @[Mux.scala 27:72] node _T_1747 = or(_T_1746, _T_1744) @[Mux.scala 27:72] - wire _T_1748 : UInt<32> @[Mux.scala 27:72] - _T_1748 <= _T_1747 @[Mux.scala 27:72] - node _T_1749 = bits(_T_1748, 31, 3) @[el2_lsu_bus_buffer.scala 399:33] - node _T_1750 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1751 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1752 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1753 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1754 = mux(_T_1750, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1755 = mux(_T_1751, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1756 = mux(_T_1752, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1757 = mux(_T_1753, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1758 = or(_T_1754, _T_1755) @[Mux.scala 27:72] - node _T_1759 = or(_T_1758, _T_1756) @[Mux.scala 27:72] - node _T_1760 = or(_T_1759, _T_1757) @[Mux.scala 27:72] - wire _T_1761 : UInt<32> @[Mux.scala 27:72] - _T_1761 <= _T_1760 @[Mux.scala 27:72] - node _T_1762 = bits(_T_1761, 31, 3) @[el2_lsu_bus_buffer.scala 399:69] - node _T_1763 = eq(_T_1749, _T_1762) @[el2_lsu_bus_buffer.scala 399:39] - node _T_1764 = and(_T_1736, _T_1763) @[el2_lsu_bus_buffer.scala 398:67] - node _T_1765 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:79] - node _T_1766 = and(_T_1764, _T_1765) @[el2_lsu_bus_buffer.scala 399:77] - node _T_1767 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:105] - node _T_1768 = and(_T_1766, _T_1767) @[el2_lsu_bus_buffer.scala 399:103] - node _T_1769 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1770 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1771 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1772 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1773 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1774 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1775 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1776 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1777 = mux(_T_1769, _T_1770, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1778 = mux(_T_1771, _T_1772, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1779 = mux(_T_1773, _T_1774, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1780 = mux(_T_1775, _T_1776, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1781 = or(_T_1777, _T_1778) @[Mux.scala 27:72] - node _T_1782 = or(_T_1781, _T_1779) @[Mux.scala 27:72] - node _T_1783 = or(_T_1782, _T_1780) @[Mux.scala 27:72] - wire _T_1784 : UInt<1> @[Mux.scala 27:72] - _T_1784 <= _T_1783 @[Mux.scala 27:72] - node _T_1785 = eq(_T_1784, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:6] - node _T_1786 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_1787 = cat(_T_1786, buf_dual[1]) @[Cat.scala 29:58] - node _T_1788 = cat(_T_1787, buf_dual[0]) @[Cat.scala 29:58] - node _T_1789 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1790 = bits(_T_1788, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1791 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1792 = bits(_T_1788, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1793 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1794 = bits(_T_1788, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1795 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1796 = bits(_T_1788, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1797 = mux(_T_1789, _T_1790, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1798 = mux(_T_1791, _T_1792, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1799 = mux(_T_1793, _T_1794, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1800 = mux(_T_1795, _T_1796, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1801 = or(_T_1797, _T_1798) @[Mux.scala 27:72] - node _T_1802 = or(_T_1801, _T_1799) @[Mux.scala 27:72] - node _T_1803 = or(_T_1802, _T_1800) @[Mux.scala 27:72] - wire _T_1804 : UInt<1> @[Mux.scala 27:72] - _T_1804 <= _T_1803 @[Mux.scala 27:72] - node _T_1805 = and(_T_1785, _T_1804) @[el2_lsu_bus_buffer.scala 400:36] - node _T_1806 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] - node _T_1807 = cat(_T_1806, buf_dualhi[1]) @[Cat.scala 29:58] - node _T_1808 = cat(_T_1807, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1809 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1810 = bits(_T_1808, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1811 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1812 = bits(_T_1808, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1813 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1814 = bits(_T_1808, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1815 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1816 = bits(_T_1808, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1817 = mux(_T_1809, _T_1810, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1818 = mux(_T_1811, _T_1812, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1819 = mux(_T_1813, _T_1814, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1820 = mux(_T_1815, _T_1816, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1821 = or(_T_1817, _T_1818) @[Mux.scala 27:72] - node _T_1822 = or(_T_1821, _T_1819) @[Mux.scala 27:72] - node _T_1823 = or(_T_1822, _T_1820) @[Mux.scala 27:72] - wire _T_1824 : UInt<1> @[Mux.scala 27:72] - _T_1824 <= _T_1823 @[Mux.scala 27:72] - node _T_1825 = eq(_T_1824, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:107] - node _T_1826 = and(_T_1805, _T_1825) @[el2_lsu_bus_buffer.scala 400:105] - node _T_1827 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] - node _T_1828 = cat(_T_1827, buf_samedw[1]) @[Cat.scala 29:58] - node _T_1829 = cat(_T_1828, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1830 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1831 = bits(_T_1829, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1832 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1833 = bits(_T_1829, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1834 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1835 = bits(_T_1829, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1836 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1837 = bits(_T_1829, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1838 = mux(_T_1830, _T_1831, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1839 = mux(_T_1832, _T_1833, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1840 = mux(_T_1834, _T_1835, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1841 = mux(_T_1836, _T_1837, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1842 = or(_T_1838, _T_1839) @[Mux.scala 27:72] - node _T_1843 = or(_T_1842, _T_1840) @[Mux.scala 27:72] - node _T_1844 = or(_T_1843, _T_1841) @[Mux.scala 27:72] - wire _T_1845 : UInt<1> @[Mux.scala 27:72] - _T_1845 <= _T_1844 @[Mux.scala 27:72] - node _T_1846 = and(_T_1826, _T_1845) @[el2_lsu_bus_buffer.scala 400:177] - node _T_1847 = or(_T_1768, _T_1846) @[el2_lsu_bus_buffer.scala 399:126] - node _T_1848 = and(_T_1703, _T_1847) @[el2_lsu_bus_buffer.scala 397:120] - node _T_1849 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 401:19] - node _T_1850 = and(_T_1849, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 401:35] - node _T_1851 = or(_T_1848, _T_1850) @[el2_lsu_bus_buffer.scala 400:251] - obuf_merge_en <= _T_1851 @[el2_lsu_bus_buffer.scala 396:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 403:55] - obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 403:55] - node _T_1852 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 404:58] - node _T_1853 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:93] - node _T_1854 = and(_T_1852, _T_1853) @[el2_lsu_bus_buffer.scala 404:91] - reg _T_1855 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 404:54] - _T_1855 <= _T_1854 @[el2_lsu_bus_buffer.scala 404:54] - obuf_valid <= _T_1855 @[el2_lsu_bus_buffer.scala 404:14] - reg _T_1856 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_1748 = or(_T_1747, _T_1745) @[Mux.scala 27:72] + wire _T_1749 : UInt<1> @[Mux.scala 27:72] + _T_1749 <= _T_1748 @[Mux.scala 27:72] + node _T_1750 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1751 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1752 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1753 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1754 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1755 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1756 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1757 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1758 = mux(_T_1750, _T_1751, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1759 = mux(_T_1752, _T_1753, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1760 = mux(_T_1754, _T_1755, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1761 = mux(_T_1756, _T_1757, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1762 = or(_T_1758, _T_1759) @[Mux.scala 27:72] + node _T_1763 = or(_T_1762, _T_1760) @[Mux.scala 27:72] + node _T_1764 = or(_T_1763, _T_1761) @[Mux.scala 27:72] + wire _T_1765 : UInt<1> @[Mux.scala 27:72] + _T_1765 <= _T_1764 @[Mux.scala 27:72] + node _T_1766 = and(_T_1749, _T_1765) @[el2_lsu_bus_buffer.scala 399:36] + node _T_1767 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1768 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1769 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1770 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1771 = mux(_T_1767, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1772 = mux(_T_1768, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1773 = mux(_T_1769, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1774 = mux(_T_1770, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1775 = or(_T_1771, _T_1772) @[Mux.scala 27:72] + node _T_1776 = or(_T_1775, _T_1773) @[Mux.scala 27:72] + node _T_1777 = or(_T_1776, _T_1774) @[Mux.scala 27:72] + wire _T_1778 : UInt<32> @[Mux.scala 27:72] + _T_1778 <= _T_1777 @[Mux.scala 27:72] + node _T_1779 = bits(_T_1778, 31, 3) @[el2_lsu_bus_buffer.scala 400:33] + node _T_1780 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1781 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1782 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1783 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1784 = mux(_T_1780, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1785 = mux(_T_1781, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1786 = mux(_T_1782, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1787 = mux(_T_1783, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1788 = or(_T_1784, _T_1785) @[Mux.scala 27:72] + node _T_1789 = or(_T_1788, _T_1786) @[Mux.scala 27:72] + node _T_1790 = or(_T_1789, _T_1787) @[Mux.scala 27:72] + wire _T_1791 : UInt<32> @[Mux.scala 27:72] + _T_1791 <= _T_1790 @[Mux.scala 27:72] + node _T_1792 = bits(_T_1791, 31, 3) @[el2_lsu_bus_buffer.scala 400:69] + node _T_1793 = eq(_T_1779, _T_1792) @[el2_lsu_bus_buffer.scala 400:39] + node _T_1794 = and(_T_1766, _T_1793) @[el2_lsu_bus_buffer.scala 399:67] + node _T_1795 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:79] + node _T_1796 = and(_T_1794, _T_1795) @[el2_lsu_bus_buffer.scala 400:77] + node _T_1797 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:105] + node _T_1798 = and(_T_1796, _T_1797) @[el2_lsu_bus_buffer.scala 400:103] + node _T_1799 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1800 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1801 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1802 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1803 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1804 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1805 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1806 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1807 = mux(_T_1799, _T_1800, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1808 = mux(_T_1801, _T_1802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1809 = mux(_T_1803, _T_1804, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1810 = mux(_T_1805, _T_1806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1811 = or(_T_1807, _T_1808) @[Mux.scala 27:72] + node _T_1812 = or(_T_1811, _T_1809) @[Mux.scala 27:72] + node _T_1813 = or(_T_1812, _T_1810) @[Mux.scala 27:72] + wire _T_1814 : UInt<1> @[Mux.scala 27:72] + _T_1814 <= _T_1813 @[Mux.scala 27:72] + node _T_1815 = eq(_T_1814, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:6] + node _T_1816 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1817 = cat(_T_1816, buf_dual[1]) @[Cat.scala 29:58] + node _T_1818 = cat(_T_1817, buf_dual[0]) @[Cat.scala 29:58] + node _T_1819 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1820 = bits(_T_1818, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1821 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1822 = bits(_T_1818, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1823 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1824 = bits(_T_1818, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1825 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1826 = bits(_T_1818, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1827 = mux(_T_1819, _T_1820, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1828 = mux(_T_1821, _T_1822, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1829 = mux(_T_1823, _T_1824, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1830 = mux(_T_1825, _T_1826, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1831 = or(_T_1827, _T_1828) @[Mux.scala 27:72] + node _T_1832 = or(_T_1831, _T_1829) @[Mux.scala 27:72] + node _T_1833 = or(_T_1832, _T_1830) @[Mux.scala 27:72] + wire _T_1834 : UInt<1> @[Mux.scala 27:72] + _T_1834 <= _T_1833 @[Mux.scala 27:72] + node _T_1835 = and(_T_1815, _T_1834) @[el2_lsu_bus_buffer.scala 401:36] + node _T_1836 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] + node _T_1837 = cat(_T_1836, buf_dualhi[1]) @[Cat.scala 29:58] + node _T_1838 = cat(_T_1837, buf_dualhi[0]) @[Cat.scala 29:58] + node _T_1839 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1840 = bits(_T_1838, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1841 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1842 = bits(_T_1838, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1843 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1844 = bits(_T_1838, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1845 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1846 = bits(_T_1838, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1847 = mux(_T_1839, _T_1840, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1848 = mux(_T_1841, _T_1842, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1849 = mux(_T_1843, _T_1844, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1850 = mux(_T_1845, _T_1846, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1851 = or(_T_1847, _T_1848) @[Mux.scala 27:72] + node _T_1852 = or(_T_1851, _T_1849) @[Mux.scala 27:72] + node _T_1853 = or(_T_1852, _T_1850) @[Mux.scala 27:72] + wire _T_1854 : UInt<1> @[Mux.scala 27:72] + _T_1854 <= _T_1853 @[Mux.scala 27:72] + node _T_1855 = eq(_T_1854, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:107] + node _T_1856 = and(_T_1835, _T_1855) @[el2_lsu_bus_buffer.scala 401:105] + node _T_1857 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1858 = cat(_T_1857, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1859 = cat(_T_1858, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1860 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1861 = bits(_T_1859, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1862 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1863 = bits(_T_1859, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1864 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1865 = bits(_T_1859, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1866 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1867 = bits(_T_1859, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1868 = mux(_T_1860, _T_1861, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1869 = mux(_T_1862, _T_1863, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1870 = mux(_T_1864, _T_1865, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1871 = mux(_T_1866, _T_1867, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1872 = or(_T_1868, _T_1869) @[Mux.scala 27:72] + node _T_1873 = or(_T_1872, _T_1870) @[Mux.scala 27:72] + node _T_1874 = or(_T_1873, _T_1871) @[Mux.scala 27:72] + wire _T_1875 : UInt<1> @[Mux.scala 27:72] + _T_1875 <= _T_1874 @[Mux.scala 27:72] + node _T_1876 = and(_T_1856, _T_1875) @[el2_lsu_bus_buffer.scala 401:177] + node _T_1877 = or(_T_1798, _T_1876) @[el2_lsu_bus_buffer.scala 400:126] + node _T_1878 = and(_T_1733, _T_1877) @[el2_lsu_bus_buffer.scala 398:120] + node _T_1879 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 402:19] + node _T_1880 = and(_T_1879, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 402:35] + node _T_1881 = or(_T_1878, _T_1880) @[el2_lsu_bus_buffer.scala 401:251] + obuf_merge_en <= _T_1881 @[el2_lsu_bus_buffer.scala 397:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 404:55] + obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 404:55] + node _T_1882 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 405:58] + node _T_1883 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:93] + node _T_1884 = and(_T_1882, _T_1883) @[el2_lsu_bus_buffer.scala 405:91] + reg _T_1885 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 405:54] + _T_1885 <= _T_1884 @[el2_lsu_bus_buffer.scala 405:54] + obuf_valid <= _T_1885 @[el2_lsu_bus_buffer.scala 405:14] + reg _T_1886 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1856 <= obuf_nosend_in @[Reg.scala 28:23] + _T_1886 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1856 @[el2_lsu_bus_buffer.scala 405:15] - reg _T_1857 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 406:54] - _T_1857 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 406:54] - obuf_cmd_done <= _T_1857 @[el2_lsu_bus_buffer.scala 406:17] - reg _T_1858 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 407:55] - _T_1858 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 407:55] - obuf_data_done <= _T_1858 @[el2_lsu_bus_buffer.scala 407:18] - reg _T_1859 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 408:56] - _T_1859 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 408:56] - obuf_rdrsp_pend <= _T_1859 @[el2_lsu_bus_buffer.scala 408:19] - reg _T_1860 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 409:55] - _T_1860 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 409:55] - obuf_rdrsp_tag <= _T_1860 @[el2_lsu_bus_buffer.scala 409:18] - reg _T_1861 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_nosend <= _T_1886 @[el2_lsu_bus_buffer.scala 406:15] + reg _T_1887 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 407:54] + _T_1887 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 407:54] + obuf_cmd_done <= _T_1887 @[el2_lsu_bus_buffer.scala 407:17] + reg _T_1888 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 408:55] + _T_1888 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 408:55] + obuf_data_done <= _T_1888 @[el2_lsu_bus_buffer.scala 408:18] + reg _T_1889 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 409:56] + _T_1889 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 409:56] + obuf_rdrsp_pend <= _T_1889 @[el2_lsu_bus_buffer.scala 409:19] + reg _T_1890 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 410:55] + _T_1890 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 410:55] + obuf_rdrsp_tag <= _T_1890 @[el2_lsu_bus_buffer.scala 410:18] + reg _T_1891 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1861 <= obuf_tag0_in @[Reg.scala 28:23] + _T_1891 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1861 @[el2_lsu_bus_buffer.scala 410:13] + obuf_tag0 <= _T_1891 @[el2_lsu_bus_buffer.scala 411:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2645,16 +2677,16 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] obuf_merge <= obuf_merge_en @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg _T_1862 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1892 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1862 <= obuf_write_in @[Reg.scala 28:23] + _T_1892 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1862 @[el2_lsu_bus_buffer.scala 413:14] - reg _T_1863 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_write <= _T_1892 @[el2_lsu_bus_buffer.scala 414:14] + reg _T_1893 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1863 <= obuf_sideeffect_in @[Reg.scala 28:23] + _T_1893 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1863 @[el2_lsu_bus_buffer.scala 414:19] + obuf_sideeffect <= _T_1893 @[el2_lsu_bus_buffer.scala 415:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2665,9 +2697,9 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.clk <= io.lsu_busm_clk @[el2_lib.scala 487:18] rvclkhdr_2.io.en <= obuf_wr_en @[el2_lib.scala 488:17] rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1864 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1864 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1864 @[el2_lsu_bus_buffer.scala 416:13] + reg _T_1894 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1894 <= obuf_addr_in @[el2_lib.scala 491:16] + obuf_addr <= _T_1894 @[el2_lsu_bus_buffer.scala 417:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2680,3025 +2712,2969 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1865 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 419:54] - _T_1865 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 419:54] - obuf_wr_timer <= _T_1865 @[el2_lsu_bus_buffer.scala 419:17] + reg _T_1895 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 420:54] + _T_1895 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 420:54] + obuf_wr_timer <= _T_1895 @[el2_lsu_bus_buffer.scala 420:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1866 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:65] - node _T_1867 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:103] - node _T_1868 = and(ibuf_valid, _T_1867) @[el2_lsu_bus_buffer.scala 422:92] - node _T_1869 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:35] - node _T_1870 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1871 = and(io.ldst_dual_r, _T_1870) @[el2_lsu_bus_buffer.scala 424:21] - node _T_1872 = or(_T_1869, _T_1871) @[el2_lsu_bus_buffer.scala 423:44] - node _T_1873 = and(io.lsu_busreq_r, _T_1872) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1874 = or(_T_1868, _T_1873) @[el2_lsu_bus_buffer.scala 422:112] - node _T_1875 = eq(_T_1874, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:78] - node _T_1876 = and(_T_1866, _T_1875) @[el2_lsu_bus_buffer.scala 422:76] - node _T_1877 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:65] - node _T_1878 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 422:103] - node _T_1879 = and(ibuf_valid, _T_1878) @[el2_lsu_bus_buffer.scala 422:92] - node _T_1880 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:35] - node _T_1881 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1882 = and(io.ldst_dual_r, _T_1881) @[el2_lsu_bus_buffer.scala 424:21] - node _T_1883 = or(_T_1880, _T_1882) @[el2_lsu_bus_buffer.scala 423:44] - node _T_1884 = and(io.lsu_busreq_r, _T_1883) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1885 = or(_T_1879, _T_1884) @[el2_lsu_bus_buffer.scala 422:112] - node _T_1886 = eq(_T_1885, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:78] - node _T_1887 = and(_T_1877, _T_1886) @[el2_lsu_bus_buffer.scala 422:76] - node _T_1888 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:65] - node _T_1889 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 422:103] - node _T_1890 = and(ibuf_valid, _T_1889) @[el2_lsu_bus_buffer.scala 422:92] - node _T_1891 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:35] - node _T_1892 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1893 = and(io.ldst_dual_r, _T_1892) @[el2_lsu_bus_buffer.scala 424:21] - node _T_1894 = or(_T_1891, _T_1893) @[el2_lsu_bus_buffer.scala 423:44] - node _T_1895 = and(io.lsu_busreq_r, _T_1894) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1896 = or(_T_1890, _T_1895) @[el2_lsu_bus_buffer.scala 422:112] - node _T_1897 = eq(_T_1896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:78] - node _T_1898 = and(_T_1888, _T_1897) @[el2_lsu_bus_buffer.scala 422:76] - node _T_1899 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:65] - node _T_1900 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 422:103] - node _T_1901 = and(ibuf_valid, _T_1900) @[el2_lsu_bus_buffer.scala 422:92] - node _T_1902 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:35] - node _T_1903 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1904 = and(io.ldst_dual_r, _T_1903) @[el2_lsu_bus_buffer.scala 424:21] - node _T_1905 = or(_T_1902, _T_1904) @[el2_lsu_bus_buffer.scala 423:44] - node _T_1906 = and(io.lsu_busreq_r, _T_1905) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1907 = or(_T_1901, _T_1906) @[el2_lsu_bus_buffer.scala 422:112] - node _T_1908 = eq(_T_1907, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:78] - node _T_1909 = and(_T_1899, _T_1908) @[el2_lsu_bus_buffer.scala 422:76] - node _T_1910 = mux(_T_1909, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_1911 = mux(_T_1898, UInt<2>("h02"), _T_1910) @[Mux.scala 98:16] - node _T_1912 = mux(_T_1887, UInt<1>("h01"), _T_1911) @[Mux.scala 98:16] - node _T_1913 = mux(_T_1876, UInt<1>("h00"), _T_1912) @[Mux.scala 98:16] - WrPtr0_m <= _T_1913 @[el2_lsu_bus_buffer.scala 422:12] - node _T_1914 = cat(buf_state[0], buf_state[1]) @[Cat.scala 29:58] - node _T_1915 = cat(_T_1914, buf_state[2]) @[Cat.scala 29:58] - node _T_1916 = cat(_T_1915, buf_state[3]) @[Cat.scala 29:58] - io.buf_state <= _T_1916 @[el2_lsu_bus_buffer.scala 425:16] - node _T_1917 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:68] - node _T_1918 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:106] - node _T_1919 = and(ibuf_valid, _T_1918) @[el2_lsu_bus_buffer.scala 427:95] - node _T_1920 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:33] - node _T_1921 = and(io.lsu_busreq_m, _T_1920) @[el2_lsu_bus_buffer.scala 428:22] - node _T_1922 = or(_T_1919, _T_1921) @[el2_lsu_bus_buffer.scala 427:115] - node _T_1923 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:36] - node _T_1924 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:34] - node _T_1925 = and(io.ldst_dual_r, _T_1924) @[el2_lsu_bus_buffer.scala 430:23] - node _T_1926 = or(_T_1923, _T_1925) @[el2_lsu_bus_buffer.scala 429:46] - node _T_1927 = and(io.lsu_busreq_r, _T_1926) @[el2_lsu_bus_buffer.scala 429:22] - node _T_1928 = or(_T_1922, _T_1927) @[el2_lsu_bus_buffer.scala 428:42] - node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:81] - node _T_1930 = and(_T_1917, _T_1929) @[el2_lsu_bus_buffer.scala 427:79] - node _T_1931 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:68] - node _T_1932 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:106] - node _T_1933 = and(ibuf_valid, _T_1932) @[el2_lsu_bus_buffer.scala 427:95] - node _T_1934 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 428:33] - node _T_1935 = and(io.lsu_busreq_m, _T_1934) @[el2_lsu_bus_buffer.scala 428:22] - node _T_1936 = or(_T_1933, _T_1935) @[el2_lsu_bus_buffer.scala 427:115] - node _T_1937 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:36] - node _T_1938 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:34] - node _T_1939 = and(io.ldst_dual_r, _T_1938) @[el2_lsu_bus_buffer.scala 430:23] - node _T_1940 = or(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 429:46] - node _T_1941 = and(io.lsu_busreq_r, _T_1940) @[el2_lsu_bus_buffer.scala 429:22] - node _T_1942 = or(_T_1936, _T_1941) @[el2_lsu_bus_buffer.scala 428:42] - node _T_1943 = eq(_T_1942, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:81] - node _T_1944 = and(_T_1931, _T_1943) @[el2_lsu_bus_buffer.scala 427:79] - node _T_1945 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:68] - node _T_1946 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:106] - node _T_1947 = and(ibuf_valid, _T_1946) @[el2_lsu_bus_buffer.scala 427:95] - node _T_1948 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 428:33] - node _T_1949 = and(io.lsu_busreq_m, _T_1948) @[el2_lsu_bus_buffer.scala 428:22] - node _T_1950 = or(_T_1947, _T_1949) @[el2_lsu_bus_buffer.scala 427:115] - node _T_1951 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:36] - node _T_1952 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:34] - node _T_1953 = and(io.ldst_dual_r, _T_1952) @[el2_lsu_bus_buffer.scala 430:23] - node _T_1954 = or(_T_1951, _T_1953) @[el2_lsu_bus_buffer.scala 429:46] - node _T_1955 = and(io.lsu_busreq_r, _T_1954) @[el2_lsu_bus_buffer.scala 429:22] - node _T_1956 = or(_T_1950, _T_1955) @[el2_lsu_bus_buffer.scala 428:42] - node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:81] - node _T_1958 = and(_T_1945, _T_1957) @[el2_lsu_bus_buffer.scala 427:79] - node _T_1959 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:68] - node _T_1960 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:106] - node _T_1961 = and(ibuf_valid, _T_1960) @[el2_lsu_bus_buffer.scala 427:95] - node _T_1962 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 428:33] - node _T_1963 = and(io.lsu_busreq_m, _T_1962) @[el2_lsu_bus_buffer.scala 428:22] - node _T_1964 = or(_T_1961, _T_1963) @[el2_lsu_bus_buffer.scala 427:115] - node _T_1965 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:36] - node _T_1966 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:34] - node _T_1967 = and(io.ldst_dual_r, _T_1966) @[el2_lsu_bus_buffer.scala 430:23] - node _T_1968 = or(_T_1965, _T_1967) @[el2_lsu_bus_buffer.scala 429:46] - node _T_1969 = and(io.lsu_busreq_r, _T_1968) @[el2_lsu_bus_buffer.scala 429:22] - node _T_1970 = or(_T_1964, _T_1969) @[el2_lsu_bus_buffer.scala 428:42] - node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:81] - node _T_1972 = and(_T_1959, _T_1971) @[el2_lsu_bus_buffer.scala 427:79] - node _T_1973 = mux(_T_1972, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_1974 = mux(_T_1958, UInt<2>("h02"), _T_1973) @[Mux.scala 98:16] - node _T_1975 = mux(_T_1944, UInt<1>("h01"), _T_1974) @[Mux.scala 98:16] - node WrPtr1_m = mux(_T_1930, UInt<1>("h00"), _T_1975) @[Mux.scala 98:16] - io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 432:15] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 433:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:11] - node _T_1976 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 436:58] - node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:45] - node _T_1978 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:78] - node _T_1979 = and(_T_1977, _T_1978) @[el2_lsu_bus_buffer.scala 436:63] - node _T_1980 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:90] - node _T_1981 = and(_T_1979, _T_1980) @[el2_lsu_bus_buffer.scala 436:88] - node _T_1982 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 436:58] - node _T_1983 = eq(_T_1982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:45] - node _T_1984 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:78] - node _T_1985 = and(_T_1983, _T_1984) @[el2_lsu_bus_buffer.scala 436:63] - node _T_1986 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:90] - node _T_1987 = and(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 436:88] - node _T_1988 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 436:58] - node _T_1989 = eq(_T_1988, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:45] - node _T_1990 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:78] - node _T_1991 = and(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 436:63] - node _T_1992 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:90] - node _T_1993 = and(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 436:88] - node _T_1994 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 436:58] - node _T_1995 = eq(_T_1994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:45] - node _T_1996 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:78] - node _T_1997 = and(_T_1995, _T_1996) @[el2_lsu_bus_buffer.scala 436:63] - node _T_1998 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:90] - node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 436:88] - node _T_2000 = cat(_T_1999, _T_1993) @[Cat.scala 29:58] - node _T_2001 = cat(_T_2000, _T_1987) @[Cat.scala 29:58] - node CmdPtr0Dec = cat(_T_2001, _T_1981) @[Cat.scala 29:58] - node _T_2002 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 437:62] - node _T_2003 = and(buf_age[0], _T_2002) @[el2_lsu_bus_buffer.scala 437:59] - node _T_2004 = orr(_T_2003) @[el2_lsu_bus_buffer.scala 437:76] - node _T_2005 = eq(_T_2004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2006 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 437:94] - node _T_2007 = eq(_T_2006, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:83] - node _T_2008 = and(_T_2005, _T_2007) @[el2_lsu_bus_buffer.scala 437:81] - node _T_2009 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:113] - node _T_2010 = and(_T_2008, _T_2009) @[el2_lsu_bus_buffer.scala 437:98] - node _T_2011 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:125] - node _T_2012 = and(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 437:123] - node _T_2013 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 437:62] - node _T_2014 = and(buf_age[1], _T_2013) @[el2_lsu_bus_buffer.scala 437:59] - node _T_2015 = orr(_T_2014) @[el2_lsu_bus_buffer.scala 437:76] - node _T_2016 = eq(_T_2015, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2017 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 437:94] - node _T_2018 = eq(_T_2017, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:83] - node _T_2019 = and(_T_2016, _T_2018) @[el2_lsu_bus_buffer.scala 437:81] - node _T_2020 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:113] - node _T_2021 = and(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 437:98] - node _T_2022 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:125] - node _T_2023 = and(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 437:123] - node _T_2024 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 437:62] - node _T_2025 = and(buf_age[2], _T_2024) @[el2_lsu_bus_buffer.scala 437:59] - node _T_2026 = orr(_T_2025) @[el2_lsu_bus_buffer.scala 437:76] - node _T_2027 = eq(_T_2026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2028 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 437:94] - node _T_2029 = eq(_T_2028, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:83] - node _T_2030 = and(_T_2027, _T_2029) @[el2_lsu_bus_buffer.scala 437:81] - node _T_2031 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:113] - node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 437:98] - node _T_2033 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:125] - node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 437:123] - node _T_2035 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 437:62] - node _T_2036 = and(buf_age[3], _T_2035) @[el2_lsu_bus_buffer.scala 437:59] - node _T_2037 = orr(_T_2036) @[el2_lsu_bus_buffer.scala 437:76] - node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2039 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 437:94] - node _T_2040 = eq(_T_2039, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:83] - node _T_2041 = and(_T_2038, _T_2040) @[el2_lsu_bus_buffer.scala 437:81] - node _T_2042 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:113] - node _T_2043 = and(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 437:98] - node _T_2044 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:125] - node _T_2045 = and(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 437:123] - node _T_2046 = cat(_T_2045, _T_2034) @[Cat.scala 29:58] - node _T_2047 = cat(_T_2046, _T_2023) @[Cat.scala 29:58] - node CmdPtr1Dec = cat(_T_2047, _T_2012) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 438:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:19] - node _T_2048 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2049 = eq(_T_2048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:44] - node _T_2050 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 440:70] - node _T_2052 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2053 = eq(_T_2052, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:44] - node _T_2054 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 440:70] - node _T_2056 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2057 = eq(_T_2056, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:44] - node _T_2058 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 440:70] - node _T_2060 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 440:65] - node _T_2061 = eq(_T_2060, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:44] - node _T_2062 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:85] - node _T_2063 = and(_T_2061, _T_2062) @[el2_lsu_bus_buffer.scala 440:70] - node _T_2064 = cat(_T_2063, _T_2059) @[Cat.scala 29:58] - node _T_2065 = cat(_T_2064, _T_2055) @[Cat.scala 29:58] - node RspPtrDec = cat(_T_2065, _T_2051) @[Cat.scala 29:58] - node _T_2066 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 441:31] - found_cmdptr0 <= _T_2066 @[el2_lsu_bus_buffer.scala 441:17] - node _T_2067 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 442:31] - found_cmdptr1 <= _T_2067 @[el2_lsu_bus_buffer.scala 442:17] - io.Cmdptr0 <= CmdPtr0 @[el2_lsu_bus_buffer.scala 447:14] + node _T_1896 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:65] + node _T_1897 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:103] + node _T_1898 = and(ibuf_valid, _T_1897) @[el2_lsu_bus_buffer.scala 423:92] + node _T_1899 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:35] + node _T_1900 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:33] + node _T_1901 = and(io.ldst_dual_r, _T_1900) @[el2_lsu_bus_buffer.scala 425:21] + node _T_1902 = or(_T_1899, _T_1901) @[el2_lsu_bus_buffer.scala 424:44] + node _T_1903 = and(io.lsu_busreq_r, _T_1902) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1904 = or(_T_1898, _T_1903) @[el2_lsu_bus_buffer.scala 423:112] + node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:78] + node _T_1906 = and(_T_1896, _T_1905) @[el2_lsu_bus_buffer.scala 423:76] + node _T_1907 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:65] + node _T_1908 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:103] + node _T_1909 = and(ibuf_valid, _T_1908) @[el2_lsu_bus_buffer.scala 423:92] + node _T_1910 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:35] + node _T_1911 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:33] + node _T_1912 = and(io.ldst_dual_r, _T_1911) @[el2_lsu_bus_buffer.scala 425:21] + node _T_1913 = or(_T_1910, _T_1912) @[el2_lsu_bus_buffer.scala 424:44] + node _T_1914 = and(io.lsu_busreq_r, _T_1913) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1915 = or(_T_1909, _T_1914) @[el2_lsu_bus_buffer.scala 423:112] + node _T_1916 = eq(_T_1915, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:78] + node _T_1917 = and(_T_1907, _T_1916) @[el2_lsu_bus_buffer.scala 423:76] + node _T_1918 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:65] + node _T_1919 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:103] + node _T_1920 = and(ibuf_valid, _T_1919) @[el2_lsu_bus_buffer.scala 423:92] + node _T_1921 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:35] + node _T_1922 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:33] + node _T_1923 = and(io.ldst_dual_r, _T_1922) @[el2_lsu_bus_buffer.scala 425:21] + node _T_1924 = or(_T_1921, _T_1923) @[el2_lsu_bus_buffer.scala 424:44] + node _T_1925 = and(io.lsu_busreq_r, _T_1924) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1926 = or(_T_1920, _T_1925) @[el2_lsu_bus_buffer.scala 423:112] + node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:78] + node _T_1928 = and(_T_1918, _T_1927) @[el2_lsu_bus_buffer.scala 423:76] + node _T_1929 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:65] + node _T_1930 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:103] + node _T_1931 = and(ibuf_valid, _T_1930) @[el2_lsu_bus_buffer.scala 423:92] + node _T_1932 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:35] + node _T_1933 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:33] + node _T_1934 = and(io.ldst_dual_r, _T_1933) @[el2_lsu_bus_buffer.scala 425:21] + node _T_1935 = or(_T_1932, _T_1934) @[el2_lsu_bus_buffer.scala 424:44] + node _T_1936 = and(io.lsu_busreq_r, _T_1935) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1937 = or(_T_1931, _T_1936) @[el2_lsu_bus_buffer.scala 423:112] + node _T_1938 = eq(_T_1937, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:78] + node _T_1939 = and(_T_1929, _T_1938) @[el2_lsu_bus_buffer.scala 423:76] + node _T_1940 = mux(_T_1939, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1941 = mux(_T_1928, UInt<2>("h02"), _T_1940) @[Mux.scala 98:16] + node _T_1942 = mux(_T_1917, UInt<1>("h01"), _T_1941) @[Mux.scala 98:16] + node _T_1943 = mux(_T_1906, UInt<1>("h00"), _T_1942) @[Mux.scala 98:16] + WrPtr0_m <= _T_1943 @[el2_lsu_bus_buffer.scala 423:12] + node _T_1944 = cat(buf_state[0], buf_state[1]) @[Cat.scala 29:58] + node _T_1945 = cat(_T_1944, buf_state[2]) @[Cat.scala 29:58] + node _T_1946 = cat(_T_1945, buf_state[3]) @[Cat.scala 29:58] + io.buf_state <= _T_1946 @[el2_lsu_bus_buffer.scala 426:16] + node _T_1947 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 428:68] + node _T_1948 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:106] + node _T_1949 = and(ibuf_valid, _T_1948) @[el2_lsu_bus_buffer.scala 428:95] + node _T_1950 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:33] + node _T_1951 = and(io.lsu_busreq_m, _T_1950) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1952 = or(_T_1949, _T_1951) @[el2_lsu_bus_buffer.scala 428:115] + node _T_1953 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:36] + node _T_1954 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:34] + node _T_1955 = and(io.ldst_dual_r, _T_1954) @[el2_lsu_bus_buffer.scala 431:23] + node _T_1956 = or(_T_1953, _T_1955) @[el2_lsu_bus_buffer.scala 430:46] + node _T_1957 = and(io.lsu_busreq_r, _T_1956) @[el2_lsu_bus_buffer.scala 430:22] + node _T_1958 = or(_T_1952, _T_1957) @[el2_lsu_bus_buffer.scala 429:42] + node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:81] + node _T_1960 = and(_T_1947, _T_1959) @[el2_lsu_bus_buffer.scala 428:79] + node _T_1961 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 428:68] + node _T_1962 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 428:106] + node _T_1963 = and(ibuf_valid, _T_1962) @[el2_lsu_bus_buffer.scala 428:95] + node _T_1964 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:33] + node _T_1965 = and(io.lsu_busreq_m, _T_1964) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1966 = or(_T_1963, _T_1965) @[el2_lsu_bus_buffer.scala 428:115] + node _T_1967 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:36] + node _T_1968 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:34] + node _T_1969 = and(io.ldst_dual_r, _T_1968) @[el2_lsu_bus_buffer.scala 431:23] + node _T_1970 = or(_T_1967, _T_1969) @[el2_lsu_bus_buffer.scala 430:46] + node _T_1971 = and(io.lsu_busreq_r, _T_1970) @[el2_lsu_bus_buffer.scala 430:22] + node _T_1972 = or(_T_1966, _T_1971) @[el2_lsu_bus_buffer.scala 429:42] + node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:81] + node _T_1974 = and(_T_1961, _T_1973) @[el2_lsu_bus_buffer.scala 428:79] + node _T_1975 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 428:68] + node _T_1976 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 428:106] + node _T_1977 = and(ibuf_valid, _T_1976) @[el2_lsu_bus_buffer.scala 428:95] + node _T_1978 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:33] + node _T_1979 = and(io.lsu_busreq_m, _T_1978) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1980 = or(_T_1977, _T_1979) @[el2_lsu_bus_buffer.scala 428:115] + node _T_1981 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:36] + node _T_1982 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:34] + node _T_1983 = and(io.ldst_dual_r, _T_1982) @[el2_lsu_bus_buffer.scala 431:23] + node _T_1984 = or(_T_1981, _T_1983) @[el2_lsu_bus_buffer.scala 430:46] + node _T_1985 = and(io.lsu_busreq_r, _T_1984) @[el2_lsu_bus_buffer.scala 430:22] + node _T_1986 = or(_T_1980, _T_1985) @[el2_lsu_bus_buffer.scala 429:42] + node _T_1987 = eq(_T_1986, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:81] + node _T_1988 = and(_T_1975, _T_1987) @[el2_lsu_bus_buffer.scala 428:79] + node _T_1989 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 428:68] + node _T_1990 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 428:106] + node _T_1991 = and(ibuf_valid, _T_1990) @[el2_lsu_bus_buffer.scala 428:95] + node _T_1992 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:33] + node _T_1993 = and(io.lsu_busreq_m, _T_1992) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1994 = or(_T_1991, _T_1993) @[el2_lsu_bus_buffer.scala 428:115] + node _T_1995 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:36] + node _T_1996 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:34] + node _T_1997 = and(io.ldst_dual_r, _T_1996) @[el2_lsu_bus_buffer.scala 431:23] + node _T_1998 = or(_T_1995, _T_1997) @[el2_lsu_bus_buffer.scala 430:46] + node _T_1999 = and(io.lsu_busreq_r, _T_1998) @[el2_lsu_bus_buffer.scala 430:22] + node _T_2000 = or(_T_1994, _T_1999) @[el2_lsu_bus_buffer.scala 429:42] + node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:81] + node _T_2002 = and(_T_1989, _T_2001) @[el2_lsu_bus_buffer.scala 428:79] + node _T_2003 = mux(_T_2002, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_2004 = mux(_T_1988, UInt<2>("h02"), _T_2003) @[Mux.scala 98:16] + node _T_2005 = mux(_T_1974, UInt<1>("h01"), _T_2004) @[Mux.scala 98:16] + node WrPtr1_m = mux(_T_1960, UInt<1>("h00"), _T_2005) @[Mux.scala 98:16] + io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 433:15] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 434:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:11] + node _T_2006 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 437:58] + node _T_2007 = eq(_T_2006, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2008 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2009 = and(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 437:63] + node _T_2010 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:90] + node _T_2011 = and(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 437:88] + node _T_2012 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 437:58] + node _T_2013 = eq(_T_2012, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2014 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2015 = and(_T_2013, _T_2014) @[el2_lsu_bus_buffer.scala 437:63] + node _T_2016 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:90] + node _T_2017 = and(_T_2015, _T_2016) @[el2_lsu_bus_buffer.scala 437:88] + node _T_2018 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 437:58] + node _T_2019 = eq(_T_2018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2020 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2021 = and(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 437:63] + node _T_2022 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:90] + node _T_2023 = and(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 437:88] + node _T_2024 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 437:58] + node _T_2025 = eq(_T_2024, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2026 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:78] + node _T_2027 = and(_T_2025, _T_2026) @[el2_lsu_bus_buffer.scala 437:63] + node _T_2028 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:90] + node _T_2029 = and(_T_2027, _T_2028) @[el2_lsu_bus_buffer.scala 437:88] + node _T_2030 = cat(_T_2029, _T_2023) @[Cat.scala 29:58] + node _T_2031 = cat(_T_2030, _T_2017) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_2031, _T_2011) @[Cat.scala 29:58] + node _T_2032 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 438:62] + node _T_2033 = and(buf_age[0], _T_2032) @[el2_lsu_bus_buffer.scala 438:59] + node _T_2034 = orr(_T_2033) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2035 = eq(_T_2034, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2036 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2037 = eq(_T_2036, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2038 = and(_T_2035, _T_2037) @[el2_lsu_bus_buffer.scala 438:81] + node _T_2039 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2040 = and(_T_2038, _T_2039) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2041 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:125] + node _T_2042 = and(_T_2040, _T_2041) @[el2_lsu_bus_buffer.scala 438:123] + node _T_2043 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 438:62] + node _T_2044 = and(buf_age[1], _T_2043) @[el2_lsu_bus_buffer.scala 438:59] + node _T_2045 = orr(_T_2044) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2047 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2048 = eq(_T_2047, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2049 = and(_T_2046, _T_2048) @[el2_lsu_bus_buffer.scala 438:81] + node _T_2050 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2052 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:125] + node _T_2053 = and(_T_2051, _T_2052) @[el2_lsu_bus_buffer.scala 438:123] + node _T_2054 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 438:62] + node _T_2055 = and(buf_age[2], _T_2054) @[el2_lsu_bus_buffer.scala 438:59] + node _T_2056 = orr(_T_2055) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2057 = eq(_T_2056, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2058 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2059 = eq(_T_2058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2060 = and(_T_2057, _T_2059) @[el2_lsu_bus_buffer.scala 438:81] + node _T_2061 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2063 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:125] + node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 438:123] + node _T_2065 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 438:62] + node _T_2066 = and(buf_age[3], _T_2065) @[el2_lsu_bus_buffer.scala 438:59] + node _T_2067 = orr(_T_2066) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2068 = eq(_T_2067, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2069 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2070 = eq(_T_2069, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2071 = and(_T_2068, _T_2070) @[el2_lsu_bus_buffer.scala 438:81] + node _T_2072 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2074 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:125] + node _T_2075 = and(_T_2073, _T_2074) @[el2_lsu_bus_buffer.scala 438:123] + node _T_2076 = cat(_T_2075, _T_2064) @[Cat.scala 29:58] + node _T_2077 = cat(_T_2076, _T_2053) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_2077, _T_2042) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 439:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 440:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 440:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 440:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 440:19] + node _T_2078 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 441:65] + node _T_2079 = eq(_T_2078, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:44] + node _T_2080 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2081 = and(_T_2079, _T_2080) @[el2_lsu_bus_buffer.scala 441:70] + node _T_2082 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 441:65] + node _T_2083 = eq(_T_2082, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:44] + node _T_2084 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2085 = and(_T_2083, _T_2084) @[el2_lsu_bus_buffer.scala 441:70] + node _T_2086 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 441:65] + node _T_2087 = eq(_T_2086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:44] + node _T_2088 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 441:70] + node _T_2090 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 441:65] + node _T_2091 = eq(_T_2090, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:44] + node _T_2092 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2093 = and(_T_2091, _T_2092) @[el2_lsu_bus_buffer.scala 441:70] + node _T_2094 = cat(_T_2093, _T_2089) @[Cat.scala 29:58] + node _T_2095 = cat(_T_2094, _T_2085) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_2095, _T_2081) @[Cat.scala 29:58] + node _T_2096 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 442:31] + found_cmdptr0 <= _T_2096 @[el2_lsu_bus_buffer.scala 442:17] + node _T_2097 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 443:31] + found_cmdptr1 <= _T_2097 @[el2_lsu_bus_buffer.scala 443:17] + io.Cmdptr0 <= CmdPtr0 @[el2_lsu_bus_buffer.scala 448:14] wire CmdPtr1 : UInt<2> CmdPtr1 <= UInt<1>("h00") wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") - node _T_2068 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2069 = cat(_T_2068, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_2070 = bits(_T_2069, 4, 4) @[el2_lsu_bus_buffer.scala 444:39] - node _T_2071 = bits(_T_2069, 5, 5) @[el2_lsu_bus_buffer.scala 444:45] - node _T_2072 = or(_T_2070, _T_2071) @[el2_lsu_bus_buffer.scala 444:42] - node _T_2073 = bits(_T_2069, 6, 6) @[el2_lsu_bus_buffer.scala 444:51] - node _T_2074 = or(_T_2072, _T_2073) @[el2_lsu_bus_buffer.scala 444:48] - node _T_2075 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 444:57] - node _T_2076 = or(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 444:54] - node _T_2077 = bits(_T_2069, 2, 2) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2078 = bits(_T_2069, 3, 3) @[el2_lsu_bus_buffer.scala 444:70] - node _T_2079 = or(_T_2077, _T_2078) @[el2_lsu_bus_buffer.scala 444:67] - node _T_2080 = bits(_T_2069, 6, 6) @[el2_lsu_bus_buffer.scala 444:76] - node _T_2081 = or(_T_2079, _T_2080) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2082 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 444:82] - node _T_2083 = or(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 444:79] - node _T_2084 = bits(_T_2069, 1, 1) @[el2_lsu_bus_buffer.scala 444:89] - node _T_2085 = bits(_T_2069, 3, 3) @[el2_lsu_bus_buffer.scala 444:95] - node _T_2086 = or(_T_2084, _T_2085) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2087 = bits(_T_2069, 5, 5) @[el2_lsu_bus_buffer.scala 444:101] - node _T_2088 = or(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 444:98] - node _T_2089 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 444:107] - node _T_2090 = or(_T_2088, _T_2089) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2091 = cat(_T_2076, _T_2083) @[Cat.scala 29:58] - node _T_2092 = cat(_T_2091, _T_2090) @[Cat.scala 29:58] - CmdPtr0 <= _T_2092 @[el2_lsu_bus_buffer.scala 450:11] - node _T_2093 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2094 = cat(_T_2093, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2095 = bits(_T_2094, 4, 4) @[el2_lsu_bus_buffer.scala 444:39] - node _T_2096 = bits(_T_2094, 5, 5) @[el2_lsu_bus_buffer.scala 444:45] - node _T_2097 = or(_T_2095, _T_2096) @[el2_lsu_bus_buffer.scala 444:42] - node _T_2098 = bits(_T_2094, 6, 6) @[el2_lsu_bus_buffer.scala 444:51] - node _T_2099 = or(_T_2097, _T_2098) @[el2_lsu_bus_buffer.scala 444:48] - node _T_2100 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 444:57] - node _T_2101 = or(_T_2099, _T_2100) @[el2_lsu_bus_buffer.scala 444:54] - node _T_2102 = bits(_T_2094, 2, 2) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2103 = bits(_T_2094, 3, 3) @[el2_lsu_bus_buffer.scala 444:70] - node _T_2104 = or(_T_2102, _T_2103) @[el2_lsu_bus_buffer.scala 444:67] - node _T_2105 = bits(_T_2094, 6, 6) @[el2_lsu_bus_buffer.scala 444:76] - node _T_2106 = or(_T_2104, _T_2105) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2107 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 444:82] - node _T_2108 = or(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 444:79] - node _T_2109 = bits(_T_2094, 1, 1) @[el2_lsu_bus_buffer.scala 444:89] - node _T_2110 = bits(_T_2094, 3, 3) @[el2_lsu_bus_buffer.scala 444:95] - node _T_2111 = or(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2112 = bits(_T_2094, 5, 5) @[el2_lsu_bus_buffer.scala 444:101] - node _T_2113 = or(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 444:98] - node _T_2114 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 444:107] - node _T_2115 = or(_T_2113, _T_2114) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2116 = cat(_T_2101, _T_2108) @[Cat.scala 29:58] - node _T_2117 = cat(_T_2116, _T_2115) @[Cat.scala 29:58] - CmdPtr1 <= _T_2117 @[el2_lsu_bus_buffer.scala 452:11] - node _T_2118 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2119 = cat(_T_2118, RspPtrDec) @[Cat.scala 29:58] - node _T_2120 = bits(_T_2119, 4, 4) @[el2_lsu_bus_buffer.scala 444:39] - node _T_2121 = bits(_T_2119, 5, 5) @[el2_lsu_bus_buffer.scala 444:45] - node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 444:42] - node _T_2123 = bits(_T_2119, 6, 6) @[el2_lsu_bus_buffer.scala 444:51] - node _T_2124 = or(_T_2122, _T_2123) @[el2_lsu_bus_buffer.scala 444:48] - node _T_2125 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 444:57] - node _T_2126 = or(_T_2124, _T_2125) @[el2_lsu_bus_buffer.scala 444:54] - node _T_2127 = bits(_T_2119, 2, 2) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2128 = bits(_T_2119, 3, 3) @[el2_lsu_bus_buffer.scala 444:70] - node _T_2129 = or(_T_2127, _T_2128) @[el2_lsu_bus_buffer.scala 444:67] - node _T_2130 = bits(_T_2119, 6, 6) @[el2_lsu_bus_buffer.scala 444:76] - node _T_2131 = or(_T_2129, _T_2130) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2132 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 444:82] - node _T_2133 = or(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 444:79] - node _T_2134 = bits(_T_2119, 1, 1) @[el2_lsu_bus_buffer.scala 444:89] - node _T_2135 = bits(_T_2119, 3, 3) @[el2_lsu_bus_buffer.scala 444:95] - node _T_2136 = or(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 444:92] - node _T_2137 = bits(_T_2119, 5, 5) @[el2_lsu_bus_buffer.scala 444:101] - node _T_2138 = or(_T_2136, _T_2137) @[el2_lsu_bus_buffer.scala 444:98] - node _T_2139 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 444:107] - node _T_2140 = or(_T_2138, _T_2139) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2141 = cat(_T_2126, _T_2133) @[Cat.scala 29:58] - node _T_2142 = cat(_T_2141, _T_2140) @[Cat.scala 29:58] - RspPtr <= _T_2142 @[el2_lsu_bus_buffer.scala 453:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 454:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 456:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 458:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 460:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 462:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:14] - node _T_2143 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2144 = and(_T_2143, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2145 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2146 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2147 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2149 = or(_T_2145, _T_2148) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2150 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2151 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2152 = and(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2153 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2155 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2156 = and(_T_2154, _T_2155) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2157 = or(_T_2149, _T_2156) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2158 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2159 = and(_T_2158, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2160 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2162 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2163 = and(_T_2161, _T_2162) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2164 = or(_T_2157, _T_2163) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2165 = and(_T_2144, _T_2164) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2166 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2167 = or(_T_2165, _T_2166) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2168 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2169 = and(_T_2168, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2170 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2171 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2172 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2174 = or(_T_2170, _T_2173) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2175 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2176 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2177 = and(_T_2175, _T_2176) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2178 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2180 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2181 = and(_T_2179, _T_2180) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2182 = or(_T_2174, _T_2181) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2183 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2184 = and(_T_2183, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2185 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2187 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2188 = and(_T_2186, _T_2187) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2189 = or(_T_2182, _T_2188) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2190 = and(_T_2169, _T_2189) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2191 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2192 = or(_T_2190, _T_2191) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2193 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2194 = and(_T_2193, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2195 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2196 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2197 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2199 = or(_T_2195, _T_2198) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2200 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2201 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2202 = and(_T_2200, _T_2201) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2203 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2205 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2206 = and(_T_2204, _T_2205) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2207 = or(_T_2199, _T_2206) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2208 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2209 = and(_T_2208, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2210 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2212 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2214 = or(_T_2207, _T_2213) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2215 = and(_T_2194, _T_2214) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2216 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2217 = or(_T_2215, _T_2216) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2218 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2219 = and(_T_2218, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2220 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2221 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2222 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2224 = or(_T_2220, _T_2223) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2225 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2226 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2227 = and(_T_2225, _T_2226) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2228 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2229 = and(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2230 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2231 = and(_T_2229, _T_2230) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2232 = or(_T_2224, _T_2231) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2233 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2234 = and(_T_2233, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2235 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2237 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2239 = or(_T_2232, _T_2238) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2240 = and(_T_2219, _T_2239) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2241 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2242 = or(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2243 = cat(_T_2242, _T_2217) @[Cat.scala 29:58] - node _T_2244 = cat(_T_2243, _T_2192) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2244, _T_2167) @[Cat.scala 29:58] - node _T_2245 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2246 = and(_T_2245, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2247 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2248 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2249 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2251 = or(_T_2247, _T_2250) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2252 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2253 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2254 = and(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2255 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2257 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2258 = and(_T_2256, _T_2257) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2259 = or(_T_2251, _T_2258) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2260 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2261 = and(_T_2260, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2262 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2264 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2265 = and(_T_2263, _T_2264) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2266 = or(_T_2259, _T_2265) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2267 = and(_T_2246, _T_2266) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2268 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2269 = or(_T_2267, _T_2268) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2271 = and(_T_2270, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2272 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2273 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2274 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2276 = or(_T_2272, _T_2275) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2277 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2278 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2279 = and(_T_2277, _T_2278) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2280 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2282 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2283 = and(_T_2281, _T_2282) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2284 = or(_T_2276, _T_2283) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2285 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2286 = and(_T_2285, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2287 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2289 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2290 = and(_T_2288, _T_2289) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2291 = or(_T_2284, _T_2290) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2292 = and(_T_2271, _T_2291) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2293 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2294 = or(_T_2292, _T_2293) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2295 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2296 = and(_T_2295, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2297 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2298 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2299 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2301 = or(_T_2297, _T_2300) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2302 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2303 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2304 = and(_T_2302, _T_2303) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2305 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2307 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2308 = and(_T_2306, _T_2307) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2309 = or(_T_2301, _T_2308) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2310 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2311 = and(_T_2310, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2312 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2314 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2316 = or(_T_2309, _T_2315) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2317 = and(_T_2296, _T_2316) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2318 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2319 = or(_T_2317, _T_2318) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2320 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2321 = and(_T_2320, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2322 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2323 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2324 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2326 = or(_T_2322, _T_2325) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2327 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2328 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2329 = and(_T_2327, _T_2328) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2330 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2331 = and(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2332 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2333 = and(_T_2331, _T_2332) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2334 = or(_T_2326, _T_2333) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2335 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2336 = and(_T_2335, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2337 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2339 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2341 = or(_T_2334, _T_2340) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2342 = and(_T_2321, _T_2341) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2343 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2344 = or(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2345 = cat(_T_2344, _T_2319) @[Cat.scala 29:58] - node _T_2346 = cat(_T_2345, _T_2294) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2346, _T_2269) @[Cat.scala 29:58] - node _T_2347 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2348 = and(_T_2347, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2349 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2350 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2351 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2353 = or(_T_2349, _T_2352) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2354 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2355 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2356 = and(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2357 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2359 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2360 = and(_T_2358, _T_2359) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2361 = or(_T_2353, _T_2360) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2362 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2363 = and(_T_2362, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2364 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2366 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2367 = and(_T_2365, _T_2366) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2368 = or(_T_2361, _T_2367) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2369 = and(_T_2348, _T_2368) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2370 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2371 = or(_T_2369, _T_2370) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2372 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2373 = and(_T_2372, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2374 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2375 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2376 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2378 = or(_T_2374, _T_2377) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2379 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2380 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2381 = and(_T_2379, _T_2380) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2382 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2384 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2385 = and(_T_2383, _T_2384) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2386 = or(_T_2378, _T_2385) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2387 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2388 = and(_T_2387, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2389 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2391 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2392 = and(_T_2390, _T_2391) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2393 = or(_T_2386, _T_2392) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2394 = and(_T_2373, _T_2393) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2395 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2396 = or(_T_2394, _T_2395) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2397 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2398 = and(_T_2397, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2399 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2400 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2401 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2403 = or(_T_2399, _T_2402) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2404 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2405 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2406 = and(_T_2404, _T_2405) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2407 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2409 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2410 = and(_T_2408, _T_2409) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2411 = or(_T_2403, _T_2410) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2412 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2413 = and(_T_2412, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2414 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2415 = and(_T_2413, _T_2414) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2416 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2417 = and(_T_2415, _T_2416) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2418 = or(_T_2411, _T_2417) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2419 = and(_T_2398, _T_2418) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2420 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2421 = or(_T_2419, _T_2420) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2422 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2423 = and(_T_2422, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2424 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2425 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2426 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2428 = or(_T_2424, _T_2427) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2429 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2430 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2431 = and(_T_2429, _T_2430) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2432 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2433 = and(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2434 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2435 = and(_T_2433, _T_2434) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2436 = or(_T_2428, _T_2435) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2437 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2438 = and(_T_2437, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2439 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2440 = and(_T_2438, _T_2439) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2441 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2442 = and(_T_2440, _T_2441) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2443 = or(_T_2436, _T_2442) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2444 = and(_T_2423, _T_2443) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2445 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2446 = or(_T_2444, _T_2445) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2447 = cat(_T_2446, _T_2421) @[Cat.scala 29:58] - node _T_2448 = cat(_T_2447, _T_2396) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2448, _T_2371) @[Cat.scala 29:58] - node _T_2449 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2450 = and(_T_2449, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2451 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2452 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2455 = or(_T_2451, _T_2454) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2456 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2457 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2458 = and(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2459 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2460 = and(_T_2458, _T_2459) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2461 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2462 = and(_T_2460, _T_2461) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2463 = or(_T_2455, _T_2462) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2464 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2465 = and(_T_2464, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2466 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2467 = and(_T_2465, _T_2466) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2468 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2469 = and(_T_2467, _T_2468) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2470 = or(_T_2463, _T_2469) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2471 = and(_T_2450, _T_2470) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2472 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2473 = or(_T_2471, _T_2472) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2474 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2475 = and(_T_2474, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2476 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2477 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2478 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2479 = and(_T_2477, _T_2478) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2480 = or(_T_2476, _T_2479) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2481 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2482 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2483 = and(_T_2481, _T_2482) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2484 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2485 = and(_T_2483, _T_2484) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2486 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2487 = and(_T_2485, _T_2486) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2488 = or(_T_2480, _T_2487) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2489 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2490 = and(_T_2489, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2491 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2492 = and(_T_2490, _T_2491) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2493 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2494 = and(_T_2492, _T_2493) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2495 = or(_T_2488, _T_2494) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2496 = and(_T_2475, _T_2495) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2497 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2498 = or(_T_2496, _T_2497) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2499 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2500 = and(_T_2499, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2501 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2502 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2503 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2504 = and(_T_2502, _T_2503) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2505 = or(_T_2501, _T_2504) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2506 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2507 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2508 = and(_T_2506, _T_2507) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2509 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2510 = and(_T_2508, _T_2509) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2511 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2512 = and(_T_2510, _T_2511) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2513 = or(_T_2505, _T_2512) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2514 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2515 = and(_T_2514, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2516 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2518 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2519 = and(_T_2517, _T_2518) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2520 = or(_T_2513, _T_2519) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2521 = and(_T_2500, _T_2520) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2522 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2523 = or(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2524 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] - node _T_2525 = and(_T_2524, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:94] - node _T_2526 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] - node _T_2527 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] - node _T_2528 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] - node _T_2529 = and(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 466:57] - node _T_2530 = or(_T_2526, _T_2529) @[el2_lsu_bus_buffer.scala 466:31] - node _T_2531 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] - node _T_2532 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] - node _T_2533 = and(_T_2531, _T_2532) @[el2_lsu_bus_buffer.scala 467:41] - node _T_2534 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2535 = and(_T_2533, _T_2534) @[el2_lsu_bus_buffer.scala 467:71] - node _T_2536 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2537 = and(_T_2535, _T_2536) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2538 = or(_T_2530, _T_2537) @[el2_lsu_bus_buffer.scala 466:86] - node _T_2539 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] - node _T_2540 = and(_T_2539, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] - node _T_2541 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:64] - node _T_2542 = and(_T_2540, _T_2541) @[el2_lsu_bus_buffer.scala 468:52] - node _T_2543 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:85] - node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2545 = or(_T_2538, _T_2544) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2546 = and(_T_2525, _T_2545) @[el2_lsu_bus_buffer.scala 465:113] - node _T_2547 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 468:109] - node _T_2548 = or(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 468:97] - node _T_2549 = cat(_T_2548, _T_2523) @[Cat.scala 29:58] - node _T_2550 = cat(_T_2549, _T_2498) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2550, _T_2473) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 469:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 470:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 470:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 470:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 470:12] - node _T_2551 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2552 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2555 = and(_T_2551, _T_2554) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2556 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2557 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2558 = and(_T_2557, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2559 = eq(_T_2558, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2560 = and(_T_2556, _T_2559) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2561 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2562 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2563 = and(_T_2562, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2564 = eq(_T_2563, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2565 = and(_T_2561, _T_2564) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2566 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2567 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2568 = and(_T_2567, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2570 = and(_T_2566, _T_2569) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2571 = cat(_T_2570, _T_2565) @[Cat.scala 29:58] - node _T_2572 = cat(_T_2571, _T_2560) @[Cat.scala 29:58] - node _T_2573 = cat(_T_2572, _T_2555) @[Cat.scala 29:58] - node _T_2574 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2575 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2578 = and(_T_2574, _T_2577) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2579 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2580 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2581 = and(_T_2580, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2582 = eq(_T_2581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2583 = and(_T_2579, _T_2582) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2584 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2585 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2586 = and(_T_2585, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2587 = eq(_T_2586, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2588 = and(_T_2584, _T_2587) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2589 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2593 = and(_T_2589, _T_2592) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2594 = cat(_T_2593, _T_2588) @[Cat.scala 29:58] - node _T_2595 = cat(_T_2594, _T_2583) @[Cat.scala 29:58] - node _T_2596 = cat(_T_2595, _T_2578) @[Cat.scala 29:58] - node _T_2597 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2598 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2601 = and(_T_2597, _T_2600) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2602 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2603 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2604 = and(_T_2603, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2606 = and(_T_2602, _T_2605) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2607 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2608 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2609 = and(_T_2608, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2610 = eq(_T_2609, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2611 = and(_T_2607, _T_2610) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2612 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2613 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2614 = and(_T_2613, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2615 = eq(_T_2614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2616 = and(_T_2612, _T_2615) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2617 = cat(_T_2616, _T_2611) @[Cat.scala 29:58] - node _T_2618 = cat(_T_2617, _T_2606) @[Cat.scala 29:58] - node _T_2619 = cat(_T_2618, _T_2601) @[Cat.scala 29:58] - node _T_2620 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2621 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2624 = and(_T_2620, _T_2623) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2625 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2626 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2627 = and(_T_2626, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2629 = and(_T_2625, _T_2628) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2630 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2631 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2632 = and(_T_2631, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2633 = eq(_T_2632, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2634 = and(_T_2630, _T_2633) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2635 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 471:74] - node _T_2636 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] - node _T_2637 = and(_T_2636, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 471:105] - node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] - node _T_2639 = and(_T_2635, _T_2638) @[el2_lsu_bus_buffer.scala 471:78] - node _T_2640 = cat(_T_2639, _T_2634) @[Cat.scala 29:58] - node _T_2641 = cat(_T_2640, _T_2629) @[Cat.scala 29:58] - node _T_2642 = cat(_T_2641, _T_2624) @[Cat.scala 29:58] - buf_age[0] <= _T_2573 @[el2_lsu_bus_buffer.scala 471:13] - buf_age[1] <= _T_2596 @[el2_lsu_bus_buffer.scala 471:13] - buf_age[2] <= _T_2619 @[el2_lsu_bus_buffer.scala 471:13] - buf_age[3] <= _T_2642 @[el2_lsu_bus_buffer.scala 471:13] - node _T_2643 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2644 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2645 = eq(_T_2644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2646 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2648 = mux(_T_2643, UInt<1>("h00"), _T_2647) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2649 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2650 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2652 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2655 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2656 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2658 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2661 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2662 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2664 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2667 = cat(_T_2666, _T_2660) @[Cat.scala 29:58] - node _T_2668 = cat(_T_2667, _T_2654) @[Cat.scala 29:58] - node _T_2669 = cat(_T_2668, _T_2648) @[Cat.scala 29:58] - node _T_2670 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2671 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2672 = eq(_T_2671, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2673 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2675 = mux(_T_2670, UInt<1>("h00"), _T_2674) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2676 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2677 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2679 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2682 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2683 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2685 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2688 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2689 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2691 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2694 = cat(_T_2693, _T_2687) @[Cat.scala 29:58] - node _T_2695 = cat(_T_2694, _T_2681) @[Cat.scala 29:58] - node _T_2696 = cat(_T_2695, _T_2675) @[Cat.scala 29:58] - node _T_2697 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2698 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2699 = eq(_T_2698, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2700 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2702 = mux(_T_2697, UInt<1>("h00"), _T_2701) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2703 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2704 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2706 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2709 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2710 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2712 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2715 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2716 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2718 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2721 = cat(_T_2720, _T_2714) @[Cat.scala 29:58] - node _T_2722 = cat(_T_2721, _T_2708) @[Cat.scala 29:58] - node _T_2723 = cat(_T_2722, _T_2702) @[Cat.scala 29:58] - node _T_2724 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2725 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2726 = eq(_T_2725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2727 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2728 = and(_T_2726, _T_2727) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2729 = mux(_T_2724, UInt<1>("h00"), _T_2728) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2730 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2731 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2733 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2735 = mux(_T_2730, UInt<1>("h00"), _T_2734) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2736 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2737 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2738 = eq(_T_2737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2739 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2741 = mux(_T_2736, UInt<1>("h00"), _T_2740) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2742 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:78] - node _T_2743 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:102] - node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] - node _T_2745 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] - node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 472:106] - node _T_2747 = mux(_T_2742, UInt<1>("h00"), _T_2746) @[el2_lsu_bus_buffer.scala 472:74] - node _T_2748 = cat(_T_2747, _T_2741) @[Cat.scala 29:58] - node _T_2749 = cat(_T_2748, _T_2735) @[Cat.scala 29:58] - node _T_2750 = cat(_T_2749, _T_2729) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2669 @[el2_lsu_bus_buffer.scala 472:21] - buf_age_younger[1] <= _T_2696 @[el2_lsu_bus_buffer.scala 472:21] - buf_age_younger[2] <= _T_2723 @[el2_lsu_bus_buffer.scala 472:21] - buf_age_younger[3] <= _T_2750 @[el2_lsu_bus_buffer.scala 472:21] - node _T_2751 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2754 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2757 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2760 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2763 = cat(_T_2762, _T_2759) @[Cat.scala 29:58] - node _T_2764 = cat(_T_2763, _T_2756) @[Cat.scala 29:58] - node _T_2765 = cat(_T_2764, _T_2753) @[Cat.scala 29:58] - node _T_2766 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2769 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2772 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2775 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2778 = cat(_T_2777, _T_2774) @[Cat.scala 29:58] - node _T_2779 = cat(_T_2778, _T_2771) @[Cat.scala 29:58] - node _T_2780 = cat(_T_2779, _T_2768) @[Cat.scala 29:58] - node _T_2781 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2784 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2787 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2790 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2098 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2099 = cat(_T_2098, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_2100 = bits(_T_2099, 4, 4) @[el2_lsu_bus_buffer.scala 445:39] + node _T_2101 = bits(_T_2099, 5, 5) @[el2_lsu_bus_buffer.scala 445:45] + node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 445:42] + node _T_2103 = bits(_T_2099, 6, 6) @[el2_lsu_bus_buffer.scala 445:51] + node _T_2104 = or(_T_2102, _T_2103) @[el2_lsu_bus_buffer.scala 445:48] + node _T_2105 = bits(_T_2099, 7, 7) @[el2_lsu_bus_buffer.scala 445:57] + node _T_2106 = or(_T_2104, _T_2105) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2107 = bits(_T_2099, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] + node _T_2108 = bits(_T_2099, 3, 3) @[el2_lsu_bus_buffer.scala 445:70] + node _T_2109 = or(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 445:67] + node _T_2110 = bits(_T_2099, 6, 6) @[el2_lsu_bus_buffer.scala 445:76] + node _T_2111 = or(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2112 = bits(_T_2099, 7, 7) @[el2_lsu_bus_buffer.scala 445:82] + node _T_2113 = or(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 445:79] + node _T_2114 = bits(_T_2099, 1, 1) @[el2_lsu_bus_buffer.scala 445:89] + node _T_2115 = bits(_T_2099, 3, 3) @[el2_lsu_bus_buffer.scala 445:95] + node _T_2116 = or(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2117 = bits(_T_2099, 5, 5) @[el2_lsu_bus_buffer.scala 445:101] + node _T_2118 = or(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 445:98] + node _T_2119 = bits(_T_2099, 7, 7) @[el2_lsu_bus_buffer.scala 445:107] + node _T_2120 = or(_T_2118, _T_2119) @[el2_lsu_bus_buffer.scala 445:104] + node _T_2121 = cat(_T_2106, _T_2113) @[Cat.scala 29:58] + node _T_2122 = cat(_T_2121, _T_2120) @[Cat.scala 29:58] + CmdPtr0 <= _T_2122 @[el2_lsu_bus_buffer.scala 451:11] + node _T_2123 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2124 = cat(_T_2123, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2125 = bits(_T_2124, 4, 4) @[el2_lsu_bus_buffer.scala 445:39] + node _T_2126 = bits(_T_2124, 5, 5) @[el2_lsu_bus_buffer.scala 445:45] + node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 445:42] + node _T_2128 = bits(_T_2124, 6, 6) @[el2_lsu_bus_buffer.scala 445:51] + node _T_2129 = or(_T_2127, _T_2128) @[el2_lsu_bus_buffer.scala 445:48] + node _T_2130 = bits(_T_2124, 7, 7) @[el2_lsu_bus_buffer.scala 445:57] + node _T_2131 = or(_T_2129, _T_2130) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2132 = bits(_T_2124, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] + node _T_2133 = bits(_T_2124, 3, 3) @[el2_lsu_bus_buffer.scala 445:70] + node _T_2134 = or(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 445:67] + node _T_2135 = bits(_T_2124, 6, 6) @[el2_lsu_bus_buffer.scala 445:76] + node _T_2136 = or(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2137 = bits(_T_2124, 7, 7) @[el2_lsu_bus_buffer.scala 445:82] + node _T_2138 = or(_T_2136, _T_2137) @[el2_lsu_bus_buffer.scala 445:79] + node _T_2139 = bits(_T_2124, 1, 1) @[el2_lsu_bus_buffer.scala 445:89] + node _T_2140 = bits(_T_2124, 3, 3) @[el2_lsu_bus_buffer.scala 445:95] + node _T_2141 = or(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2142 = bits(_T_2124, 5, 5) @[el2_lsu_bus_buffer.scala 445:101] + node _T_2143 = or(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 445:98] + node _T_2144 = bits(_T_2124, 7, 7) @[el2_lsu_bus_buffer.scala 445:107] + node _T_2145 = or(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 445:104] + node _T_2146 = cat(_T_2131, _T_2138) @[Cat.scala 29:58] + node _T_2147 = cat(_T_2146, _T_2145) @[Cat.scala 29:58] + CmdPtr1 <= _T_2147 @[el2_lsu_bus_buffer.scala 453:11] + node _T_2148 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2149 = cat(_T_2148, RspPtrDec) @[Cat.scala 29:58] + node _T_2150 = bits(_T_2149, 4, 4) @[el2_lsu_bus_buffer.scala 445:39] + node _T_2151 = bits(_T_2149, 5, 5) @[el2_lsu_bus_buffer.scala 445:45] + node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 445:42] + node _T_2153 = bits(_T_2149, 6, 6) @[el2_lsu_bus_buffer.scala 445:51] + node _T_2154 = or(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 445:48] + node _T_2155 = bits(_T_2149, 7, 7) @[el2_lsu_bus_buffer.scala 445:57] + node _T_2156 = or(_T_2154, _T_2155) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2157 = bits(_T_2149, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] + node _T_2158 = bits(_T_2149, 3, 3) @[el2_lsu_bus_buffer.scala 445:70] + node _T_2159 = or(_T_2157, _T_2158) @[el2_lsu_bus_buffer.scala 445:67] + node _T_2160 = bits(_T_2149, 6, 6) @[el2_lsu_bus_buffer.scala 445:76] + node _T_2161 = or(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2162 = bits(_T_2149, 7, 7) @[el2_lsu_bus_buffer.scala 445:82] + node _T_2163 = or(_T_2161, _T_2162) @[el2_lsu_bus_buffer.scala 445:79] + node _T_2164 = bits(_T_2149, 1, 1) @[el2_lsu_bus_buffer.scala 445:89] + node _T_2165 = bits(_T_2149, 3, 3) @[el2_lsu_bus_buffer.scala 445:95] + node _T_2166 = or(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 445:92] + node _T_2167 = bits(_T_2149, 5, 5) @[el2_lsu_bus_buffer.scala 445:101] + node _T_2168 = or(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 445:98] + node _T_2169 = bits(_T_2149, 7, 7) @[el2_lsu_bus_buffer.scala 445:107] + node _T_2170 = or(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 445:104] + node _T_2171 = cat(_T_2156, _T_2163) @[Cat.scala 29:58] + node _T_2172 = cat(_T_2171, _T_2170) @[Cat.scala 29:58] + RspPtr <= _T_2172 @[el2_lsu_bus_buffer.scala 454:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 455:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 457:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 458:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 458:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 458:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 458:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 459:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 461:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 463:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:14] + node _T_2173 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2174 = and(_T_2173, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2175 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2176 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2177 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2179 = or(_T_2175, _T_2178) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2180 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2181 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2183 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2184 = and(_T_2182, _T_2183) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2185 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2187 = or(_T_2179, _T_2186) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2188 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2189 = and(_T_2188, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2190 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2192 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2194 = or(_T_2187, _T_2193) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2195 = and(_T_2174, _T_2194) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2196 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2197 = or(_T_2195, _T_2196) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2198 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2199 = and(_T_2198, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2200 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2201 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2202 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2203 = and(_T_2201, _T_2202) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2204 = or(_T_2200, _T_2203) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2205 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2206 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2207 = and(_T_2205, _T_2206) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2208 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2209 = and(_T_2207, _T_2208) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2210 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2212 = or(_T_2204, _T_2211) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2213 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2214 = and(_T_2213, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2215 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2217 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2219 = or(_T_2212, _T_2218) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2220 = and(_T_2199, _T_2219) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2221 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2222 = or(_T_2220, _T_2221) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2223 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2224 = and(_T_2223, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2225 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2226 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2227 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2228 = and(_T_2226, _T_2227) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2229 = or(_T_2225, _T_2228) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2230 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2231 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2232 = and(_T_2230, _T_2231) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2233 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2234 = and(_T_2232, _T_2233) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2235 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2237 = or(_T_2229, _T_2236) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2238 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2239 = and(_T_2238, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2240 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2242 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2244 = or(_T_2237, _T_2243) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2245 = and(_T_2224, _T_2244) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2246 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2247 = or(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2248 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2249 = and(_T_2248, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2250 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2251 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2252 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2253 = and(_T_2251, _T_2252) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2254 = or(_T_2250, _T_2253) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2255 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2256 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2257 = and(_T_2255, _T_2256) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2258 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2260 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2261 = and(_T_2259, _T_2260) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2262 = or(_T_2254, _T_2261) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2263 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2264 = and(_T_2263, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2265 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2267 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2269 = or(_T_2262, _T_2268) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2270 = and(_T_2249, _T_2269) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2271 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2272 = or(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2273 = cat(_T_2272, _T_2247) @[Cat.scala 29:58] + node _T_2274 = cat(_T_2273, _T_2222) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2274, _T_2197) @[Cat.scala 29:58] + node _T_2275 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2276 = and(_T_2275, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2277 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2278 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2279 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2281 = or(_T_2277, _T_2280) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2282 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2283 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2285 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2286 = and(_T_2284, _T_2285) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2287 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2289 = or(_T_2281, _T_2288) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2290 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2291 = and(_T_2290, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2294 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2296 = or(_T_2289, _T_2295) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2297 = and(_T_2276, _T_2296) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2298 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2299 = or(_T_2297, _T_2298) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2300 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2301 = and(_T_2300, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2302 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2303 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2304 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2305 = and(_T_2303, _T_2304) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2306 = or(_T_2302, _T_2305) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2307 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2308 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2309 = and(_T_2307, _T_2308) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2310 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2311 = and(_T_2309, _T_2310) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2312 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2314 = or(_T_2306, _T_2313) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2315 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2316 = and(_T_2315, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2317 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2319 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2321 = or(_T_2314, _T_2320) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2322 = and(_T_2301, _T_2321) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2323 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2324 = or(_T_2322, _T_2323) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2325 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2326 = and(_T_2325, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2327 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2328 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2329 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2330 = and(_T_2328, _T_2329) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2331 = or(_T_2327, _T_2330) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2332 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2333 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2334 = and(_T_2332, _T_2333) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2335 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2336 = and(_T_2334, _T_2335) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2337 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2339 = or(_T_2331, _T_2338) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2340 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2341 = and(_T_2340, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2342 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2344 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2346 = or(_T_2339, _T_2345) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2347 = and(_T_2326, _T_2346) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2348 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2349 = or(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2350 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2351 = and(_T_2350, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2352 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2353 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2354 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2355 = and(_T_2353, _T_2354) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2356 = or(_T_2352, _T_2355) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2357 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2358 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2359 = and(_T_2357, _T_2358) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2360 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2362 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2363 = and(_T_2361, _T_2362) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2364 = or(_T_2356, _T_2363) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2365 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2366 = and(_T_2365, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2367 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2371 = or(_T_2364, _T_2370) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2372 = and(_T_2351, _T_2371) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2373 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2374 = or(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2375 = cat(_T_2374, _T_2349) @[Cat.scala 29:58] + node _T_2376 = cat(_T_2375, _T_2324) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2376, _T_2299) @[Cat.scala 29:58] + node _T_2377 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2378 = and(_T_2377, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2379 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2380 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2381 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2383 = or(_T_2379, _T_2382) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2384 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2385 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2387 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2388 = and(_T_2386, _T_2387) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2389 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2391 = or(_T_2383, _T_2390) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2392 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2393 = and(_T_2392, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2394 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2396 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2398 = or(_T_2391, _T_2397) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2399 = and(_T_2378, _T_2398) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2400 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2401 = or(_T_2399, _T_2400) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2402 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2403 = and(_T_2402, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2404 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2405 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2406 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2407 = and(_T_2405, _T_2406) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2408 = or(_T_2404, _T_2407) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2409 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2410 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2411 = and(_T_2409, _T_2410) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2412 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2413 = and(_T_2411, _T_2412) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2414 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2415 = and(_T_2413, _T_2414) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2416 = or(_T_2408, _T_2415) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2417 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2418 = and(_T_2417, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2419 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2421 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2423 = or(_T_2416, _T_2422) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2424 = and(_T_2403, _T_2423) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2425 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2426 = or(_T_2424, _T_2425) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2427 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2428 = and(_T_2427, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2429 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2430 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2431 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2432 = and(_T_2430, _T_2431) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2433 = or(_T_2429, _T_2432) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2434 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2435 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2436 = and(_T_2434, _T_2435) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2437 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2438 = and(_T_2436, _T_2437) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2439 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2440 = and(_T_2438, _T_2439) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2441 = or(_T_2433, _T_2440) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2442 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2443 = and(_T_2442, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2444 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2446 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2448 = or(_T_2441, _T_2447) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2449 = and(_T_2428, _T_2448) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2450 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2451 = or(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2452 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2453 = and(_T_2452, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2454 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2456 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2457 = and(_T_2455, _T_2456) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2458 = or(_T_2454, _T_2457) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2459 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2460 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2461 = and(_T_2459, _T_2460) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2462 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2463 = and(_T_2461, _T_2462) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2464 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2465 = and(_T_2463, _T_2464) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2466 = or(_T_2458, _T_2465) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2467 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2468 = and(_T_2467, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2469 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2470 = and(_T_2468, _T_2469) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2471 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2472 = and(_T_2470, _T_2471) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2473 = or(_T_2466, _T_2472) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2474 = and(_T_2453, _T_2473) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2475 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2476 = or(_T_2474, _T_2475) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2477 = cat(_T_2476, _T_2451) @[Cat.scala 29:58] + node _T_2478 = cat(_T_2477, _T_2426) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2478, _T_2401) @[Cat.scala 29:58] + node _T_2479 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2480 = and(_T_2479, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2481 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2482 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2483 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2485 = or(_T_2481, _T_2484) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2486 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2487 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2488 = and(_T_2486, _T_2487) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2489 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2490 = and(_T_2488, _T_2489) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2491 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2492 = and(_T_2490, _T_2491) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2493 = or(_T_2485, _T_2492) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2494 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2495 = and(_T_2494, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2496 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2497 = and(_T_2495, _T_2496) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2498 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2499 = and(_T_2497, _T_2498) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2500 = or(_T_2493, _T_2499) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2501 = and(_T_2480, _T_2500) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2502 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2503 = or(_T_2501, _T_2502) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2504 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2505 = and(_T_2504, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2506 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2507 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2508 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2509 = and(_T_2507, _T_2508) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2510 = or(_T_2506, _T_2509) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2511 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2512 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2513 = and(_T_2511, _T_2512) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2514 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2515 = and(_T_2513, _T_2514) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2516 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2518 = or(_T_2510, _T_2517) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2519 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2520 = and(_T_2519, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2521 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2522 = and(_T_2520, _T_2521) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2523 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2524 = and(_T_2522, _T_2523) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2525 = or(_T_2518, _T_2524) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2526 = and(_T_2505, _T_2525) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2527 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2528 = or(_T_2526, _T_2527) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2529 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2530 = and(_T_2529, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2531 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2532 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2533 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2534 = and(_T_2532, _T_2533) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2535 = or(_T_2531, _T_2534) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2536 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2537 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2538 = and(_T_2536, _T_2537) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2539 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2540 = and(_T_2538, _T_2539) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2541 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2542 = and(_T_2540, _T_2541) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2543 = or(_T_2535, _T_2542) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2544 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2545 = and(_T_2544, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2546 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2548 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2549 = and(_T_2547, _T_2548) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2550 = or(_T_2543, _T_2549) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2551 = and(_T_2530, _T_2550) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2552 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2553 = or(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2554 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:83] + node _T_2555 = and(_T_2554, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 466:94] + node _T_2556 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 467:20] + node _T_2557 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:47] + node _T_2558 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:59] + node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 467:57] + node _T_2560 = or(_T_2556, _T_2559) @[el2_lsu_bus_buffer.scala 467:31] + node _T_2561 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2562 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:53] + node _T_2563 = and(_T_2561, _T_2562) @[el2_lsu_bus_buffer.scala 468:41] + node _T_2564 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:83] + node _T_2565 = and(_T_2563, _T_2564) @[el2_lsu_bus_buffer.scala 468:71] + node _T_2566 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:104] + node _T_2567 = and(_T_2565, _T_2566) @[el2_lsu_bus_buffer.scala 468:92] + node _T_2568 = or(_T_2560, _T_2567) @[el2_lsu_bus_buffer.scala 467:86] + node _T_2569 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:17] + node _T_2570 = and(_T_2569, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:35] + node _T_2571 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:64] + node _T_2572 = and(_T_2570, _T_2571) @[el2_lsu_bus_buffer.scala 469:52] + node _T_2573 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:85] + node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2575 = or(_T_2568, _T_2574) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2576 = and(_T_2555, _T_2575) @[el2_lsu_bus_buffer.scala 466:113] + node _T_2577 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 469:109] + node _T_2578 = or(_T_2576, _T_2577) @[el2_lsu_bus_buffer.scala 469:97] + node _T_2579 = cat(_T_2578, _T_2553) @[Cat.scala 29:58] + node _T_2580 = cat(_T_2579, _T_2528) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2580, _T_2503) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 470:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 471:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 471:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 471:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 471:12] + node _T_2581 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2582 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2583 = and(_T_2582, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2585 = and(_T_2581, _T_2584) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2586 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2587 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2588 = and(_T_2587, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2589 = eq(_T_2588, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2590 = and(_T_2586, _T_2589) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2591 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2592 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2593 = and(_T_2592, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2594 = eq(_T_2593, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2595 = and(_T_2591, _T_2594) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2596 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2597 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2598 = and(_T_2597, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2600 = and(_T_2596, _T_2599) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2601 = cat(_T_2600, _T_2595) @[Cat.scala 29:58] + node _T_2602 = cat(_T_2601, _T_2590) @[Cat.scala 29:58] + node _T_2603 = cat(_T_2602, _T_2585) @[Cat.scala 29:58] + node _T_2604 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2605 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2606 = and(_T_2605, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2608 = and(_T_2604, _T_2607) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2609 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2610 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2611 = and(_T_2610, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2612 = eq(_T_2611, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2613 = and(_T_2609, _T_2612) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2614 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2615 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2616 = and(_T_2615, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2617 = eq(_T_2616, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2618 = and(_T_2614, _T_2617) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2619 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2620 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2621 = and(_T_2620, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2622 = eq(_T_2621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2623 = and(_T_2619, _T_2622) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2624 = cat(_T_2623, _T_2618) @[Cat.scala 29:58] + node _T_2625 = cat(_T_2624, _T_2613) @[Cat.scala 29:58] + node _T_2626 = cat(_T_2625, _T_2608) @[Cat.scala 29:58] + node _T_2627 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2628 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2629 = and(_T_2628, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2631 = and(_T_2627, _T_2630) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2632 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2633 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2634 = and(_T_2633, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2635 = eq(_T_2634, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2636 = and(_T_2632, _T_2635) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2637 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2638 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2639 = and(_T_2638, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2640 = eq(_T_2639, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2641 = and(_T_2637, _T_2640) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2642 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2643 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2644 = and(_T_2643, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2645 = eq(_T_2644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2646 = and(_T_2642, _T_2645) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2647 = cat(_T_2646, _T_2641) @[Cat.scala 29:58] + node _T_2648 = cat(_T_2647, _T_2636) @[Cat.scala 29:58] + node _T_2649 = cat(_T_2648, _T_2631) @[Cat.scala 29:58] + node _T_2650 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2651 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2652 = and(_T_2651, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2653 = eq(_T_2652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2654 = and(_T_2650, _T_2653) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2655 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2656 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2657 = and(_T_2656, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2658 = eq(_T_2657, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2659 = and(_T_2655, _T_2658) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2660 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2661 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2662 = and(_T_2661, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2664 = and(_T_2660, _T_2663) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2665 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2666 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:95] + node _T_2667 = and(_T_2666, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 472:105] + node _T_2668 = eq(_T_2667, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:80] + node _T_2669 = and(_T_2665, _T_2668) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2670 = cat(_T_2669, _T_2664) @[Cat.scala 29:58] + node _T_2671 = cat(_T_2670, _T_2659) @[Cat.scala 29:58] + node _T_2672 = cat(_T_2671, _T_2654) @[Cat.scala 29:58] + buf_age[0] <= _T_2603 @[el2_lsu_bus_buffer.scala 472:13] + buf_age[1] <= _T_2626 @[el2_lsu_bus_buffer.scala 472:13] + buf_age[2] <= _T_2649 @[el2_lsu_bus_buffer.scala 472:13] + buf_age[3] <= _T_2672 @[el2_lsu_bus_buffer.scala 472:13] + node _T_2673 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2674 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2676 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2679 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2680 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2681 = eq(_T_2680, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2682 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2684 = mux(_T_2679, UInt<1>("h00"), _T_2683) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2685 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2686 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2687 = eq(_T_2686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2688 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2690 = mux(_T_2685, UInt<1>("h00"), _T_2689) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2691 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2692 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2693 = eq(_T_2692, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2694 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2696 = mux(_T_2691, UInt<1>("h00"), _T_2695) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2697 = cat(_T_2696, _T_2690) @[Cat.scala 29:58] + node _T_2698 = cat(_T_2697, _T_2684) @[Cat.scala 29:58] + node _T_2699 = cat(_T_2698, _T_2678) @[Cat.scala 29:58] + node _T_2700 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2701 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2703 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2706 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2707 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2708 = eq(_T_2707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2709 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2710 = and(_T_2708, _T_2709) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2711 = mux(_T_2706, UInt<1>("h00"), _T_2710) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2712 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2713 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2714 = eq(_T_2713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2715 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2716 = and(_T_2714, _T_2715) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2717 = mux(_T_2712, UInt<1>("h00"), _T_2716) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2718 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2719 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2720 = eq(_T_2719, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2721 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2723 = mux(_T_2718, UInt<1>("h00"), _T_2722) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2724 = cat(_T_2723, _T_2717) @[Cat.scala 29:58] + node _T_2725 = cat(_T_2724, _T_2711) @[Cat.scala 29:58] + node _T_2726 = cat(_T_2725, _T_2705) @[Cat.scala 29:58] + node _T_2727 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2728 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2730 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2733 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2734 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2735 = eq(_T_2734, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2736 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2738 = mux(_T_2733, UInt<1>("h00"), _T_2737) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2739 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2740 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2741 = eq(_T_2740, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2742 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2743 = and(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2744 = mux(_T_2739, UInt<1>("h00"), _T_2743) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2745 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2746 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2747 = eq(_T_2746, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2748 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2750 = mux(_T_2745, UInt<1>("h00"), _T_2749) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2751 = cat(_T_2750, _T_2744) @[Cat.scala 29:58] + node _T_2752 = cat(_T_2751, _T_2738) @[Cat.scala 29:58] + node _T_2753 = cat(_T_2752, _T_2732) @[Cat.scala 29:58] + node _T_2754 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2755 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2756 = eq(_T_2755, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2757 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2759 = mux(_T_2754, UInt<1>("h00"), _T_2758) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2760 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2761 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2762 = eq(_T_2761, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2763 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2764 = and(_T_2762, _T_2763) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2765 = mux(_T_2760, UInt<1>("h00"), _T_2764) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2766 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2767 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2768 = eq(_T_2767, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2769 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2770 = and(_T_2768, _T_2769) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2771 = mux(_T_2766, UInt<1>("h00"), _T_2770) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2772 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2773 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:102] + node _T_2774 = eq(_T_2773, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:91] + node _T_2775 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:121] + node _T_2776 = and(_T_2774, _T_2775) @[el2_lsu_bus_buffer.scala 473:106] + node _T_2777 = mux(_T_2772, UInt<1>("h00"), _T_2776) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2778 = cat(_T_2777, _T_2771) @[Cat.scala 29:58] + node _T_2779 = cat(_T_2778, _T_2765) @[Cat.scala 29:58] + node _T_2780 = cat(_T_2779, _T_2759) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2699 @[el2_lsu_bus_buffer.scala 473:21] + buf_age_younger[1] <= _T_2726 @[el2_lsu_bus_buffer.scala 473:21] + buf_age_younger[2] <= _T_2753 @[el2_lsu_bus_buffer.scala 473:21] + buf_age_younger[3] <= _T_2780 @[el2_lsu_bus_buffer.scala 473:21] + node _T_2781 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2784 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2787 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2790 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 474:89] node _T_2793 = cat(_T_2792, _T_2789) @[Cat.scala 29:58] node _T_2794 = cat(_T_2793, _T_2786) @[Cat.scala 29:58] node _T_2795 = cat(_T_2794, _T_2783) @[Cat.scala 29:58] - node _T_2796 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2797 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2799 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2800 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2802 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2803 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 473:89] - node _T_2805 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:85] - node _T_2806 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] - node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2796 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2797 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2799 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2800 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2802 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2803 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2805 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2806 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 474:89] node _T_2808 = cat(_T_2807, _T_2804) @[Cat.scala 29:58] node _T_2809 = cat(_T_2808, _T_2801) @[Cat.scala 29:58] node _T_2810 = cat(_T_2809, _T_2798) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2765 @[el2_lsu_bus_buffer.scala 473:21] - buf_rsp_pickage[1] <= _T_2780 @[el2_lsu_bus_buffer.scala 473:21] - buf_rsp_pickage[2] <= _T_2795 @[el2_lsu_bus_buffer.scala 473:21] - buf_rsp_pickage[3] <= _T_2810 @[el2_lsu_bus_buffer.scala 473:21] - node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_2812 = and(_T_2811, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_2813 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_2814 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_2815 = or(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 476:34] - node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_2819 = and(_T_2817, _T_2818) @[el2_lsu_bus_buffer.scala 477:43] - node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2821 = and(_T_2819, _T_2820) @[el2_lsu_bus_buffer.scala 477:73] - node _T_2822 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_2823 = and(_T_2821, _T_2822) @[el2_lsu_bus_buffer.scala 477:92] - node _T_2824 = or(_T_2816, _T_2823) @[el2_lsu_bus_buffer.scala 476:61] - node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_2826 = and(_T_2825, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 478:54] - node _T_2829 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2831 = or(_T_2824, _T_2830) @[el2_lsu_bus_buffer.scala 477:112] - node _T_2832 = and(_T_2812, _T_2831) @[el2_lsu_bus_buffer.scala 475:114] - node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_2834 = and(_T_2833, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_2835 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_2836 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_2837 = or(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 476:34] - node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_2841 = and(_T_2839, _T_2840) @[el2_lsu_bus_buffer.scala 477:43] - node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2843 = and(_T_2841, _T_2842) @[el2_lsu_bus_buffer.scala 477:73] - node _T_2844 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 477:92] - node _T_2846 = or(_T_2838, _T_2845) @[el2_lsu_bus_buffer.scala 476:61] - node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_2848 = and(_T_2847, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 478:54] - node _T_2851 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2853 = or(_T_2846, _T_2852) @[el2_lsu_bus_buffer.scala 477:112] - node _T_2854 = and(_T_2834, _T_2853) @[el2_lsu_bus_buffer.scala 475:114] - node _T_2855 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_2856 = and(_T_2855, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_2857 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_2858 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_2859 = or(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 476:34] - node _T_2860 = eq(_T_2859, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_2861 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_2862 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_2863 = and(_T_2861, _T_2862) @[el2_lsu_bus_buffer.scala 477:43] - node _T_2864 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2865 = and(_T_2863, _T_2864) @[el2_lsu_bus_buffer.scala 477:73] - node _T_2866 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 477:92] - node _T_2868 = or(_T_2860, _T_2867) @[el2_lsu_bus_buffer.scala 476:61] - node _T_2869 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_2870 = and(_T_2869, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_2871 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 478:54] - node _T_2873 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2875 = or(_T_2868, _T_2874) @[el2_lsu_bus_buffer.scala 477:112] - node _T_2876 = and(_T_2856, _T_2875) @[el2_lsu_bus_buffer.scala 475:114] - node _T_2877 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_2878 = and(_T_2877, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_2879 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_2880 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_2881 = or(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 476:34] - node _T_2882 = eq(_T_2881, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_2883 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_2884 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_2885 = and(_T_2883, _T_2884) @[el2_lsu_bus_buffer.scala 477:43] - node _T_2886 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2887 = and(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 477:73] - node _T_2888 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_2889 = and(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 477:92] - node _T_2890 = or(_T_2882, _T_2889) @[el2_lsu_bus_buffer.scala 476:61] - node _T_2891 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_2892 = and(_T_2891, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_2893 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_2894 = and(_T_2892, _T_2893) @[el2_lsu_bus_buffer.scala 478:54] - node _T_2895 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2896 = and(_T_2894, _T_2895) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2897 = or(_T_2890, _T_2896) @[el2_lsu_bus_buffer.scala 477:112] - node _T_2898 = and(_T_2878, _T_2897) @[el2_lsu_bus_buffer.scala 475:114] - node _T_2899 = cat(_T_2898, _T_2876) @[Cat.scala 29:58] - node _T_2900 = cat(_T_2899, _T_2854) @[Cat.scala 29:58] - node _T_2901 = cat(_T_2900, _T_2832) @[Cat.scala 29:58] - node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_2903 = and(_T_2902, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_2904 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_2905 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_2906 = or(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 476:34] - node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_2910 = and(_T_2908, _T_2909) @[el2_lsu_bus_buffer.scala 477:43] - node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2912 = and(_T_2910, _T_2911) @[el2_lsu_bus_buffer.scala 477:73] - node _T_2913 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_2914 = and(_T_2912, _T_2913) @[el2_lsu_bus_buffer.scala 477:92] - node _T_2915 = or(_T_2907, _T_2914) @[el2_lsu_bus_buffer.scala 476:61] - node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_2917 = and(_T_2916, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 478:54] - node _T_2920 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2922 = or(_T_2915, _T_2921) @[el2_lsu_bus_buffer.scala 477:112] - node _T_2923 = and(_T_2903, _T_2922) @[el2_lsu_bus_buffer.scala 475:114] - node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_2925 = and(_T_2924, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_2926 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_2927 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_2928 = or(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 476:34] - node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_2932 = and(_T_2930, _T_2931) @[el2_lsu_bus_buffer.scala 477:43] - node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2934 = and(_T_2932, _T_2933) @[el2_lsu_bus_buffer.scala 477:73] - node _T_2935 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 477:92] - node _T_2937 = or(_T_2929, _T_2936) @[el2_lsu_bus_buffer.scala 476:61] - node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_2939 = and(_T_2938, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 478:54] - node _T_2942 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2944 = or(_T_2937, _T_2943) @[el2_lsu_bus_buffer.scala 477:112] - node _T_2945 = and(_T_2925, _T_2944) @[el2_lsu_bus_buffer.scala 475:114] - node _T_2946 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_2947 = and(_T_2946, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_2948 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_2949 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_2950 = or(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 476:34] - node _T_2951 = eq(_T_2950, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_2952 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_2953 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_2954 = and(_T_2952, _T_2953) @[el2_lsu_bus_buffer.scala 477:43] - node _T_2955 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2956 = and(_T_2954, _T_2955) @[el2_lsu_bus_buffer.scala 477:73] - node _T_2957 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 477:92] - node _T_2959 = or(_T_2951, _T_2958) @[el2_lsu_bus_buffer.scala 476:61] - node _T_2960 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_2961 = and(_T_2960, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_2962 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 478:54] - node _T_2964 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2966 = or(_T_2959, _T_2965) @[el2_lsu_bus_buffer.scala 477:112] - node _T_2967 = and(_T_2947, _T_2966) @[el2_lsu_bus_buffer.scala 475:114] - node _T_2968 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_2969 = and(_T_2968, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_2970 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_2971 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_2972 = or(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 476:34] - node _T_2973 = eq(_T_2972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_2974 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_2975 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_2976 = and(_T_2974, _T_2975) @[el2_lsu_bus_buffer.scala 477:43] - node _T_2977 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2978 = and(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 477:73] - node _T_2979 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_2980 = and(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 477:92] - node _T_2981 = or(_T_2973, _T_2980) @[el2_lsu_bus_buffer.scala 476:61] - node _T_2982 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_2983 = and(_T_2982, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_2984 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_2985 = and(_T_2983, _T_2984) @[el2_lsu_bus_buffer.scala 478:54] - node _T_2986 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2987 = and(_T_2985, _T_2986) @[el2_lsu_bus_buffer.scala 478:73] - node _T_2988 = or(_T_2981, _T_2987) @[el2_lsu_bus_buffer.scala 477:112] - node _T_2989 = and(_T_2969, _T_2988) @[el2_lsu_bus_buffer.scala 475:114] - node _T_2990 = cat(_T_2989, _T_2967) @[Cat.scala 29:58] - node _T_2991 = cat(_T_2990, _T_2945) @[Cat.scala 29:58] - node _T_2992 = cat(_T_2991, _T_2923) @[Cat.scala 29:58] - node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_2994 = and(_T_2993, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_2995 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_2996 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_2997 = or(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 476:34] - node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_3001 = and(_T_2999, _T_3000) @[el2_lsu_bus_buffer.scala 477:43] - node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3003 = and(_T_3001, _T_3002) @[el2_lsu_bus_buffer.scala 477:73] - node _T_3004 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_3005 = and(_T_3003, _T_3004) @[el2_lsu_bus_buffer.scala 477:92] - node _T_3006 = or(_T_2998, _T_3005) @[el2_lsu_bus_buffer.scala 476:61] - node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_3008 = and(_T_3007, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 478:54] - node _T_3011 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3013 = or(_T_3006, _T_3012) @[el2_lsu_bus_buffer.scala 477:112] - node _T_3014 = and(_T_2994, _T_3013) @[el2_lsu_bus_buffer.scala 475:114] - node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_3016 = and(_T_3015, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_3017 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_3018 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_3019 = or(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 476:34] - node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_3023 = and(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 477:43] - node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3025 = and(_T_3023, _T_3024) @[el2_lsu_bus_buffer.scala 477:73] - node _T_3026 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_3027 = and(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 477:92] - node _T_3028 = or(_T_3020, _T_3027) @[el2_lsu_bus_buffer.scala 476:61] - node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_3030 = and(_T_3029, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 478:54] - node _T_3033 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3035 = or(_T_3028, _T_3034) @[el2_lsu_bus_buffer.scala 477:112] - node _T_3036 = and(_T_3016, _T_3035) @[el2_lsu_bus_buffer.scala 475:114] - node _T_3037 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_3038 = and(_T_3037, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_3039 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_3040 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_3041 = or(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 476:34] - node _T_3042 = eq(_T_3041, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_3043 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_3044 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_3045 = and(_T_3043, _T_3044) @[el2_lsu_bus_buffer.scala 477:43] - node _T_3046 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3047 = and(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 477:73] - node _T_3048 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_3049 = and(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 477:92] - node _T_3050 = or(_T_3042, _T_3049) @[el2_lsu_bus_buffer.scala 476:61] - node _T_3051 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_3052 = and(_T_3051, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_3053 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 478:54] - node _T_3055 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3057 = or(_T_3050, _T_3056) @[el2_lsu_bus_buffer.scala 477:112] - node _T_3058 = and(_T_3038, _T_3057) @[el2_lsu_bus_buffer.scala 475:114] - node _T_3059 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_3060 = and(_T_3059, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_3061 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_3062 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_3063 = or(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 476:34] - node _T_3064 = eq(_T_3063, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_3065 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_3066 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_3067 = and(_T_3065, _T_3066) @[el2_lsu_bus_buffer.scala 477:43] - node _T_3068 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3069 = and(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 477:73] - node _T_3070 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_3071 = and(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 477:92] - node _T_3072 = or(_T_3064, _T_3071) @[el2_lsu_bus_buffer.scala 476:61] - node _T_3073 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_3074 = and(_T_3073, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_3075 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3076 = and(_T_3074, _T_3075) @[el2_lsu_bus_buffer.scala 478:54] - node _T_3077 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3078 = and(_T_3076, _T_3077) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3079 = or(_T_3072, _T_3078) @[el2_lsu_bus_buffer.scala 477:112] - node _T_3080 = and(_T_3060, _T_3079) @[el2_lsu_bus_buffer.scala 475:114] - node _T_3081 = cat(_T_3080, _T_3058) @[Cat.scala 29:58] - node _T_3082 = cat(_T_3081, _T_3036) @[Cat.scala 29:58] - node _T_3083 = cat(_T_3082, _T_3014) @[Cat.scala 29:58] - node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_3085 = and(_T_3084, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_3086 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_3087 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_3088 = or(_T_3086, _T_3087) @[el2_lsu_bus_buffer.scala 476:34] - node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_3092 = and(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 477:43] - node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3094 = and(_T_3092, _T_3093) @[el2_lsu_bus_buffer.scala 477:73] - node _T_3095 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_3096 = and(_T_3094, _T_3095) @[el2_lsu_bus_buffer.scala 477:92] - node _T_3097 = or(_T_3089, _T_3096) @[el2_lsu_bus_buffer.scala 476:61] - node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_3099 = and(_T_3098, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3101 = and(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 478:54] - node _T_3102 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3103 = and(_T_3101, _T_3102) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3104 = or(_T_3097, _T_3103) @[el2_lsu_bus_buffer.scala 477:112] - node _T_3105 = and(_T_3085, _T_3104) @[el2_lsu_bus_buffer.scala 475:114] - node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_3107 = and(_T_3106, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_3108 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_3109 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 476:34] - node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_3114 = and(_T_3112, _T_3113) @[el2_lsu_bus_buffer.scala 477:43] - node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3116 = and(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 477:73] - node _T_3117 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_3118 = and(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 477:92] - node _T_3119 = or(_T_3111, _T_3118) @[el2_lsu_bus_buffer.scala 476:61] - node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_3121 = and(_T_3120, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3123 = and(_T_3121, _T_3122) @[el2_lsu_bus_buffer.scala 478:54] - node _T_3124 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3125 = and(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3126 = or(_T_3119, _T_3125) @[el2_lsu_bus_buffer.scala 477:112] - node _T_3127 = and(_T_3107, _T_3126) @[el2_lsu_bus_buffer.scala 475:114] - node _T_3128 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_3129 = and(_T_3128, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_3130 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_3131 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 476:34] - node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_3134 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_3135 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_3136 = and(_T_3134, _T_3135) @[el2_lsu_bus_buffer.scala 477:43] - node _T_3137 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3138 = and(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 477:73] - node _T_3139 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_3140 = and(_T_3138, _T_3139) @[el2_lsu_bus_buffer.scala 477:92] - node _T_3141 = or(_T_3133, _T_3140) @[el2_lsu_bus_buffer.scala 476:61] - node _T_3142 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_3143 = and(_T_3142, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_3144 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3145 = and(_T_3143, _T_3144) @[el2_lsu_bus_buffer.scala 478:54] - node _T_3146 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3147 = and(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3148 = or(_T_3141, _T_3147) @[el2_lsu_bus_buffer.scala 477:112] - node _T_3149 = and(_T_3129, _T_3148) @[el2_lsu_bus_buffer.scala 475:114] - node _T_3150 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] - node _T_3151 = and(_T_3150, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 475:95] - node _T_3152 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] - node _T_3153 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] - node _T_3154 = or(_T_3152, _T_3153) @[el2_lsu_bus_buffer.scala 476:34] - node _T_3155 = eq(_T_3154, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] - node _T_3156 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] - node _T_3157 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] - node _T_3158 = and(_T_3156, _T_3157) @[el2_lsu_bus_buffer.scala 477:43] - node _T_3159 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3160 = and(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 477:73] - node _T_3161 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:103] - node _T_3162 = and(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 477:92] - node _T_3163 = or(_T_3155, _T_3162) @[el2_lsu_bus_buffer.scala 476:61] - node _T_3164 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] - node _T_3165 = and(_T_3164, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] - node _T_3166 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3167 = and(_T_3165, _T_3166) @[el2_lsu_bus_buffer.scala 478:54] - node _T_3168 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3169 = and(_T_3167, _T_3168) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3170 = or(_T_3163, _T_3169) @[el2_lsu_bus_buffer.scala 477:112] - node _T_3171 = and(_T_3151, _T_3170) @[el2_lsu_bus_buffer.scala 475:114] - node _T_3172 = cat(_T_3171, _T_3149) @[Cat.scala 29:58] - node _T_3173 = cat(_T_3172, _T_3127) @[Cat.scala 29:58] - node _T_3174 = cat(_T_3173, _T_3105) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2901 @[el2_lsu_bus_buffer.scala 475:20] - buf_rspage_set[1] <= _T_2992 @[el2_lsu_bus_buffer.scala 475:20] - buf_rspage_set[2] <= _T_3083 @[el2_lsu_bus_buffer.scala 475:20] - buf_rspage_set[3] <= _T_3174 @[el2_lsu_bus_buffer.scala 475:20] - node _T_3175 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3176 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3178 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3179 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3181 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3182 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3184 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3185 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3187 = cat(_T_3186, _T_3183) @[Cat.scala 29:58] - node _T_3188 = cat(_T_3187, _T_3180) @[Cat.scala 29:58] - node _T_3189 = cat(_T_3188, _T_3177) @[Cat.scala 29:58] - node _T_3190 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3191 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3193 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3194 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3196 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3197 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3199 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3200 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3202 = cat(_T_3201, _T_3198) @[Cat.scala 29:58] - node _T_3203 = cat(_T_3202, _T_3195) @[Cat.scala 29:58] - node _T_3204 = cat(_T_3203, _T_3192) @[Cat.scala 29:58] - node _T_3205 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3206 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3208 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3209 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3211 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3212 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3214 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3215 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 479:90] + node _T_2811 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2812 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2814 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2815 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2816 = and(_T_2814, _T_2815) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2817 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2818 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2819 = and(_T_2817, _T_2818) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2820 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2821 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2823 = cat(_T_2822, _T_2819) @[Cat.scala 29:58] + node _T_2824 = cat(_T_2823, _T_2816) @[Cat.scala 29:58] + node _T_2825 = cat(_T_2824, _T_2813) @[Cat.scala 29:58] + node _T_2826 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2827 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2829 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2830 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2831 = and(_T_2829, _T_2830) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2832 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2833 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2834 = and(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2835 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 474:85] + node _T_2836 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 474:104] + node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 474:89] + node _T_2838 = cat(_T_2837, _T_2834) @[Cat.scala 29:58] + node _T_2839 = cat(_T_2838, _T_2831) @[Cat.scala 29:58] + node _T_2840 = cat(_T_2839, _T_2828) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 474:21] + buf_rsp_pickage[1] <= _T_2810 @[el2_lsu_bus_buffer.scala 474:21] + buf_rsp_pickage[2] <= _T_2825 @[el2_lsu_bus_buffer.scala 474:21] + buf_rsp_pickage[3] <= _T_2840 @[el2_lsu_bus_buffer.scala 474:21] + node _T_2841 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2842 = and(_T_2841, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2843 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2844 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2845 = or(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2846 = eq(_T_2845, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2847 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2848 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2850 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2851 = and(_T_2849, _T_2850) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2852 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2853 = and(_T_2851, _T_2852) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2854 = or(_T_2846, _T_2853) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2855 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2856 = and(_T_2855, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2857 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2858 = and(_T_2856, _T_2857) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2859 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2861 = or(_T_2854, _T_2860) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2862 = and(_T_2842, _T_2861) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2863 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2864 = and(_T_2863, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2865 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2866 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2867 = or(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2868 = eq(_T_2867, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2869 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2870 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2872 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2873 = and(_T_2871, _T_2872) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2874 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2875 = and(_T_2873, _T_2874) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2876 = or(_T_2868, _T_2875) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2877 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2878 = and(_T_2877, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2879 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2880 = and(_T_2878, _T_2879) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2881 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2883 = or(_T_2876, _T_2882) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2884 = and(_T_2864, _T_2883) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2885 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2886 = and(_T_2885, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2888 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2889 = or(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2890 = eq(_T_2889, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2891 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2892 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2894 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2896 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2898 = or(_T_2890, _T_2897) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2899 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2900 = and(_T_2899, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2901 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2902 = and(_T_2900, _T_2901) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2903 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2905 = or(_T_2898, _T_2904) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2906 = and(_T_2886, _T_2905) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2907 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2908 = and(_T_2907, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2909 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2910 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2911 = or(_T_2909, _T_2910) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2912 = eq(_T_2911, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2913 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2914 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2916 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2918 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2920 = or(_T_2912, _T_2919) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2921 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2922 = and(_T_2921, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2923 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2924 = and(_T_2922, _T_2923) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2925 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2927 = or(_T_2920, _T_2926) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2928 = and(_T_2908, _T_2927) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2929 = cat(_T_2928, _T_2906) @[Cat.scala 29:58] + node _T_2930 = cat(_T_2929, _T_2884) @[Cat.scala 29:58] + node _T_2931 = cat(_T_2930, _T_2862) @[Cat.scala 29:58] + node _T_2932 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2933 = and(_T_2932, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2934 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2935 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2936 = or(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2937 = eq(_T_2936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2938 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2939 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2941 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2942 = and(_T_2940, _T_2941) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2943 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2944 = and(_T_2942, _T_2943) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2945 = or(_T_2937, _T_2944) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2946 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2947 = and(_T_2946, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2948 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2949 = and(_T_2947, _T_2948) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2950 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2952 = or(_T_2945, _T_2951) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2953 = and(_T_2933, _T_2952) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2954 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2955 = and(_T_2954, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2956 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2957 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2958 = or(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2959 = eq(_T_2958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2960 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2961 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2963 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2964 = and(_T_2962, _T_2963) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2965 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2966 = and(_T_2964, _T_2965) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2967 = or(_T_2959, _T_2966) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2968 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2969 = and(_T_2968, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2970 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2971 = and(_T_2969, _T_2970) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2972 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2974 = or(_T_2967, _T_2973) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2975 = and(_T_2955, _T_2974) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2976 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2977 = and(_T_2976, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_2978 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_2979 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_2980 = or(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 477:34] + node _T_2981 = eq(_T_2980, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_2982 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_2983 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 478:43] + node _T_2985 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2987 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 478:92] + node _T_2989 = or(_T_2981, _T_2988) @[el2_lsu_bus_buffer.scala 477:61] + node _T_2990 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_2991 = and(_T_2990, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_2992 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_2993 = and(_T_2991, _T_2992) @[el2_lsu_bus_buffer.scala 479:54] + node _T_2994 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2996 = or(_T_2989, _T_2995) @[el2_lsu_bus_buffer.scala 478:112] + node _T_2997 = and(_T_2977, _T_2996) @[el2_lsu_bus_buffer.scala 476:114] + node _T_2998 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_2999 = and(_T_2998, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3001 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3002 = or(_T_3000, _T_3001) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3003 = eq(_T_3002, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3004 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3005 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3007 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3009 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3011 = or(_T_3003, _T_3010) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3012 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3013 = and(_T_3012, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3014 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3015 = and(_T_3013, _T_3014) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3016 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3018 = or(_T_3011, _T_3017) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3019 = and(_T_2999, _T_3018) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3020 = cat(_T_3019, _T_2997) @[Cat.scala 29:58] + node _T_3021 = cat(_T_3020, _T_2975) @[Cat.scala 29:58] + node _T_3022 = cat(_T_3021, _T_2953) @[Cat.scala 29:58] + node _T_3023 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3024 = and(_T_3023, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3025 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3026 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3027 = or(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3028 = eq(_T_3027, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3029 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3030 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3031 = and(_T_3029, _T_3030) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3032 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3033 = and(_T_3031, _T_3032) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3034 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3035 = and(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3036 = or(_T_3028, _T_3035) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3037 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3038 = and(_T_3037, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3039 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3040 = and(_T_3038, _T_3039) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3041 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3042 = and(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3043 = or(_T_3036, _T_3042) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3044 = and(_T_3024, _T_3043) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3045 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3046 = and(_T_3045, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3047 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3048 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3049 = or(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3050 = eq(_T_3049, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3051 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3052 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3053 = and(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3054 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3055 = and(_T_3053, _T_3054) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3056 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3057 = and(_T_3055, _T_3056) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3058 = or(_T_3050, _T_3057) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3059 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3060 = and(_T_3059, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3061 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3062 = and(_T_3060, _T_3061) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3063 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3064 = and(_T_3062, _T_3063) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3065 = or(_T_3058, _T_3064) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3066 = and(_T_3046, _T_3065) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3067 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3068 = and(_T_3067, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3069 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3070 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3072 = eq(_T_3071, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3073 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3074 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3076 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3077 = and(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3078 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3079 = and(_T_3077, _T_3078) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3080 = or(_T_3072, _T_3079) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3081 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3082 = and(_T_3081, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3083 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3084 = and(_T_3082, _T_3083) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3085 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3086 = and(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3087 = or(_T_3080, _T_3086) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3088 = and(_T_3068, _T_3087) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3089 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3090 = and(_T_3089, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3091 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3092 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3093 = or(_T_3091, _T_3092) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3094 = eq(_T_3093, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3095 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3096 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3097 = and(_T_3095, _T_3096) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3098 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3099 = and(_T_3097, _T_3098) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3100 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3101 = and(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3102 = or(_T_3094, _T_3101) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3103 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3104 = and(_T_3103, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3105 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3106 = and(_T_3104, _T_3105) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3107 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3108 = and(_T_3106, _T_3107) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3109 = or(_T_3102, _T_3108) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3110 = and(_T_3090, _T_3109) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3111 = cat(_T_3110, _T_3088) @[Cat.scala 29:58] + node _T_3112 = cat(_T_3111, _T_3066) @[Cat.scala 29:58] + node _T_3113 = cat(_T_3112, _T_3044) @[Cat.scala 29:58] + node _T_3114 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3115 = and(_T_3114, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3116 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3117 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3118 = or(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3119 = eq(_T_3118, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3120 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3121 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3122 = and(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3123 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3124 = and(_T_3122, _T_3123) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3125 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3126 = and(_T_3124, _T_3125) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3127 = or(_T_3119, _T_3126) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3128 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3129 = and(_T_3128, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3130 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3131 = and(_T_3129, _T_3130) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3132 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3133 = and(_T_3131, _T_3132) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3134 = or(_T_3127, _T_3133) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3135 = and(_T_3115, _T_3134) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3136 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3137 = and(_T_3136, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3138 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3139 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3140 = or(_T_3138, _T_3139) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3141 = eq(_T_3140, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3142 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3143 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3144 = and(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3145 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3146 = and(_T_3144, _T_3145) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3147 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3148 = and(_T_3146, _T_3147) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3149 = or(_T_3141, _T_3148) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3150 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3151 = and(_T_3150, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3152 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3153 = and(_T_3151, _T_3152) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3154 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3155 = and(_T_3153, _T_3154) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3156 = or(_T_3149, _T_3155) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3157 = and(_T_3137, _T_3156) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3158 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3159 = and(_T_3158, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3160 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3161 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3162 = or(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3163 = eq(_T_3162, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3164 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3165 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3166 = and(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3167 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3168 = and(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3169 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3170 = and(_T_3168, _T_3169) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3171 = or(_T_3163, _T_3170) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3172 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3173 = and(_T_3172, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3174 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3175 = and(_T_3173, _T_3174) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3176 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3177 = and(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3178 = or(_T_3171, _T_3177) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3179 = and(_T_3159, _T_3178) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3180 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:84] + node _T_3181 = and(_T_3180, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 476:95] + node _T_3182 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:23] + node _T_3183 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 477:49] + node _T_3184 = or(_T_3182, _T_3183) @[el2_lsu_bus_buffer.scala 477:34] + node _T_3185 = eq(_T_3184, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:8] + node _T_3186 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:25] + node _T_3187 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:55] + node _T_3188 = and(_T_3186, _T_3187) @[el2_lsu_bus_buffer.scala 478:43] + node _T_3189 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3190 = and(_T_3188, _T_3189) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3191 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:103] + node _T_3192 = and(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 478:92] + node _T_3193 = or(_T_3185, _T_3192) @[el2_lsu_bus_buffer.scala 477:61] + node _T_3194 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:19] + node _T_3195 = and(_T_3194, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3196 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3197 = and(_T_3195, _T_3196) @[el2_lsu_bus_buffer.scala 479:54] + node _T_3198 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3199 = and(_T_3197, _T_3198) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3200 = or(_T_3193, _T_3199) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3201 = and(_T_3181, _T_3200) @[el2_lsu_bus_buffer.scala 476:114] + node _T_3202 = cat(_T_3201, _T_3179) @[Cat.scala 29:58] + node _T_3203 = cat(_T_3202, _T_3157) @[Cat.scala 29:58] + node _T_3204 = cat(_T_3203, _T_3135) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2931 @[el2_lsu_bus_buffer.scala 476:20] + buf_rspage_set[1] <= _T_3022 @[el2_lsu_bus_buffer.scala 476:20] + buf_rspage_set[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 476:20] + buf_rspage_set[3] <= _T_3204 @[el2_lsu_bus_buffer.scala 476:20] + node _T_3205 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3206 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3208 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3209 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3211 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3212 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3214 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3215 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 480:90] node _T_3217 = cat(_T_3216, _T_3213) @[Cat.scala 29:58] node _T_3218 = cat(_T_3217, _T_3210) @[Cat.scala 29:58] node _T_3219 = cat(_T_3218, _T_3207) @[Cat.scala 29:58] - node _T_3220 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3221 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3223 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3224 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3226 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3227 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 479:90] - node _T_3229 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3230 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 479:105] - node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3220 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3221 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3223 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3224 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3226 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3227 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3229 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3230 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 480:90] node _T_3232 = cat(_T_3231, _T_3228) @[Cat.scala 29:58] node _T_3233 = cat(_T_3232, _T_3225) @[Cat.scala 29:58] node _T_3234 = cat(_T_3233, _T_3222) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3189 @[el2_lsu_bus_buffer.scala 479:19] - buf_rspage_in[1] <= _T_3204 @[el2_lsu_bus_buffer.scala 479:19] - buf_rspage_in[2] <= _T_3219 @[el2_lsu_bus_buffer.scala 479:19] - buf_rspage_in[3] <= _T_3234 @[el2_lsu_bus_buffer.scala 479:19] - node _T_3235 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3236 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3237 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3238 = or(_T_3236, _T_3237) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3240 = and(_T_3235, _T_3239) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3241 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3242 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3243 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3247 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3248 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3249 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3253 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3254 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3255 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3259 = cat(_T_3258, _T_3252) @[Cat.scala 29:58] - node _T_3260 = cat(_T_3259, _T_3246) @[Cat.scala 29:58] - node _T_3261 = cat(_T_3260, _T_3240) @[Cat.scala 29:58] - node _T_3262 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3263 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3264 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3265 = or(_T_3263, _T_3264) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3267 = and(_T_3262, _T_3266) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3268 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3269 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3274 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3275 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3276 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3280 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3281 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3282 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3286 = cat(_T_3285, _T_3279) @[Cat.scala 29:58] - node _T_3287 = cat(_T_3286, _T_3273) @[Cat.scala 29:58] - node _T_3288 = cat(_T_3287, _T_3267) @[Cat.scala 29:58] - node _T_3289 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3292 = or(_T_3290, _T_3291) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3294 = and(_T_3289, _T_3293) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3295 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3296 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3297 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3301 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3302 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3303 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3307 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3308 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3309 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3313 = cat(_T_3312, _T_3306) @[Cat.scala 29:58] - node _T_3314 = cat(_T_3313, _T_3300) @[Cat.scala 29:58] - node _T_3315 = cat(_T_3314, _T_3294) @[Cat.scala 29:58] - node _T_3316 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3317 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3318 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3319 = or(_T_3317, _T_3318) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3320 = eq(_T_3319, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3321 = and(_T_3316, _T_3320) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3322 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3323 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3324 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3325 = or(_T_3323, _T_3324) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3326 = eq(_T_3325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3327 = and(_T_3322, _T_3326) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3328 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3329 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3330 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3331 = or(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3332 = eq(_T_3331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3333 = and(_T_3328, _T_3332) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3334 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 480:80] - node _T_3335 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] - node _T_3336 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] - node _T_3337 = or(_T_3335, _T_3336) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3338 = eq(_T_3337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3339 = and(_T_3334, _T_3338) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3340 = cat(_T_3339, _T_3333) @[Cat.scala 29:58] - node _T_3341 = cat(_T_3340, _T_3327) @[Cat.scala 29:58] - node _T_3342 = cat(_T_3341, _T_3321) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3261 @[el2_lsu_bus_buffer.scala 480:16] - buf_rspage[1] <= _T_3288 @[el2_lsu_bus_buffer.scala 480:16] - buf_rspage[2] <= _T_3315 @[el2_lsu_bus_buffer.scala 480:16] - buf_rspage[3] <= _T_3342 @[el2_lsu_bus_buffer.scala 480:16] - node _T_3343 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:77] - node _T_3344 = and(ibuf_drain_vld, _T_3343) @[el2_lsu_bus_buffer.scala 485:65] - node _T_3345 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 485:77] - node _T_3346 = and(ibuf_drain_vld, _T_3345) @[el2_lsu_bus_buffer.scala 485:65] - node _T_3347 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 485:77] - node _T_3348 = and(ibuf_drain_vld, _T_3347) @[el2_lsu_bus_buffer.scala 485:65] - node _T_3349 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 485:77] - node _T_3350 = and(ibuf_drain_vld, _T_3349) @[el2_lsu_bus_buffer.scala 485:65] - node _T_3351 = cat(_T_3350, _T_3348) @[Cat.scala 29:58] - node _T_3352 = cat(_T_3351, _T_3346) @[Cat.scala 29:58] - node _T_3353 = cat(_T_3352, _T_3344) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3353 @[el2_lsu_bus_buffer.scala 485:23] - node _T_3354 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:66] - node _T_3355 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 486:86] - node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:20] - node _T_3357 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 487:37] - node _T_3359 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:73] - node _T_3360 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:96] - node _T_3361 = mux(_T_3358, _T_3359, _T_3360) @[el2_lsu_bus_buffer.scala 487:10] - node _T_3362 = mux(_T_3354, _T_3355, _T_3361) @[el2_lsu_bus_buffer.scala 486:48] - node _T_3363 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:66] - node _T_3364 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 486:86] - node _T_3365 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:20] - node _T_3366 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3367 = and(_T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 487:37] - node _T_3368 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:73] - node _T_3369 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:96] - node _T_3370 = mux(_T_3367, _T_3368, _T_3369) @[el2_lsu_bus_buffer.scala 487:10] - node _T_3371 = mux(_T_3363, _T_3364, _T_3370) @[el2_lsu_bus_buffer.scala 486:48] - node _T_3372 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:66] - node _T_3373 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 486:86] - node _T_3374 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:20] - node _T_3375 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3376 = and(_T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 487:37] - node _T_3377 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:73] - node _T_3378 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:96] - node _T_3379 = mux(_T_3376, _T_3377, _T_3378) @[el2_lsu_bus_buffer.scala 487:10] - node _T_3380 = mux(_T_3372, _T_3373, _T_3379) @[el2_lsu_bus_buffer.scala 486:48] - node _T_3381 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:66] - node _T_3382 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 486:86] - node _T_3383 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:20] - node _T_3384 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3385 = and(_T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 487:37] - node _T_3386 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:73] - node _T_3387 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:96] - node _T_3388 = mux(_T_3385, _T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 487:10] - node _T_3389 = mux(_T_3381, _T_3382, _T_3388) @[el2_lsu_bus_buffer.scala 486:48] - buf_byteen_in[0] <= _T_3362 @[el2_lsu_bus_buffer.scala 486:19] - buf_byteen_in[1] <= _T_3371 @[el2_lsu_bus_buffer.scala 486:19] - buf_byteen_in[2] <= _T_3380 @[el2_lsu_bus_buffer.scala 486:19] - buf_byteen_in[3] <= _T_3389 @[el2_lsu_bus_buffer.scala 486:19] - node _T_3390 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:64] - node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:93] - node _T_3392 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:121] - node _T_3393 = and(_T_3391, _T_3392) @[el2_lsu_bus_buffer.scala 488:110] - node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 488:83] - node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[el2_lsu_bus_buffer.scala 488:46] - node _T_3396 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:64] - node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:93] - node _T_3398 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 488:121] - node _T_3399 = and(_T_3397, _T_3398) @[el2_lsu_bus_buffer.scala 488:110] - node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 488:83] - node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[el2_lsu_bus_buffer.scala 488:46] - node _T_3402 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:64] - node _T_3403 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:93] - node _T_3404 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 488:121] - node _T_3405 = and(_T_3403, _T_3404) @[el2_lsu_bus_buffer.scala 488:110] - node _T_3406 = mux(_T_3405, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 488:83] - node _T_3407 = mux(_T_3402, ibuf_addr, _T_3406) @[el2_lsu_bus_buffer.scala 488:46] - node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:64] - node _T_3409 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:93] - node _T_3410 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 488:121] - node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 488:110] - node _T_3412 = mux(_T_3411, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 488:83] - node _T_3413 = mux(_T_3408, ibuf_addr, _T_3412) @[el2_lsu_bus_buffer.scala 488:46] - buf_addr_in[0] <= _T_3395 @[el2_lsu_bus_buffer.scala 488:17] - buf_addr_in[1] <= _T_3401 @[el2_lsu_bus_buffer.scala 488:17] - buf_addr_in[2] <= _T_3407 @[el2_lsu_bus_buffer.scala 488:17] - buf_addr_in[3] <= _T_3413 @[el2_lsu_bus_buffer.scala 488:17] - node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:65] - node _T_3415 = mux(_T_3414, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:47] - node _T_3416 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:65] - node _T_3417 = mux(_T_3416, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:47] - node _T_3418 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:65] - node _T_3419 = mux(_T_3418, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:47] - node _T_3420 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:65] - node _T_3421 = mux(_T_3420, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:47] - node _T_3422 = cat(_T_3421, _T_3419) @[Cat.scala 29:58] - node _T_3423 = cat(_T_3422, _T_3417) @[Cat.scala 29:58] - node _T_3424 = cat(_T_3423, _T_3415) @[Cat.scala 29:58] - buf_dual_in <= _T_3424 @[el2_lsu_bus_buffer.scala 489:17] - node _T_3425 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:67] - node _T_3426 = mux(_T_3425, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:67] - node _T_3428 = mux(_T_3427, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3429 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:67] - node _T_3430 = mux(_T_3429, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3431 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:67] - node _T_3432 = mux(_T_3431, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3433 = cat(_T_3432, _T_3430) @[Cat.scala 29:58] - node _T_3434 = cat(_T_3433, _T_3428) @[Cat.scala 29:58] - node _T_3435 = cat(_T_3434, _T_3426) @[Cat.scala 29:58] - buf_samedw_in <= _T_3435 @[el2_lsu_bus_buffer.scala 490:19] - node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 491:68] - node _T_3437 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 491:86] - node _T_3438 = mux(_T_3436, _T_3437, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 491:50] - node _T_3439 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 491:68] - node _T_3440 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 491:86] - node _T_3441 = mux(_T_3439, _T_3440, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 491:50] - node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 491:68] - node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 491:86] - node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 491:50] - node _T_3445 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 491:68] - node _T_3446 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 491:86] - node _T_3447 = mux(_T_3445, _T_3446, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 491:50] - node _T_3448 = cat(_T_3447, _T_3444) @[Cat.scala 29:58] - node _T_3449 = cat(_T_3448, _T_3441) @[Cat.scala 29:58] - node _T_3450 = cat(_T_3449, _T_3438) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3450 @[el2_lsu_bus_buffer.scala 491:20] - node _T_3451 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:92] - node _T_3453 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:120] - node _T_3454 = and(_T_3452, _T_3453) @[el2_lsu_bus_buffer.scala 492:109] - node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[el2_lsu_bus_buffer.scala 492:49] - node _T_3456 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3457 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:92] - node _T_3458 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 492:120] - node _T_3459 = and(_T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 492:109] - node _T_3460 = mux(_T_3456, ibuf_dual, _T_3459) @[el2_lsu_bus_buffer.scala 492:49] - node _T_3461 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:92] - node _T_3463 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 492:120] - node _T_3464 = and(_T_3462, _T_3463) @[el2_lsu_bus_buffer.scala 492:109] - node _T_3465 = mux(_T_3461, ibuf_dual, _T_3464) @[el2_lsu_bus_buffer.scala 492:49] - node _T_3466 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3467 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:92] - node _T_3468 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 492:120] - node _T_3469 = and(_T_3467, _T_3468) @[el2_lsu_bus_buffer.scala 492:109] - node _T_3470 = mux(_T_3466, ibuf_dual, _T_3469) @[el2_lsu_bus_buffer.scala 492:49] - node _T_3471 = cat(_T_3470, _T_3465) @[Cat.scala 29:58] - node _T_3472 = cat(_T_3471, _T_3460) @[Cat.scala 29:58] - node _T_3473 = cat(_T_3472, _T_3455) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3473 @[el2_lsu_bus_buffer.scala 492:19] - node _T_3474 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 493:67] - node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:99] - node _T_3476 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:127] - node _T_3477 = and(_T_3475, _T_3476) @[el2_lsu_bus_buffer.scala 493:116] - node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:89] - node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[el2_lsu_bus_buffer.scala 493:49] - node _T_3480 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 493:67] - node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:99] - node _T_3482 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 493:127] - node _T_3483 = and(_T_3481, _T_3482) @[el2_lsu_bus_buffer.scala 493:116] - node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:89] - node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[el2_lsu_bus_buffer.scala 493:49] - node _T_3486 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 493:67] - node _T_3487 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:99] - node _T_3488 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 493:127] - node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 493:116] - node _T_3490 = mux(_T_3489, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:89] - node _T_3491 = mux(_T_3486, ibuf_dualtag, _T_3490) @[el2_lsu_bus_buffer.scala 493:49] - node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 493:67] - node _T_3493 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:99] - node _T_3494 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 493:127] - node _T_3495 = and(_T_3493, _T_3494) @[el2_lsu_bus_buffer.scala 493:116] - node _T_3496 = mux(_T_3495, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:89] - node _T_3497 = mux(_T_3492, ibuf_dualtag, _T_3496) @[el2_lsu_bus_buffer.scala 493:49] - buf_dualtag_in[0] <= _T_3479 @[el2_lsu_bus_buffer.scala 493:20] - buf_dualtag_in[1] <= _T_3485 @[el2_lsu_bus_buffer.scala 493:20] - buf_dualtag_in[2] <= _T_3491 @[el2_lsu_bus_buffer.scala 493:20] - buf_dualtag_in[3] <= _T_3497 @[el2_lsu_bus_buffer.scala 493:20] - node _T_3498 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 494:71] - node _T_3499 = mux(_T_3498, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3500 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 494:71] - node _T_3501 = mux(_T_3500, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3502 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 494:71] - node _T_3503 = mux(_T_3502, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3504 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 494:71] - node _T_3505 = mux(_T_3504, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3506 = cat(_T_3505, _T_3503) @[Cat.scala 29:58] - node _T_3507 = cat(_T_3506, _T_3501) @[Cat.scala 29:58] - node _T_3508 = cat(_T_3507, _T_3499) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3508 @[el2_lsu_bus_buffer.scala 494:23] - node _T_3509 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3510 = mux(_T_3509, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3512 = mux(_T_3511, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3513 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3514 = mux(_T_3513, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3515 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3516 = mux(_T_3515, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3517 = cat(_T_3516, _T_3514) @[Cat.scala 29:58] - node _T_3518 = cat(_T_3517, _T_3512) @[Cat.scala 29:58] - node _T_3519 = cat(_T_3518, _T_3510) @[Cat.scala 29:58] - buf_unsign_in <= _T_3519 @[el2_lsu_bus_buffer.scala 495:19] - node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 496:62] - node _T_3521 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3522 = mux(_T_3520, ibuf_sz, _T_3521) @[el2_lsu_bus_buffer.scala 496:44] - node _T_3523 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 496:62] - node _T_3524 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3525 = mux(_T_3523, ibuf_sz, _T_3524) @[el2_lsu_bus_buffer.scala 496:44] - node _T_3526 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 496:62] - node _T_3527 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 496:44] - node _T_3529 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 496:62] - node _T_3530 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3531 = mux(_T_3529, ibuf_sz, _T_3530) @[el2_lsu_bus_buffer.scala 496:44] - buf_sz_in[0] <= _T_3522 @[el2_lsu_bus_buffer.scala 496:15] - buf_sz_in[1] <= _T_3525 @[el2_lsu_bus_buffer.scala 496:15] - buf_sz_in[2] <= _T_3528 @[el2_lsu_bus_buffer.scala 496:15] - buf_sz_in[3] <= _T_3531 @[el2_lsu_bus_buffer.scala 496:15] - node _T_3532 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 497:66] - node _T_3533 = mux(_T_3532, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 497:48] - node _T_3534 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 497:66] - node _T_3535 = mux(_T_3534, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 497:48] - node _T_3536 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 497:66] - node _T_3537 = mux(_T_3536, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 497:48] - node _T_3538 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 497:66] - node _T_3539 = mux(_T_3538, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 497:48] - node _T_3540 = cat(_T_3539, _T_3537) @[Cat.scala 29:58] - node _T_3541 = cat(_T_3540, _T_3535) @[Cat.scala 29:58] - node _T_3542 = cat(_T_3541, _T_3533) @[Cat.scala 29:58] - buf_write_in <= _T_3542 @[el2_lsu_bus_buffer.scala 497:18] - node _T_3543 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3543 : @[Conditional.scala 40:58] - node _T_3544 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 502:56] - node _T_3545 = mux(_T_3544, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[0] <= _T_3545 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3546 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 503:45] - node _T_3547 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:77] - node _T_3548 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 503:97] - node _T_3549 = and(_T_3547, _T_3548) @[el2_lsu_bus_buffer.scala 503:95] - node _T_3550 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 503:117] - node _T_3551 = and(_T_3549, _T_3550) @[el2_lsu_bus_buffer.scala 503:112] - node _T_3552 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:144] - node _T_3553 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 503:166] - node _T_3554 = and(_T_3552, _T_3553) @[el2_lsu_bus_buffer.scala 503:161] - node _T_3555 = or(_T_3551, _T_3554) @[el2_lsu_bus_buffer.scala 503:132] - node _T_3556 = and(_T_3546, _T_3555) @[el2_lsu_bus_buffer.scala 503:63] - node _T_3557 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 503:206] - node _T_3558 = and(ibuf_drain_vld, _T_3557) @[el2_lsu_bus_buffer.scala 503:201] - node _T_3559 = or(_T_3556, _T_3558) @[el2_lsu_bus_buffer.scala 503:183] - buf_state_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 503:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 504:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 505:24] - node _T_3560 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:52] - node _T_3561 = and(ibuf_drain_vld, _T_3560) @[el2_lsu_bus_buffer.scala 506:47] - node _T_3562 = bits(_T_3561, 0, 0) @[el2_lsu_bus_buffer.scala 506:73] - node _T_3563 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 506:90] - node _T_3564 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 506:114] - node _T_3565 = mux(_T_3562, _T_3563, _T_3564) @[el2_lsu_bus_buffer.scala 506:30] - buf_data_in[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 506:24] + node _T_3235 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3236 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3237 = or(_T_3235, _T_3236) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3238 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3239 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3240 = or(_T_3238, _T_3239) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3241 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3242 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3243 = or(_T_3241, _T_3242) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3244 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3245 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3246 = or(_T_3244, _T_3245) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3247 = cat(_T_3246, _T_3243) @[Cat.scala 29:58] + node _T_3248 = cat(_T_3247, _T_3240) @[Cat.scala 29:58] + node _T_3249 = cat(_T_3248, _T_3237) @[Cat.scala 29:58] + node _T_3250 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3251 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3252 = or(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3253 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3254 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3255 = or(_T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3256 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3257 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3258 = or(_T_3256, _T_3257) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3259 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3260 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 480:105] + node _T_3261 = or(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 480:90] + node _T_3262 = cat(_T_3261, _T_3258) @[Cat.scala 29:58] + node _T_3263 = cat(_T_3262, _T_3255) @[Cat.scala 29:58] + node _T_3264 = cat(_T_3263, _T_3252) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3219 @[el2_lsu_bus_buffer.scala 480:19] + buf_rspage_in[1] <= _T_3234 @[el2_lsu_bus_buffer.scala 480:19] + buf_rspage_in[2] <= _T_3249 @[el2_lsu_bus_buffer.scala 480:19] + buf_rspage_in[3] <= _T_3264 @[el2_lsu_bus_buffer.scala 480:19] + node _T_3265 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3266 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3267 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3268 = or(_T_3266, _T_3267) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3270 = and(_T_3265, _T_3269) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3271 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3272 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3273 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3274 = or(_T_3272, _T_3273) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3275 = eq(_T_3274, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3276 = and(_T_3271, _T_3275) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3277 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3278 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3279 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3280 = or(_T_3278, _T_3279) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3282 = and(_T_3277, _T_3281) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3283 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3284 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3285 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3286 = or(_T_3284, _T_3285) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3287 = eq(_T_3286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3288 = and(_T_3283, _T_3287) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3289 = cat(_T_3288, _T_3282) @[Cat.scala 29:58] + node _T_3290 = cat(_T_3289, _T_3276) @[Cat.scala 29:58] + node _T_3291 = cat(_T_3290, _T_3270) @[Cat.scala 29:58] + node _T_3292 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3293 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3294 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3295 = or(_T_3293, _T_3294) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3297 = and(_T_3292, _T_3296) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3298 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3299 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3300 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3301 = or(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3302 = eq(_T_3301, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3303 = and(_T_3298, _T_3302) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3304 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3305 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3306 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3307 = or(_T_3305, _T_3306) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3309 = and(_T_3304, _T_3308) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3310 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3311 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3312 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3313 = or(_T_3311, _T_3312) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3314 = eq(_T_3313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3315 = and(_T_3310, _T_3314) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3316 = cat(_T_3315, _T_3309) @[Cat.scala 29:58] + node _T_3317 = cat(_T_3316, _T_3303) @[Cat.scala 29:58] + node _T_3318 = cat(_T_3317, _T_3297) @[Cat.scala 29:58] + node _T_3319 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3320 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3321 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3322 = or(_T_3320, _T_3321) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3324 = and(_T_3319, _T_3323) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3325 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3326 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3327 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3328 = or(_T_3326, _T_3327) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3329 = eq(_T_3328, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3330 = and(_T_3325, _T_3329) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3331 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3332 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3333 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3334 = or(_T_3332, _T_3333) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3335 = eq(_T_3334, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3336 = and(_T_3331, _T_3335) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3337 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3338 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3339 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3340 = or(_T_3338, _T_3339) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3341 = eq(_T_3340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3342 = and(_T_3337, _T_3341) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3343 = cat(_T_3342, _T_3336) @[Cat.scala 29:58] + node _T_3344 = cat(_T_3343, _T_3330) @[Cat.scala 29:58] + node _T_3345 = cat(_T_3344, _T_3324) @[Cat.scala 29:58] + node _T_3346 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3347 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3348 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3349 = or(_T_3347, _T_3348) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3350 = eq(_T_3349, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3351 = and(_T_3346, _T_3350) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3352 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3353 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3354 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3355 = or(_T_3353, _T_3354) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3356 = eq(_T_3355, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3357 = and(_T_3352, _T_3356) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3358 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3359 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3360 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3361 = or(_T_3359, _T_3360) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3362 = eq(_T_3361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3363 = and(_T_3358, _T_3362) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3364 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 481:80] + node _T_3365 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 481:101] + node _T_3366 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:127] + node _T_3367 = or(_T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 481:112] + node _T_3368 = eq(_T_3367, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3369 = and(_T_3364, _T_3368) @[el2_lsu_bus_buffer.scala 481:84] + node _T_3370 = cat(_T_3369, _T_3363) @[Cat.scala 29:58] + node _T_3371 = cat(_T_3370, _T_3357) @[Cat.scala 29:58] + node _T_3372 = cat(_T_3371, _T_3351) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3291 @[el2_lsu_bus_buffer.scala 481:16] + buf_rspage[1] <= _T_3318 @[el2_lsu_bus_buffer.scala 481:16] + buf_rspage[2] <= _T_3345 @[el2_lsu_bus_buffer.scala 481:16] + buf_rspage[3] <= _T_3372 @[el2_lsu_bus_buffer.scala 481:16] + node _T_3373 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3374 = and(ibuf_drain_vld, _T_3373) @[el2_lsu_bus_buffer.scala 486:65] + node _T_3375 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3376 = and(ibuf_drain_vld, _T_3375) @[el2_lsu_bus_buffer.scala 486:65] + node _T_3377 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3378 = and(ibuf_drain_vld, _T_3377) @[el2_lsu_bus_buffer.scala 486:65] + node _T_3379 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3380 = and(ibuf_drain_vld, _T_3379) @[el2_lsu_bus_buffer.scala 486:65] + node _T_3381 = cat(_T_3380, _T_3378) @[Cat.scala 29:58] + node _T_3382 = cat(_T_3381, _T_3376) @[Cat.scala 29:58] + node _T_3383 = cat(_T_3382, _T_3374) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3383 @[el2_lsu_bus_buffer.scala 486:23] + node _T_3384 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:66] + node _T_3385 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 487:86] + node _T_3386 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:20] + node _T_3387 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3388 = and(_T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 488:37] + node _T_3389 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3390 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:96] + node _T_3391 = mux(_T_3388, _T_3389, _T_3390) @[el2_lsu_bus_buffer.scala 488:10] + node _T_3392 = mux(_T_3384, _T_3385, _T_3391) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3393 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:66] + node _T_3394 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 487:86] + node _T_3395 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:20] + node _T_3396 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3397 = and(_T_3395, _T_3396) @[el2_lsu_bus_buffer.scala 488:37] + node _T_3398 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3399 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:96] + node _T_3400 = mux(_T_3397, _T_3398, _T_3399) @[el2_lsu_bus_buffer.scala 488:10] + node _T_3401 = mux(_T_3393, _T_3394, _T_3400) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3402 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:66] + node _T_3403 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 487:86] + node _T_3404 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:20] + node _T_3405 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3406 = and(_T_3404, _T_3405) @[el2_lsu_bus_buffer.scala 488:37] + node _T_3407 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3408 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:96] + node _T_3409 = mux(_T_3406, _T_3407, _T_3408) @[el2_lsu_bus_buffer.scala 488:10] + node _T_3410 = mux(_T_3402, _T_3403, _T_3409) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3411 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:66] + node _T_3412 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 487:86] + node _T_3413 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:20] + node _T_3414 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3415 = and(_T_3413, _T_3414) @[el2_lsu_bus_buffer.scala 488:37] + node _T_3416 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3417 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 488:96] + node _T_3418 = mux(_T_3415, _T_3416, _T_3417) @[el2_lsu_bus_buffer.scala 488:10] + node _T_3419 = mux(_T_3411, _T_3412, _T_3418) @[el2_lsu_bus_buffer.scala 487:48] + buf_byteen_in[0] <= _T_3392 @[el2_lsu_bus_buffer.scala 487:19] + buf_byteen_in[1] <= _T_3401 @[el2_lsu_bus_buffer.scala 487:19] + buf_byteen_in[2] <= _T_3410 @[el2_lsu_bus_buffer.scala 487:19] + buf_byteen_in[3] <= _T_3419 @[el2_lsu_bus_buffer.scala 487:19] + node _T_3420 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:64] + node _T_3421 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:93] + node _T_3422 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:121] + node _T_3423 = and(_T_3421, _T_3422) @[el2_lsu_bus_buffer.scala 489:110] + node _T_3424 = mux(_T_3423, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 489:83] + node _T_3425 = mux(_T_3420, ibuf_addr, _T_3424) @[el2_lsu_bus_buffer.scala 489:46] + node _T_3426 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:64] + node _T_3427 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:93] + node _T_3428 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 489:121] + node _T_3429 = and(_T_3427, _T_3428) @[el2_lsu_bus_buffer.scala 489:110] + node _T_3430 = mux(_T_3429, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 489:83] + node _T_3431 = mux(_T_3426, ibuf_addr, _T_3430) @[el2_lsu_bus_buffer.scala 489:46] + node _T_3432 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:64] + node _T_3433 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:93] + node _T_3434 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 489:121] + node _T_3435 = and(_T_3433, _T_3434) @[el2_lsu_bus_buffer.scala 489:110] + node _T_3436 = mux(_T_3435, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 489:83] + node _T_3437 = mux(_T_3432, ibuf_addr, _T_3436) @[el2_lsu_bus_buffer.scala 489:46] + node _T_3438 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:64] + node _T_3439 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:93] + node _T_3440 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 489:121] + node _T_3441 = and(_T_3439, _T_3440) @[el2_lsu_bus_buffer.scala 489:110] + node _T_3442 = mux(_T_3441, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 489:83] + node _T_3443 = mux(_T_3438, ibuf_addr, _T_3442) @[el2_lsu_bus_buffer.scala 489:46] + buf_addr_in[0] <= _T_3425 @[el2_lsu_bus_buffer.scala 489:17] + buf_addr_in[1] <= _T_3431 @[el2_lsu_bus_buffer.scala 489:17] + buf_addr_in[2] <= _T_3437 @[el2_lsu_bus_buffer.scala 489:17] + buf_addr_in[3] <= _T_3443 @[el2_lsu_bus_buffer.scala 489:17] + node _T_3444 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:65] + node _T_3445 = mux(_T_3444, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3446 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:65] + node _T_3447 = mux(_T_3446, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3448 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:65] + node _T_3449 = mux(_T_3448, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3450 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:65] + node _T_3451 = mux(_T_3450, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:47] + node _T_3452 = cat(_T_3451, _T_3449) @[Cat.scala 29:58] + node _T_3453 = cat(_T_3452, _T_3447) @[Cat.scala 29:58] + node _T_3454 = cat(_T_3453, _T_3445) @[Cat.scala 29:58] + buf_dual_in <= _T_3454 @[el2_lsu_bus_buffer.scala 490:17] + node _T_3455 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 491:67] + node _T_3456 = mux(_T_3455, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3457 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 491:67] + node _T_3458 = mux(_T_3457, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3459 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 491:67] + node _T_3460 = mux(_T_3459, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3461 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 491:67] + node _T_3462 = mux(_T_3461, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3463 = cat(_T_3462, _T_3460) @[Cat.scala 29:58] + node _T_3464 = cat(_T_3463, _T_3458) @[Cat.scala 29:58] + node _T_3465 = cat(_T_3464, _T_3456) @[Cat.scala 29:58] + buf_samedw_in <= _T_3465 @[el2_lsu_bus_buffer.scala 491:19] + node _T_3466 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 492:68] + node _T_3467 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 492:86] + node _T_3468 = mux(_T_3466, _T_3467, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 492:50] + node _T_3469 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 492:68] + node _T_3470 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 492:86] + node _T_3471 = mux(_T_3469, _T_3470, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 492:50] + node _T_3472 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 492:68] + node _T_3473 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 492:86] + node _T_3474 = mux(_T_3472, _T_3473, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 492:50] + node _T_3475 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 492:68] + node _T_3476 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 492:86] + node _T_3477 = mux(_T_3475, _T_3476, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 492:50] + node _T_3478 = cat(_T_3477, _T_3474) @[Cat.scala 29:58] + node _T_3479 = cat(_T_3478, _T_3471) @[Cat.scala 29:58] + node _T_3480 = cat(_T_3479, _T_3468) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3480 @[el2_lsu_bus_buffer.scala 492:20] + node _T_3481 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3482 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:92] + node _T_3483 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:120] + node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 493:109] + node _T_3485 = mux(_T_3481, ibuf_dual, _T_3484) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3486 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3487 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:92] + node _T_3488 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 493:120] + node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 493:109] + node _T_3490 = mux(_T_3486, ibuf_dual, _T_3489) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3491 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3492 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:92] + node _T_3493 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 493:120] + node _T_3494 = and(_T_3492, _T_3493) @[el2_lsu_bus_buffer.scala 493:109] + node _T_3495 = mux(_T_3491, ibuf_dual, _T_3494) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3496 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3497 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:92] + node _T_3498 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 493:120] + node _T_3499 = and(_T_3497, _T_3498) @[el2_lsu_bus_buffer.scala 493:109] + node _T_3500 = mux(_T_3496, ibuf_dual, _T_3499) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3501 = cat(_T_3500, _T_3495) @[Cat.scala 29:58] + node _T_3502 = cat(_T_3501, _T_3490) @[Cat.scala 29:58] + node _T_3503 = cat(_T_3502, _T_3485) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3503 @[el2_lsu_bus_buffer.scala 493:19] + node _T_3504 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 494:67] + node _T_3505 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:99] + node _T_3506 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:127] + node _T_3507 = and(_T_3505, _T_3506) @[el2_lsu_bus_buffer.scala 494:116] + node _T_3508 = mux(_T_3507, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:89] + node _T_3509 = mux(_T_3504, ibuf_dualtag, _T_3508) @[el2_lsu_bus_buffer.scala 494:49] + node _T_3510 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 494:67] + node _T_3511 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:99] + node _T_3512 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:127] + node _T_3513 = and(_T_3511, _T_3512) @[el2_lsu_bus_buffer.scala 494:116] + node _T_3514 = mux(_T_3513, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:89] + node _T_3515 = mux(_T_3510, ibuf_dualtag, _T_3514) @[el2_lsu_bus_buffer.scala 494:49] + node _T_3516 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 494:67] + node _T_3517 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:99] + node _T_3518 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 494:127] + node _T_3519 = and(_T_3517, _T_3518) @[el2_lsu_bus_buffer.scala 494:116] + node _T_3520 = mux(_T_3519, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:89] + node _T_3521 = mux(_T_3516, ibuf_dualtag, _T_3520) @[el2_lsu_bus_buffer.scala 494:49] + node _T_3522 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 494:67] + node _T_3523 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:99] + node _T_3524 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 494:127] + node _T_3525 = and(_T_3523, _T_3524) @[el2_lsu_bus_buffer.scala 494:116] + node _T_3526 = mux(_T_3525, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:89] + node _T_3527 = mux(_T_3522, ibuf_dualtag, _T_3526) @[el2_lsu_bus_buffer.scala 494:49] + buf_dualtag_in[0] <= _T_3509 @[el2_lsu_bus_buffer.scala 494:20] + buf_dualtag_in[1] <= _T_3515 @[el2_lsu_bus_buffer.scala 494:20] + buf_dualtag_in[2] <= _T_3521 @[el2_lsu_bus_buffer.scala 494:20] + buf_dualtag_in[3] <= _T_3527 @[el2_lsu_bus_buffer.scala 494:20] + node _T_3528 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 495:71] + node _T_3529 = mux(_T_3528, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3530 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 495:71] + node _T_3531 = mux(_T_3530, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3532 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 495:71] + node _T_3533 = mux(_T_3532, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3534 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 495:71] + node _T_3535 = mux(_T_3534, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3536 = cat(_T_3535, _T_3533) @[Cat.scala 29:58] + node _T_3537 = cat(_T_3536, _T_3531) @[Cat.scala 29:58] + node _T_3538 = cat(_T_3537, _T_3529) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3538 @[el2_lsu_bus_buffer.scala 495:23] + node _T_3539 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 496:67] + node _T_3540 = mux(_T_3539, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3541 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 496:67] + node _T_3542 = mux(_T_3541, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3543 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 496:67] + node _T_3544 = mux(_T_3543, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3545 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 496:67] + node _T_3546 = mux(_T_3545, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 496:49] + node _T_3547 = cat(_T_3546, _T_3544) @[Cat.scala 29:58] + node _T_3548 = cat(_T_3547, _T_3542) @[Cat.scala 29:58] + node _T_3549 = cat(_T_3548, _T_3540) @[Cat.scala 29:58] + buf_unsign_in <= _T_3549 @[el2_lsu_bus_buffer.scala 496:19] + node _T_3550 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 497:62] + node _T_3551 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3552 = mux(_T_3550, ibuf_sz, _T_3551) @[el2_lsu_bus_buffer.scala 497:44] + node _T_3553 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 497:62] + node _T_3554 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3555 = mux(_T_3553, ibuf_sz, _T_3554) @[el2_lsu_bus_buffer.scala 497:44] + node _T_3556 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 497:62] + node _T_3557 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3558 = mux(_T_3556, ibuf_sz, _T_3557) @[el2_lsu_bus_buffer.scala 497:44] + node _T_3559 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 497:62] + node _T_3560 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3561 = mux(_T_3559, ibuf_sz, _T_3560) @[el2_lsu_bus_buffer.scala 497:44] + buf_sz_in[0] <= _T_3552 @[el2_lsu_bus_buffer.scala 497:15] + buf_sz_in[1] <= _T_3555 @[el2_lsu_bus_buffer.scala 497:15] + buf_sz_in[2] <= _T_3558 @[el2_lsu_bus_buffer.scala 497:15] + buf_sz_in[3] <= _T_3561 @[el2_lsu_bus_buffer.scala 497:15] + node _T_3562 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 498:66] + node _T_3563 = mux(_T_3562, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3564 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 498:66] + node _T_3565 = mux(_T_3564, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3566 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 498:66] + node _T_3567 = mux(_T_3566, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3568 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 498:66] + node _T_3569 = mux(_T_3568, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 498:48] + node _T_3570 = cat(_T_3569, _T_3567) @[Cat.scala 29:58] + node _T_3571 = cat(_T_3570, _T_3565) @[Cat.scala 29:58] + node _T_3572 = cat(_T_3571, _T_3563) @[Cat.scala 29:58] + buf_write_in <= _T_3572 @[el2_lsu_bus_buffer.scala 498:18] + node _T_3573 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3573 : @[Conditional.scala 40:58] + node _T_3574 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 503:56] + node _T_3575 = mux(_T_3574, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[0] <= _T_3575 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3576 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 504:45] + node _T_3577 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:77] + node _T_3578 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:97] + node _T_3579 = and(_T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 504:95] + node _T_3580 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 504:117] + node _T_3581 = and(_T_3579, _T_3580) @[el2_lsu_bus_buffer.scala 504:112] + node _T_3582 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:144] + node _T_3583 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 504:166] + node _T_3584 = and(_T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 504:161] + node _T_3585 = or(_T_3581, _T_3584) @[el2_lsu_bus_buffer.scala 504:132] + node _T_3586 = and(_T_3576, _T_3585) @[el2_lsu_bus_buffer.scala 504:63] + node _T_3587 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 504:206] + node _T_3588 = and(ibuf_drain_vld, _T_3587) @[el2_lsu_bus_buffer.scala 504:201] + node _T_3589 = or(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 504:183] + buf_state_en[0] <= _T_3589 @[el2_lsu_bus_buffer.scala 504:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 505:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 506:24] + node _T_3590 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 507:52] + node _T_3591 = and(ibuf_drain_vld, _T_3590) @[el2_lsu_bus_buffer.scala 507:47] + node _T_3592 = bits(_T_3591, 0, 0) @[el2_lsu_bus_buffer.scala 507:73] + node _T_3593 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 507:90] + node _T_3594 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 507:114] + node _T_3595 = mux(_T_3592, _T_3593, _T_3594) @[el2_lsu_bus_buffer.scala 507:30] + buf_data_in[0] <= _T_3595 @[el2_lsu_bus_buffer.scala 507:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3566 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3566 : @[Conditional.scala 39:67] - node _T_3567 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 509:60] - node _T_3568 = mux(_T_3567, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:31] - buf_nxtstate[0] <= _T_3568 @[el2_lsu_bus_buffer.scala 509:25] - node _T_3569 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:46] - buf_state_en[0] <= _T_3569 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3596 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3596 : @[Conditional.scala 39:67] + node _T_3597 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3598 = mux(_T_3597, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[0] <= _T_3598 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3599 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:46] + buf_state_en[0] <= _T_3599 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3570 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3570 : @[Conditional.scala 39:67] - node _T_3571 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] - node _T_3572 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:89] - node _T_3573 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 513:124] - node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 513:104] - node _T_3575 = mux(_T_3574, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 513:75] - node _T_3576 = mux(_T_3571, UInt<3>("h00"), _T_3575) @[el2_lsu_bus_buffer.scala 513:31] - buf_nxtstate[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 513:25] - node _T_3577 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 514:48] - node _T_3578 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 514:104] - node _T_3579 = and(obuf_merge, _T_3578) @[el2_lsu_bus_buffer.scala 514:91] - node _T_3580 = or(_T_3577, _T_3579) @[el2_lsu_bus_buffer.scala 514:77] - node _T_3581 = and(_T_3580, obuf_valid) @[el2_lsu_bus_buffer.scala 514:135] - node _T_3582 = and(_T_3581, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 514:148] - buf_cmd_state_bus_en[0] <= _T_3582 @[el2_lsu_bus_buffer.scala 514:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 515:29] - node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] - node _T_3584 = or(_T_3583, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] - buf_state_en[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 516:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] - node _T_3585 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:56] - node _T_3586 = eq(_T_3585, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:46] - node _T_3587 = and(buf_state_en[0], _T_3586) @[el2_lsu_bus_buffer.scala 518:44] - node _T_3588 = and(_T_3587, obuf_nosend) @[el2_lsu_bus_buffer.scala 518:60] - node _T_3589 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:76] - node _T_3590 = and(_T_3588, _T_3589) @[el2_lsu_bus_buffer.scala 518:74] - buf_ldfwd_en[0] <= _T_3590 @[el2_lsu_bus_buffer.scala 518:25] - node _T_3591 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 519:46] - buf_ldfwdtag_in[0] <= _T_3591 @[el2_lsu_bus_buffer.scala 519:28] - node _T_3592 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 520:47] - node _T_3593 = and(_T_3592, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:67] - node _T_3594 = and(_T_3593, bus_rsp_read) @[el2_lsu_bus_buffer.scala 520:81] - buf_data_en[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 520:24] - node _T_3595 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:48] - node _T_3596 = and(_T_3595, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:68] - node _T_3597 = and(_T_3596, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 521:82] - buf_error_en[0] <= _T_3597 @[el2_lsu_bus_buffer.scala 521:25] - node _T_3598 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:61] - node _T_3599 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 522:85] - node _T_3600 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 522:103] - node _T_3601 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:126] - node _T_3602 = mux(_T_3599, _T_3600, _T_3601) @[el2_lsu_bus_buffer.scala 522:73] - node _T_3603 = mux(buf_error_en[0], _T_3598, _T_3602) @[el2_lsu_bus_buffer.scala 522:30] - buf_data_in[0] <= _T_3603 @[el2_lsu_bus_buffer.scala 522:24] + node _T_3600 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3600 : @[Conditional.scala 39:67] + node _T_3601 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] + node _T_3602 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:89] + node _T_3603 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 514:124] + node _T_3604 = and(_T_3602, _T_3603) @[el2_lsu_bus_buffer.scala 514:104] + node _T_3605 = mux(_T_3604, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:75] + node _T_3606 = mux(_T_3601, UInt<3>("h00"), _T_3605) @[el2_lsu_bus_buffer.scala 514:31] + buf_nxtstate[0] <= _T_3606 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3607 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 515:48] + node _T_3608 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 515:104] + node _T_3609 = and(obuf_merge, _T_3608) @[el2_lsu_bus_buffer.scala 515:91] + node _T_3610 = or(_T_3607, _T_3609) @[el2_lsu_bus_buffer.scala 515:77] + node _T_3611 = and(_T_3610, obuf_valid) @[el2_lsu_bus_buffer.scala 515:135] + node _T_3612 = and(_T_3611, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 515:148] + buf_cmd_state_bus_en[0] <= _T_3612 @[el2_lsu_bus_buffer.scala 515:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 516:29] + node _T_3613 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 517:49] + node _T_3614 = or(_T_3613, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 517:70] + buf_state_en[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:25] + node _T_3615 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:56] + node _T_3616 = eq(_T_3615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:46] + node _T_3617 = and(buf_state_en[0], _T_3616) @[el2_lsu_bus_buffer.scala 519:44] + node _T_3618 = and(_T_3617, obuf_nosend) @[el2_lsu_bus_buffer.scala 519:60] + node _T_3619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:76] + node _T_3620 = and(_T_3618, _T_3619) @[el2_lsu_bus_buffer.scala 519:74] + buf_ldfwd_en[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3621 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 520:46] + buf_ldfwdtag_in[0] <= _T_3621 @[el2_lsu_bus_buffer.scala 520:28] + node _T_3622 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:47] + node _T_3623 = and(_T_3622, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:67] + node _T_3624 = and(_T_3623, bus_rsp_read) @[el2_lsu_bus_buffer.scala 521:81] + buf_data_en[0] <= _T_3624 @[el2_lsu_bus_buffer.scala 521:24] + node _T_3625 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:48] + node _T_3626 = and(_T_3625, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:68] + node _T_3627 = and(_T_3626, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 522:82] + buf_error_en[0] <= _T_3627 @[el2_lsu_bus_buffer.scala 522:25] + node _T_3628 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:61] + node _T_3629 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 523:85] + node _T_3630 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 523:103] + node _T_3631 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:126] + node _T_3632 = mux(_T_3629, _T_3630, _T_3631) @[el2_lsu_bus_buffer.scala 523:73] + node _T_3633 = mux(buf_error_en[0], _T_3628, _T_3632) @[el2_lsu_bus_buffer.scala 523:30] + buf_data_in[0] <= _T_3633 @[el2_lsu_bus_buffer.scala 523:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3604 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3604 : @[Conditional.scala 39:67] - node _T_3605 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 525:67] - node _T_3606 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 525:94] - node _T_3607 = eq(_T_3606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 525:73] - node _T_3608 = and(_T_3605, _T_3607) @[el2_lsu_bus_buffer.scala 525:71] - node _T_3609 = or(io.dec_tlu_force_halt, _T_3608) @[el2_lsu_bus_buffer.scala 525:55] - node _T_3610 = bits(_T_3609, 0, 0) @[el2_lsu_bus_buffer.scala 525:125] - node _T_3611 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:30] - node _T_3612 = and(buf_dual[0], _T_3611) @[el2_lsu_bus_buffer.scala 526:28] - node _T_3613 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 526:57] - node _T_3614 = eq(_T_3613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:47] - node _T_3615 = and(_T_3612, _T_3614) @[el2_lsu_bus_buffer.scala 526:45] - node _T_3616 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 526:90] - node _T_3617 = and(_T_3615, _T_3616) @[el2_lsu_bus_buffer.scala 526:61] - node _T_3618 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 527:27] - node _T_3619 = or(_T_3618, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:31] - node _T_3620 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:70] - node _T_3621 = and(buf_dual[0], _T_3620) @[el2_lsu_bus_buffer.scala 527:68] - node _T_3622 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 527:97] - node _T_3623 = eq(_T_3622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:87] - node _T_3624 = and(_T_3621, _T_3623) @[el2_lsu_bus_buffer.scala 527:85] - node _T_3625 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3626 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3627 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3628 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3629 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3630 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3631 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3632 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3633 = mux(_T_3625, _T_3626, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3634 = mux(_T_3627, _T_3628, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3635 = mux(_T_3629, _T_3630, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3636 = mux(_T_3631, _T_3632, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3637 = or(_T_3633, _T_3634) @[Mux.scala 27:72] - node _T_3638 = or(_T_3637, _T_3635) @[Mux.scala 27:72] - node _T_3639 = or(_T_3638, _T_3636) @[Mux.scala 27:72] - wire _T_3640 : UInt<1> @[Mux.scala 27:72] - _T_3640 <= _T_3639 @[Mux.scala 27:72] - node _T_3641 = and(_T_3624, _T_3640) @[el2_lsu_bus_buffer.scala 527:101] - node _T_3642 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:167] - node _T_3643 = and(_T_3641, _T_3642) @[el2_lsu_bus_buffer.scala 527:138] - node _T_3644 = and(_T_3643, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:187] - node _T_3645 = or(_T_3619, _T_3644) @[el2_lsu_bus_buffer.scala 527:53] - node _T_3646 = mux(_T_3645, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 527:16] - node _T_3647 = mux(_T_3617, UInt<3>("h04"), _T_3646) @[el2_lsu_bus_buffer.scala 526:14] - node _T_3648 = mux(_T_3610, UInt<3>("h00"), _T_3647) @[el2_lsu_bus_buffer.scala 525:31] - buf_nxtstate[0] <= _T_3648 @[el2_lsu_bus_buffer.scala 525:25] - node _T_3649 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 528:73] - node _T_3650 = and(bus_rsp_write, _T_3649) @[el2_lsu_bus_buffer.scala 528:52] - node _T_3651 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 529:46] - node _T_3652 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 530:23] - node _T_3653 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 530:47] - node _T_3654 = and(_T_3652, _T_3653) @[el2_lsu_bus_buffer.scala 530:27] - node _T_3655 = or(_T_3651, _T_3654) @[el2_lsu_bus_buffer.scala 529:77] - node _T_3656 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 531:26] - node _T_3657 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 531:54] - node _T_3658 = not(_T_3657) @[el2_lsu_bus_buffer.scala 531:44] - node _T_3659 = and(_T_3656, _T_3658) @[el2_lsu_bus_buffer.scala 531:42] - node _T_3660 = and(_T_3659, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 531:58] - node _T_3661 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 531:94] - node _T_3662 = and(_T_3660, _T_3661) @[el2_lsu_bus_buffer.scala 531:74] - node _T_3663 = or(_T_3655, _T_3662) @[el2_lsu_bus_buffer.scala 530:71] - node _T_3664 = and(bus_rsp_read, _T_3663) @[el2_lsu_bus_buffer.scala 529:25] - node _T_3665 = or(_T_3650, _T_3664) @[el2_lsu_bus_buffer.scala 528:105] - buf_resp_state_bus_en[0] <= _T_3665 @[el2_lsu_bus_buffer.scala 528:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 532:29] - node _T_3666 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 533:49] - node _T_3667 = or(_T_3666, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 533:70] - buf_state_en[0] <= _T_3667 @[el2_lsu_bus_buffer.scala 533:25] - node _T_3668 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 534:47] - node _T_3669 = and(_T_3668, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:62] - buf_data_en[0] <= _T_3669 @[el2_lsu_bus_buffer.scala 534:24] - node _T_3670 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:48] - node _T_3671 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 535:111] - node _T_3672 = and(bus_rsp_read_error, _T_3671) @[el2_lsu_bus_buffer.scala 535:91] - node _T_3673 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 536:42] - node _T_3674 = and(bus_rsp_read_error, _T_3673) @[el2_lsu_bus_buffer.scala 536:31] - node _T_3675 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 536:66] - node _T_3676 = and(_T_3674, _T_3675) @[el2_lsu_bus_buffer.scala 536:46] - node _T_3677 = or(_T_3672, _T_3676) @[el2_lsu_bus_buffer.scala 535:143] - node _T_3678 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 537:32] - node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:74] - node _T_3680 = and(_T_3678, _T_3679) @[el2_lsu_bus_buffer.scala 537:53] - node _T_3681 = or(_T_3677, _T_3680) @[el2_lsu_bus_buffer.scala 536:88] - node _T_3682 = and(_T_3670, _T_3681) @[el2_lsu_bus_buffer.scala 535:68] - buf_error_en[0] <= _T_3682 @[el2_lsu_bus_buffer.scala 535:25] - node _T_3683 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:50] - node _T_3684 = and(buf_state_en[0], _T_3683) @[el2_lsu_bus_buffer.scala 538:48] - node _T_3685 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 538:84] - node _T_3686 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 538:102] - node _T_3687 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:125] - node _T_3688 = mux(_T_3685, _T_3686, _T_3687) @[el2_lsu_bus_buffer.scala 538:72] - node _T_3689 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:148] - node _T_3690 = mux(_T_3684, _T_3688, _T_3689) @[el2_lsu_bus_buffer.scala 538:30] - buf_data_in[0] <= _T_3690 @[el2_lsu_bus_buffer.scala 538:24] + node _T_3634 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3634 : @[Conditional.scala 39:67] + node _T_3635 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 526:67] + node _T_3636 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 526:94] + node _T_3637 = eq(_T_3636, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:73] + node _T_3638 = and(_T_3635, _T_3637) @[el2_lsu_bus_buffer.scala 526:71] + node _T_3639 = or(io.dec_tlu_force_halt, _T_3638) @[el2_lsu_bus_buffer.scala 526:55] + node _T_3640 = bits(_T_3639, 0, 0) @[el2_lsu_bus_buffer.scala 526:125] + node _T_3641 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:30] + node _T_3642 = and(buf_dual[0], _T_3641) @[el2_lsu_bus_buffer.scala 527:28] + node _T_3643 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 527:57] + node _T_3644 = eq(_T_3643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:47] + node _T_3645 = and(_T_3642, _T_3644) @[el2_lsu_bus_buffer.scala 527:45] + node _T_3646 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:90] + node _T_3647 = and(_T_3645, _T_3646) @[el2_lsu_bus_buffer.scala 527:61] + node _T_3648 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 528:27] + node _T_3649 = or(_T_3648, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:31] + node _T_3650 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:70] + node _T_3651 = and(buf_dual[0], _T_3650) @[el2_lsu_bus_buffer.scala 528:68] + node _T_3652 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 528:97] + node _T_3653 = eq(_T_3652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:87] + node _T_3654 = and(_T_3651, _T_3653) @[el2_lsu_bus_buffer.scala 528:85] + node _T_3655 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3656 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3657 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3658 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3659 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3660 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3661 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3662 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3663 = mux(_T_3655, _T_3656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3664 = mux(_T_3657, _T_3658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3665 = mux(_T_3659, _T_3660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3666 = mux(_T_3661, _T_3662, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3667 = or(_T_3663, _T_3664) @[Mux.scala 27:72] + node _T_3668 = or(_T_3667, _T_3665) @[Mux.scala 27:72] + node _T_3669 = or(_T_3668, _T_3666) @[Mux.scala 27:72] + wire _T_3670 : UInt<1> @[Mux.scala 27:72] + _T_3670 <= _T_3669 @[Mux.scala 27:72] + node _T_3671 = and(_T_3654, _T_3670) @[el2_lsu_bus_buffer.scala 528:101] + node _T_3672 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:167] + node _T_3673 = and(_T_3671, _T_3672) @[el2_lsu_bus_buffer.scala 528:138] + node _T_3674 = and(_T_3673, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:187] + node _T_3675 = or(_T_3649, _T_3674) @[el2_lsu_bus_buffer.scala 528:53] + node _T_3676 = mux(_T_3675, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 528:16] + node _T_3677 = mux(_T_3647, UInt<3>("h04"), _T_3676) @[el2_lsu_bus_buffer.scala 527:14] + node _T_3678 = mux(_T_3640, UInt<3>("h00"), _T_3677) @[el2_lsu_bus_buffer.scala 526:31] + buf_nxtstate[0] <= _T_3678 @[el2_lsu_bus_buffer.scala 526:25] + node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 529:73] + node _T_3680 = and(bus_rsp_write, _T_3679) @[el2_lsu_bus_buffer.scala 529:52] + node _T_3681 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 530:46] + node _T_3682 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 531:23] + node _T_3683 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 531:47] + node _T_3684 = and(_T_3682, _T_3683) @[el2_lsu_bus_buffer.scala 531:27] + node _T_3685 = or(_T_3681, _T_3684) @[el2_lsu_bus_buffer.scala 530:77] + node _T_3686 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 532:26] + node _T_3687 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 532:54] + node _T_3688 = not(_T_3687) @[el2_lsu_bus_buffer.scala 532:44] + node _T_3689 = and(_T_3686, _T_3688) @[el2_lsu_bus_buffer.scala 532:42] + node _T_3690 = and(_T_3689, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 532:58] + node _T_3691 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 532:94] + node _T_3692 = and(_T_3690, _T_3691) @[el2_lsu_bus_buffer.scala 532:74] + node _T_3693 = or(_T_3685, _T_3692) @[el2_lsu_bus_buffer.scala 531:71] + node _T_3694 = and(bus_rsp_read, _T_3693) @[el2_lsu_bus_buffer.scala 530:25] + node _T_3695 = or(_T_3680, _T_3694) @[el2_lsu_bus_buffer.scala 529:105] + buf_resp_state_bus_en[0] <= _T_3695 @[el2_lsu_bus_buffer.scala 529:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 533:29] + node _T_3696 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] + node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] + buf_state_en[0] <= _T_3697 @[el2_lsu_bus_buffer.scala 534:25] + node _T_3698 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 535:47] + node _T_3699 = and(_T_3698, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:62] + buf_data_en[0] <= _T_3699 @[el2_lsu_bus_buffer.scala 535:24] + node _T_3700 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:48] + node _T_3701 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:111] + node _T_3702 = and(bus_rsp_read_error, _T_3701) @[el2_lsu_bus_buffer.scala 536:91] + node _T_3703 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 537:42] + node _T_3704 = and(bus_rsp_read_error, _T_3703) @[el2_lsu_bus_buffer.scala 537:31] + node _T_3705 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 537:66] + node _T_3706 = and(_T_3704, _T_3705) @[el2_lsu_bus_buffer.scala 537:46] + node _T_3707 = or(_T_3702, _T_3706) @[el2_lsu_bus_buffer.scala 536:143] + node _T_3708 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 538:32] + node _T_3709 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 538:74] + node _T_3710 = and(_T_3708, _T_3709) @[el2_lsu_bus_buffer.scala 538:53] + node _T_3711 = or(_T_3707, _T_3710) @[el2_lsu_bus_buffer.scala 537:88] + node _T_3712 = and(_T_3700, _T_3711) @[el2_lsu_bus_buffer.scala 536:68] + buf_error_en[0] <= _T_3712 @[el2_lsu_bus_buffer.scala 536:25] + node _T_3713 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:50] + node _T_3714 = and(buf_state_en[0], _T_3713) @[el2_lsu_bus_buffer.scala 539:48] + node _T_3715 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 539:84] + node _T_3716 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 539:102] + node _T_3717 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:125] + node _T_3718 = mux(_T_3715, _T_3716, _T_3717) @[el2_lsu_bus_buffer.scala 539:72] + node _T_3719 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:148] + node _T_3720 = mux(_T_3714, _T_3718, _T_3719) @[el2_lsu_bus_buffer.scala 539:30] + buf_data_in[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 539:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3691 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3691 : @[Conditional.scala 39:67] - node _T_3692 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] - node _T_3693 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 541:86] - node _T_3694 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 541:101] - node _T_3695 = bits(_T_3694, 0, 0) @[el2_lsu_bus_buffer.scala 541:101] - node _T_3696 = or(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 541:90] - node _T_3697 = or(_T_3696, any_done_wait_state) @[el2_lsu_bus_buffer.scala 541:118] - node _T_3698 = mux(_T_3697, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:75] - node _T_3699 = mux(_T_3692, UInt<3>("h00"), _T_3698) @[el2_lsu_bus_buffer.scala 541:31] - buf_nxtstate[0] <= _T_3699 @[el2_lsu_bus_buffer.scala 541:25] - node _T_3700 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 542:66] - node _T_3701 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 543:21] - node _T_3702 = bits(_T_3701, 0, 0) @[el2_lsu_bus_buffer.scala 543:21] - node _T_3703 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 543:58] - node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 543:38] - node _T_3705 = or(_T_3700, _T_3704) @[el2_lsu_bus_buffer.scala 542:95] - node _T_3706 = and(bus_rsp_read, _T_3705) @[el2_lsu_bus_buffer.scala 542:45] - buf_state_bus_en[0] <= _T_3706 @[el2_lsu_bus_buffer.scala 542:29] - node _T_3707 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 544:49] - node _T_3708 = or(_T_3707, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 544:70] - buf_state_en[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 544:25] + node _T_3721 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3721 : @[Conditional.scala 39:67] + node _T_3722 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] + node _T_3723 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 542:86] + node _T_3724 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 542:101] + node _T_3725 = bits(_T_3724, 0, 0) @[el2_lsu_bus_buffer.scala 542:101] + node _T_3726 = or(_T_3723, _T_3725) @[el2_lsu_bus_buffer.scala 542:90] + node _T_3727 = or(_T_3726, any_done_wait_state) @[el2_lsu_bus_buffer.scala 542:118] + node _T_3728 = mux(_T_3727, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 542:75] + node _T_3729 = mux(_T_3722, UInt<3>("h00"), _T_3728) @[el2_lsu_bus_buffer.scala 542:31] + buf_nxtstate[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 542:25] + node _T_3730 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 543:66] + node _T_3731 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 544:21] + node _T_3732 = bits(_T_3731, 0, 0) @[el2_lsu_bus_buffer.scala 544:21] + node _T_3733 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 544:58] + node _T_3734 = and(_T_3732, _T_3733) @[el2_lsu_bus_buffer.scala 544:38] + node _T_3735 = or(_T_3730, _T_3734) @[el2_lsu_bus_buffer.scala 543:95] + node _T_3736 = and(bus_rsp_read, _T_3735) @[el2_lsu_bus_buffer.scala 543:45] + buf_state_bus_en[0] <= _T_3736 @[el2_lsu_bus_buffer.scala 543:29] + node _T_3737 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 545:49] + node _T_3738 = or(_T_3737, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 545:70] + buf_state_en[0] <= _T_3738 @[el2_lsu_bus_buffer.scala 545:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3709 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3709 : @[Conditional.scala 39:67] - node _T_3710 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] - node _T_3711 = mux(_T_3710, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 547:31] - buf_nxtstate[0] <= _T_3711 @[el2_lsu_bus_buffer.scala 547:25] - node _T_3712 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 548:37] - node _T_3713 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 548:98] - node _T_3714 = and(buf_dual[0], _T_3713) @[el2_lsu_bus_buffer.scala 548:80] - node _T_3715 = or(_T_3712, _T_3714) @[el2_lsu_bus_buffer.scala 548:65] - node _T_3716 = or(_T_3715, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 548:112] - buf_state_en[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 548:25] + node _T_3739 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3739 : @[Conditional.scala 39:67] + node _T_3740 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] + node _T_3741 = mux(_T_3740, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 548:31] + buf_nxtstate[0] <= _T_3741 @[el2_lsu_bus_buffer.scala 548:25] + node _T_3742 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 549:37] + node _T_3743 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 549:98] + node _T_3744 = and(buf_dual[0], _T_3743) @[el2_lsu_bus_buffer.scala 549:80] + node _T_3745 = or(_T_3742, _T_3744) @[el2_lsu_bus_buffer.scala 549:65] + node _T_3746 = or(_T_3745, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 549:112] + buf_state_en[0] <= _T_3746 @[el2_lsu_bus_buffer.scala 549:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3717 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3717 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 551:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 552:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 554:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 555:25] + node _T_3747 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3747 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 552:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 555:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 556:25] skip @[Conditional.scala 39:67] - node _T_3718 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 558:108] - reg _T_3719 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3718 : @[Reg.scala 28:19] - _T_3719 <= buf_nxtstate[0] @[Reg.scala 28:23] + node _T_3748 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 559:108] + reg _T_3749 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3748 : @[Reg.scala 28:19] + _T_3749 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3719 @[el2_lsu_bus_buffer.scala 558:18] - reg _T_3720 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 559:60] - _T_3720 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 559:60] - buf_ageQ[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 559:17] - reg _T_3721 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:63] - _T_3721 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 560:63] - buf_rspageQ[0] <= _T_3721 @[el2_lsu_bus_buffer.scala 560:20] - node _T_3722 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:109] - reg _T_3723 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3722 : @[Reg.scala 28:19] - _T_3723 <= buf_dualtag_in[0] @[Reg.scala 28:23] + buf_state[0] <= _T_3749 @[el2_lsu_bus_buffer.scala 559:18] + reg _T_3750 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:60] + _T_3750 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 560:60] + buf_ageQ[0] <= _T_3750 @[el2_lsu_bus_buffer.scala 560:17] + reg _T_3751 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:63] + _T_3751 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 561:63] + buf_rspageQ[0] <= _T_3751 @[el2_lsu_bus_buffer.scala 561:20] + node _T_3752 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:109] + reg _T_3753 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3752 : @[Reg.scala 28:19] + _T_3753 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3723 @[el2_lsu_bus_buffer.scala 561:20] - node _T_3724 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 562:74] - node _T_3725 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:107] - reg _T_3726 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3725 : @[Reg.scala 28:19] - _T_3726 <= _T_3724 @[Reg.scala 28:23] + buf_dualtag[0] <= _T_3753 @[el2_lsu_bus_buffer.scala 562:20] + node _T_3754 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:74] + node _T_3755 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:107] + reg _T_3756 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3755 : @[Reg.scala 28:19] + _T_3756 <= _T_3754 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 562:17] - node _T_3727 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:78] - node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:111] - reg _T_3729 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3728 : @[Reg.scala 28:19] - _T_3729 <= _T_3727 @[Reg.scala 28:23] + buf_dual[0] <= _T_3756 @[el2_lsu_bus_buffer.scala 563:17] + node _T_3757 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:78] + node _T_3758 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:111] + reg _T_3759 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3758 : @[Reg.scala 28:19] + _T_3759 <= _T_3757 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 563:19] - node _T_3730 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:80] - node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:113] - reg _T_3732 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3731 : @[Reg.scala 28:19] - _T_3732 <= _T_3730 @[Reg.scala 28:23] + buf_samedw[0] <= _T_3759 @[el2_lsu_bus_buffer.scala 564:19] + node _T_3760 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:80] + node _T_3761 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:113] + reg _T_3762 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3761 : @[Reg.scala 28:19] + _T_3762 <= _T_3760 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 564:20] - node _T_3733 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:78] - node _T_3734 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] - reg _T_3735 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3734 : @[Reg.scala 28:19] - _T_3735 <= _T_3733 @[Reg.scala 28:23] + buf_nomerge[0] <= _T_3762 @[el2_lsu_bus_buffer.scala 565:20] + node _T_3763 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 566:78] + node _T_3764 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] + reg _T_3765 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3764 : @[Reg.scala 28:19] + _T_3765 <= _T_3763 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3735 @[el2_lsu_bus_buffer.scala 565:19] - node _T_3736 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3736 : @[Conditional.scala 40:58] - node _T_3737 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 502:56] - node _T_3738 = mux(_T_3737, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[1] <= _T_3738 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3739 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 503:45] - node _T_3740 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:77] - node _T_3741 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 503:97] - node _T_3742 = and(_T_3740, _T_3741) @[el2_lsu_bus_buffer.scala 503:95] - node _T_3743 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 503:117] - node _T_3744 = and(_T_3742, _T_3743) @[el2_lsu_bus_buffer.scala 503:112] - node _T_3745 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:144] - node _T_3746 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 503:166] - node _T_3747 = and(_T_3745, _T_3746) @[el2_lsu_bus_buffer.scala 503:161] - node _T_3748 = or(_T_3744, _T_3747) @[el2_lsu_bus_buffer.scala 503:132] - node _T_3749 = and(_T_3739, _T_3748) @[el2_lsu_bus_buffer.scala 503:63] - node _T_3750 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 503:206] - node _T_3751 = and(ibuf_drain_vld, _T_3750) @[el2_lsu_bus_buffer.scala 503:201] - node _T_3752 = or(_T_3749, _T_3751) @[el2_lsu_bus_buffer.scala 503:183] - buf_state_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 503:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 504:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 505:24] - node _T_3753 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:52] - node _T_3754 = and(ibuf_drain_vld, _T_3753) @[el2_lsu_bus_buffer.scala 506:47] - node _T_3755 = bits(_T_3754, 0, 0) @[el2_lsu_bus_buffer.scala 506:73] - node _T_3756 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 506:90] - node _T_3757 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 506:114] - node _T_3758 = mux(_T_3755, _T_3756, _T_3757) @[el2_lsu_bus_buffer.scala 506:30] - buf_data_in[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 506:24] + buf_dualhi[0] <= _T_3765 @[el2_lsu_bus_buffer.scala 566:19] + node _T_3766 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3766 : @[Conditional.scala 40:58] + node _T_3767 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 503:56] + node _T_3768 = mux(_T_3767, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[1] <= _T_3768 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3769 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 504:45] + node _T_3770 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:77] + node _T_3771 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:97] + node _T_3772 = and(_T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 504:95] + node _T_3773 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 504:117] + node _T_3774 = and(_T_3772, _T_3773) @[el2_lsu_bus_buffer.scala 504:112] + node _T_3775 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:144] + node _T_3776 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 504:166] + node _T_3777 = and(_T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 504:161] + node _T_3778 = or(_T_3774, _T_3777) @[el2_lsu_bus_buffer.scala 504:132] + node _T_3779 = and(_T_3769, _T_3778) @[el2_lsu_bus_buffer.scala 504:63] + node _T_3780 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 504:206] + node _T_3781 = and(ibuf_drain_vld, _T_3780) @[el2_lsu_bus_buffer.scala 504:201] + node _T_3782 = or(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 504:183] + buf_state_en[1] <= _T_3782 @[el2_lsu_bus_buffer.scala 504:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 505:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 506:24] + node _T_3783 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 507:52] + node _T_3784 = and(ibuf_drain_vld, _T_3783) @[el2_lsu_bus_buffer.scala 507:47] + node _T_3785 = bits(_T_3784, 0, 0) @[el2_lsu_bus_buffer.scala 507:73] + node _T_3786 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 507:90] + node _T_3787 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 507:114] + node _T_3788 = mux(_T_3785, _T_3786, _T_3787) @[el2_lsu_bus_buffer.scala 507:30] + buf_data_in[1] <= _T_3788 @[el2_lsu_bus_buffer.scala 507:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3759 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3759 : @[Conditional.scala 39:67] - node _T_3760 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 509:60] - node _T_3761 = mux(_T_3760, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:31] - buf_nxtstate[1] <= _T_3761 @[el2_lsu_bus_buffer.scala 509:25] - node _T_3762 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:46] - buf_state_en[1] <= _T_3762 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3789 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3789 : @[Conditional.scala 39:67] + node _T_3790 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3791 = mux(_T_3790, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[1] <= _T_3791 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3792 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:46] + buf_state_en[1] <= _T_3792 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3763 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3763 : @[Conditional.scala 39:67] - node _T_3764 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] - node _T_3765 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:89] - node _T_3766 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 513:124] - node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 513:104] - node _T_3768 = mux(_T_3767, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 513:75] - node _T_3769 = mux(_T_3764, UInt<3>("h00"), _T_3768) @[el2_lsu_bus_buffer.scala 513:31] - buf_nxtstate[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 513:25] - node _T_3770 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 514:48] - node _T_3771 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 514:104] - node _T_3772 = and(obuf_merge, _T_3771) @[el2_lsu_bus_buffer.scala 514:91] - node _T_3773 = or(_T_3770, _T_3772) @[el2_lsu_bus_buffer.scala 514:77] - node _T_3774 = and(_T_3773, obuf_valid) @[el2_lsu_bus_buffer.scala 514:135] - node _T_3775 = and(_T_3774, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 514:148] - buf_cmd_state_bus_en[1] <= _T_3775 @[el2_lsu_bus_buffer.scala 514:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 515:29] - node _T_3776 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] - node _T_3777 = or(_T_3776, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] - buf_state_en[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 516:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] - node _T_3778 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:56] - node _T_3779 = eq(_T_3778, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:46] - node _T_3780 = and(buf_state_en[1], _T_3779) @[el2_lsu_bus_buffer.scala 518:44] - node _T_3781 = and(_T_3780, obuf_nosend) @[el2_lsu_bus_buffer.scala 518:60] - node _T_3782 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:76] - node _T_3783 = and(_T_3781, _T_3782) @[el2_lsu_bus_buffer.scala 518:74] - buf_ldfwd_en[1] <= _T_3783 @[el2_lsu_bus_buffer.scala 518:25] - node _T_3784 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 519:46] - buf_ldfwdtag_in[1] <= _T_3784 @[el2_lsu_bus_buffer.scala 519:28] - node _T_3785 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 520:47] - node _T_3786 = and(_T_3785, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:67] - node _T_3787 = and(_T_3786, bus_rsp_read) @[el2_lsu_bus_buffer.scala 520:81] - buf_data_en[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 520:24] - node _T_3788 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:48] - node _T_3789 = and(_T_3788, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:68] - node _T_3790 = and(_T_3789, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 521:82] - buf_error_en[1] <= _T_3790 @[el2_lsu_bus_buffer.scala 521:25] - node _T_3791 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:61] - node _T_3792 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 522:85] - node _T_3793 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 522:103] - node _T_3794 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:126] - node _T_3795 = mux(_T_3792, _T_3793, _T_3794) @[el2_lsu_bus_buffer.scala 522:73] - node _T_3796 = mux(buf_error_en[1], _T_3791, _T_3795) @[el2_lsu_bus_buffer.scala 522:30] - buf_data_in[1] <= _T_3796 @[el2_lsu_bus_buffer.scala 522:24] + node _T_3793 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3793 : @[Conditional.scala 39:67] + node _T_3794 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] + node _T_3795 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:89] + node _T_3796 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 514:124] + node _T_3797 = and(_T_3795, _T_3796) @[el2_lsu_bus_buffer.scala 514:104] + node _T_3798 = mux(_T_3797, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:75] + node _T_3799 = mux(_T_3794, UInt<3>("h00"), _T_3798) @[el2_lsu_bus_buffer.scala 514:31] + buf_nxtstate[1] <= _T_3799 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3800 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 515:48] + node _T_3801 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 515:104] + node _T_3802 = and(obuf_merge, _T_3801) @[el2_lsu_bus_buffer.scala 515:91] + node _T_3803 = or(_T_3800, _T_3802) @[el2_lsu_bus_buffer.scala 515:77] + node _T_3804 = and(_T_3803, obuf_valid) @[el2_lsu_bus_buffer.scala 515:135] + node _T_3805 = and(_T_3804, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 515:148] + buf_cmd_state_bus_en[1] <= _T_3805 @[el2_lsu_bus_buffer.scala 515:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 516:29] + node _T_3806 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 517:49] + node _T_3807 = or(_T_3806, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 517:70] + buf_state_en[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:25] + node _T_3808 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:56] + node _T_3809 = eq(_T_3808, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:46] + node _T_3810 = and(buf_state_en[1], _T_3809) @[el2_lsu_bus_buffer.scala 519:44] + node _T_3811 = and(_T_3810, obuf_nosend) @[el2_lsu_bus_buffer.scala 519:60] + node _T_3812 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:76] + node _T_3813 = and(_T_3811, _T_3812) @[el2_lsu_bus_buffer.scala 519:74] + buf_ldfwd_en[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3814 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 520:46] + buf_ldfwdtag_in[1] <= _T_3814 @[el2_lsu_bus_buffer.scala 520:28] + node _T_3815 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:47] + node _T_3816 = and(_T_3815, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:67] + node _T_3817 = and(_T_3816, bus_rsp_read) @[el2_lsu_bus_buffer.scala 521:81] + buf_data_en[1] <= _T_3817 @[el2_lsu_bus_buffer.scala 521:24] + node _T_3818 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:48] + node _T_3819 = and(_T_3818, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:68] + node _T_3820 = and(_T_3819, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 522:82] + buf_error_en[1] <= _T_3820 @[el2_lsu_bus_buffer.scala 522:25] + node _T_3821 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:61] + node _T_3822 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 523:85] + node _T_3823 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 523:103] + node _T_3824 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:126] + node _T_3825 = mux(_T_3822, _T_3823, _T_3824) @[el2_lsu_bus_buffer.scala 523:73] + node _T_3826 = mux(buf_error_en[1], _T_3821, _T_3825) @[el2_lsu_bus_buffer.scala 523:30] + buf_data_in[1] <= _T_3826 @[el2_lsu_bus_buffer.scala 523:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3797 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3797 : @[Conditional.scala 39:67] - node _T_3798 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 525:67] - node _T_3799 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 525:94] - node _T_3800 = eq(_T_3799, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 525:73] - node _T_3801 = and(_T_3798, _T_3800) @[el2_lsu_bus_buffer.scala 525:71] - node _T_3802 = or(io.dec_tlu_force_halt, _T_3801) @[el2_lsu_bus_buffer.scala 525:55] - node _T_3803 = bits(_T_3802, 0, 0) @[el2_lsu_bus_buffer.scala 525:125] - node _T_3804 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:30] - node _T_3805 = and(buf_dual[1], _T_3804) @[el2_lsu_bus_buffer.scala 526:28] - node _T_3806 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 526:57] - node _T_3807 = eq(_T_3806, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:47] - node _T_3808 = and(_T_3805, _T_3807) @[el2_lsu_bus_buffer.scala 526:45] - node _T_3809 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 526:90] - node _T_3810 = and(_T_3808, _T_3809) @[el2_lsu_bus_buffer.scala 526:61] - node _T_3811 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 527:27] - node _T_3812 = or(_T_3811, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:31] - node _T_3813 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:70] - node _T_3814 = and(buf_dual[1], _T_3813) @[el2_lsu_bus_buffer.scala 527:68] - node _T_3815 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 527:97] - node _T_3816 = eq(_T_3815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:87] - node _T_3817 = and(_T_3814, _T_3816) @[el2_lsu_bus_buffer.scala 527:85] - node _T_3818 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3819 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3820 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3821 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3822 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3823 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3824 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3825 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3826 = mux(_T_3818, _T_3819, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3827 = mux(_T_3820, _T_3821, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3828 = mux(_T_3822, _T_3823, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3829 = mux(_T_3824, _T_3825, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3830 = or(_T_3826, _T_3827) @[Mux.scala 27:72] - node _T_3831 = or(_T_3830, _T_3828) @[Mux.scala 27:72] - node _T_3832 = or(_T_3831, _T_3829) @[Mux.scala 27:72] - wire _T_3833 : UInt<1> @[Mux.scala 27:72] - _T_3833 <= _T_3832 @[Mux.scala 27:72] - node _T_3834 = and(_T_3817, _T_3833) @[el2_lsu_bus_buffer.scala 527:101] - node _T_3835 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:167] - node _T_3836 = and(_T_3834, _T_3835) @[el2_lsu_bus_buffer.scala 527:138] - node _T_3837 = and(_T_3836, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:187] - node _T_3838 = or(_T_3812, _T_3837) @[el2_lsu_bus_buffer.scala 527:53] - node _T_3839 = mux(_T_3838, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 527:16] - node _T_3840 = mux(_T_3810, UInt<3>("h04"), _T_3839) @[el2_lsu_bus_buffer.scala 526:14] - node _T_3841 = mux(_T_3803, UInt<3>("h00"), _T_3840) @[el2_lsu_bus_buffer.scala 525:31] - buf_nxtstate[1] <= _T_3841 @[el2_lsu_bus_buffer.scala 525:25] - node _T_3842 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 528:73] - node _T_3843 = and(bus_rsp_write, _T_3842) @[el2_lsu_bus_buffer.scala 528:52] - node _T_3844 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 529:46] - node _T_3845 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 530:23] - node _T_3846 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 530:47] - node _T_3847 = and(_T_3845, _T_3846) @[el2_lsu_bus_buffer.scala 530:27] - node _T_3848 = or(_T_3844, _T_3847) @[el2_lsu_bus_buffer.scala 529:77] - node _T_3849 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 531:26] - node _T_3850 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 531:54] - node _T_3851 = not(_T_3850) @[el2_lsu_bus_buffer.scala 531:44] - node _T_3852 = and(_T_3849, _T_3851) @[el2_lsu_bus_buffer.scala 531:42] - node _T_3853 = and(_T_3852, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 531:58] - node _T_3854 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 531:94] - node _T_3855 = and(_T_3853, _T_3854) @[el2_lsu_bus_buffer.scala 531:74] - node _T_3856 = or(_T_3848, _T_3855) @[el2_lsu_bus_buffer.scala 530:71] - node _T_3857 = and(bus_rsp_read, _T_3856) @[el2_lsu_bus_buffer.scala 529:25] - node _T_3858 = or(_T_3843, _T_3857) @[el2_lsu_bus_buffer.scala 528:105] - buf_resp_state_bus_en[1] <= _T_3858 @[el2_lsu_bus_buffer.scala 528:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 532:29] - node _T_3859 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 533:49] - node _T_3860 = or(_T_3859, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 533:70] - buf_state_en[1] <= _T_3860 @[el2_lsu_bus_buffer.scala 533:25] - node _T_3861 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 534:47] - node _T_3862 = and(_T_3861, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:62] - buf_data_en[1] <= _T_3862 @[el2_lsu_bus_buffer.scala 534:24] - node _T_3863 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:48] - node _T_3864 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 535:111] - node _T_3865 = and(bus_rsp_read_error, _T_3864) @[el2_lsu_bus_buffer.scala 535:91] - node _T_3866 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 536:42] - node _T_3867 = and(bus_rsp_read_error, _T_3866) @[el2_lsu_bus_buffer.scala 536:31] - node _T_3868 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 536:66] - node _T_3869 = and(_T_3867, _T_3868) @[el2_lsu_bus_buffer.scala 536:46] - node _T_3870 = or(_T_3865, _T_3869) @[el2_lsu_bus_buffer.scala 535:143] - node _T_3871 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 537:32] - node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 537:74] - node _T_3873 = and(_T_3871, _T_3872) @[el2_lsu_bus_buffer.scala 537:53] - node _T_3874 = or(_T_3870, _T_3873) @[el2_lsu_bus_buffer.scala 536:88] - node _T_3875 = and(_T_3863, _T_3874) @[el2_lsu_bus_buffer.scala 535:68] - buf_error_en[1] <= _T_3875 @[el2_lsu_bus_buffer.scala 535:25] - node _T_3876 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:50] - node _T_3877 = and(buf_state_en[1], _T_3876) @[el2_lsu_bus_buffer.scala 538:48] - node _T_3878 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 538:84] - node _T_3879 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 538:102] - node _T_3880 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:125] - node _T_3881 = mux(_T_3878, _T_3879, _T_3880) @[el2_lsu_bus_buffer.scala 538:72] - node _T_3882 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:148] - node _T_3883 = mux(_T_3877, _T_3881, _T_3882) @[el2_lsu_bus_buffer.scala 538:30] - buf_data_in[1] <= _T_3883 @[el2_lsu_bus_buffer.scala 538:24] + node _T_3827 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3827 : @[Conditional.scala 39:67] + node _T_3828 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 526:67] + node _T_3829 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 526:94] + node _T_3830 = eq(_T_3829, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:73] + node _T_3831 = and(_T_3828, _T_3830) @[el2_lsu_bus_buffer.scala 526:71] + node _T_3832 = or(io.dec_tlu_force_halt, _T_3831) @[el2_lsu_bus_buffer.scala 526:55] + node _T_3833 = bits(_T_3832, 0, 0) @[el2_lsu_bus_buffer.scala 526:125] + node _T_3834 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:30] + node _T_3835 = and(buf_dual[1], _T_3834) @[el2_lsu_bus_buffer.scala 527:28] + node _T_3836 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 527:57] + node _T_3837 = eq(_T_3836, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:47] + node _T_3838 = and(_T_3835, _T_3837) @[el2_lsu_bus_buffer.scala 527:45] + node _T_3839 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:90] + node _T_3840 = and(_T_3838, _T_3839) @[el2_lsu_bus_buffer.scala 527:61] + node _T_3841 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 528:27] + node _T_3842 = or(_T_3841, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:31] + node _T_3843 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:70] + node _T_3844 = and(buf_dual[1], _T_3843) @[el2_lsu_bus_buffer.scala 528:68] + node _T_3845 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 528:97] + node _T_3846 = eq(_T_3845, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:87] + node _T_3847 = and(_T_3844, _T_3846) @[el2_lsu_bus_buffer.scala 528:85] + node _T_3848 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3849 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3850 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3852 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3853 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3854 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3855 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3856 = mux(_T_3848, _T_3849, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3857 = mux(_T_3850, _T_3851, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3858 = mux(_T_3852, _T_3853, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3859 = mux(_T_3854, _T_3855, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3860 = or(_T_3856, _T_3857) @[Mux.scala 27:72] + node _T_3861 = or(_T_3860, _T_3858) @[Mux.scala 27:72] + node _T_3862 = or(_T_3861, _T_3859) @[Mux.scala 27:72] + wire _T_3863 : UInt<1> @[Mux.scala 27:72] + _T_3863 <= _T_3862 @[Mux.scala 27:72] + node _T_3864 = and(_T_3847, _T_3863) @[el2_lsu_bus_buffer.scala 528:101] + node _T_3865 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:167] + node _T_3866 = and(_T_3864, _T_3865) @[el2_lsu_bus_buffer.scala 528:138] + node _T_3867 = and(_T_3866, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:187] + node _T_3868 = or(_T_3842, _T_3867) @[el2_lsu_bus_buffer.scala 528:53] + node _T_3869 = mux(_T_3868, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 528:16] + node _T_3870 = mux(_T_3840, UInt<3>("h04"), _T_3869) @[el2_lsu_bus_buffer.scala 527:14] + node _T_3871 = mux(_T_3833, UInt<3>("h00"), _T_3870) @[el2_lsu_bus_buffer.scala 526:31] + buf_nxtstate[1] <= _T_3871 @[el2_lsu_bus_buffer.scala 526:25] + node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 529:73] + node _T_3873 = and(bus_rsp_write, _T_3872) @[el2_lsu_bus_buffer.scala 529:52] + node _T_3874 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 530:46] + node _T_3875 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 531:23] + node _T_3876 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 531:47] + node _T_3877 = and(_T_3875, _T_3876) @[el2_lsu_bus_buffer.scala 531:27] + node _T_3878 = or(_T_3874, _T_3877) @[el2_lsu_bus_buffer.scala 530:77] + node _T_3879 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 532:26] + node _T_3880 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 532:54] + node _T_3881 = not(_T_3880) @[el2_lsu_bus_buffer.scala 532:44] + node _T_3882 = and(_T_3879, _T_3881) @[el2_lsu_bus_buffer.scala 532:42] + node _T_3883 = and(_T_3882, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 532:58] + node _T_3884 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 532:94] + node _T_3885 = and(_T_3883, _T_3884) @[el2_lsu_bus_buffer.scala 532:74] + node _T_3886 = or(_T_3878, _T_3885) @[el2_lsu_bus_buffer.scala 531:71] + node _T_3887 = and(bus_rsp_read, _T_3886) @[el2_lsu_bus_buffer.scala 530:25] + node _T_3888 = or(_T_3873, _T_3887) @[el2_lsu_bus_buffer.scala 529:105] + buf_resp_state_bus_en[1] <= _T_3888 @[el2_lsu_bus_buffer.scala 529:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 533:29] + node _T_3889 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] + node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] + buf_state_en[1] <= _T_3890 @[el2_lsu_bus_buffer.scala 534:25] + node _T_3891 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 535:47] + node _T_3892 = and(_T_3891, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:62] + buf_data_en[1] <= _T_3892 @[el2_lsu_bus_buffer.scala 535:24] + node _T_3893 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:48] + node _T_3894 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 536:111] + node _T_3895 = and(bus_rsp_read_error, _T_3894) @[el2_lsu_bus_buffer.scala 536:91] + node _T_3896 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 537:42] + node _T_3897 = and(bus_rsp_read_error, _T_3896) @[el2_lsu_bus_buffer.scala 537:31] + node _T_3898 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 537:66] + node _T_3899 = and(_T_3897, _T_3898) @[el2_lsu_bus_buffer.scala 537:46] + node _T_3900 = or(_T_3895, _T_3899) @[el2_lsu_bus_buffer.scala 536:143] + node _T_3901 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 538:32] + node _T_3902 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 538:74] + node _T_3903 = and(_T_3901, _T_3902) @[el2_lsu_bus_buffer.scala 538:53] + node _T_3904 = or(_T_3900, _T_3903) @[el2_lsu_bus_buffer.scala 537:88] + node _T_3905 = and(_T_3893, _T_3904) @[el2_lsu_bus_buffer.scala 536:68] + buf_error_en[1] <= _T_3905 @[el2_lsu_bus_buffer.scala 536:25] + node _T_3906 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:50] + node _T_3907 = and(buf_state_en[1], _T_3906) @[el2_lsu_bus_buffer.scala 539:48] + node _T_3908 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 539:84] + node _T_3909 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 539:102] + node _T_3910 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:125] + node _T_3911 = mux(_T_3908, _T_3909, _T_3910) @[el2_lsu_bus_buffer.scala 539:72] + node _T_3912 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:148] + node _T_3913 = mux(_T_3907, _T_3911, _T_3912) @[el2_lsu_bus_buffer.scala 539:30] + buf_data_in[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 539:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3884 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3884 : @[Conditional.scala 39:67] - node _T_3885 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] - node _T_3886 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 541:86] - node _T_3887 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 541:101] - node _T_3888 = bits(_T_3887, 0, 0) @[el2_lsu_bus_buffer.scala 541:101] - node _T_3889 = or(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 541:90] - node _T_3890 = or(_T_3889, any_done_wait_state) @[el2_lsu_bus_buffer.scala 541:118] - node _T_3891 = mux(_T_3890, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:75] - node _T_3892 = mux(_T_3885, UInt<3>("h00"), _T_3891) @[el2_lsu_bus_buffer.scala 541:31] - buf_nxtstate[1] <= _T_3892 @[el2_lsu_bus_buffer.scala 541:25] - node _T_3893 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 542:66] - node _T_3894 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 543:21] - node _T_3895 = bits(_T_3894, 0, 0) @[el2_lsu_bus_buffer.scala 543:21] - node _T_3896 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 543:58] - node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 543:38] - node _T_3898 = or(_T_3893, _T_3897) @[el2_lsu_bus_buffer.scala 542:95] - node _T_3899 = and(bus_rsp_read, _T_3898) @[el2_lsu_bus_buffer.scala 542:45] - buf_state_bus_en[1] <= _T_3899 @[el2_lsu_bus_buffer.scala 542:29] - node _T_3900 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 544:49] - node _T_3901 = or(_T_3900, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 544:70] - buf_state_en[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 544:25] + node _T_3914 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3914 : @[Conditional.scala 39:67] + node _T_3915 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] + node _T_3916 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 542:86] + node _T_3917 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 542:101] + node _T_3918 = bits(_T_3917, 0, 0) @[el2_lsu_bus_buffer.scala 542:101] + node _T_3919 = or(_T_3916, _T_3918) @[el2_lsu_bus_buffer.scala 542:90] + node _T_3920 = or(_T_3919, any_done_wait_state) @[el2_lsu_bus_buffer.scala 542:118] + node _T_3921 = mux(_T_3920, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 542:75] + node _T_3922 = mux(_T_3915, UInt<3>("h00"), _T_3921) @[el2_lsu_bus_buffer.scala 542:31] + buf_nxtstate[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 542:25] + node _T_3923 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 543:66] + node _T_3924 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 544:21] + node _T_3925 = bits(_T_3924, 0, 0) @[el2_lsu_bus_buffer.scala 544:21] + node _T_3926 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 544:58] + node _T_3927 = and(_T_3925, _T_3926) @[el2_lsu_bus_buffer.scala 544:38] + node _T_3928 = or(_T_3923, _T_3927) @[el2_lsu_bus_buffer.scala 543:95] + node _T_3929 = and(bus_rsp_read, _T_3928) @[el2_lsu_bus_buffer.scala 543:45] + buf_state_bus_en[1] <= _T_3929 @[el2_lsu_bus_buffer.scala 543:29] + node _T_3930 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 545:49] + node _T_3931 = or(_T_3930, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 545:70] + buf_state_en[1] <= _T_3931 @[el2_lsu_bus_buffer.scala 545:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3902 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3902 : @[Conditional.scala 39:67] - node _T_3903 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] - node _T_3904 = mux(_T_3903, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 547:31] - buf_nxtstate[1] <= _T_3904 @[el2_lsu_bus_buffer.scala 547:25] - node _T_3905 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 548:37] - node _T_3906 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 548:98] - node _T_3907 = and(buf_dual[1], _T_3906) @[el2_lsu_bus_buffer.scala 548:80] - node _T_3908 = or(_T_3905, _T_3907) @[el2_lsu_bus_buffer.scala 548:65] - node _T_3909 = or(_T_3908, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 548:112] - buf_state_en[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 548:25] + node _T_3932 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3932 : @[Conditional.scala 39:67] + node _T_3933 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] + node _T_3934 = mux(_T_3933, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 548:31] + buf_nxtstate[1] <= _T_3934 @[el2_lsu_bus_buffer.scala 548:25] + node _T_3935 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 549:37] + node _T_3936 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 549:98] + node _T_3937 = and(buf_dual[1], _T_3936) @[el2_lsu_bus_buffer.scala 549:80] + node _T_3938 = or(_T_3935, _T_3937) @[el2_lsu_bus_buffer.scala 549:65] + node _T_3939 = or(_T_3938, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 549:112] + buf_state_en[1] <= _T_3939 @[el2_lsu_bus_buffer.scala 549:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3910 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3910 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 551:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 552:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 554:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 555:25] + node _T_3940 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3940 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 552:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 555:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 556:25] skip @[Conditional.scala 39:67] - node _T_3911 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 558:108] - reg _T_3912 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3911 : @[Reg.scala 28:19] - _T_3912 <= buf_nxtstate[1] @[Reg.scala 28:23] + node _T_3941 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 559:108] + reg _T_3942 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3941 : @[Reg.scala 28:19] + _T_3942 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3912 @[el2_lsu_bus_buffer.scala 558:18] - reg _T_3913 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 559:60] - _T_3913 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 559:60] - buf_ageQ[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 559:17] - reg _T_3914 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:63] - _T_3914 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 560:63] - buf_rspageQ[1] <= _T_3914 @[el2_lsu_bus_buffer.scala 560:20] - node _T_3915 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:109] - reg _T_3916 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3915 : @[Reg.scala 28:19] - _T_3916 <= buf_dualtag_in[1] @[Reg.scala 28:23] + buf_state[1] <= _T_3942 @[el2_lsu_bus_buffer.scala 559:18] + reg _T_3943 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:60] + _T_3943 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 560:60] + buf_ageQ[1] <= _T_3943 @[el2_lsu_bus_buffer.scala 560:17] + reg _T_3944 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:63] + _T_3944 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 561:63] + buf_rspageQ[1] <= _T_3944 @[el2_lsu_bus_buffer.scala 561:20] + node _T_3945 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:109] + reg _T_3946 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3945 : @[Reg.scala 28:19] + _T_3946 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3916 @[el2_lsu_bus_buffer.scala 561:20] - node _T_3917 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 562:74] - node _T_3918 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:107] - reg _T_3919 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3918 : @[Reg.scala 28:19] - _T_3919 <= _T_3917 @[Reg.scala 28:23] + buf_dualtag[1] <= _T_3946 @[el2_lsu_bus_buffer.scala 562:20] + node _T_3947 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:74] + node _T_3948 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:107] + reg _T_3949 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3948 : @[Reg.scala 28:19] + _T_3949 <= _T_3947 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 562:17] - node _T_3920 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:78] - node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:111] - reg _T_3922 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3921 : @[Reg.scala 28:19] - _T_3922 <= _T_3920 @[Reg.scala 28:23] + buf_dual[1] <= _T_3949 @[el2_lsu_bus_buffer.scala 563:17] + node _T_3950 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:78] + node _T_3951 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:111] + reg _T_3952 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3951 : @[Reg.scala 28:19] + _T_3952 <= _T_3950 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 563:19] - node _T_3923 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:80] - node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:113] - reg _T_3925 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3924 : @[Reg.scala 28:19] - _T_3925 <= _T_3923 @[Reg.scala 28:23] + buf_samedw[1] <= _T_3952 @[el2_lsu_bus_buffer.scala 564:19] + node _T_3953 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:80] + node _T_3954 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:113] + reg _T_3955 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3954 : @[Reg.scala 28:19] + _T_3955 <= _T_3953 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 564:20] - node _T_3926 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:78] - node _T_3927 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] - reg _T_3928 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3927 : @[Reg.scala 28:19] - _T_3928 <= _T_3926 @[Reg.scala 28:23] + buf_nomerge[1] <= _T_3955 @[el2_lsu_bus_buffer.scala 565:20] + node _T_3956 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 566:78] + node _T_3957 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] + reg _T_3958 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3957 : @[Reg.scala 28:19] + _T_3958 <= _T_3956 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3928 @[el2_lsu_bus_buffer.scala 565:19] - node _T_3929 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3929 : @[Conditional.scala 40:58] - node _T_3930 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 502:56] - node _T_3931 = mux(_T_3930, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[2] <= _T_3931 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3932 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 503:45] - node _T_3933 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:77] - node _T_3934 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 503:97] - node _T_3935 = and(_T_3933, _T_3934) @[el2_lsu_bus_buffer.scala 503:95] - node _T_3936 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 503:117] - node _T_3937 = and(_T_3935, _T_3936) @[el2_lsu_bus_buffer.scala 503:112] - node _T_3938 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:144] - node _T_3939 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 503:166] - node _T_3940 = and(_T_3938, _T_3939) @[el2_lsu_bus_buffer.scala 503:161] - node _T_3941 = or(_T_3937, _T_3940) @[el2_lsu_bus_buffer.scala 503:132] - node _T_3942 = and(_T_3932, _T_3941) @[el2_lsu_bus_buffer.scala 503:63] - node _T_3943 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 503:206] - node _T_3944 = and(ibuf_drain_vld, _T_3943) @[el2_lsu_bus_buffer.scala 503:201] - node _T_3945 = or(_T_3942, _T_3944) @[el2_lsu_bus_buffer.scala 503:183] - buf_state_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 503:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 504:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 505:24] - node _T_3946 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:52] - node _T_3947 = and(ibuf_drain_vld, _T_3946) @[el2_lsu_bus_buffer.scala 506:47] - node _T_3948 = bits(_T_3947, 0, 0) @[el2_lsu_bus_buffer.scala 506:73] - node _T_3949 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 506:90] - node _T_3950 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 506:114] - node _T_3951 = mux(_T_3948, _T_3949, _T_3950) @[el2_lsu_bus_buffer.scala 506:30] - buf_data_in[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 506:24] + buf_dualhi[1] <= _T_3958 @[el2_lsu_bus_buffer.scala 566:19] + node _T_3959 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3959 : @[Conditional.scala 40:58] + node _T_3960 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 503:56] + node _T_3961 = mux(_T_3960, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[2] <= _T_3961 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3962 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 504:45] + node _T_3963 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:77] + node _T_3964 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:97] + node _T_3965 = and(_T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 504:95] + node _T_3966 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 504:117] + node _T_3967 = and(_T_3965, _T_3966) @[el2_lsu_bus_buffer.scala 504:112] + node _T_3968 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:144] + node _T_3969 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 504:166] + node _T_3970 = and(_T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 504:161] + node _T_3971 = or(_T_3967, _T_3970) @[el2_lsu_bus_buffer.scala 504:132] + node _T_3972 = and(_T_3962, _T_3971) @[el2_lsu_bus_buffer.scala 504:63] + node _T_3973 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 504:206] + node _T_3974 = and(ibuf_drain_vld, _T_3973) @[el2_lsu_bus_buffer.scala 504:201] + node _T_3975 = or(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 504:183] + buf_state_en[2] <= _T_3975 @[el2_lsu_bus_buffer.scala 504:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 505:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 506:24] + node _T_3976 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 507:52] + node _T_3977 = and(ibuf_drain_vld, _T_3976) @[el2_lsu_bus_buffer.scala 507:47] + node _T_3978 = bits(_T_3977, 0, 0) @[el2_lsu_bus_buffer.scala 507:73] + node _T_3979 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 507:90] + node _T_3980 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 507:114] + node _T_3981 = mux(_T_3978, _T_3979, _T_3980) @[el2_lsu_bus_buffer.scala 507:30] + buf_data_in[2] <= _T_3981 @[el2_lsu_bus_buffer.scala 507:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3952 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3952 : @[Conditional.scala 39:67] - node _T_3953 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 509:60] - node _T_3954 = mux(_T_3953, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:31] - buf_nxtstate[2] <= _T_3954 @[el2_lsu_bus_buffer.scala 509:25] - node _T_3955 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:46] - buf_state_en[2] <= _T_3955 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3982 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3982 : @[Conditional.scala 39:67] + node _T_3983 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3984 = mux(_T_3983, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[2] <= _T_3984 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3985 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:46] + buf_state_en[2] <= _T_3985 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3956 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3956 : @[Conditional.scala 39:67] - node _T_3957 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] - node _T_3958 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:89] - node _T_3959 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 513:124] - node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 513:104] - node _T_3961 = mux(_T_3960, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 513:75] - node _T_3962 = mux(_T_3957, UInt<3>("h00"), _T_3961) @[el2_lsu_bus_buffer.scala 513:31] - buf_nxtstate[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 513:25] - node _T_3963 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 514:48] - node _T_3964 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 514:104] - node _T_3965 = and(obuf_merge, _T_3964) @[el2_lsu_bus_buffer.scala 514:91] - node _T_3966 = or(_T_3963, _T_3965) @[el2_lsu_bus_buffer.scala 514:77] - node _T_3967 = and(_T_3966, obuf_valid) @[el2_lsu_bus_buffer.scala 514:135] - node _T_3968 = and(_T_3967, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 514:148] - buf_cmd_state_bus_en[2] <= _T_3968 @[el2_lsu_bus_buffer.scala 514:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 515:29] - node _T_3969 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] - node _T_3970 = or(_T_3969, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] - buf_state_en[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 516:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] - node _T_3971 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:56] - node _T_3972 = eq(_T_3971, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:46] - node _T_3973 = and(buf_state_en[2], _T_3972) @[el2_lsu_bus_buffer.scala 518:44] - node _T_3974 = and(_T_3973, obuf_nosend) @[el2_lsu_bus_buffer.scala 518:60] - node _T_3975 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:76] - node _T_3976 = and(_T_3974, _T_3975) @[el2_lsu_bus_buffer.scala 518:74] - buf_ldfwd_en[2] <= _T_3976 @[el2_lsu_bus_buffer.scala 518:25] - node _T_3977 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 519:46] - buf_ldfwdtag_in[2] <= _T_3977 @[el2_lsu_bus_buffer.scala 519:28] - node _T_3978 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 520:47] - node _T_3979 = and(_T_3978, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:67] - node _T_3980 = and(_T_3979, bus_rsp_read) @[el2_lsu_bus_buffer.scala 520:81] - buf_data_en[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 520:24] - node _T_3981 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:48] - node _T_3982 = and(_T_3981, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:68] - node _T_3983 = and(_T_3982, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 521:82] - buf_error_en[2] <= _T_3983 @[el2_lsu_bus_buffer.scala 521:25] - node _T_3984 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:61] - node _T_3985 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 522:85] - node _T_3986 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 522:103] - node _T_3987 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:126] - node _T_3988 = mux(_T_3985, _T_3986, _T_3987) @[el2_lsu_bus_buffer.scala 522:73] - node _T_3989 = mux(buf_error_en[2], _T_3984, _T_3988) @[el2_lsu_bus_buffer.scala 522:30] - buf_data_in[2] <= _T_3989 @[el2_lsu_bus_buffer.scala 522:24] + node _T_3986 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3986 : @[Conditional.scala 39:67] + node _T_3987 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] + node _T_3988 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:89] + node _T_3989 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 514:124] + node _T_3990 = and(_T_3988, _T_3989) @[el2_lsu_bus_buffer.scala 514:104] + node _T_3991 = mux(_T_3990, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:75] + node _T_3992 = mux(_T_3987, UInt<3>("h00"), _T_3991) @[el2_lsu_bus_buffer.scala 514:31] + buf_nxtstate[2] <= _T_3992 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3993 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 515:48] + node _T_3994 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 515:104] + node _T_3995 = and(obuf_merge, _T_3994) @[el2_lsu_bus_buffer.scala 515:91] + node _T_3996 = or(_T_3993, _T_3995) @[el2_lsu_bus_buffer.scala 515:77] + node _T_3997 = and(_T_3996, obuf_valid) @[el2_lsu_bus_buffer.scala 515:135] + node _T_3998 = and(_T_3997, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 515:148] + buf_cmd_state_bus_en[2] <= _T_3998 @[el2_lsu_bus_buffer.scala 515:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 516:29] + node _T_3999 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 517:49] + node _T_4000 = or(_T_3999, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 517:70] + buf_state_en[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:25] + node _T_4001 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:56] + node _T_4002 = eq(_T_4001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:46] + node _T_4003 = and(buf_state_en[2], _T_4002) @[el2_lsu_bus_buffer.scala 519:44] + node _T_4004 = and(_T_4003, obuf_nosend) @[el2_lsu_bus_buffer.scala 519:60] + node _T_4005 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:76] + node _T_4006 = and(_T_4004, _T_4005) @[el2_lsu_bus_buffer.scala 519:74] + buf_ldfwd_en[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 519:25] + node _T_4007 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 520:46] + buf_ldfwdtag_in[2] <= _T_4007 @[el2_lsu_bus_buffer.scala 520:28] + node _T_4008 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:47] + node _T_4009 = and(_T_4008, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:67] + node _T_4010 = and(_T_4009, bus_rsp_read) @[el2_lsu_bus_buffer.scala 521:81] + buf_data_en[2] <= _T_4010 @[el2_lsu_bus_buffer.scala 521:24] + node _T_4011 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:48] + node _T_4012 = and(_T_4011, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:68] + node _T_4013 = and(_T_4012, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 522:82] + buf_error_en[2] <= _T_4013 @[el2_lsu_bus_buffer.scala 522:25] + node _T_4014 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:61] + node _T_4015 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 523:85] + node _T_4016 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 523:103] + node _T_4017 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:126] + node _T_4018 = mux(_T_4015, _T_4016, _T_4017) @[el2_lsu_bus_buffer.scala 523:73] + node _T_4019 = mux(buf_error_en[2], _T_4014, _T_4018) @[el2_lsu_bus_buffer.scala 523:30] + buf_data_in[2] <= _T_4019 @[el2_lsu_bus_buffer.scala 523:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3990 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3990 : @[Conditional.scala 39:67] - node _T_3991 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 525:67] - node _T_3992 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 525:94] - node _T_3993 = eq(_T_3992, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 525:73] - node _T_3994 = and(_T_3991, _T_3993) @[el2_lsu_bus_buffer.scala 525:71] - node _T_3995 = or(io.dec_tlu_force_halt, _T_3994) @[el2_lsu_bus_buffer.scala 525:55] - node _T_3996 = bits(_T_3995, 0, 0) @[el2_lsu_bus_buffer.scala 525:125] - node _T_3997 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:30] - node _T_3998 = and(buf_dual[2], _T_3997) @[el2_lsu_bus_buffer.scala 526:28] - node _T_3999 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 526:57] - node _T_4000 = eq(_T_3999, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:47] - node _T_4001 = and(_T_3998, _T_4000) @[el2_lsu_bus_buffer.scala 526:45] - node _T_4002 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 526:90] - node _T_4003 = and(_T_4001, _T_4002) @[el2_lsu_bus_buffer.scala 526:61] - node _T_4004 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 527:27] - node _T_4005 = or(_T_4004, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:31] - node _T_4006 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:70] - node _T_4007 = and(buf_dual[2], _T_4006) @[el2_lsu_bus_buffer.scala 527:68] - node _T_4008 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 527:97] - node _T_4009 = eq(_T_4008, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:87] - node _T_4010 = and(_T_4007, _T_4009) @[el2_lsu_bus_buffer.scala 527:85] - node _T_4011 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4012 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4013 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4014 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4015 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4016 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4017 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4018 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4019 = mux(_T_4011, _T_4012, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4020 = mux(_T_4013, _T_4014, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4021 = mux(_T_4015, _T_4016, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4022 = mux(_T_4017, _T_4018, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4023 = or(_T_4019, _T_4020) @[Mux.scala 27:72] - node _T_4024 = or(_T_4023, _T_4021) @[Mux.scala 27:72] - node _T_4025 = or(_T_4024, _T_4022) @[Mux.scala 27:72] - wire _T_4026 : UInt<1> @[Mux.scala 27:72] - _T_4026 <= _T_4025 @[Mux.scala 27:72] - node _T_4027 = and(_T_4010, _T_4026) @[el2_lsu_bus_buffer.scala 527:101] - node _T_4028 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:167] - node _T_4029 = and(_T_4027, _T_4028) @[el2_lsu_bus_buffer.scala 527:138] - node _T_4030 = and(_T_4029, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:187] - node _T_4031 = or(_T_4005, _T_4030) @[el2_lsu_bus_buffer.scala 527:53] - node _T_4032 = mux(_T_4031, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 527:16] - node _T_4033 = mux(_T_4003, UInt<3>("h04"), _T_4032) @[el2_lsu_bus_buffer.scala 526:14] - node _T_4034 = mux(_T_3996, UInt<3>("h00"), _T_4033) @[el2_lsu_bus_buffer.scala 525:31] - buf_nxtstate[2] <= _T_4034 @[el2_lsu_bus_buffer.scala 525:25] - node _T_4035 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 528:73] - node _T_4036 = and(bus_rsp_write, _T_4035) @[el2_lsu_bus_buffer.scala 528:52] - node _T_4037 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 529:46] - node _T_4038 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 530:23] - node _T_4039 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 530:47] - node _T_4040 = and(_T_4038, _T_4039) @[el2_lsu_bus_buffer.scala 530:27] - node _T_4041 = or(_T_4037, _T_4040) @[el2_lsu_bus_buffer.scala 529:77] - node _T_4042 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 531:26] - node _T_4043 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 531:54] - node _T_4044 = not(_T_4043) @[el2_lsu_bus_buffer.scala 531:44] - node _T_4045 = and(_T_4042, _T_4044) @[el2_lsu_bus_buffer.scala 531:42] - node _T_4046 = and(_T_4045, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 531:58] - node _T_4047 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 531:94] - node _T_4048 = and(_T_4046, _T_4047) @[el2_lsu_bus_buffer.scala 531:74] - node _T_4049 = or(_T_4041, _T_4048) @[el2_lsu_bus_buffer.scala 530:71] - node _T_4050 = and(bus_rsp_read, _T_4049) @[el2_lsu_bus_buffer.scala 529:25] - node _T_4051 = or(_T_4036, _T_4050) @[el2_lsu_bus_buffer.scala 528:105] - buf_resp_state_bus_en[2] <= _T_4051 @[el2_lsu_bus_buffer.scala 528:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 532:29] - node _T_4052 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 533:49] - node _T_4053 = or(_T_4052, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 533:70] - buf_state_en[2] <= _T_4053 @[el2_lsu_bus_buffer.scala 533:25] - node _T_4054 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 534:47] - node _T_4055 = and(_T_4054, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:62] - buf_data_en[2] <= _T_4055 @[el2_lsu_bus_buffer.scala 534:24] - node _T_4056 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:48] - node _T_4057 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 535:111] - node _T_4058 = and(bus_rsp_read_error, _T_4057) @[el2_lsu_bus_buffer.scala 535:91] - node _T_4059 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 536:42] - node _T_4060 = and(bus_rsp_read_error, _T_4059) @[el2_lsu_bus_buffer.scala 536:31] - node _T_4061 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 536:66] - node _T_4062 = and(_T_4060, _T_4061) @[el2_lsu_bus_buffer.scala 536:46] - node _T_4063 = or(_T_4058, _T_4062) @[el2_lsu_bus_buffer.scala 535:143] - node _T_4064 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 537:32] - node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:74] - node _T_4066 = and(_T_4064, _T_4065) @[el2_lsu_bus_buffer.scala 537:53] - node _T_4067 = or(_T_4063, _T_4066) @[el2_lsu_bus_buffer.scala 536:88] - node _T_4068 = and(_T_4056, _T_4067) @[el2_lsu_bus_buffer.scala 535:68] - buf_error_en[2] <= _T_4068 @[el2_lsu_bus_buffer.scala 535:25] - node _T_4069 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:50] - node _T_4070 = and(buf_state_en[2], _T_4069) @[el2_lsu_bus_buffer.scala 538:48] - node _T_4071 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 538:84] - node _T_4072 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 538:102] - node _T_4073 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:125] - node _T_4074 = mux(_T_4071, _T_4072, _T_4073) @[el2_lsu_bus_buffer.scala 538:72] - node _T_4075 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:148] - node _T_4076 = mux(_T_4070, _T_4074, _T_4075) @[el2_lsu_bus_buffer.scala 538:30] - buf_data_in[2] <= _T_4076 @[el2_lsu_bus_buffer.scala 538:24] + node _T_4020 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4020 : @[Conditional.scala 39:67] + node _T_4021 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 526:67] + node _T_4022 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 526:94] + node _T_4023 = eq(_T_4022, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:73] + node _T_4024 = and(_T_4021, _T_4023) @[el2_lsu_bus_buffer.scala 526:71] + node _T_4025 = or(io.dec_tlu_force_halt, _T_4024) @[el2_lsu_bus_buffer.scala 526:55] + node _T_4026 = bits(_T_4025, 0, 0) @[el2_lsu_bus_buffer.scala 526:125] + node _T_4027 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:30] + node _T_4028 = and(buf_dual[2], _T_4027) @[el2_lsu_bus_buffer.scala 527:28] + node _T_4029 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 527:57] + node _T_4030 = eq(_T_4029, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:47] + node _T_4031 = and(_T_4028, _T_4030) @[el2_lsu_bus_buffer.scala 527:45] + node _T_4032 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:90] + node _T_4033 = and(_T_4031, _T_4032) @[el2_lsu_bus_buffer.scala 527:61] + node _T_4034 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 528:27] + node _T_4035 = or(_T_4034, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:31] + node _T_4036 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:70] + node _T_4037 = and(buf_dual[2], _T_4036) @[el2_lsu_bus_buffer.scala 528:68] + node _T_4038 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 528:97] + node _T_4039 = eq(_T_4038, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:87] + node _T_4040 = and(_T_4037, _T_4039) @[el2_lsu_bus_buffer.scala 528:85] + node _T_4041 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4042 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4043 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4044 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4045 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4046 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4047 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4048 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4049 = mux(_T_4041, _T_4042, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4050 = mux(_T_4043, _T_4044, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4051 = mux(_T_4045, _T_4046, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4052 = mux(_T_4047, _T_4048, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4053 = or(_T_4049, _T_4050) @[Mux.scala 27:72] + node _T_4054 = or(_T_4053, _T_4051) @[Mux.scala 27:72] + node _T_4055 = or(_T_4054, _T_4052) @[Mux.scala 27:72] + wire _T_4056 : UInt<1> @[Mux.scala 27:72] + _T_4056 <= _T_4055 @[Mux.scala 27:72] + node _T_4057 = and(_T_4040, _T_4056) @[el2_lsu_bus_buffer.scala 528:101] + node _T_4058 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:167] + node _T_4059 = and(_T_4057, _T_4058) @[el2_lsu_bus_buffer.scala 528:138] + node _T_4060 = and(_T_4059, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:187] + node _T_4061 = or(_T_4035, _T_4060) @[el2_lsu_bus_buffer.scala 528:53] + node _T_4062 = mux(_T_4061, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 528:16] + node _T_4063 = mux(_T_4033, UInt<3>("h04"), _T_4062) @[el2_lsu_bus_buffer.scala 527:14] + node _T_4064 = mux(_T_4026, UInt<3>("h00"), _T_4063) @[el2_lsu_bus_buffer.scala 526:31] + buf_nxtstate[2] <= _T_4064 @[el2_lsu_bus_buffer.scala 526:25] + node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 529:73] + node _T_4066 = and(bus_rsp_write, _T_4065) @[el2_lsu_bus_buffer.scala 529:52] + node _T_4067 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 530:46] + node _T_4068 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 531:23] + node _T_4069 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 531:47] + node _T_4070 = and(_T_4068, _T_4069) @[el2_lsu_bus_buffer.scala 531:27] + node _T_4071 = or(_T_4067, _T_4070) @[el2_lsu_bus_buffer.scala 530:77] + node _T_4072 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 532:26] + node _T_4073 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 532:54] + node _T_4074 = not(_T_4073) @[el2_lsu_bus_buffer.scala 532:44] + node _T_4075 = and(_T_4072, _T_4074) @[el2_lsu_bus_buffer.scala 532:42] + node _T_4076 = and(_T_4075, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 532:58] + node _T_4077 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 532:94] + node _T_4078 = and(_T_4076, _T_4077) @[el2_lsu_bus_buffer.scala 532:74] + node _T_4079 = or(_T_4071, _T_4078) @[el2_lsu_bus_buffer.scala 531:71] + node _T_4080 = and(bus_rsp_read, _T_4079) @[el2_lsu_bus_buffer.scala 530:25] + node _T_4081 = or(_T_4066, _T_4080) @[el2_lsu_bus_buffer.scala 529:105] + buf_resp_state_bus_en[2] <= _T_4081 @[el2_lsu_bus_buffer.scala 529:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 533:29] + node _T_4082 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] + node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] + buf_state_en[2] <= _T_4083 @[el2_lsu_bus_buffer.scala 534:25] + node _T_4084 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 535:47] + node _T_4085 = and(_T_4084, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:62] + buf_data_en[2] <= _T_4085 @[el2_lsu_bus_buffer.scala 535:24] + node _T_4086 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:48] + node _T_4087 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 536:111] + node _T_4088 = and(bus_rsp_read_error, _T_4087) @[el2_lsu_bus_buffer.scala 536:91] + node _T_4089 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 537:42] + node _T_4090 = and(bus_rsp_read_error, _T_4089) @[el2_lsu_bus_buffer.scala 537:31] + node _T_4091 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 537:66] + node _T_4092 = and(_T_4090, _T_4091) @[el2_lsu_bus_buffer.scala 537:46] + node _T_4093 = or(_T_4088, _T_4092) @[el2_lsu_bus_buffer.scala 536:143] + node _T_4094 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 538:32] + node _T_4095 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:74] + node _T_4096 = and(_T_4094, _T_4095) @[el2_lsu_bus_buffer.scala 538:53] + node _T_4097 = or(_T_4093, _T_4096) @[el2_lsu_bus_buffer.scala 537:88] + node _T_4098 = and(_T_4086, _T_4097) @[el2_lsu_bus_buffer.scala 536:68] + buf_error_en[2] <= _T_4098 @[el2_lsu_bus_buffer.scala 536:25] + node _T_4099 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:50] + node _T_4100 = and(buf_state_en[2], _T_4099) @[el2_lsu_bus_buffer.scala 539:48] + node _T_4101 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 539:84] + node _T_4102 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 539:102] + node _T_4103 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:125] + node _T_4104 = mux(_T_4101, _T_4102, _T_4103) @[el2_lsu_bus_buffer.scala 539:72] + node _T_4105 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:148] + node _T_4106 = mux(_T_4100, _T_4104, _T_4105) @[el2_lsu_bus_buffer.scala 539:30] + buf_data_in[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 539:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4077 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] - node _T_4079 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 541:86] - node _T_4080 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 541:101] - node _T_4081 = bits(_T_4080, 0, 0) @[el2_lsu_bus_buffer.scala 541:101] - node _T_4082 = or(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 541:90] - node _T_4083 = or(_T_4082, any_done_wait_state) @[el2_lsu_bus_buffer.scala 541:118] - node _T_4084 = mux(_T_4083, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:75] - node _T_4085 = mux(_T_4078, UInt<3>("h00"), _T_4084) @[el2_lsu_bus_buffer.scala 541:31] - buf_nxtstate[2] <= _T_4085 @[el2_lsu_bus_buffer.scala 541:25] - node _T_4086 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 542:66] - node _T_4087 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 543:21] - node _T_4088 = bits(_T_4087, 0, 0) @[el2_lsu_bus_buffer.scala 543:21] - node _T_4089 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 543:58] - node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 543:38] - node _T_4091 = or(_T_4086, _T_4090) @[el2_lsu_bus_buffer.scala 542:95] - node _T_4092 = and(bus_rsp_read, _T_4091) @[el2_lsu_bus_buffer.scala 542:45] - buf_state_bus_en[2] <= _T_4092 @[el2_lsu_bus_buffer.scala 542:29] - node _T_4093 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 544:49] - node _T_4094 = or(_T_4093, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 544:70] - buf_state_en[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 544:25] + node _T_4107 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4107 : @[Conditional.scala 39:67] + node _T_4108 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] + node _T_4109 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 542:86] + node _T_4110 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 542:101] + node _T_4111 = bits(_T_4110, 0, 0) @[el2_lsu_bus_buffer.scala 542:101] + node _T_4112 = or(_T_4109, _T_4111) @[el2_lsu_bus_buffer.scala 542:90] + node _T_4113 = or(_T_4112, any_done_wait_state) @[el2_lsu_bus_buffer.scala 542:118] + node _T_4114 = mux(_T_4113, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 542:75] + node _T_4115 = mux(_T_4108, UInt<3>("h00"), _T_4114) @[el2_lsu_bus_buffer.scala 542:31] + buf_nxtstate[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 542:25] + node _T_4116 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 543:66] + node _T_4117 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 544:21] + node _T_4118 = bits(_T_4117, 0, 0) @[el2_lsu_bus_buffer.scala 544:21] + node _T_4119 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 544:58] + node _T_4120 = and(_T_4118, _T_4119) @[el2_lsu_bus_buffer.scala 544:38] + node _T_4121 = or(_T_4116, _T_4120) @[el2_lsu_bus_buffer.scala 543:95] + node _T_4122 = and(bus_rsp_read, _T_4121) @[el2_lsu_bus_buffer.scala 543:45] + buf_state_bus_en[2] <= _T_4122 @[el2_lsu_bus_buffer.scala 543:29] + node _T_4123 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 545:49] + node _T_4124 = or(_T_4123, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 545:70] + buf_state_en[2] <= _T_4124 @[el2_lsu_bus_buffer.scala 545:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4095 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4095 : @[Conditional.scala 39:67] - node _T_4096 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4097 = mux(_T_4096, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 547:31] - buf_nxtstate[2] <= _T_4097 @[el2_lsu_bus_buffer.scala 547:25] - node _T_4098 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 548:37] - node _T_4099 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 548:98] - node _T_4100 = and(buf_dual[2], _T_4099) @[el2_lsu_bus_buffer.scala 548:80] - node _T_4101 = or(_T_4098, _T_4100) @[el2_lsu_bus_buffer.scala 548:65] - node _T_4102 = or(_T_4101, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 548:112] - buf_state_en[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 548:25] + node _T_4125 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4125 : @[Conditional.scala 39:67] + node _T_4126 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] + node _T_4127 = mux(_T_4126, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 548:31] + buf_nxtstate[2] <= _T_4127 @[el2_lsu_bus_buffer.scala 548:25] + node _T_4128 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 549:37] + node _T_4129 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 549:98] + node _T_4130 = and(buf_dual[2], _T_4129) @[el2_lsu_bus_buffer.scala 549:80] + node _T_4131 = or(_T_4128, _T_4130) @[el2_lsu_bus_buffer.scala 549:65] + node _T_4132 = or(_T_4131, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 549:112] + buf_state_en[2] <= _T_4132 @[el2_lsu_bus_buffer.scala 549:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4103 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4103 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 551:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 552:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 554:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 555:25] + node _T_4133 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4133 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 552:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 555:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 556:25] skip @[Conditional.scala 39:67] - node _T_4104 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 558:108] - reg _T_4105 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4104 : @[Reg.scala 28:19] - _T_4105 <= buf_nxtstate[2] @[Reg.scala 28:23] + node _T_4134 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 559:108] + reg _T_4135 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4134 : @[Reg.scala 28:19] + _T_4135 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4105 @[el2_lsu_bus_buffer.scala 558:18] - reg _T_4106 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 559:60] - _T_4106 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 559:60] - buf_ageQ[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 559:17] - reg _T_4107 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:63] - _T_4107 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 560:63] - buf_rspageQ[2] <= _T_4107 @[el2_lsu_bus_buffer.scala 560:20] - node _T_4108 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:109] - reg _T_4109 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4108 : @[Reg.scala 28:19] - _T_4109 <= buf_dualtag_in[2] @[Reg.scala 28:23] + buf_state[2] <= _T_4135 @[el2_lsu_bus_buffer.scala 559:18] + reg _T_4136 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:60] + _T_4136 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 560:60] + buf_ageQ[2] <= _T_4136 @[el2_lsu_bus_buffer.scala 560:17] + reg _T_4137 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:63] + _T_4137 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 561:63] + buf_rspageQ[2] <= _T_4137 @[el2_lsu_bus_buffer.scala 561:20] + node _T_4138 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:109] + reg _T_4139 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4138 : @[Reg.scala 28:19] + _T_4139 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4109 @[el2_lsu_bus_buffer.scala 561:20] - node _T_4110 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 562:74] - node _T_4111 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:107] - reg _T_4112 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4111 : @[Reg.scala 28:19] - _T_4112 <= _T_4110 @[Reg.scala 28:23] + buf_dualtag[2] <= _T_4139 @[el2_lsu_bus_buffer.scala 562:20] + node _T_4140 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:74] + node _T_4141 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:107] + reg _T_4142 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4141 : @[Reg.scala 28:19] + _T_4142 <= _T_4140 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 562:17] - node _T_4113 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:78] - node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:111] - reg _T_4115 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4114 : @[Reg.scala 28:19] - _T_4115 <= _T_4113 @[Reg.scala 28:23] + buf_dual[2] <= _T_4142 @[el2_lsu_bus_buffer.scala 563:17] + node _T_4143 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:78] + node _T_4144 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:111] + reg _T_4145 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4144 : @[Reg.scala 28:19] + _T_4145 <= _T_4143 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 563:19] - node _T_4116 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:80] - node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:113] - reg _T_4118 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4117 : @[Reg.scala 28:19] - _T_4118 <= _T_4116 @[Reg.scala 28:23] + buf_samedw[2] <= _T_4145 @[el2_lsu_bus_buffer.scala 564:19] + node _T_4146 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:80] + node _T_4147 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:113] + reg _T_4148 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4147 : @[Reg.scala 28:19] + _T_4148 <= _T_4146 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 564:20] - node _T_4119 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4120 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] - reg _T_4121 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4120 : @[Reg.scala 28:19] - _T_4121 <= _T_4119 @[Reg.scala 28:23] + buf_nomerge[2] <= _T_4148 @[el2_lsu_bus_buffer.scala 565:20] + node _T_4149 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 566:78] + node _T_4150 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] + reg _T_4151 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4150 : @[Reg.scala 28:19] + _T_4151 <= _T_4149 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4121 @[el2_lsu_bus_buffer.scala 565:19] - node _T_4122 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4122 : @[Conditional.scala 40:58] - node _T_4123 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 502:56] - node _T_4124 = mux(_T_4123, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[3] <= _T_4124 @[el2_lsu_bus_buffer.scala 502:25] - node _T_4125 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 503:45] - node _T_4126 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:77] - node _T_4127 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 503:97] - node _T_4128 = and(_T_4126, _T_4127) @[el2_lsu_bus_buffer.scala 503:95] - node _T_4129 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 503:117] - node _T_4130 = and(_T_4128, _T_4129) @[el2_lsu_bus_buffer.scala 503:112] - node _T_4131 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:144] - node _T_4132 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 503:166] - node _T_4133 = and(_T_4131, _T_4132) @[el2_lsu_bus_buffer.scala 503:161] - node _T_4134 = or(_T_4130, _T_4133) @[el2_lsu_bus_buffer.scala 503:132] - node _T_4135 = and(_T_4125, _T_4134) @[el2_lsu_bus_buffer.scala 503:63] - node _T_4136 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 503:206] - node _T_4137 = and(ibuf_drain_vld, _T_4136) @[el2_lsu_bus_buffer.scala 503:201] - node _T_4138 = or(_T_4135, _T_4137) @[el2_lsu_bus_buffer.scala 503:183] - buf_state_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 503:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 504:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 505:24] - node _T_4139 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:52] - node _T_4140 = and(ibuf_drain_vld, _T_4139) @[el2_lsu_bus_buffer.scala 506:47] - node _T_4141 = bits(_T_4140, 0, 0) @[el2_lsu_bus_buffer.scala 506:73] - node _T_4142 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 506:90] - node _T_4143 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 506:114] - node _T_4144 = mux(_T_4141, _T_4142, _T_4143) @[el2_lsu_bus_buffer.scala 506:30] - buf_data_in[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 506:24] + buf_dualhi[2] <= _T_4151 @[el2_lsu_bus_buffer.scala 566:19] + node _T_4152 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4152 : @[Conditional.scala 40:58] + node _T_4153 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 503:56] + node _T_4154 = mux(_T_4153, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[3] <= _T_4154 @[el2_lsu_bus_buffer.scala 503:25] + node _T_4155 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 504:45] + node _T_4156 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:77] + node _T_4157 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:97] + node _T_4158 = and(_T_4156, _T_4157) @[el2_lsu_bus_buffer.scala 504:95] + node _T_4159 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 504:117] + node _T_4160 = and(_T_4158, _T_4159) @[el2_lsu_bus_buffer.scala 504:112] + node _T_4161 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 504:144] + node _T_4162 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 504:166] + node _T_4163 = and(_T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 504:161] + node _T_4164 = or(_T_4160, _T_4163) @[el2_lsu_bus_buffer.scala 504:132] + node _T_4165 = and(_T_4155, _T_4164) @[el2_lsu_bus_buffer.scala 504:63] + node _T_4166 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 504:206] + node _T_4167 = and(ibuf_drain_vld, _T_4166) @[el2_lsu_bus_buffer.scala 504:201] + node _T_4168 = or(_T_4165, _T_4167) @[el2_lsu_bus_buffer.scala 504:183] + buf_state_en[3] <= _T_4168 @[el2_lsu_bus_buffer.scala 504:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 505:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 506:24] + node _T_4169 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 507:52] + node _T_4170 = and(ibuf_drain_vld, _T_4169) @[el2_lsu_bus_buffer.scala 507:47] + node _T_4171 = bits(_T_4170, 0, 0) @[el2_lsu_bus_buffer.scala 507:73] + node _T_4172 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 507:90] + node _T_4173 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 507:114] + node _T_4174 = mux(_T_4171, _T_4172, _T_4173) @[el2_lsu_bus_buffer.scala 507:30] + buf_data_in[3] <= _T_4174 @[el2_lsu_bus_buffer.scala 507:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_4145 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4145 : @[Conditional.scala 39:67] - node _T_4146 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 509:60] - node _T_4147 = mux(_T_4146, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:31] - buf_nxtstate[3] <= _T_4147 @[el2_lsu_bus_buffer.scala 509:25] - node _T_4148 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:46] - buf_state_en[3] <= _T_4148 @[el2_lsu_bus_buffer.scala 510:25] + node _T_4175 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4175 : @[Conditional.scala 39:67] + node _T_4176 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 510:60] + node _T_4177 = mux(_T_4176, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:31] + buf_nxtstate[3] <= _T_4177 @[el2_lsu_bus_buffer.scala 510:25] + node _T_4178 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:46] + buf_state_en[3] <= _T_4178 @[el2_lsu_bus_buffer.scala 511:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4149 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4149 : @[Conditional.scala 39:67] - node _T_4150 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] - node _T_4151 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:89] - node _T_4152 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 513:124] - node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 513:104] - node _T_4154 = mux(_T_4153, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 513:75] - node _T_4155 = mux(_T_4150, UInt<3>("h00"), _T_4154) @[el2_lsu_bus_buffer.scala 513:31] - buf_nxtstate[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 513:25] - node _T_4156 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:48] - node _T_4157 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:104] - node _T_4158 = and(obuf_merge, _T_4157) @[el2_lsu_bus_buffer.scala 514:91] - node _T_4159 = or(_T_4156, _T_4158) @[el2_lsu_bus_buffer.scala 514:77] - node _T_4160 = and(_T_4159, obuf_valid) @[el2_lsu_bus_buffer.scala 514:135] - node _T_4161 = and(_T_4160, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 514:148] - buf_cmd_state_bus_en[3] <= _T_4161 @[el2_lsu_bus_buffer.scala 514:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 515:29] - node _T_4162 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] - node _T_4163 = or(_T_4162, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] - buf_state_en[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 516:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] - node _T_4164 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:56] - node _T_4165 = eq(_T_4164, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:46] - node _T_4166 = and(buf_state_en[3], _T_4165) @[el2_lsu_bus_buffer.scala 518:44] - node _T_4167 = and(_T_4166, obuf_nosend) @[el2_lsu_bus_buffer.scala 518:60] - node _T_4168 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:76] - node _T_4169 = and(_T_4167, _T_4168) @[el2_lsu_bus_buffer.scala 518:74] - buf_ldfwd_en[3] <= _T_4169 @[el2_lsu_bus_buffer.scala 518:25] - node _T_4170 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 519:46] - buf_ldfwdtag_in[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 519:28] - node _T_4171 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 520:47] - node _T_4172 = and(_T_4171, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:67] - node _T_4173 = and(_T_4172, bus_rsp_read) @[el2_lsu_bus_buffer.scala 520:81] - buf_data_en[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 520:24] - node _T_4174 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:48] - node _T_4175 = and(_T_4174, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:68] - node _T_4176 = and(_T_4175, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 521:82] - buf_error_en[3] <= _T_4176 @[el2_lsu_bus_buffer.scala 521:25] - node _T_4177 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:61] - node _T_4178 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 522:85] - node _T_4179 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 522:103] - node _T_4180 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:126] - node _T_4181 = mux(_T_4178, _T_4179, _T_4180) @[el2_lsu_bus_buffer.scala 522:73] - node _T_4182 = mux(buf_error_en[3], _T_4177, _T_4181) @[el2_lsu_bus_buffer.scala 522:30] - buf_data_in[3] <= _T_4182 @[el2_lsu_bus_buffer.scala 522:24] + node _T_4179 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4179 : @[Conditional.scala 39:67] + node _T_4180 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] + node _T_4181 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:89] + node _T_4182 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 514:124] + node _T_4183 = and(_T_4181, _T_4182) @[el2_lsu_bus_buffer.scala 514:104] + node _T_4184 = mux(_T_4183, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:75] + node _T_4185 = mux(_T_4180, UInt<3>("h00"), _T_4184) @[el2_lsu_bus_buffer.scala 514:31] + buf_nxtstate[3] <= _T_4185 @[el2_lsu_bus_buffer.scala 514:25] + node _T_4186 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:48] + node _T_4187 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:104] + node _T_4188 = and(obuf_merge, _T_4187) @[el2_lsu_bus_buffer.scala 515:91] + node _T_4189 = or(_T_4186, _T_4188) @[el2_lsu_bus_buffer.scala 515:77] + node _T_4190 = and(_T_4189, obuf_valid) @[el2_lsu_bus_buffer.scala 515:135] + node _T_4191 = and(_T_4190, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 515:148] + buf_cmd_state_bus_en[3] <= _T_4191 @[el2_lsu_bus_buffer.scala 515:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 516:29] + node _T_4192 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 517:49] + node _T_4193 = or(_T_4192, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 517:70] + buf_state_en[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 517:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:25] + node _T_4194 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:56] + node _T_4195 = eq(_T_4194, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:46] + node _T_4196 = and(buf_state_en[3], _T_4195) @[el2_lsu_bus_buffer.scala 519:44] + node _T_4197 = and(_T_4196, obuf_nosend) @[el2_lsu_bus_buffer.scala 519:60] + node _T_4198 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:76] + node _T_4199 = and(_T_4197, _T_4198) @[el2_lsu_bus_buffer.scala 519:74] + buf_ldfwd_en[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 519:25] + node _T_4200 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 520:46] + buf_ldfwdtag_in[3] <= _T_4200 @[el2_lsu_bus_buffer.scala 520:28] + node _T_4201 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:47] + node _T_4202 = and(_T_4201, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:67] + node _T_4203 = and(_T_4202, bus_rsp_read) @[el2_lsu_bus_buffer.scala 521:81] + buf_data_en[3] <= _T_4203 @[el2_lsu_bus_buffer.scala 521:24] + node _T_4204 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:48] + node _T_4205 = and(_T_4204, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:68] + node _T_4206 = and(_T_4205, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 522:82] + buf_error_en[3] <= _T_4206 @[el2_lsu_bus_buffer.scala 522:25] + node _T_4207 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:61] + node _T_4208 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 523:85] + node _T_4209 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 523:103] + node _T_4210 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 523:126] + node _T_4211 = mux(_T_4208, _T_4209, _T_4210) @[el2_lsu_bus_buffer.scala 523:73] + node _T_4212 = mux(buf_error_en[3], _T_4207, _T_4211) @[el2_lsu_bus_buffer.scala 523:30] + buf_data_in[3] <= _T_4212 @[el2_lsu_bus_buffer.scala 523:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4183 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4183 : @[Conditional.scala 39:67] - node _T_4184 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 525:67] - node _T_4185 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 525:94] - node _T_4186 = eq(_T_4185, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 525:73] - node _T_4187 = and(_T_4184, _T_4186) @[el2_lsu_bus_buffer.scala 525:71] - node _T_4188 = or(io.dec_tlu_force_halt, _T_4187) @[el2_lsu_bus_buffer.scala 525:55] - node _T_4189 = bits(_T_4188, 0, 0) @[el2_lsu_bus_buffer.scala 525:125] - node _T_4190 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:30] - node _T_4191 = and(buf_dual[3], _T_4190) @[el2_lsu_bus_buffer.scala 526:28] - node _T_4192 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 526:57] - node _T_4193 = eq(_T_4192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:47] - node _T_4194 = and(_T_4191, _T_4193) @[el2_lsu_bus_buffer.scala 526:45] - node _T_4195 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 526:90] - node _T_4196 = and(_T_4194, _T_4195) @[el2_lsu_bus_buffer.scala 526:61] - node _T_4197 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 527:27] - node _T_4198 = or(_T_4197, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:31] - node _T_4199 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:70] - node _T_4200 = and(buf_dual[3], _T_4199) @[el2_lsu_bus_buffer.scala 527:68] - node _T_4201 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 527:97] - node _T_4202 = eq(_T_4201, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:87] - node _T_4203 = and(_T_4200, _T_4202) @[el2_lsu_bus_buffer.scala 527:85] - node _T_4204 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4205 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4206 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4207 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4208 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4209 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4210 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4211 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4212 = mux(_T_4204, _T_4205, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4213 = mux(_T_4206, _T_4207, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4214 = mux(_T_4208, _T_4209, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4215 = mux(_T_4210, _T_4211, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4216 = or(_T_4212, _T_4213) @[Mux.scala 27:72] - node _T_4217 = or(_T_4216, _T_4214) @[Mux.scala 27:72] - node _T_4218 = or(_T_4217, _T_4215) @[Mux.scala 27:72] - wire _T_4219 : UInt<1> @[Mux.scala 27:72] - _T_4219 <= _T_4218 @[Mux.scala 27:72] - node _T_4220 = and(_T_4203, _T_4219) @[el2_lsu_bus_buffer.scala 527:101] - node _T_4221 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:167] - node _T_4222 = and(_T_4220, _T_4221) @[el2_lsu_bus_buffer.scala 527:138] - node _T_4223 = and(_T_4222, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:187] - node _T_4224 = or(_T_4198, _T_4223) @[el2_lsu_bus_buffer.scala 527:53] - node _T_4225 = mux(_T_4224, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 527:16] - node _T_4226 = mux(_T_4196, UInt<3>("h04"), _T_4225) @[el2_lsu_bus_buffer.scala 526:14] - node _T_4227 = mux(_T_4189, UInt<3>("h00"), _T_4226) @[el2_lsu_bus_buffer.scala 525:31] - buf_nxtstate[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 525:25] - node _T_4228 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 528:73] - node _T_4229 = and(bus_rsp_write, _T_4228) @[el2_lsu_bus_buffer.scala 528:52] - node _T_4230 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 529:46] - node _T_4231 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 530:23] - node _T_4232 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 530:47] - node _T_4233 = and(_T_4231, _T_4232) @[el2_lsu_bus_buffer.scala 530:27] - node _T_4234 = or(_T_4230, _T_4233) @[el2_lsu_bus_buffer.scala 529:77] - node _T_4235 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 531:26] - node _T_4236 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 531:54] - node _T_4237 = not(_T_4236) @[el2_lsu_bus_buffer.scala 531:44] - node _T_4238 = and(_T_4235, _T_4237) @[el2_lsu_bus_buffer.scala 531:42] - node _T_4239 = and(_T_4238, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 531:58] - node _T_4240 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 531:94] - node _T_4241 = and(_T_4239, _T_4240) @[el2_lsu_bus_buffer.scala 531:74] - node _T_4242 = or(_T_4234, _T_4241) @[el2_lsu_bus_buffer.scala 530:71] - node _T_4243 = and(bus_rsp_read, _T_4242) @[el2_lsu_bus_buffer.scala 529:25] - node _T_4244 = or(_T_4229, _T_4243) @[el2_lsu_bus_buffer.scala 528:105] - buf_resp_state_bus_en[3] <= _T_4244 @[el2_lsu_bus_buffer.scala 528:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 532:29] - node _T_4245 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 533:49] - node _T_4246 = or(_T_4245, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 533:70] - buf_state_en[3] <= _T_4246 @[el2_lsu_bus_buffer.scala 533:25] - node _T_4247 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 534:47] - node _T_4248 = and(_T_4247, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:62] - buf_data_en[3] <= _T_4248 @[el2_lsu_bus_buffer.scala 534:24] - node _T_4249 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:48] - node _T_4250 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 535:111] - node _T_4251 = and(bus_rsp_read_error, _T_4250) @[el2_lsu_bus_buffer.scala 535:91] - node _T_4252 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 536:42] - node _T_4253 = and(bus_rsp_read_error, _T_4252) @[el2_lsu_bus_buffer.scala 536:31] - node _T_4254 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 536:66] - node _T_4255 = and(_T_4253, _T_4254) @[el2_lsu_bus_buffer.scala 536:46] - node _T_4256 = or(_T_4251, _T_4255) @[el2_lsu_bus_buffer.scala 535:143] - node _T_4257 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 537:32] - node _T_4258 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 537:74] - node _T_4259 = and(_T_4257, _T_4258) @[el2_lsu_bus_buffer.scala 537:53] - node _T_4260 = or(_T_4256, _T_4259) @[el2_lsu_bus_buffer.scala 536:88] - node _T_4261 = and(_T_4249, _T_4260) @[el2_lsu_bus_buffer.scala 535:68] - buf_error_en[3] <= _T_4261 @[el2_lsu_bus_buffer.scala 535:25] - node _T_4262 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:50] - node _T_4263 = and(buf_state_en[3], _T_4262) @[el2_lsu_bus_buffer.scala 538:48] - node _T_4264 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 538:84] - node _T_4265 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 538:102] - node _T_4266 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:125] - node _T_4267 = mux(_T_4264, _T_4265, _T_4266) @[el2_lsu_bus_buffer.scala 538:72] - node _T_4268 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:148] - node _T_4269 = mux(_T_4263, _T_4267, _T_4268) @[el2_lsu_bus_buffer.scala 538:30] - buf_data_in[3] <= _T_4269 @[el2_lsu_bus_buffer.scala 538:24] + node _T_4213 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4213 : @[Conditional.scala 39:67] + node _T_4214 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 526:67] + node _T_4215 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 526:94] + node _T_4216 = eq(_T_4215, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:73] + node _T_4217 = and(_T_4214, _T_4216) @[el2_lsu_bus_buffer.scala 526:71] + node _T_4218 = or(io.dec_tlu_force_halt, _T_4217) @[el2_lsu_bus_buffer.scala 526:55] + node _T_4219 = bits(_T_4218, 0, 0) @[el2_lsu_bus_buffer.scala 526:125] + node _T_4220 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:30] + node _T_4221 = and(buf_dual[3], _T_4220) @[el2_lsu_bus_buffer.scala 527:28] + node _T_4222 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 527:57] + node _T_4223 = eq(_T_4222, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:47] + node _T_4224 = and(_T_4221, _T_4223) @[el2_lsu_bus_buffer.scala 527:45] + node _T_4225 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:90] + node _T_4226 = and(_T_4224, _T_4225) @[el2_lsu_bus_buffer.scala 527:61] + node _T_4227 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 528:27] + node _T_4228 = or(_T_4227, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:31] + node _T_4229 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:70] + node _T_4230 = and(buf_dual[3], _T_4229) @[el2_lsu_bus_buffer.scala 528:68] + node _T_4231 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 528:97] + node _T_4232 = eq(_T_4231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:87] + node _T_4233 = and(_T_4230, _T_4232) @[el2_lsu_bus_buffer.scala 528:85] + node _T_4234 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4235 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4236 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4237 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4238 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4239 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4240 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4241 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4242 = mux(_T_4234, _T_4235, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4243 = mux(_T_4236, _T_4237, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4244 = mux(_T_4238, _T_4239, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4245 = mux(_T_4240, _T_4241, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4246 = or(_T_4242, _T_4243) @[Mux.scala 27:72] + node _T_4247 = or(_T_4246, _T_4244) @[Mux.scala 27:72] + node _T_4248 = or(_T_4247, _T_4245) @[Mux.scala 27:72] + wire _T_4249 : UInt<1> @[Mux.scala 27:72] + _T_4249 <= _T_4248 @[Mux.scala 27:72] + node _T_4250 = and(_T_4233, _T_4249) @[el2_lsu_bus_buffer.scala 528:101] + node _T_4251 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:167] + node _T_4252 = and(_T_4250, _T_4251) @[el2_lsu_bus_buffer.scala 528:138] + node _T_4253 = and(_T_4252, any_done_wait_state) @[el2_lsu_bus_buffer.scala 528:187] + node _T_4254 = or(_T_4228, _T_4253) @[el2_lsu_bus_buffer.scala 528:53] + node _T_4255 = mux(_T_4254, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 528:16] + node _T_4256 = mux(_T_4226, UInt<3>("h04"), _T_4255) @[el2_lsu_bus_buffer.scala 527:14] + node _T_4257 = mux(_T_4219, UInt<3>("h00"), _T_4256) @[el2_lsu_bus_buffer.scala 526:31] + buf_nxtstate[3] <= _T_4257 @[el2_lsu_bus_buffer.scala 526:25] + node _T_4258 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 529:73] + node _T_4259 = and(bus_rsp_write, _T_4258) @[el2_lsu_bus_buffer.scala 529:52] + node _T_4260 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 530:46] + node _T_4261 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 531:23] + node _T_4262 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 531:47] + node _T_4263 = and(_T_4261, _T_4262) @[el2_lsu_bus_buffer.scala 531:27] + node _T_4264 = or(_T_4260, _T_4263) @[el2_lsu_bus_buffer.scala 530:77] + node _T_4265 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 532:26] + node _T_4266 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 532:54] + node _T_4267 = not(_T_4266) @[el2_lsu_bus_buffer.scala 532:44] + node _T_4268 = and(_T_4265, _T_4267) @[el2_lsu_bus_buffer.scala 532:42] + node _T_4269 = and(_T_4268, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 532:58] + node _T_4270 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 532:94] + node _T_4271 = and(_T_4269, _T_4270) @[el2_lsu_bus_buffer.scala 532:74] + node _T_4272 = or(_T_4264, _T_4271) @[el2_lsu_bus_buffer.scala 531:71] + node _T_4273 = and(bus_rsp_read, _T_4272) @[el2_lsu_bus_buffer.scala 530:25] + node _T_4274 = or(_T_4259, _T_4273) @[el2_lsu_bus_buffer.scala 529:105] + buf_resp_state_bus_en[3] <= _T_4274 @[el2_lsu_bus_buffer.scala 529:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 533:29] + node _T_4275 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] + node _T_4276 = or(_T_4275, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] + buf_state_en[3] <= _T_4276 @[el2_lsu_bus_buffer.scala 534:25] + node _T_4277 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 535:47] + node _T_4278 = and(_T_4277, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:62] + buf_data_en[3] <= _T_4278 @[el2_lsu_bus_buffer.scala 535:24] + node _T_4279 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:48] + node _T_4280 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 536:111] + node _T_4281 = and(bus_rsp_read_error, _T_4280) @[el2_lsu_bus_buffer.scala 536:91] + node _T_4282 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 537:42] + node _T_4283 = and(bus_rsp_read_error, _T_4282) @[el2_lsu_bus_buffer.scala 537:31] + node _T_4284 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 537:66] + node _T_4285 = and(_T_4283, _T_4284) @[el2_lsu_bus_buffer.scala 537:46] + node _T_4286 = or(_T_4281, _T_4285) @[el2_lsu_bus_buffer.scala 536:143] + node _T_4287 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 538:32] + node _T_4288 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 538:74] + node _T_4289 = and(_T_4287, _T_4288) @[el2_lsu_bus_buffer.scala 538:53] + node _T_4290 = or(_T_4286, _T_4289) @[el2_lsu_bus_buffer.scala 537:88] + node _T_4291 = and(_T_4279, _T_4290) @[el2_lsu_bus_buffer.scala 536:68] + buf_error_en[3] <= _T_4291 @[el2_lsu_bus_buffer.scala 536:25] + node _T_4292 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:50] + node _T_4293 = and(buf_state_en[3], _T_4292) @[el2_lsu_bus_buffer.scala 539:48] + node _T_4294 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 539:84] + node _T_4295 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 539:102] + node _T_4296 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:125] + node _T_4297 = mux(_T_4294, _T_4295, _T_4296) @[el2_lsu_bus_buffer.scala 539:72] + node _T_4298 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 539:148] + node _T_4299 = mux(_T_4293, _T_4297, _T_4298) @[el2_lsu_bus_buffer.scala 539:30] + buf_data_in[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 539:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4270 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4270 : @[Conditional.scala 39:67] - node _T_4271 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] - node _T_4272 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 541:86] - node _T_4273 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 541:101] - node _T_4274 = bits(_T_4273, 0, 0) @[el2_lsu_bus_buffer.scala 541:101] - node _T_4275 = or(_T_4272, _T_4274) @[el2_lsu_bus_buffer.scala 541:90] - node _T_4276 = or(_T_4275, any_done_wait_state) @[el2_lsu_bus_buffer.scala 541:118] - node _T_4277 = mux(_T_4276, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:75] - node _T_4278 = mux(_T_4271, UInt<3>("h00"), _T_4277) @[el2_lsu_bus_buffer.scala 541:31] - buf_nxtstate[3] <= _T_4278 @[el2_lsu_bus_buffer.scala 541:25] - node _T_4279 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 542:66] - node _T_4280 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 543:21] - node _T_4281 = bits(_T_4280, 0, 0) @[el2_lsu_bus_buffer.scala 543:21] - node _T_4282 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 543:58] - node _T_4283 = and(_T_4281, _T_4282) @[el2_lsu_bus_buffer.scala 543:38] - node _T_4284 = or(_T_4279, _T_4283) @[el2_lsu_bus_buffer.scala 542:95] - node _T_4285 = and(bus_rsp_read, _T_4284) @[el2_lsu_bus_buffer.scala 542:45] - buf_state_bus_en[3] <= _T_4285 @[el2_lsu_bus_buffer.scala 542:29] - node _T_4286 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 544:49] - node _T_4287 = or(_T_4286, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 544:70] - buf_state_en[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 544:25] + node _T_4300 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4300 : @[Conditional.scala 39:67] + node _T_4301 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 542:60] + node _T_4302 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 542:86] + node _T_4303 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 542:101] + node _T_4304 = bits(_T_4303, 0, 0) @[el2_lsu_bus_buffer.scala 542:101] + node _T_4305 = or(_T_4302, _T_4304) @[el2_lsu_bus_buffer.scala 542:90] + node _T_4306 = or(_T_4305, any_done_wait_state) @[el2_lsu_bus_buffer.scala 542:118] + node _T_4307 = mux(_T_4306, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 542:75] + node _T_4308 = mux(_T_4301, UInt<3>("h00"), _T_4307) @[el2_lsu_bus_buffer.scala 542:31] + buf_nxtstate[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 542:25] + node _T_4309 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 543:66] + node _T_4310 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 544:21] + node _T_4311 = bits(_T_4310, 0, 0) @[el2_lsu_bus_buffer.scala 544:21] + node _T_4312 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 544:58] + node _T_4313 = and(_T_4311, _T_4312) @[el2_lsu_bus_buffer.scala 544:38] + node _T_4314 = or(_T_4309, _T_4313) @[el2_lsu_bus_buffer.scala 543:95] + node _T_4315 = and(bus_rsp_read, _T_4314) @[el2_lsu_bus_buffer.scala 543:45] + buf_state_bus_en[3] <= _T_4315 @[el2_lsu_bus_buffer.scala 543:29] + node _T_4316 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 545:49] + node _T_4317 = or(_T_4316, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 545:70] + buf_state_en[3] <= _T_4317 @[el2_lsu_bus_buffer.scala 545:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4288 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4288 : @[Conditional.scala 39:67] - node _T_4289 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4290 = mux(_T_4289, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 547:31] - buf_nxtstate[3] <= _T_4290 @[el2_lsu_bus_buffer.scala 547:25] - node _T_4291 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 548:37] - node _T_4292 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 548:98] - node _T_4293 = and(buf_dual[3], _T_4292) @[el2_lsu_bus_buffer.scala 548:80] - node _T_4294 = or(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 548:65] - node _T_4295 = or(_T_4294, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 548:112] - buf_state_en[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 548:25] + node _T_4318 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4318 : @[Conditional.scala 39:67] + node _T_4319 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] + node _T_4320 = mux(_T_4319, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 548:31] + buf_nxtstate[3] <= _T_4320 @[el2_lsu_bus_buffer.scala 548:25] + node _T_4321 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:37] + node _T_4322 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 549:98] + node _T_4323 = and(buf_dual[3], _T_4322) @[el2_lsu_bus_buffer.scala 549:80] + node _T_4324 = or(_T_4321, _T_4323) @[el2_lsu_bus_buffer.scala 549:65] + node _T_4325 = or(_T_4324, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 549:112] + buf_state_en[3] <= _T_4325 @[el2_lsu_bus_buffer.scala 549:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4296 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4296 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 551:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 552:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 554:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 555:25] + node _T_4326 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4326 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 552:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 555:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 556:25] skip @[Conditional.scala 39:67] - node _T_4297 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 558:108] - reg _T_4298 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4297 : @[Reg.scala 28:19] - _T_4298 <= buf_nxtstate[3] @[Reg.scala 28:23] + node _T_4327 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 559:108] + reg _T_4328 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4327 : @[Reg.scala 28:19] + _T_4328 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4298 @[el2_lsu_bus_buffer.scala 558:18] - reg _T_4299 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 559:60] - _T_4299 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 559:60] - buf_ageQ[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 559:17] - reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:63] - _T_4300 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 560:63] - buf_rspageQ[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 560:20] - node _T_4301 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:109] - reg _T_4302 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4301 : @[Reg.scala 28:19] - _T_4302 <= buf_dualtag_in[3] @[Reg.scala 28:23] + buf_state[3] <= _T_4328 @[el2_lsu_bus_buffer.scala 559:18] + reg _T_4329 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:60] + _T_4329 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 560:60] + buf_ageQ[3] <= _T_4329 @[el2_lsu_bus_buffer.scala 560:17] + reg _T_4330 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:63] + _T_4330 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 561:63] + buf_rspageQ[3] <= _T_4330 @[el2_lsu_bus_buffer.scala 561:20] + node _T_4331 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:109] + reg _T_4332 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4331 : @[Reg.scala 28:19] + _T_4332 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4302 @[el2_lsu_bus_buffer.scala 561:20] - node _T_4303 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 562:74] - node _T_4304 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:107] - reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4304 : @[Reg.scala 28:19] - _T_4305 <= _T_4303 @[Reg.scala 28:23] + buf_dualtag[3] <= _T_4332 @[el2_lsu_bus_buffer.scala 562:20] + node _T_4333 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:74] + node _T_4334 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:107] + reg _T_4335 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4334 : @[Reg.scala 28:19] + _T_4335 <= _T_4333 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 562:17] - node _T_4306 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:78] - node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:111] - reg _T_4308 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4307 : @[Reg.scala 28:19] - _T_4308 <= _T_4306 @[Reg.scala 28:23] + buf_dual[3] <= _T_4335 @[el2_lsu_bus_buffer.scala 563:17] + node _T_4336 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:78] + node _T_4337 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:111] + reg _T_4338 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4337 : @[Reg.scala 28:19] + _T_4338 <= _T_4336 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 563:19] - node _T_4309 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:80] - node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:113] - reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4310 : @[Reg.scala 28:19] - _T_4311 <= _T_4309 @[Reg.scala 28:23] + buf_samedw[3] <= _T_4338 @[el2_lsu_bus_buffer.scala 564:19] + node _T_4339 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:80] + node _T_4340 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:113] + reg _T_4341 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4340 : @[Reg.scala 28:19] + _T_4341 <= _T_4339 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 564:20] - node _T_4312 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4313 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] - reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4313 : @[Reg.scala 28:19] - _T_4314 <= _T_4312 @[Reg.scala 28:23] + buf_nomerge[3] <= _T_4341 @[el2_lsu_bus_buffer.scala 565:20] + node _T_4342 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 566:78] + node _T_4343 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] + reg _T_4344 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4343 : @[Reg.scala 28:19] + _T_4344 <= _T_4342 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 565:19] - node _T_4315 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 568:133] - reg _T_4316 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4315 : @[Reg.scala 28:19] - _T_4316 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + buf_dualhi[3] <= _T_4344 @[el2_lsu_bus_buffer.scala 566:19] + node _T_4345 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 569:133] + reg _T_4346 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4345 : @[Reg.scala 28:19] + _T_4346 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4317 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 568:133] - reg _T_4318 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4317 : @[Reg.scala 28:19] - _T_4318 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + node _T_4347 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 569:133] + reg _T_4348 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4347 : @[Reg.scala 28:19] + _T_4348 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4319 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 568:133] - reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4319 : @[Reg.scala 28:19] - _T_4320 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + node _T_4349 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 569:133] + reg _T_4350 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4349 : @[Reg.scala 28:19] + _T_4350 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4321 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 568:133] - reg _T_4322 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4321 : @[Reg.scala 28:19] - _T_4322 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + node _T_4351 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 569:133] + reg _T_4352 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4351 : @[Reg.scala 28:19] + _T_4352 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4323 = cat(_T_4322, _T_4320) @[Cat.scala 29:58] - node _T_4324 = cat(_T_4323, _T_4318) @[Cat.scala 29:58] - node _T_4325 = cat(_T_4324, _T_4316) @[Cat.scala 29:58] - buf_ldfwd <= _T_4325 @[el2_lsu_bus_buffer.scala 568:15] - node _T_4326 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 569:134] - reg _T_4327 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4326 : @[Reg.scala 28:19] - _T_4327 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4328 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 569:134] - reg _T_4329 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4328 : @[Reg.scala 28:19] - _T_4329 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4330 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 569:134] - reg _T_4331 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4330 : @[Reg.scala 28:19] - _T_4331 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4332 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 569:134] - reg _T_4333 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4332 : @[Reg.scala 28:19] - _T_4333 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4327 @[el2_lsu_bus_buffer.scala 569:18] - buf_ldfwdtag[1] <= _T_4329 @[el2_lsu_bus_buffer.scala 569:18] - buf_ldfwdtag[2] <= _T_4331 @[el2_lsu_bus_buffer.scala 569:18] - buf_ldfwdtag[3] <= _T_4333 @[el2_lsu_bus_buffer.scala 569:18] - node _T_4334 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 570:107] - node _T_4335 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 570:140] - reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4335 : @[Reg.scala 28:19] - _T_4336 <= _T_4334 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4337 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 570:107] - node _T_4338 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 570:140] - reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4338 : @[Reg.scala 28:19] - _T_4339 <= _T_4337 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4340 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 570:107] - node _T_4341 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 570:140] - reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4341 : @[Reg.scala 28:19] - _T_4342 <= _T_4340 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4343 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 570:107] - node _T_4344 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 570:140] - reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4344 : @[Reg.scala 28:19] - _T_4345 <= _T_4343 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4346 = cat(_T_4345, _T_4342) @[Cat.scala 29:58] - node _T_4347 = cat(_T_4346, _T_4339) @[Cat.scala 29:58] - node _T_4348 = cat(_T_4347, _T_4336) @[Cat.scala 29:58] - buf_sideeffect <= _T_4348 @[el2_lsu_bus_buffer.scala 570:20] - node _T_4349 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 571:99] - node _T_4350 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 571:132] - reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4350 : @[Reg.scala 28:19] - _T_4351 <= _T_4349 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4352 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 571:99] - node _T_4353 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 571:132] - reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4353 : @[Reg.scala 28:19] - _T_4354 <= _T_4352 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4355 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 571:99] - node _T_4356 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 571:132] - reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4353 = cat(_T_4352, _T_4350) @[Cat.scala 29:58] + node _T_4354 = cat(_T_4353, _T_4348) @[Cat.scala 29:58] + node _T_4355 = cat(_T_4354, _T_4346) @[Cat.scala 29:58] + buf_ldfwd <= _T_4355 @[el2_lsu_bus_buffer.scala 569:15] + node _T_4356 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 570:134] + reg _T_4357 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4356 : @[Reg.scala 28:19] - _T_4357 <= _T_4355 @[Reg.scala 28:23] + _T_4357 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4358 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 571:99] - node _T_4359 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 571:132] - reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4359 : @[Reg.scala 28:19] - _T_4360 <= _T_4358 @[Reg.scala 28:23] + node _T_4358 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 570:134] + reg _T_4359 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4358 : @[Reg.scala 28:19] + _T_4359 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4361 = cat(_T_4360, _T_4357) @[Cat.scala 29:58] - node _T_4362 = cat(_T_4361, _T_4354) @[Cat.scala 29:58] - node _T_4363 = cat(_T_4362, _T_4351) @[Cat.scala 29:58] - buf_unsign <= _T_4363 @[el2_lsu_bus_buffer.scala 571:16] - node _T_4364 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 572:97] - node _T_4365 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 572:130] + node _T_4360 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 570:134] + reg _T_4361 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4360 : @[Reg.scala 28:19] + _T_4361 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4362 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 570:134] + reg _T_4363 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4362 : @[Reg.scala 28:19] + _T_4363 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_ldfwdtag[0] <= _T_4357 @[el2_lsu_bus_buffer.scala 570:18] + buf_ldfwdtag[1] <= _T_4359 @[el2_lsu_bus_buffer.scala 570:18] + buf_ldfwdtag[2] <= _T_4361 @[el2_lsu_bus_buffer.scala 570:18] + buf_ldfwdtag[3] <= _T_4363 @[el2_lsu_bus_buffer.scala 570:18] + node _T_4364 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 571:107] + node _T_4365 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 571:140] reg _T_4366 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= _T_4364 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4367 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 572:97] - node _T_4368 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 572:130] + node _T_4367 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 571:107] + node _T_4368 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 571:140] reg _T_4369 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4368 : @[Reg.scala 28:19] _T_4369 <= _T_4367 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4370 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 572:97] - node _T_4371 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 572:130] + node _T_4370 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 571:107] + node _T_4371 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 571:140] reg _T_4372 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4371 : @[Reg.scala 28:19] _T_4372 <= _T_4370 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4373 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 572:97] - node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 572:130] + node _T_4373 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 571:107] + node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 571:140] reg _T_4375 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4374 : @[Reg.scala 28:19] _T_4375 <= _T_4373 @[Reg.scala 28:23] @@ -5706,838 +5682,894 @@ circuit el2_lsu_bus_buffer : node _T_4376 = cat(_T_4375, _T_4372) @[Cat.scala 29:58] node _T_4377 = cat(_T_4376, _T_4369) @[Cat.scala 29:58] node _T_4378 = cat(_T_4377, _T_4366) @[Cat.scala 29:58] - buf_write <= _T_4378 @[el2_lsu_bus_buffer.scala 572:15] - node _T_4379 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 573:119] - reg _T_4380 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4379 : @[Reg.scala 28:19] - _T_4380 <= buf_sz_in[0] @[Reg.scala 28:23] + buf_sideeffect <= _T_4378 @[el2_lsu_bus_buffer.scala 571:20] + node _T_4379 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 572:99] + node _T_4380 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 572:132] + reg _T_4381 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4380 : @[Reg.scala 28:19] + _T_4381 <= _T_4379 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4381 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 573:119] - reg _T_4382 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4381 : @[Reg.scala 28:19] - _T_4382 <= buf_sz_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4383 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 573:119] - reg _T_4384 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4382 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 572:99] + node _T_4383 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 572:132] + reg _T_4384 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4383 : @[Reg.scala 28:19] - _T_4384 <= buf_sz_in[2] @[Reg.scala 28:23] + _T_4384 <= _T_4382 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4385 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 573:119] - reg _T_4386 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4385 : @[Reg.scala 28:19] - _T_4386 <= buf_sz_in[3] @[Reg.scala 28:23] + node _T_4385 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 572:99] + node _T_4386 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 572:132] + reg _T_4387 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4386 : @[Reg.scala 28:19] + _T_4387 <= _T_4385 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4380 @[el2_lsu_bus_buffer.scala 573:12] - buf_sz[1] <= _T_4382 @[el2_lsu_bus_buffer.scala 573:12] - buf_sz[2] <= _T_4384 @[el2_lsu_bus_buffer.scala 573:12] - buf_sz[3] <= _T_4386 @[el2_lsu_bus_buffer.scala 573:12] - node _T_4387 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 574:82] + node _T_4388 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 572:99] + node _T_4389 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 572:132] + reg _T_4390 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4389 : @[Reg.scala 28:19] + _T_4390 <= _T_4388 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4391 = cat(_T_4390, _T_4387) @[Cat.scala 29:58] + node _T_4392 = cat(_T_4391, _T_4384) @[Cat.scala 29:58] + node _T_4393 = cat(_T_4392, _T_4381) @[Cat.scala 29:58] + buf_unsign <= _T_4393 @[el2_lsu_bus_buffer.scala 572:16] + node _T_4394 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 573:97] + node _T_4395 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 573:130] + reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4395 : @[Reg.scala 28:19] + _T_4396 <= _T_4394 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4397 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 573:97] + node _T_4398 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 573:130] + reg _T_4399 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4398 : @[Reg.scala 28:19] + _T_4399 <= _T_4397 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4400 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 573:97] + node _T_4401 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 573:130] + reg _T_4402 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4401 : @[Reg.scala 28:19] + _T_4402 <= _T_4400 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4403 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 573:97] + node _T_4404 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 573:130] + reg _T_4405 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4404 : @[Reg.scala 28:19] + _T_4405 <= _T_4403 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4406 = cat(_T_4405, _T_4402) @[Cat.scala 29:58] + node _T_4407 = cat(_T_4406, _T_4399) @[Cat.scala 29:58] + node _T_4408 = cat(_T_4407, _T_4396) @[Cat.scala 29:58] + buf_write <= _T_4408 @[el2_lsu_bus_buffer.scala 573:15] + node _T_4409 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 574:119] + reg _T_4410 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4409 : @[Reg.scala 28:19] + _T_4410 <= buf_sz_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4411 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 574:119] + reg _T_4412 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4411 : @[Reg.scala 28:19] + _T_4412 <= buf_sz_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4413 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 574:119] + reg _T_4414 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4413 : @[Reg.scala 28:19] + _T_4414 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4415 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 574:119] + reg _T_4416 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4415 : @[Reg.scala 28:19] + _T_4416 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4410 @[el2_lsu_bus_buffer.scala 574:12] + buf_sz[1] <= _T_4412 @[el2_lsu_bus_buffer.scala 574:12] + buf_sz[2] <= _T_4414 @[el2_lsu_bus_buffer.scala 574:12] + buf_sz[3] <= _T_4416 @[el2_lsu_bus_buffer.scala 574:12] + node _T_4417 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 575:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_4.io.en <= _T_4387 @[el2_lib.scala 488:17] + rvclkhdr_4.io.en <= _T_4417 @[el2_lib.scala 488:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4388 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4388 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4389 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 574:82] + reg _T_4418 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4418 <= buf_addr_in[0] @[el2_lib.scala 491:16] + node _T_4419 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 575:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_5.io.en <= _T_4389 @[el2_lib.scala 488:17] + rvclkhdr_5.io.en <= _T_4419 @[el2_lib.scala 488:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4390 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4390 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4391 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 574:82] + reg _T_4420 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4420 <= buf_addr_in[1] @[el2_lib.scala 491:16] + node _T_4421 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 575:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_6.io.en <= _T_4391 @[el2_lib.scala 488:17] + rvclkhdr_6.io.en <= _T_4421 @[el2_lib.scala 488:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4392 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4392 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4393 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 574:82] + reg _T_4422 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4422 <= buf_addr_in[2] @[el2_lib.scala 491:16] + node _T_4423 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 575:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_7.io.en <= _T_4393 @[el2_lib.scala 488:17] + rvclkhdr_7.io.en <= _T_4423 @[el2_lib.scala 488:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4394 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4394 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4388 @[el2_lsu_bus_buffer.scala 574:14] - buf_addr[1] <= _T_4390 @[el2_lsu_bus_buffer.scala 574:14] - buf_addr[2] <= _T_4392 @[el2_lsu_bus_buffer.scala 574:14] - buf_addr[3] <= _T_4394 @[el2_lsu_bus_buffer.scala 574:14] - node _T_4395 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 575:127] - reg _T_4396 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4395 : @[Reg.scala 28:19] - _T_4396 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4424 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4424 <= buf_addr_in[3] @[el2_lib.scala 491:16] + buf_addr[0] <= _T_4418 @[el2_lsu_bus_buffer.scala 575:14] + buf_addr[1] <= _T_4420 @[el2_lsu_bus_buffer.scala 575:14] + buf_addr[2] <= _T_4422 @[el2_lsu_bus_buffer.scala 575:14] + buf_addr[3] <= _T_4424 @[el2_lsu_bus_buffer.scala 575:14] + node _T_4425 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 576:127] + reg _T_4426 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4425 : @[Reg.scala 28:19] + _T_4426 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4397 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 575:127] - reg _T_4398 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4397 : @[Reg.scala 28:19] - _T_4398 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4427 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 576:127] + reg _T_4428 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4427 : @[Reg.scala 28:19] + _T_4428 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4399 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 575:127] - reg _T_4400 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4399 : @[Reg.scala 28:19] - _T_4400 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4429 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 576:127] + reg _T_4430 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4429 : @[Reg.scala 28:19] + _T_4430 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4401 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 575:127] - reg _T_4402 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4401 : @[Reg.scala 28:19] - _T_4402 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4431 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 576:127] + reg _T_4432 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4431 : @[Reg.scala 28:19] + _T_4432 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4396 @[el2_lsu_bus_buffer.scala 575:16] - buf_byteen[1] <= _T_4398 @[el2_lsu_bus_buffer.scala 575:16] - buf_byteen[2] <= _T_4400 @[el2_lsu_bus_buffer.scala 575:16] - buf_byteen[3] <= _T_4402 @[el2_lsu_bus_buffer.scala 575:16] + buf_byteen[0] <= _T_4426 @[el2_lsu_bus_buffer.scala 576:16] + buf_byteen[1] <= _T_4428 @[el2_lsu_bus_buffer.scala 576:16] + buf_byteen[2] <= _T_4430 @[el2_lsu_bus_buffer.scala 576:16] + buf_byteen[3] <= _T_4432 @[el2_lsu_bus_buffer.scala 576:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 488:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4403 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4403 <= buf_data_in[0] @[el2_lib.scala 491:16] + reg _T_4433 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4433 <= buf_data_in[0] @[el2_lib.scala 491:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 488:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4404 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4404 <= buf_data_in[1] @[el2_lib.scala 491:16] + reg _T_4434 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4434 <= buf_data_in[1] @[el2_lib.scala 491:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 488:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4405 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4405 <= buf_data_in[2] @[el2_lib.scala 491:16] + reg _T_4435 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4435 <= buf_data_in[2] @[el2_lib.scala 491:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 488:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4406 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4406 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4403 @[el2_lsu_bus_buffer.scala 576:14] - buf_data[1] <= _T_4404 @[el2_lsu_bus_buffer.scala 576:14] - buf_data[2] <= _T_4405 @[el2_lsu_bus_buffer.scala 576:14] - buf_data[3] <= _T_4406 @[el2_lsu_bus_buffer.scala 576:14] - node _T_4407 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 577:121] - node _T_4408 = mux(buf_error_en[0], UInt<1>("h01"), _T_4407) @[el2_lsu_bus_buffer.scala 577:86] - node _T_4409 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:128] - node _T_4410 = and(_T_4408, _T_4409) @[el2_lsu_bus_buffer.scala 577:126] - reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 577:82] - _T_4411 <= _T_4410 @[el2_lsu_bus_buffer.scala 577:82] - node _T_4412 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 577:121] - node _T_4413 = mux(buf_error_en[1], UInt<1>("h01"), _T_4412) @[el2_lsu_bus_buffer.scala 577:86] - node _T_4414 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:128] - node _T_4415 = and(_T_4413, _T_4414) @[el2_lsu_bus_buffer.scala 577:126] - reg _T_4416 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 577:82] - _T_4416 <= _T_4415 @[el2_lsu_bus_buffer.scala 577:82] - node _T_4417 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 577:121] - node _T_4418 = mux(buf_error_en[2], UInt<1>("h01"), _T_4417) @[el2_lsu_bus_buffer.scala 577:86] - node _T_4419 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:128] - node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 577:126] - reg _T_4421 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 577:82] - _T_4421 <= _T_4420 @[el2_lsu_bus_buffer.scala 577:82] - node _T_4422 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 577:121] - node _T_4423 = mux(buf_error_en[3], UInt<1>("h01"), _T_4422) @[el2_lsu_bus_buffer.scala 577:86] - node _T_4424 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:128] - node _T_4425 = and(_T_4423, _T_4424) @[el2_lsu_bus_buffer.scala 577:126] - reg _T_4426 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 577:82] - _T_4426 <= _T_4425 @[el2_lsu_bus_buffer.scala 577:82] - node _T_4427 = cat(_T_4426, _T_4421) @[Cat.scala 29:58] - node _T_4428 = cat(_T_4427, _T_4416) @[Cat.scala 29:58] - node _T_4429 = cat(_T_4428, _T_4411) @[Cat.scala 29:58] - buf_error <= _T_4429 @[el2_lsu_bus_buffer.scala 577:15] - node _T_4430 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] - node _T_4431 = cat(_T_4430, buf_data_en[1]) @[Cat.scala 29:58] - node _T_4432 = cat(_T_4431, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4432 @[el2_lsu_bus_buffer.scala 578:14] - node _T_4433 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4434 = mux(io.ldst_dual_m, _T_4433, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 580:28] - node _T_4435 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4436 = mux(io.ldst_dual_r, _T_4435, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 580:94] - node _T_4437 = add(_T_4434, _T_4436) @[el2_lsu_bus_buffer.scala 580:88] - node _T_4438 = add(_T_4437, ibuf_valid) @[el2_lsu_bus_buffer.scala 580:154] - node _T_4439 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 580:190] - node _T_4440 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 580:190] - node _T_4441 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 580:190] - node _T_4442 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 580:190] - node _T_4443 = add(_T_4439, _T_4440) @[el2_lsu_bus_buffer.scala 580:217] - node _T_4444 = add(_T_4443, _T_4441) @[el2_lsu_bus_buffer.scala 580:217] - node _T_4445 = add(_T_4444, _T_4442) @[el2_lsu_bus_buffer.scala 580:217] - node _T_4446 = add(_T_4438, _T_4445) @[el2_lsu_bus_buffer.scala 580:169] - node buf_numvld_any = tail(_T_4446, 1) @[el2_lsu_bus_buffer.scala 580:169] - node _T_4447 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 581:60] - node _T_4448 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 581:79] - node _T_4449 = and(_T_4447, _T_4448) @[el2_lsu_bus_buffer.scala 581:64] - node _T_4450 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:91] - node _T_4451 = and(_T_4449, _T_4450) @[el2_lsu_bus_buffer.scala 581:89] - node _T_4452 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 581:60] - node _T_4453 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 581:79] - node _T_4454 = and(_T_4452, _T_4453) @[el2_lsu_bus_buffer.scala 581:64] - node _T_4455 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:91] - node _T_4456 = and(_T_4454, _T_4455) @[el2_lsu_bus_buffer.scala 581:89] - node _T_4457 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 581:60] - node _T_4458 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 581:79] - node _T_4459 = and(_T_4457, _T_4458) @[el2_lsu_bus_buffer.scala 581:64] - node _T_4460 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:91] - node _T_4461 = and(_T_4459, _T_4460) @[el2_lsu_bus_buffer.scala 581:89] - node _T_4462 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 581:60] - node _T_4463 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 581:79] - node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 581:64] - node _T_4465 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:91] - node _T_4466 = and(_T_4464, _T_4465) @[el2_lsu_bus_buffer.scala 581:89] - node _T_4467 = add(_T_4466, _T_4461) @[el2_lsu_bus_buffer.scala 581:142] - node _T_4468 = add(_T_4467, _T_4456) @[el2_lsu_bus_buffer.scala 581:142] - node _T_4469 = add(_T_4468, _T_4451) @[el2_lsu_bus_buffer.scala 581:142] - buf_numvld_wrcmd_any <= _T_4469 @[el2_lsu_bus_buffer.scala 581:24] - node _T_4470 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:63] - node _T_4471 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:75] - node _T_4472 = and(_T_4470, _T_4471) @[el2_lsu_bus_buffer.scala 582:73] - node _T_4473 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:63] - node _T_4474 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:75] - node _T_4475 = and(_T_4473, _T_4474) @[el2_lsu_bus_buffer.scala 582:73] - node _T_4476 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:63] - node _T_4477 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:75] - node _T_4478 = and(_T_4476, _T_4477) @[el2_lsu_bus_buffer.scala 582:73] - node _T_4479 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:63] - node _T_4480 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:75] - node _T_4481 = and(_T_4479, _T_4480) @[el2_lsu_bus_buffer.scala 582:73] - node _T_4482 = add(_T_4481, _T_4478) @[el2_lsu_bus_buffer.scala 582:126] - node _T_4483 = add(_T_4482, _T_4475) @[el2_lsu_bus_buffer.scala 582:126] - node _T_4484 = add(_T_4483, _T_4472) @[el2_lsu_bus_buffer.scala 582:126] - buf_numvld_cmd_any <= _T_4484 @[el2_lsu_bus_buffer.scala 582:22] - node _T_4485 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 583:63] - node _T_4486 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:90] - node _T_4487 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:102] - node _T_4488 = and(_T_4486, _T_4487) @[el2_lsu_bus_buffer.scala 583:100] - node _T_4489 = or(_T_4485, _T_4488) @[el2_lsu_bus_buffer.scala 583:74] - node _T_4490 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 583:63] - node _T_4491 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:90] - node _T_4492 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:102] - node _T_4493 = and(_T_4491, _T_4492) @[el2_lsu_bus_buffer.scala 583:100] - node _T_4494 = or(_T_4490, _T_4493) @[el2_lsu_bus_buffer.scala 583:74] - node _T_4495 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 583:63] - node _T_4496 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:90] - node _T_4497 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:102] - node _T_4498 = and(_T_4496, _T_4497) @[el2_lsu_bus_buffer.scala 583:100] - node _T_4499 = or(_T_4495, _T_4498) @[el2_lsu_bus_buffer.scala 583:74] - node _T_4500 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 583:63] - node _T_4501 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:90] - node _T_4502 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:102] - node _T_4503 = and(_T_4501, _T_4502) @[el2_lsu_bus_buffer.scala 583:100] - node _T_4504 = or(_T_4500, _T_4503) @[el2_lsu_bus_buffer.scala 583:74] - node _T_4505 = add(_T_4504, _T_4499) @[el2_lsu_bus_buffer.scala 583:154] - node _T_4506 = add(_T_4505, _T_4494) @[el2_lsu_bus_buffer.scala 583:154] - node _T_4507 = add(_T_4506, _T_4489) @[el2_lsu_bus_buffer.scala 583:154] - buf_numvld_pend_any <= _T_4507 @[el2_lsu_bus_buffer.scala 583:23] - node _T_4508 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 584:61] - node _T_4509 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 584:61] - node _T_4510 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 584:61] - node _T_4511 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 584:61] - node _T_4512 = or(_T_4511, _T_4510) @[el2_lsu_bus_buffer.scala 584:93] - node _T_4513 = or(_T_4512, _T_4509) @[el2_lsu_bus_buffer.scala 584:93] - node _T_4514 = or(_T_4513, _T_4508) @[el2_lsu_bus_buffer.scala 584:93] - any_done_wait_state <= _T_4514 @[el2_lsu_bus_buffer.scala 584:23] - node _T_4515 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 585:53] - io.lsu_bus_buffer_pend_any <= _T_4515 @[el2_lsu_bus_buffer.scala 585:30] - node _T_4516 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 586:52] - node _T_4517 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 586:92] - node _T_4518 = eq(buf_numvld_any, UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 586:121] - node _T_4519 = mux(_T_4516, _T_4517, _T_4518) @[el2_lsu_bus_buffer.scala 586:36] - io.lsu_bus_buffer_full_any <= _T_4519 @[el2_lsu_bus_buffer.scala 586:30] - node _T_4520 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 587:52] - node _T_4521 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 587:52] - node _T_4522 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 587:52] - node _T_4523 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 587:52] - node _T_4524 = or(_T_4520, _T_4521) @[el2_lsu_bus_buffer.scala 587:65] - node _T_4525 = or(_T_4524, _T_4522) @[el2_lsu_bus_buffer.scala 587:65] - node _T_4526 = or(_T_4525, _T_4523) @[el2_lsu_bus_buffer.scala 587:65] - node _T_4527 = eq(_T_4526, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:34] - node _T_4528 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:72] - node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 587:70] - node _T_4530 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:86] - node _T_4531 = and(_T_4529, _T_4530) @[el2_lsu_bus_buffer.scala 587:84] - io.lsu_bus_buffer_empty_any <= _T_4531 @[el2_lsu_bus_buffer.scala 587:31] - node _T_4532 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 589:51] - node _T_4533 = and(_T_4532, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 589:72] - node _T_4534 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:94] - node _T_4535 = and(_T_4533, _T_4534) @[el2_lsu_bus_buffer.scala 589:92] - node _T_4536 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:111] - node _T_4537 = and(_T_4535, _T_4536) @[el2_lsu_bus_buffer.scala 589:109] - io.lsu_nonblock_load_valid_m <= _T_4537 @[el2_lsu_bus_buffer.scala 589:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 590:30] + reg _T_4436 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4436 <= buf_data_in[3] @[el2_lib.scala 491:16] + buf_data[0] <= _T_4433 @[el2_lsu_bus_buffer.scala 577:14] + buf_data[1] <= _T_4434 @[el2_lsu_bus_buffer.scala 577:14] + buf_data[2] <= _T_4435 @[el2_lsu_bus_buffer.scala 577:14] + buf_data[3] <= _T_4436 @[el2_lsu_bus_buffer.scala 577:14] + node _T_4437 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 578:121] + node _T_4438 = mux(buf_error_en[0], UInt<1>("h01"), _T_4437) @[el2_lsu_bus_buffer.scala 578:86] + node _T_4439 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:128] + node _T_4440 = and(_T_4438, _T_4439) @[el2_lsu_bus_buffer.scala 578:126] + reg _T_4441 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 578:82] + _T_4441 <= _T_4440 @[el2_lsu_bus_buffer.scala 578:82] + node _T_4442 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 578:121] + node _T_4443 = mux(buf_error_en[1], UInt<1>("h01"), _T_4442) @[el2_lsu_bus_buffer.scala 578:86] + node _T_4444 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:128] + node _T_4445 = and(_T_4443, _T_4444) @[el2_lsu_bus_buffer.scala 578:126] + reg _T_4446 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 578:82] + _T_4446 <= _T_4445 @[el2_lsu_bus_buffer.scala 578:82] + node _T_4447 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 578:121] + node _T_4448 = mux(buf_error_en[2], UInt<1>("h01"), _T_4447) @[el2_lsu_bus_buffer.scala 578:86] + node _T_4449 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:128] + node _T_4450 = and(_T_4448, _T_4449) @[el2_lsu_bus_buffer.scala 578:126] + reg _T_4451 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 578:82] + _T_4451 <= _T_4450 @[el2_lsu_bus_buffer.scala 578:82] + node _T_4452 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 578:121] + node _T_4453 = mux(buf_error_en[3], UInt<1>("h01"), _T_4452) @[el2_lsu_bus_buffer.scala 578:86] + node _T_4454 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:128] + node _T_4455 = and(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 578:126] + reg _T_4456 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 578:82] + _T_4456 <= _T_4455 @[el2_lsu_bus_buffer.scala 578:82] + node _T_4457 = cat(_T_4456, _T_4451) @[Cat.scala 29:58] + node _T_4458 = cat(_T_4457, _T_4446) @[Cat.scala 29:58] + node _T_4459 = cat(_T_4458, _T_4441) @[Cat.scala 29:58] + buf_error <= _T_4459 @[el2_lsu_bus_buffer.scala 578:15] + node _T_4460 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] + node _T_4461 = cat(_T_4460, buf_data_en[1]) @[Cat.scala 29:58] + node _T_4462 = cat(_T_4461, buf_data_en[0]) @[Cat.scala 29:58] + io.data_en <= _T_4462 @[el2_lsu_bus_buffer.scala 579:14] + node _T_4463 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4464 = mux(io.ldst_dual_m, _T_4463, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 581:28] + node _T_4465 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4466 = mux(io.ldst_dual_r, _T_4465, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 581:94] + node _T_4467 = add(_T_4464, _T_4466) @[el2_lsu_bus_buffer.scala 581:88] + node _T_4468 = add(_T_4467, ibuf_valid) @[el2_lsu_bus_buffer.scala 581:154] + node _T_4469 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 581:190] + node _T_4470 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 581:190] + node _T_4471 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 581:190] + node _T_4472 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 581:190] + node _T_4473 = add(_T_4469, _T_4470) @[el2_lsu_bus_buffer.scala 581:217] + node _T_4474 = add(_T_4473, _T_4471) @[el2_lsu_bus_buffer.scala 581:217] + node _T_4475 = add(_T_4474, _T_4472) @[el2_lsu_bus_buffer.scala 581:217] + node _T_4476 = add(_T_4468, _T_4475) @[el2_lsu_bus_buffer.scala 581:169] + node buf_numvld_any = tail(_T_4476, 1) @[el2_lsu_bus_buffer.scala 581:169] + node _T_4477 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 582:60] + node _T_4478 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:79] + node _T_4479 = and(_T_4477, _T_4478) @[el2_lsu_bus_buffer.scala 582:64] + node _T_4480 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:91] + node _T_4481 = and(_T_4479, _T_4480) @[el2_lsu_bus_buffer.scala 582:89] + node _T_4482 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 582:60] + node _T_4483 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:79] + node _T_4484 = and(_T_4482, _T_4483) @[el2_lsu_bus_buffer.scala 582:64] + node _T_4485 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:91] + node _T_4486 = and(_T_4484, _T_4485) @[el2_lsu_bus_buffer.scala 582:89] + node _T_4487 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 582:60] + node _T_4488 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:79] + node _T_4489 = and(_T_4487, _T_4488) @[el2_lsu_bus_buffer.scala 582:64] + node _T_4490 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:91] + node _T_4491 = and(_T_4489, _T_4490) @[el2_lsu_bus_buffer.scala 582:89] + node _T_4492 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 582:60] + node _T_4493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:79] + node _T_4494 = and(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 582:64] + node _T_4495 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:91] + node _T_4496 = and(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 582:89] + node _T_4497 = add(_T_4496, _T_4491) @[el2_lsu_bus_buffer.scala 582:142] + node _T_4498 = add(_T_4497, _T_4486) @[el2_lsu_bus_buffer.scala 582:142] + node _T_4499 = add(_T_4498, _T_4481) @[el2_lsu_bus_buffer.scala 582:142] + buf_numvld_wrcmd_any <= _T_4499 @[el2_lsu_bus_buffer.scala 582:24] + node _T_4500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4501 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:75] + node _T_4502 = and(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 583:73] + node _T_4503 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4504 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:75] + node _T_4505 = and(_T_4503, _T_4504) @[el2_lsu_bus_buffer.scala 583:73] + node _T_4506 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4507 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:75] + node _T_4508 = and(_T_4506, _T_4507) @[el2_lsu_bus_buffer.scala 583:73] + node _T_4509 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4510 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:75] + node _T_4511 = and(_T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 583:73] + node _T_4512 = add(_T_4511, _T_4508) @[el2_lsu_bus_buffer.scala 583:126] + node _T_4513 = add(_T_4512, _T_4505) @[el2_lsu_bus_buffer.scala 583:126] + node _T_4514 = add(_T_4513, _T_4502) @[el2_lsu_bus_buffer.scala 583:126] + buf_numvld_cmd_any <= _T_4514 @[el2_lsu_bus_buffer.scala 583:22] + node _T_4515 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 584:63] + node _T_4516 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:90] + node _T_4517 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:102] + node _T_4518 = and(_T_4516, _T_4517) @[el2_lsu_bus_buffer.scala 584:100] + node _T_4519 = or(_T_4515, _T_4518) @[el2_lsu_bus_buffer.scala 584:74] + node _T_4520 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 584:63] + node _T_4521 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:90] + node _T_4522 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:102] + node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 584:100] + node _T_4524 = or(_T_4520, _T_4523) @[el2_lsu_bus_buffer.scala 584:74] + node _T_4525 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 584:63] + node _T_4526 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:90] + node _T_4527 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:102] + node _T_4528 = and(_T_4526, _T_4527) @[el2_lsu_bus_buffer.scala 584:100] + node _T_4529 = or(_T_4525, _T_4528) @[el2_lsu_bus_buffer.scala 584:74] + node _T_4530 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 584:63] + node _T_4531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:90] + node _T_4532 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:102] + node _T_4533 = and(_T_4531, _T_4532) @[el2_lsu_bus_buffer.scala 584:100] + node _T_4534 = or(_T_4530, _T_4533) @[el2_lsu_bus_buffer.scala 584:74] + node _T_4535 = add(_T_4534, _T_4529) @[el2_lsu_bus_buffer.scala 584:154] + node _T_4536 = add(_T_4535, _T_4524) @[el2_lsu_bus_buffer.scala 584:154] + node _T_4537 = add(_T_4536, _T_4519) @[el2_lsu_bus_buffer.scala 584:154] + buf_numvld_pend_any <= _T_4537 @[el2_lsu_bus_buffer.scala 584:23] + node _T_4538 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 585:61] + node _T_4539 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 585:61] + node _T_4540 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 585:61] + node _T_4541 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 585:61] + node _T_4542 = or(_T_4541, _T_4540) @[el2_lsu_bus_buffer.scala 585:93] + node _T_4543 = or(_T_4542, _T_4539) @[el2_lsu_bus_buffer.scala 585:93] + node _T_4544 = or(_T_4543, _T_4538) @[el2_lsu_bus_buffer.scala 585:93] + any_done_wait_state <= _T_4544 @[el2_lsu_bus_buffer.scala 585:23] + node _T_4545 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 586:53] + io.lsu_bus_buffer_pend_any <= _T_4545 @[el2_lsu_bus_buffer.scala 586:30] + node _T_4546 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 587:52] + node _T_4547 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 587:92] + node _T_4548 = eq(buf_numvld_any, UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 587:121] + node _T_4549 = mux(_T_4546, _T_4547, _T_4548) @[el2_lsu_bus_buffer.scala 587:36] + io.lsu_bus_buffer_full_any <= _T_4549 @[el2_lsu_bus_buffer.scala 587:30] + node _T_4550 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 588:52] + node _T_4551 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 588:52] + node _T_4552 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 588:52] + node _T_4553 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 588:52] + node _T_4554 = or(_T_4550, _T_4551) @[el2_lsu_bus_buffer.scala 588:65] + node _T_4555 = or(_T_4554, _T_4552) @[el2_lsu_bus_buffer.scala 588:65] + node _T_4556 = or(_T_4555, _T_4553) @[el2_lsu_bus_buffer.scala 588:65] + node _T_4557 = eq(_T_4556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:34] + node _T_4558 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:72] + node _T_4559 = and(_T_4557, _T_4558) @[el2_lsu_bus_buffer.scala 588:70] + node _T_4560 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:86] + node _T_4561 = and(_T_4559, _T_4560) @[el2_lsu_bus_buffer.scala 588:84] + io.lsu_bus_buffer_empty_any <= _T_4561 @[el2_lsu_bus_buffer.scala 588:31] + node _T_4562 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 590:51] + node _T_4563 = and(_T_4562, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 590:72] + node _T_4564 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:94] + node _T_4565 = and(_T_4563, _T_4564) @[el2_lsu_bus_buffer.scala 590:92] + node _T_4566 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:111] + node _T_4567 = and(_T_4565, _T_4566) @[el2_lsu_bus_buffer.scala 590:109] + io.lsu_nonblock_load_valid_m <= _T_4567 @[el2_lsu_bus_buffer.scala 590:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 591:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4538 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:61] - node _T_4539 = and(lsu_nonblock_load_valid_r, _T_4538) @[el2_lsu_bus_buffer.scala 592:59] - io.lsu_nonblock_load_inv_r <= _T_4539 @[el2_lsu_bus_buffer.scala 592:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 593:34] - node _T_4540 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 594:80] - node _T_4541 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 594:127] - node _T_4542 = and(UInt<1>("h01"), _T_4541) @[el2_lsu_bus_buffer.scala 594:116] - node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:95] - node _T_4544 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 594:80] - node _T_4545 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 594:127] - node _T_4546 = and(UInt<1>("h01"), _T_4545) @[el2_lsu_bus_buffer.scala 594:116] - node _T_4547 = eq(_T_4546, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:95] - node _T_4548 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 594:80] - node _T_4549 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 594:127] - node _T_4550 = and(UInt<1>("h01"), _T_4549) @[el2_lsu_bus_buffer.scala 594:116] - node _T_4551 = eq(_T_4550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:95] - node _T_4552 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 594:80] - node _T_4553 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 594:127] - node _T_4554 = and(UInt<1>("h01"), _T_4553) @[el2_lsu_bus_buffer.scala 594:116] - node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:95] - node _T_4556 = mux(_T_4540, _T_4543, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4557 = mux(_T_4544, _T_4547, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4558 = mux(_T_4548, _T_4551, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4559 = mux(_T_4552, _T_4555, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4560 = or(_T_4556, _T_4557) @[Mux.scala 27:72] - node _T_4561 = or(_T_4560, _T_4558) @[Mux.scala 27:72] - node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] + node _T_4568 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 593:61] + node _T_4569 = and(lsu_nonblock_load_valid_r, _T_4568) @[el2_lsu_bus_buffer.scala 593:59] + io.lsu_nonblock_load_inv_r <= _T_4569 @[el2_lsu_bus_buffer.scala 593:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 594:34] + node _T_4570 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4571 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 595:127] + node _T_4572 = and(UInt<1>("h01"), _T_4571) @[el2_lsu_bus_buffer.scala 595:116] + node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:95] + node _T_4574 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4575 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 595:127] + node _T_4576 = and(UInt<1>("h01"), _T_4575) @[el2_lsu_bus_buffer.scala 595:116] + node _T_4577 = eq(_T_4576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:95] + node _T_4578 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4579 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 595:127] + node _T_4580 = and(UInt<1>("h01"), _T_4579) @[el2_lsu_bus_buffer.scala 595:116] + node _T_4581 = eq(_T_4580, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:95] + node _T_4582 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4583 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 595:127] + node _T_4584 = and(UInt<1>("h01"), _T_4583) @[el2_lsu_bus_buffer.scala 595:116] + node _T_4585 = eq(_T_4584, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:95] + node _T_4586 = mux(_T_4570, _T_4573, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4587 = mux(_T_4574, _T_4577, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4588 = mux(_T_4578, _T_4581, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4589 = mux(_T_4582, _T_4585, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4590 = or(_T_4586, _T_4587) @[Mux.scala 27:72] + node _T_4591 = or(_T_4590, _T_4588) @[Mux.scala 27:72] + node _T_4592 = or(_T_4591, _T_4589) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4562 @[Mux.scala 27:72] - node _T_4563 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] - node _T_4564 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 595:104] - node _T_4565 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 595:120] - node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:110] - node _T_4567 = and(_T_4564, _T_4566) @[el2_lsu_bus_buffer.scala 595:108] - node _T_4568 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] - node _T_4569 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 595:104] - node _T_4570 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 595:120] - node _T_4571 = eq(_T_4570, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:110] - node _T_4572 = and(_T_4569, _T_4571) @[el2_lsu_bus_buffer.scala 595:108] - node _T_4573 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] - node _T_4574 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 595:104] - node _T_4575 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 595:120] - node _T_4576 = eq(_T_4575, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:110] - node _T_4577 = and(_T_4574, _T_4576) @[el2_lsu_bus_buffer.scala 595:108] - node _T_4578 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] - node _T_4579 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 595:104] - node _T_4580 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 595:120] - node _T_4581 = eq(_T_4580, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:110] - node _T_4582 = and(_T_4579, _T_4581) @[el2_lsu_bus_buffer.scala 595:108] - node _T_4583 = mux(_T_4563, _T_4567, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4584 = mux(_T_4568, _T_4572, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4585 = mux(_T_4573, _T_4577, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4586 = mux(_T_4578, _T_4582, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4587 = or(_T_4583, _T_4584) @[Mux.scala 27:72] - node _T_4588 = or(_T_4587, _T_4585) @[Mux.scala 27:72] - node _T_4589 = or(_T_4588, _T_4586) @[Mux.scala 27:72] - wire _T_4590 : UInt<1> @[Mux.scala 27:72] - _T_4590 <= _T_4589 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4590 @[el2_lsu_bus_buffer.scala 595:35] - node _T_4591 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:79] - node _T_4592 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 596:102] - node _T_4593 = eq(_T_4592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:92] - node _T_4594 = and(_T_4591, _T_4593) @[el2_lsu_bus_buffer.scala 596:90] - node _T_4595 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:109] - node _T_4596 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:124] - node _T_4597 = or(_T_4595, _T_4596) @[el2_lsu_bus_buffer.scala 596:122] - node _T_4598 = and(_T_4594, _T_4597) @[el2_lsu_bus_buffer.scala 596:106] - node _T_4599 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:79] - node _T_4600 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 596:102] - node _T_4601 = eq(_T_4600, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:92] - node _T_4602 = and(_T_4599, _T_4601) @[el2_lsu_bus_buffer.scala 596:90] - node _T_4603 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:109] - node _T_4604 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:124] - node _T_4605 = or(_T_4603, _T_4604) @[el2_lsu_bus_buffer.scala 596:122] - node _T_4606 = and(_T_4602, _T_4605) @[el2_lsu_bus_buffer.scala 596:106] - node _T_4607 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:79] - node _T_4608 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 596:102] - node _T_4609 = eq(_T_4608, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:92] - node _T_4610 = and(_T_4607, _T_4609) @[el2_lsu_bus_buffer.scala 596:90] - node _T_4611 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:109] - node _T_4612 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:124] - node _T_4613 = or(_T_4611, _T_4612) @[el2_lsu_bus_buffer.scala 596:122] - node _T_4614 = and(_T_4610, _T_4613) @[el2_lsu_bus_buffer.scala 596:106] - node _T_4615 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:79] - node _T_4616 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 596:102] - node _T_4617 = eq(_T_4616, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:92] - node _T_4618 = and(_T_4615, _T_4617) @[el2_lsu_bus_buffer.scala 596:90] - node _T_4619 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:109] - node _T_4620 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:124] - node _T_4621 = or(_T_4619, _T_4620) @[el2_lsu_bus_buffer.scala 596:122] - node _T_4622 = and(_T_4618, _T_4621) @[el2_lsu_bus_buffer.scala 596:106] - node _T_4623 = mux(_T_4598, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4624 = mux(_T_4606, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4625 = mux(_T_4614, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4626 = mux(_T_4622, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4627 = or(_T_4623, _T_4624) @[Mux.scala 27:72] - node _T_4628 = or(_T_4627, _T_4625) @[Mux.scala 27:72] - node _T_4629 = or(_T_4628, _T_4626) @[Mux.scala 27:72] - wire _T_4630 : UInt<2> @[Mux.scala 27:72] - _T_4630 <= _T_4629 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4630 @[el2_lsu_bus_buffer.scala 596:33] - node _T_4631 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:78] - node _T_4632 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 597:101] - node _T_4633 = eq(_T_4632, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:91] - node _T_4634 = and(_T_4631, _T_4633) @[el2_lsu_bus_buffer.scala 597:89] - node _T_4635 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:108] - node _T_4636 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:123] - node _T_4637 = or(_T_4635, _T_4636) @[el2_lsu_bus_buffer.scala 597:121] - node _T_4638 = and(_T_4634, _T_4637) @[el2_lsu_bus_buffer.scala 597:105] - node _T_4639 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:78] - node _T_4640 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 597:101] - node _T_4641 = eq(_T_4640, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:91] - node _T_4642 = and(_T_4639, _T_4641) @[el2_lsu_bus_buffer.scala 597:89] - node _T_4643 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:108] - node _T_4644 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:123] - node _T_4645 = or(_T_4643, _T_4644) @[el2_lsu_bus_buffer.scala 597:121] - node _T_4646 = and(_T_4642, _T_4645) @[el2_lsu_bus_buffer.scala 597:105] - node _T_4647 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:78] - node _T_4648 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 597:101] - node _T_4649 = eq(_T_4648, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:91] - node _T_4650 = and(_T_4647, _T_4649) @[el2_lsu_bus_buffer.scala 597:89] - node _T_4651 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:108] - node _T_4652 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:123] - node _T_4653 = or(_T_4651, _T_4652) @[el2_lsu_bus_buffer.scala 597:121] - node _T_4654 = and(_T_4650, _T_4653) @[el2_lsu_bus_buffer.scala 597:105] - node _T_4655 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:78] - node _T_4656 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 597:101] - node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:91] - node _T_4658 = and(_T_4655, _T_4657) @[el2_lsu_bus_buffer.scala 597:89] - node _T_4659 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:108] - node _T_4660 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:123] - node _T_4661 = or(_T_4659, _T_4660) @[el2_lsu_bus_buffer.scala 597:121] - node _T_4662 = and(_T_4658, _T_4661) @[el2_lsu_bus_buffer.scala 597:105] - node _T_4663 = mux(_T_4638, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4664 = mux(_T_4646, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4665 = mux(_T_4654, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4666 = mux(_T_4662, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4667 = or(_T_4663, _T_4664) @[Mux.scala 27:72] - node _T_4668 = or(_T_4667, _T_4665) @[Mux.scala 27:72] - node _T_4669 = or(_T_4668, _T_4666) @[Mux.scala 27:72] - wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4669 @[Mux.scala 27:72] - node _T_4670 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] - node _T_4671 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 598:101] - node _T_4672 = eq(_T_4671, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] - node _T_4673 = and(_T_4670, _T_4672) @[el2_lsu_bus_buffer.scala 598:89] - node _T_4674 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 598:120] - node _T_4675 = and(_T_4673, _T_4674) @[el2_lsu_bus_buffer.scala 598:105] - node _T_4676 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] - node _T_4677 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 598:101] - node _T_4678 = eq(_T_4677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] - node _T_4679 = and(_T_4676, _T_4678) @[el2_lsu_bus_buffer.scala 598:89] - node _T_4680 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 598:120] - node _T_4681 = and(_T_4679, _T_4680) @[el2_lsu_bus_buffer.scala 598:105] - node _T_4682 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] - node _T_4683 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 598:101] - node _T_4684 = eq(_T_4683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] - node _T_4685 = and(_T_4682, _T_4684) @[el2_lsu_bus_buffer.scala 598:89] - node _T_4686 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 598:120] - node _T_4687 = and(_T_4685, _T_4686) @[el2_lsu_bus_buffer.scala 598:105] - node _T_4688 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] - node _T_4689 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 598:101] - node _T_4690 = eq(_T_4689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] - node _T_4691 = and(_T_4688, _T_4690) @[el2_lsu_bus_buffer.scala 598:89] - node _T_4692 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 598:120] - node _T_4693 = and(_T_4691, _T_4692) @[el2_lsu_bus_buffer.scala 598:105] - node _T_4694 = mux(_T_4675, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4695 = mux(_T_4681, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4696 = mux(_T_4687, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4697 = mux(_T_4693, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4698 = or(_T_4694, _T_4695) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4592 @[Mux.scala 27:72] + node _T_4593 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] + node _T_4594 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 596:104] + node _T_4595 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 596:120] + node _T_4596 = eq(_T_4595, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:110] + node _T_4597 = and(_T_4594, _T_4596) @[el2_lsu_bus_buffer.scala 596:108] + node _T_4598 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] + node _T_4599 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 596:104] + node _T_4600 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 596:120] + node _T_4601 = eq(_T_4600, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:110] + node _T_4602 = and(_T_4599, _T_4601) @[el2_lsu_bus_buffer.scala 596:108] + node _T_4603 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] + node _T_4604 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 596:104] + node _T_4605 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 596:120] + node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:110] + node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 596:108] + node _T_4608 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] + node _T_4609 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 596:104] + node _T_4610 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 596:120] + node _T_4611 = eq(_T_4610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:110] + node _T_4612 = and(_T_4609, _T_4611) @[el2_lsu_bus_buffer.scala 596:108] + node _T_4613 = mux(_T_4593, _T_4597, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4614 = mux(_T_4598, _T_4602, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4615 = mux(_T_4603, _T_4607, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4616 = mux(_T_4608, _T_4612, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4617 = or(_T_4613, _T_4614) @[Mux.scala 27:72] + node _T_4618 = or(_T_4617, _T_4615) @[Mux.scala 27:72] + node _T_4619 = or(_T_4618, _T_4616) @[Mux.scala 27:72] + wire _T_4620 : UInt<1> @[Mux.scala 27:72] + _T_4620 <= _T_4619 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4620 @[el2_lsu_bus_buffer.scala 596:35] + node _T_4621 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:79] + node _T_4622 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 597:102] + node _T_4623 = eq(_T_4622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:92] + node _T_4624 = and(_T_4621, _T_4623) @[el2_lsu_bus_buffer.scala 597:90] + node _T_4625 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:109] + node _T_4626 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:124] + node _T_4627 = or(_T_4625, _T_4626) @[el2_lsu_bus_buffer.scala 597:122] + node _T_4628 = and(_T_4624, _T_4627) @[el2_lsu_bus_buffer.scala 597:106] + node _T_4629 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:79] + node _T_4630 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 597:102] + node _T_4631 = eq(_T_4630, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:92] + node _T_4632 = and(_T_4629, _T_4631) @[el2_lsu_bus_buffer.scala 597:90] + node _T_4633 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:109] + node _T_4634 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:124] + node _T_4635 = or(_T_4633, _T_4634) @[el2_lsu_bus_buffer.scala 597:122] + node _T_4636 = and(_T_4632, _T_4635) @[el2_lsu_bus_buffer.scala 597:106] + node _T_4637 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:79] + node _T_4638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 597:102] + node _T_4639 = eq(_T_4638, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:92] + node _T_4640 = and(_T_4637, _T_4639) @[el2_lsu_bus_buffer.scala 597:90] + node _T_4641 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:109] + node _T_4642 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:124] + node _T_4643 = or(_T_4641, _T_4642) @[el2_lsu_bus_buffer.scala 597:122] + node _T_4644 = and(_T_4640, _T_4643) @[el2_lsu_bus_buffer.scala 597:106] + node _T_4645 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:79] + node _T_4646 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 597:102] + node _T_4647 = eq(_T_4646, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:92] + node _T_4648 = and(_T_4645, _T_4647) @[el2_lsu_bus_buffer.scala 597:90] + node _T_4649 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:109] + node _T_4650 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:124] + node _T_4651 = or(_T_4649, _T_4650) @[el2_lsu_bus_buffer.scala 597:122] + node _T_4652 = and(_T_4648, _T_4651) @[el2_lsu_bus_buffer.scala 597:106] + node _T_4653 = mux(_T_4628, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4654 = mux(_T_4636, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4655 = mux(_T_4644, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4656 = mux(_T_4652, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4657 = or(_T_4653, _T_4654) @[Mux.scala 27:72] + node _T_4658 = or(_T_4657, _T_4655) @[Mux.scala 27:72] + node _T_4659 = or(_T_4658, _T_4656) @[Mux.scala 27:72] + wire _T_4660 : UInt<2> @[Mux.scala 27:72] + _T_4660 <= _T_4659 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4660 @[el2_lsu_bus_buffer.scala 597:33] + node _T_4661 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4662 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4664 = and(_T_4661, _T_4663) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4665 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:108] + node _T_4666 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:123] + node _T_4667 = or(_T_4665, _T_4666) @[el2_lsu_bus_buffer.scala 598:121] + node _T_4668 = and(_T_4664, _T_4667) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4669 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4670 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4671 = eq(_T_4670, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4672 = and(_T_4669, _T_4671) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4673 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:108] + node _T_4674 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:123] + node _T_4675 = or(_T_4673, _T_4674) @[el2_lsu_bus_buffer.scala 598:121] + node _T_4676 = and(_T_4672, _T_4675) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4677 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4678 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4679 = eq(_T_4678, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4680 = and(_T_4677, _T_4679) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4681 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:108] + node _T_4682 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:123] + node _T_4683 = or(_T_4681, _T_4682) @[el2_lsu_bus_buffer.scala 598:121] + node _T_4684 = and(_T_4680, _T_4683) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4685 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4686 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4687 = eq(_T_4686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4688 = and(_T_4685, _T_4687) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4689 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:108] + node _T_4690 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:123] + node _T_4691 = or(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 598:121] + node _T_4692 = and(_T_4688, _T_4691) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4693 = mux(_T_4668, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4694 = mux(_T_4676, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4695 = mux(_T_4684, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4696 = mux(_T_4692, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4697 = or(_T_4693, _T_4694) @[Mux.scala 27:72] + node _T_4698 = or(_T_4697, _T_4695) @[Mux.scala 27:72] node _T_4699 = or(_T_4698, _T_4696) @[Mux.scala 27:72] - node _T_4700 = or(_T_4699, _T_4697) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4699 @[Mux.scala 27:72] + node _T_4700 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] + node _T_4701 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 599:101] + node _T_4702 = eq(_T_4701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] + node _T_4703 = and(_T_4700, _T_4702) @[el2_lsu_bus_buffer.scala 599:89] + node _T_4704 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 599:120] + node _T_4705 = and(_T_4703, _T_4704) @[el2_lsu_bus_buffer.scala 599:105] + node _T_4706 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] + node _T_4707 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 599:101] + node _T_4708 = eq(_T_4707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] + node _T_4709 = and(_T_4706, _T_4708) @[el2_lsu_bus_buffer.scala 599:89] + node _T_4710 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 599:120] + node _T_4711 = and(_T_4709, _T_4710) @[el2_lsu_bus_buffer.scala 599:105] + node _T_4712 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] + node _T_4713 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 599:101] + node _T_4714 = eq(_T_4713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] + node _T_4715 = and(_T_4712, _T_4714) @[el2_lsu_bus_buffer.scala 599:89] + node _T_4716 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 599:120] + node _T_4717 = and(_T_4715, _T_4716) @[el2_lsu_bus_buffer.scala 599:105] + node _T_4718 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] + node _T_4719 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 599:101] + node _T_4720 = eq(_T_4719, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] + node _T_4721 = and(_T_4718, _T_4720) @[el2_lsu_bus_buffer.scala 599:89] + node _T_4722 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 599:120] + node _T_4723 = and(_T_4721, _T_4722) @[el2_lsu_bus_buffer.scala 599:105] + node _T_4724 = mux(_T_4705, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4725 = mux(_T_4711, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4726 = mux(_T_4717, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4727 = mux(_T_4723, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4728 = or(_T_4724, _T_4725) @[Mux.scala 27:72] + node _T_4729 = or(_T_4728, _T_4726) @[Mux.scala 27:72] + node _T_4730 = or(_T_4729, _T_4727) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4700 @[Mux.scala 27:72] - node _T_4701 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4702 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4703 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4704 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4705 = mux(_T_4701, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4706 = mux(_T_4702, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4707 = mux(_T_4703, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4708 = mux(_T_4704, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4709 = or(_T_4705, _T_4706) @[Mux.scala 27:72] - node _T_4710 = or(_T_4709, _T_4707) @[Mux.scala 27:72] - node _T_4711 = or(_T_4710, _T_4708) @[Mux.scala 27:72] - wire _T_4712 : UInt<32> @[Mux.scala 27:72] - _T_4712 <= _T_4711 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4712, 1, 0) @[el2_lsu_bus_buffer.scala 599:83] - node _T_4713 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4714 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4715 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4716 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4717 = mux(_T_4713, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4718 = mux(_T_4714, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4719 = mux(_T_4715, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4720 = mux(_T_4716, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4721 = or(_T_4717, _T_4718) @[Mux.scala 27:72] - node _T_4722 = or(_T_4721, _T_4719) @[Mux.scala 27:72] - node _T_4723 = or(_T_4722, _T_4720) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4730 @[Mux.scala 27:72] + node _T_4731 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4732 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4733 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4734 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4735 = mux(_T_4731, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4736 = mux(_T_4732, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4737 = mux(_T_4733, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4738 = mux(_T_4734, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4739 = or(_T_4735, _T_4736) @[Mux.scala 27:72] + node _T_4740 = or(_T_4739, _T_4737) @[Mux.scala 27:72] + node _T_4741 = or(_T_4740, _T_4738) @[Mux.scala 27:72] + wire _T_4742 : UInt<32> @[Mux.scala 27:72] + _T_4742 <= _T_4741 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4742, 1, 0) @[el2_lsu_bus_buffer.scala 600:83] + node _T_4743 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4744 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4745 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4746 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4747 = mux(_T_4743, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4748 = mux(_T_4744, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4749 = mux(_T_4745, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4750 = mux(_T_4746, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4751 = or(_T_4747, _T_4748) @[Mux.scala 27:72] + node _T_4752 = or(_T_4751, _T_4749) @[Mux.scala 27:72] + node _T_4753 = or(_T_4752, _T_4750) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4723 @[Mux.scala 27:72] - node _T_4724 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4725 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4726 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4727 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4728 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4729 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4730 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4731 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4732 = mux(_T_4724, _T_4725, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4733 = mux(_T_4726, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4734 = mux(_T_4728, _T_4729, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4735 = mux(_T_4730, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4736 = or(_T_4732, _T_4733) @[Mux.scala 27:72] - node _T_4737 = or(_T_4736, _T_4734) @[Mux.scala 27:72] - node _T_4738 = or(_T_4737, _T_4735) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4753 @[Mux.scala 27:72] + node _T_4754 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4755 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4756 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4757 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4758 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4759 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4760 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4761 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4762 = mux(_T_4754, _T_4755, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4763 = mux(_T_4756, _T_4757, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4764 = mux(_T_4758, _T_4759, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4765 = mux(_T_4760, _T_4761, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4766 = or(_T_4762, _T_4763) @[Mux.scala 27:72] + node _T_4767 = or(_T_4766, _T_4764) @[Mux.scala 27:72] + node _T_4768 = or(_T_4767, _T_4765) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4738 @[Mux.scala 27:72] - node _T_4739 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4740 = cat(_T_4739, buf_dual[1]) @[Cat.scala 29:58] - node _T_4741 = cat(_T_4740, buf_dual[0]) @[Cat.scala 29:58] - node _T_4742 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4743 = bits(_T_4741, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4744 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4745 = bits(_T_4741, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4746 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4747 = bits(_T_4741, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4748 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4749 = bits(_T_4741, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4750 = mux(_T_4742, _T_4743, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4751 = mux(_T_4744, _T_4745, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4752 = mux(_T_4746, _T_4747, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4753 = mux(_T_4748, _T_4749, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4754 = or(_T_4750, _T_4751) @[Mux.scala 27:72] - node _T_4755 = or(_T_4754, _T_4752) @[Mux.scala 27:72] - node _T_4756 = or(_T_4755, _T_4753) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4768 @[Mux.scala 27:72] + node _T_4769 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4770 = cat(_T_4769, buf_dual[1]) @[Cat.scala 29:58] + node _T_4771 = cat(_T_4770, buf_dual[0]) @[Cat.scala 29:58] + node _T_4772 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4773 = bits(_T_4771, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4774 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4775 = bits(_T_4771, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4776 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4777 = bits(_T_4771, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4778 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4779 = bits(_T_4771, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4780 = mux(_T_4772, _T_4773, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4781 = mux(_T_4774, _T_4775, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4782 = mux(_T_4776, _T_4777, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4783 = mux(_T_4778, _T_4779, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4784 = or(_T_4780, _T_4781) @[Mux.scala 27:72] + node _T_4785 = or(_T_4784, _T_4782) @[Mux.scala 27:72] + node _T_4786 = or(_T_4785, _T_4783) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4756 @[Mux.scala 27:72] - node _T_4757 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4758 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 603:121] - node lsu_nonblock_data_unalgn = dshr(_T_4757, _T_4758) @[el2_lsu_bus_buffer.scala 603:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 604:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 605:14] - node _T_4759 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:69] - node _T_4760 = and(lsu_nonblock_load_data_ready, _T_4759) @[el2_lsu_bus_buffer.scala 606:67] - io.lsu_nonblock_load_data_valid <= _T_4760 @[el2_lsu_bus_buffer.scala 606:35] - node _T_4761 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:81] - node _T_4762 = and(lsu_nonblock_unsign, _T_4761) @[el2_lsu_bus_buffer.scala 607:63] - node _T_4763 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 607:131] - node _T_4764 = cat(UInt<24>("h00"), _T_4763) @[Cat.scala 29:58] - node _T_4765 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 608:45] - node _T_4766 = and(lsu_nonblock_unsign, _T_4765) @[el2_lsu_bus_buffer.scala 608:26] - node _T_4767 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 608:95] - node _T_4768 = cat(UInt<16>("h00"), _T_4767) @[Cat.scala 29:58] - node _T_4769 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 609:6] - node _T_4770 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 609:45] - node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 609:27] - node _T_4772 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 609:93] - node _T_4773 = bits(_T_4772, 0, 0) @[Bitwise.scala 72:15] - node _T_4774 = mux(_T_4773, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4775 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 609:123] - node _T_4776 = cat(_T_4774, _T_4775) @[Cat.scala 29:58] - node _T_4777 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 610:6] - node _T_4778 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 610:45] - node _T_4779 = and(_T_4777, _T_4778) @[el2_lsu_bus_buffer.scala 610:27] - node _T_4780 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 610:93] - node _T_4781 = bits(_T_4780, 0, 0) @[Bitwise.scala 72:15] - node _T_4782 = mux(_T_4781, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4783 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 610:124] - node _T_4784 = cat(_T_4782, _T_4783) @[Cat.scala 29:58] - node _T_4785 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 611:21] - node _T_4786 = mux(_T_4762, _T_4764, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4787 = mux(_T_4766, _T_4768, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4788 = mux(_T_4771, _T_4776, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4789 = mux(_T_4779, _T_4784, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4790 = mux(_T_4785, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4791 = or(_T_4786, _T_4787) @[Mux.scala 27:72] - node _T_4792 = or(_T_4791, _T_4788) @[Mux.scala 27:72] - node _T_4793 = or(_T_4792, _T_4789) @[Mux.scala 27:72] - node _T_4794 = or(_T_4793, _T_4790) @[Mux.scala 27:72] - wire _T_4795 : UInt<64> @[Mux.scala 27:72] - _T_4795 <= _T_4794 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4795 @[el2_lsu_bus_buffer.scala 607:29] - node _T_4796 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 612:62] - node _T_4797 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 612:89] - node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 612:73] - node _T_4799 = and(_T_4798, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 612:93] - node _T_4800 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 612:62] - node _T_4801 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 612:89] - node _T_4802 = and(_T_4800, _T_4801) @[el2_lsu_bus_buffer.scala 612:73] - node _T_4803 = and(_T_4802, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 612:93] - node _T_4804 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 612:62] - node _T_4805 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 612:89] - node _T_4806 = and(_T_4804, _T_4805) @[el2_lsu_bus_buffer.scala 612:73] - node _T_4807 = and(_T_4806, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 612:93] - node _T_4808 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 612:62] - node _T_4809 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 612:89] - node _T_4810 = and(_T_4808, _T_4809) @[el2_lsu_bus_buffer.scala 612:73] - node _T_4811 = and(_T_4810, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 612:93] - node _T_4812 = or(_T_4799, _T_4803) @[el2_lsu_bus_buffer.scala 612:141] - node _T_4813 = or(_T_4812, _T_4807) @[el2_lsu_bus_buffer.scala 612:141] - node _T_4814 = or(_T_4813, _T_4811) @[el2_lsu_bus_buffer.scala 612:141] - bus_sideeffect_pend <= _T_4814 @[el2_lsu_bus_buffer.scala 612:23] - node _T_4815 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:71] - node _T_4816 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 614:25] - node _T_4817 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:50] - node _T_4818 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 614:70] - node _T_4819 = eq(_T_4817, _T_4818) @[el2_lsu_bus_buffer.scala 614:56] - node _T_4820 = and(_T_4816, _T_4819) @[el2_lsu_bus_buffer.scala 614:38] - node _T_4821 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:92] - node _T_4822 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:126] - node _T_4823 = and(obuf_merge, _T_4822) @[el2_lsu_bus_buffer.scala 614:114] - node _T_4824 = or(_T_4821, _T_4823) @[el2_lsu_bus_buffer.scala 614:100] - node _T_4825 = eq(_T_4824, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:80] - node _T_4826 = and(_T_4820, _T_4825) @[el2_lsu_bus_buffer.scala 614:78] - node _T_4827 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:71] - node _T_4828 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 614:25] - node _T_4829 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:50] - node _T_4830 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 614:70] - node _T_4831 = eq(_T_4829, _T_4830) @[el2_lsu_bus_buffer.scala 614:56] - node _T_4832 = and(_T_4828, _T_4831) @[el2_lsu_bus_buffer.scala 614:38] - node _T_4833 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 614:92] - node _T_4834 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 614:126] - node _T_4835 = and(obuf_merge, _T_4834) @[el2_lsu_bus_buffer.scala 614:114] - node _T_4836 = or(_T_4833, _T_4835) @[el2_lsu_bus_buffer.scala 614:100] - node _T_4837 = eq(_T_4836, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:80] - node _T_4838 = and(_T_4832, _T_4837) @[el2_lsu_bus_buffer.scala 614:78] - node _T_4839 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:71] - node _T_4840 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 614:25] - node _T_4841 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:50] - node _T_4842 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 614:70] - node _T_4843 = eq(_T_4841, _T_4842) @[el2_lsu_bus_buffer.scala 614:56] - node _T_4844 = and(_T_4840, _T_4843) @[el2_lsu_bus_buffer.scala 614:38] - node _T_4845 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 614:92] - node _T_4846 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 614:126] - node _T_4847 = and(obuf_merge, _T_4846) @[el2_lsu_bus_buffer.scala 614:114] - node _T_4848 = or(_T_4845, _T_4847) @[el2_lsu_bus_buffer.scala 614:100] - node _T_4849 = eq(_T_4848, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:80] - node _T_4850 = and(_T_4844, _T_4849) @[el2_lsu_bus_buffer.scala 614:78] - node _T_4851 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:71] - node _T_4852 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 614:25] - node _T_4853 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:50] - node _T_4854 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 614:70] - node _T_4855 = eq(_T_4853, _T_4854) @[el2_lsu_bus_buffer.scala 614:56] - node _T_4856 = and(_T_4852, _T_4855) @[el2_lsu_bus_buffer.scala 614:38] - node _T_4857 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 614:92] - node _T_4858 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 614:126] - node _T_4859 = and(obuf_merge, _T_4858) @[el2_lsu_bus_buffer.scala 614:114] - node _T_4860 = or(_T_4857, _T_4859) @[el2_lsu_bus_buffer.scala 614:100] - node _T_4861 = eq(_T_4860, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:80] - node _T_4862 = and(_T_4856, _T_4861) @[el2_lsu_bus_buffer.scala 614:78] - node _T_4863 = mux(_T_4815, _T_4826, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4864 = mux(_T_4827, _T_4838, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4865 = mux(_T_4839, _T_4850, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4866 = mux(_T_4851, _T_4862, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4867 = or(_T_4863, _T_4864) @[Mux.scala 27:72] - node _T_4868 = or(_T_4867, _T_4865) @[Mux.scala 27:72] - node _T_4869 = or(_T_4868, _T_4866) @[Mux.scala 27:72] - wire _T_4870 : UInt<1> @[Mux.scala 27:72] - _T_4870 <= _T_4869 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4870 @[el2_lsu_bus_buffer.scala 613:26] - node _T_4871 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 616:54] - node _T_4872 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 616:75] - node _T_4873 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 616:150] - node _T_4874 = mux(_T_4871, _T_4872, _T_4873) @[el2_lsu_bus_buffer.scala 616:39] - node _T_4875 = mux(obuf_write, _T_4874, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 616:23] - bus_cmd_ready <= _T_4875 @[el2_lsu_bus_buffer.scala 616:17] - node _T_4876 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 617:39] - bus_wcmd_sent <= _T_4876 @[el2_lsu_bus_buffer.scala 617:17] - node _T_4877 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 618:39] - bus_wdata_sent <= _T_4877 @[el2_lsu_bus_buffer.scala 618:18] - node _T_4878 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 619:35] - node _T_4879 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 619:70] - node _T_4880 = and(_T_4878, _T_4879) @[el2_lsu_bus_buffer.scala 619:52] - node _T_4881 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 619:111] - node _T_4882 = or(_T_4880, _T_4881) @[el2_lsu_bus_buffer.scala 619:89] - bus_cmd_sent <= _T_4882 @[el2_lsu_bus_buffer.scala 619:16] - node _T_4883 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 620:37] - bus_rsp_read <= _T_4883 @[el2_lsu_bus_buffer.scala 620:16] - node _T_4884 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 621:38] - bus_rsp_write <= _T_4884 @[el2_lsu_bus_buffer.scala 621:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 622:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 623:21] - node _T_4885 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 624:60] - node _T_4886 = and(bus_rsp_write, _T_4885) @[el2_lsu_bus_buffer.scala 624:40] - bus_rsp_write_error <= _T_4886 @[el2_lsu_bus_buffer.scala 624:23] - node _T_4887 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 625:58] - node _T_4888 = and(bus_rsp_read, _T_4887) @[el2_lsu_bus_buffer.scala 625:38] - bus_rsp_read_error <= _T_4888 @[el2_lsu_bus_buffer.scala 625:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 626:17] - node _T_4889 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 629:36] - node _T_4890 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 629:51] - node _T_4891 = and(_T_4889, _T_4890) @[el2_lsu_bus_buffer.scala 629:49] - node _T_4892 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 629:68] - node _T_4893 = and(_T_4891, _T_4892) @[el2_lsu_bus_buffer.scala 629:66] - io.lsu_axi_awvalid <= _T_4893 @[el2_lsu_bus_buffer.scala 629:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 630:19] - node _T_4894 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 631:69] - node _T_4895 = cat(_T_4894, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4896 = mux(obuf_sideeffect, obuf_addr, _T_4895) @[el2_lsu_bus_buffer.scala 631:27] - io.lsu_axi_awaddr <= _T_4896 @[el2_lsu_bus_buffer.scala 631:21] - node _T_4897 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4898 = mux(obuf_sideeffect, _T_4897, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 632:27] - io.lsu_axi_awsize <= _T_4898 @[el2_lsu_bus_buffer.scala 632:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 633:21] - node _T_4899 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 634:28] - io.lsu_axi_awcache <= _T_4899 @[el2_lsu_bus_buffer.scala 634:22] - node _T_4900 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 635:35] - io.lsu_axi_awregion <= _T_4900 @[el2_lsu_bus_buffer.scala 635:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 636:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 637:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 638:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 639:21] - node _T_4901 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 641:35] - node _T_4902 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 641:50] - node _T_4903 = and(_T_4901, _T_4902) @[el2_lsu_bus_buffer.scala 641:48] - node _T_4904 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 641:68] - node _T_4905 = and(_T_4903, _T_4904) @[el2_lsu_bus_buffer.scala 641:66] - io.lsu_axi_wvalid <= _T_4905 @[el2_lsu_bus_buffer.scala 641:21] - node _T_4906 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4907 = mux(_T_4906, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4908 = and(obuf_byteen, _T_4907) @[el2_lsu_bus_buffer.scala 642:35] - io.lsu_axi_wstrb <= _T_4908 @[el2_lsu_bus_buffer.scala 642:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 643:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 644:20] - node _T_4909 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 646:38] - node _T_4910 = and(obuf_valid, _T_4909) @[el2_lsu_bus_buffer.scala 646:36] - node _T_4911 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 646:52] - node _T_4912 = and(_T_4910, _T_4911) @[el2_lsu_bus_buffer.scala 646:50] - node _T_4913 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 646:67] - node _T_4914 = and(_T_4912, _T_4913) @[el2_lsu_bus_buffer.scala 646:65] - io.lsu_axi_arvalid <= _T_4914 @[el2_lsu_bus_buffer.scala 646:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 647:19] - node _T_4915 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 648:69] - node _T_4916 = cat(_T_4915, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4917 = mux(obuf_sideeffect, obuf_addr, _T_4916) @[el2_lsu_bus_buffer.scala 648:27] - io.lsu_axi_araddr <= _T_4917 @[el2_lsu_bus_buffer.scala 648:21] - node _T_4918 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4919 = mux(obuf_sideeffect, _T_4918, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 649:27] - io.lsu_axi_arsize <= _T_4919 @[el2_lsu_bus_buffer.scala 649:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 650:21] - node _T_4920 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 651:28] - io.lsu_axi_arcache <= _T_4920 @[el2_lsu_bus_buffer.scala 651:22] - node _T_4921 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 652:35] - io.lsu_axi_arregion <= _T_4921 @[el2_lsu_bus_buffer.scala 652:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 653:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 654:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 655:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 656:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 657:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 658:21] - node _T_4922 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 659:81] - node _T_4923 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 659:125] - node _T_4924 = and(io.lsu_bus_clk_en_q, _T_4923) @[el2_lsu_bus_buffer.scala 659:114] - node _T_4925 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 659:140] - node _T_4926 = and(_T_4924, _T_4925) @[el2_lsu_bus_buffer.scala 659:129] - node _T_4927 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 659:81] - node _T_4928 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 659:125] - node _T_4929 = and(io.lsu_bus_clk_en_q, _T_4928) @[el2_lsu_bus_buffer.scala 659:114] - node _T_4930 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 659:140] - node _T_4931 = and(_T_4929, _T_4930) @[el2_lsu_bus_buffer.scala 659:129] - node _T_4932 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 659:81] - node _T_4933 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 659:125] - node _T_4934 = and(io.lsu_bus_clk_en_q, _T_4933) @[el2_lsu_bus_buffer.scala 659:114] - node _T_4935 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 659:140] - node _T_4936 = and(_T_4934, _T_4935) @[el2_lsu_bus_buffer.scala 659:129] - node _T_4937 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 659:81] - node _T_4938 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 659:125] - node _T_4939 = and(io.lsu_bus_clk_en_q, _T_4938) @[el2_lsu_bus_buffer.scala 659:114] - node _T_4940 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 659:140] - node _T_4941 = and(_T_4939, _T_4940) @[el2_lsu_bus_buffer.scala 659:129] - node _T_4942 = mux(_T_4922, _T_4926, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4943 = mux(_T_4927, _T_4931, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4944 = mux(_T_4932, _T_4936, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4945 = mux(_T_4937, _T_4941, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4946 = or(_T_4942, _T_4943) @[Mux.scala 27:72] - node _T_4947 = or(_T_4946, _T_4944) @[Mux.scala 27:72] - node _T_4948 = or(_T_4947, _T_4945) @[Mux.scala 27:72] - wire _T_4949 : UInt<1> @[Mux.scala 27:72] - _T_4949 <= _T_4948 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4949 @[el2_lsu_bus_buffer.scala 659:36] - node _T_4950 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:87] - node _T_4951 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 660:109] - node _T_4952 = and(_T_4950, _T_4951) @[el2_lsu_bus_buffer.scala 660:98] - node _T_4953 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 660:124] - node _T_4954 = and(_T_4952, _T_4953) @[el2_lsu_bus_buffer.scala 660:113] - node _T_4955 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:87] - node _T_4956 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 660:109] - node _T_4957 = and(_T_4955, _T_4956) @[el2_lsu_bus_buffer.scala 660:98] - node _T_4958 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 660:124] - node _T_4959 = and(_T_4957, _T_4958) @[el2_lsu_bus_buffer.scala 660:113] - node _T_4960 = mux(_T_4954, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4961 = mux(_T_4959, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4962 = or(_T_4960, _T_4961) @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4786 @[Mux.scala 27:72] + node _T_4787 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4788 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 604:121] + node lsu_nonblock_data_unalgn = dshr(_T_4787, _T_4788) @[el2_lsu_bus_buffer.scala 604:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 605:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 606:14] + node _T_4789 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:69] + node _T_4790 = and(lsu_nonblock_load_data_ready, _T_4789) @[el2_lsu_bus_buffer.scala 607:67] + io.lsu_nonblock_load_data_valid <= _T_4790 @[el2_lsu_bus_buffer.scala 607:35] + node _T_4791 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:81] + node _T_4792 = and(lsu_nonblock_unsign, _T_4791) @[el2_lsu_bus_buffer.scala 608:63] + node _T_4793 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 608:131] + node _T_4794 = cat(UInt<24>("h00"), _T_4793) @[Cat.scala 29:58] + node _T_4795 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 609:45] + node _T_4796 = and(lsu_nonblock_unsign, _T_4795) @[el2_lsu_bus_buffer.scala 609:26] + node _T_4797 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 609:95] + node _T_4798 = cat(UInt<16>("h00"), _T_4797) @[Cat.scala 29:58] + node _T_4799 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 610:6] + node _T_4800 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 610:45] + node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 610:27] + node _T_4802 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 610:93] + node _T_4803 = bits(_T_4802, 0, 0) @[Bitwise.scala 72:15] + node _T_4804 = mux(_T_4803, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4805 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 610:123] + node _T_4806 = cat(_T_4804, _T_4805) @[Cat.scala 29:58] + node _T_4807 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:6] + node _T_4808 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 611:45] + node _T_4809 = and(_T_4807, _T_4808) @[el2_lsu_bus_buffer.scala 611:27] + node _T_4810 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 611:93] + node _T_4811 = bits(_T_4810, 0, 0) @[Bitwise.scala 72:15] + node _T_4812 = mux(_T_4811, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4813 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 611:124] + node _T_4814 = cat(_T_4812, _T_4813) @[Cat.scala 29:58] + node _T_4815 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 612:21] + node _T_4816 = mux(_T_4792, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4817 = mux(_T_4796, _T_4798, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4818 = mux(_T_4801, _T_4806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4819 = mux(_T_4809, _T_4814, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4820 = mux(_T_4815, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4821 = or(_T_4816, _T_4817) @[Mux.scala 27:72] + node _T_4822 = or(_T_4821, _T_4818) @[Mux.scala 27:72] + node _T_4823 = or(_T_4822, _T_4819) @[Mux.scala 27:72] + node _T_4824 = or(_T_4823, _T_4820) @[Mux.scala 27:72] + wire _T_4825 : UInt<64> @[Mux.scala 27:72] + _T_4825 <= _T_4824 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4825 @[el2_lsu_bus_buffer.scala 608:29] + node _T_4826 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:62] + node _T_4827 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 613:89] + node _T_4828 = and(_T_4826, _T_4827) @[el2_lsu_bus_buffer.scala 613:73] + node _T_4829 = and(_T_4828, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 613:93] + node _T_4830 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:62] + node _T_4831 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 613:89] + node _T_4832 = and(_T_4830, _T_4831) @[el2_lsu_bus_buffer.scala 613:73] + node _T_4833 = and(_T_4832, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 613:93] + node _T_4834 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:62] + node _T_4835 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 613:89] + node _T_4836 = and(_T_4834, _T_4835) @[el2_lsu_bus_buffer.scala 613:73] + node _T_4837 = and(_T_4836, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 613:93] + node _T_4838 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:62] + node _T_4839 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 613:89] + node _T_4840 = and(_T_4838, _T_4839) @[el2_lsu_bus_buffer.scala 613:73] + node _T_4841 = and(_T_4840, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 613:93] + node _T_4842 = or(_T_4829, _T_4833) @[el2_lsu_bus_buffer.scala 613:141] + node _T_4843 = or(_T_4842, _T_4837) @[el2_lsu_bus_buffer.scala 613:141] + node _T_4844 = or(_T_4843, _T_4841) @[el2_lsu_bus_buffer.scala 613:141] + bus_sideeffect_pend <= _T_4844 @[el2_lsu_bus_buffer.scala 613:23] + node _T_4845 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:71] + node _T_4846 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 615:25] + node _T_4847 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:50] + node _T_4848 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 615:70] + node _T_4849 = eq(_T_4847, _T_4848) @[el2_lsu_bus_buffer.scala 615:56] + node _T_4850 = and(_T_4846, _T_4849) @[el2_lsu_bus_buffer.scala 615:38] + node _T_4851 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:92] + node _T_4852 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:126] + node _T_4853 = and(obuf_merge, _T_4852) @[el2_lsu_bus_buffer.scala 615:114] + node _T_4854 = or(_T_4851, _T_4853) @[el2_lsu_bus_buffer.scala 615:100] + node _T_4855 = eq(_T_4854, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:80] + node _T_4856 = and(_T_4850, _T_4855) @[el2_lsu_bus_buffer.scala 615:78] + node _T_4857 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:71] + node _T_4858 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 615:25] + node _T_4859 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:50] + node _T_4860 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 615:70] + node _T_4861 = eq(_T_4859, _T_4860) @[el2_lsu_bus_buffer.scala 615:56] + node _T_4862 = and(_T_4858, _T_4861) @[el2_lsu_bus_buffer.scala 615:38] + node _T_4863 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 615:92] + node _T_4864 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 615:126] + node _T_4865 = and(obuf_merge, _T_4864) @[el2_lsu_bus_buffer.scala 615:114] + node _T_4866 = or(_T_4863, _T_4865) @[el2_lsu_bus_buffer.scala 615:100] + node _T_4867 = eq(_T_4866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:80] + node _T_4868 = and(_T_4862, _T_4867) @[el2_lsu_bus_buffer.scala 615:78] + node _T_4869 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:71] + node _T_4870 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 615:25] + node _T_4871 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:50] + node _T_4872 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 615:70] + node _T_4873 = eq(_T_4871, _T_4872) @[el2_lsu_bus_buffer.scala 615:56] + node _T_4874 = and(_T_4870, _T_4873) @[el2_lsu_bus_buffer.scala 615:38] + node _T_4875 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 615:92] + node _T_4876 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 615:126] + node _T_4877 = and(obuf_merge, _T_4876) @[el2_lsu_bus_buffer.scala 615:114] + node _T_4878 = or(_T_4875, _T_4877) @[el2_lsu_bus_buffer.scala 615:100] + node _T_4879 = eq(_T_4878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:80] + node _T_4880 = and(_T_4874, _T_4879) @[el2_lsu_bus_buffer.scala 615:78] + node _T_4881 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:71] + node _T_4882 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 615:25] + node _T_4883 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:50] + node _T_4884 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 615:70] + node _T_4885 = eq(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 615:56] + node _T_4886 = and(_T_4882, _T_4885) @[el2_lsu_bus_buffer.scala 615:38] + node _T_4887 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 615:92] + node _T_4888 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 615:126] + node _T_4889 = and(obuf_merge, _T_4888) @[el2_lsu_bus_buffer.scala 615:114] + node _T_4890 = or(_T_4887, _T_4889) @[el2_lsu_bus_buffer.scala 615:100] + node _T_4891 = eq(_T_4890, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:80] + node _T_4892 = and(_T_4886, _T_4891) @[el2_lsu_bus_buffer.scala 615:78] + node _T_4893 = mux(_T_4845, _T_4856, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4894 = mux(_T_4857, _T_4868, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4895 = mux(_T_4869, _T_4880, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4896 = mux(_T_4881, _T_4892, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4897 = or(_T_4893, _T_4894) @[Mux.scala 27:72] + node _T_4898 = or(_T_4897, _T_4895) @[Mux.scala 27:72] + node _T_4899 = or(_T_4898, _T_4896) @[Mux.scala 27:72] + wire _T_4900 : UInt<1> @[Mux.scala 27:72] + _T_4900 <= _T_4899 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4900 @[el2_lsu_bus_buffer.scala 614:26] + node _T_4901 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 617:54] + node _T_4902 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 617:75] + node _T_4903 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 617:150] + node _T_4904 = mux(_T_4901, _T_4902, _T_4903) @[el2_lsu_bus_buffer.scala 617:39] + node _T_4905 = mux(obuf_write, _T_4904, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 617:23] + bus_cmd_ready <= _T_4905 @[el2_lsu_bus_buffer.scala 617:17] + node _T_4906 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 618:39] + bus_wcmd_sent <= _T_4906 @[el2_lsu_bus_buffer.scala 618:17] + node _T_4907 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 619:39] + bus_wdata_sent <= _T_4907 @[el2_lsu_bus_buffer.scala 619:18] + node _T_4908 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 620:35] + node _T_4909 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 620:70] + node _T_4910 = and(_T_4908, _T_4909) @[el2_lsu_bus_buffer.scala 620:52] + node _T_4911 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 620:111] + node _T_4912 = or(_T_4910, _T_4911) @[el2_lsu_bus_buffer.scala 620:89] + bus_cmd_sent <= _T_4912 @[el2_lsu_bus_buffer.scala 620:16] + node _T_4913 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 621:37] + bus_rsp_read <= _T_4913 @[el2_lsu_bus_buffer.scala 621:16] + node _T_4914 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 622:38] + bus_rsp_write <= _T_4914 @[el2_lsu_bus_buffer.scala 622:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 623:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 624:21] + node _T_4915 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 625:60] + node _T_4916 = and(bus_rsp_write, _T_4915) @[el2_lsu_bus_buffer.scala 625:40] + bus_rsp_write_error <= _T_4916 @[el2_lsu_bus_buffer.scala 625:23] + node _T_4917 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 626:58] + node _T_4918 = and(bus_rsp_read, _T_4917) @[el2_lsu_bus_buffer.scala 626:38] + bus_rsp_read_error <= _T_4918 @[el2_lsu_bus_buffer.scala 626:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 627:17] + node _T_4919 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 630:36] + node _T_4920 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 630:51] + node _T_4921 = and(_T_4919, _T_4920) @[el2_lsu_bus_buffer.scala 630:49] + node _T_4922 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 630:68] + node _T_4923 = and(_T_4921, _T_4922) @[el2_lsu_bus_buffer.scala 630:66] + io.lsu_axi_awvalid <= _T_4923 @[el2_lsu_bus_buffer.scala 630:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 631:19] + node _T_4924 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 632:69] + node _T_4925 = cat(_T_4924, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4926 = mux(obuf_sideeffect, obuf_addr, _T_4925) @[el2_lsu_bus_buffer.scala 632:27] + io.lsu_axi_awaddr <= _T_4926 @[el2_lsu_bus_buffer.scala 632:21] + node _T_4927 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4928 = mux(obuf_sideeffect, _T_4927, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 633:27] + io.lsu_axi_awsize <= _T_4928 @[el2_lsu_bus_buffer.scala 633:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 634:21] + node _T_4929 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 635:28] + io.lsu_axi_awcache <= _T_4929 @[el2_lsu_bus_buffer.scala 635:22] + node _T_4930 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 636:35] + io.lsu_axi_awregion <= _T_4930 @[el2_lsu_bus_buffer.scala 636:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 637:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 638:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 639:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 640:21] + node _T_4931 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 642:35] + node _T_4932 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 642:50] + node _T_4933 = and(_T_4931, _T_4932) @[el2_lsu_bus_buffer.scala 642:48] + node _T_4934 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 642:68] + node _T_4935 = and(_T_4933, _T_4934) @[el2_lsu_bus_buffer.scala 642:66] + io.lsu_axi_wvalid <= _T_4935 @[el2_lsu_bus_buffer.scala 642:21] + node _T_4936 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4937 = mux(_T_4936, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4938 = and(obuf_byteen, _T_4937) @[el2_lsu_bus_buffer.scala 643:35] + io.lsu_axi_wstrb <= _T_4938 @[el2_lsu_bus_buffer.scala 643:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 644:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 645:20] + node _T_4939 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 647:38] + node _T_4940 = and(obuf_valid, _T_4939) @[el2_lsu_bus_buffer.scala 647:36] + node _T_4941 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 647:52] + node _T_4942 = and(_T_4940, _T_4941) @[el2_lsu_bus_buffer.scala 647:50] + node _T_4943 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 647:67] + node _T_4944 = and(_T_4942, _T_4943) @[el2_lsu_bus_buffer.scala 647:65] + io.lsu_axi_arvalid <= _T_4944 @[el2_lsu_bus_buffer.scala 647:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 648:19] + node _T_4945 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 649:69] + node _T_4946 = cat(_T_4945, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4947 = mux(obuf_sideeffect, obuf_addr, _T_4946) @[el2_lsu_bus_buffer.scala 649:27] + io.lsu_axi_araddr <= _T_4947 @[el2_lsu_bus_buffer.scala 649:21] + node _T_4948 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4949 = mux(obuf_sideeffect, _T_4948, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 650:27] + io.lsu_axi_arsize <= _T_4949 @[el2_lsu_bus_buffer.scala 650:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 651:21] + node _T_4950 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 652:28] + io.lsu_axi_arcache <= _T_4950 @[el2_lsu_bus_buffer.scala 652:22] + node _T_4951 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 653:35] + io.lsu_axi_arregion <= _T_4951 @[el2_lsu_bus_buffer.scala 653:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 654:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 655:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 656:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 657:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 658:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 659:21] + node _T_4952 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:81] + node _T_4953 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 660:125] + node _T_4954 = and(io.lsu_bus_clk_en_q, _T_4953) @[el2_lsu_bus_buffer.scala 660:114] + node _T_4955 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 660:140] + node _T_4956 = and(_T_4954, _T_4955) @[el2_lsu_bus_buffer.scala 660:129] + node _T_4957 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:81] + node _T_4958 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 660:125] + node _T_4959 = and(io.lsu_bus_clk_en_q, _T_4958) @[el2_lsu_bus_buffer.scala 660:114] + node _T_4960 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 660:140] + node _T_4961 = and(_T_4959, _T_4960) @[el2_lsu_bus_buffer.scala 660:129] + node _T_4962 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:81] + node _T_4963 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 660:125] + node _T_4964 = and(io.lsu_bus_clk_en_q, _T_4963) @[el2_lsu_bus_buffer.scala 660:114] + node _T_4965 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 660:140] + node _T_4966 = and(_T_4964, _T_4965) @[el2_lsu_bus_buffer.scala 660:129] + node _T_4967 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:81] + node _T_4968 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 660:125] + node _T_4969 = and(io.lsu_bus_clk_en_q, _T_4968) @[el2_lsu_bus_buffer.scala 660:114] + node _T_4970 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 660:140] + node _T_4971 = and(_T_4969, _T_4970) @[el2_lsu_bus_buffer.scala 660:129] + node _T_4972 = mux(_T_4952, _T_4956, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4973 = mux(_T_4957, _T_4961, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4974 = mux(_T_4962, _T_4966, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4975 = mux(_T_4967, _T_4971, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4976 = or(_T_4972, _T_4973) @[Mux.scala 27:72] + node _T_4977 = or(_T_4976, _T_4974) @[Mux.scala 27:72] + node _T_4978 = or(_T_4977, _T_4975) @[Mux.scala 27:72] + wire _T_4979 : UInt<1> @[Mux.scala 27:72] + _T_4979 <= _T_4978 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4979 @[el2_lsu_bus_buffer.scala 660:36] + node _T_4980 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:87] + node _T_4981 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 661:109] + node _T_4982 = and(_T_4980, _T_4981) @[el2_lsu_bus_buffer.scala 661:98] + node _T_4983 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 661:124] + node _T_4984 = and(_T_4982, _T_4983) @[el2_lsu_bus_buffer.scala 661:113] + node _T_4985 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:87] + node _T_4986 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 661:109] + node _T_4987 = and(_T_4985, _T_4986) @[el2_lsu_bus_buffer.scala 661:98] + node _T_4988 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 661:124] + node _T_4989 = and(_T_4987, _T_4988) @[el2_lsu_bus_buffer.scala 661:113] + node _T_4990 = mux(_T_4984, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4991 = mux(_T_4989, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4992 = or(_T_4990, _T_4991) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4962 @[Mux.scala 27:72] - node _T_4963 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 662:72] - node _T_4964 = and(io.lsu_nonblock_load_data_error, _T_4963) @[el2_lsu_bus_buffer.scala 662:70] - io.lsu_imprecise_error_load_any <= _T_4964 @[el2_lsu_bus_buffer.scala 662:35] - node _T_4965 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4966 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4967 = mux(_T_4965, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4968 = mux(_T_4966, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4969 = or(_T_4967, _T_4968) @[Mux.scala 27:72] - wire _T_4970 : UInt<32> @[Mux.scala 27:72] - _T_4970 <= _T_4969 @[Mux.scala 27:72] - node _T_4971 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4972 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4973 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4974 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4975 = mux(_T_4971, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4976 = mux(_T_4972, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4977 = mux(_T_4973, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4978 = mux(_T_4974, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4979 = or(_T_4975, _T_4976) @[Mux.scala 27:72] - node _T_4980 = or(_T_4979, _T_4977) @[Mux.scala 27:72] - node _T_4981 = or(_T_4980, _T_4978) @[Mux.scala 27:72] - wire _T_4982 : UInt<32> @[Mux.scala 27:72] - _T_4982 <= _T_4981 @[Mux.scala 27:72] - node _T_4983 = mux(io.lsu_imprecise_error_store_any, _T_4970, _T_4982) @[el2_lsu_bus_buffer.scala 663:41] - io.lsu_imprecise_error_addr_any <= _T_4983 @[el2_lsu_bus_buffer.scala 663:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 664:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 666:23] - node _T_4984 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 669:46] - node _T_4985 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 669:89] - node _T_4986 = or(_T_4984, _T_4985) @[el2_lsu_bus_buffer.scala 669:68] - node _T_4987 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 669:132] - node _T_4988 = or(_T_4986, _T_4987) @[el2_lsu_bus_buffer.scala 669:110] - io.lsu_pmu_bus_trxn <= _T_4988 @[el2_lsu_bus_buffer.scala 669:23] - node _T_4989 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 670:48] - node _T_4990 = and(_T_4989, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 670:65] - io.lsu_pmu_bus_misaligned <= _T_4990 @[el2_lsu_bus_buffer.scala 670:29] - node _T_4991 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 671:59] - io.lsu_pmu_bus_error <= _T_4991 @[el2_lsu_bus_buffer.scala 671:24] - node _T_4992 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 673:48] - node _T_4993 = and(io.lsu_axi_awvalid, _T_4992) @[el2_lsu_bus_buffer.scala 673:46] - node _T_4994 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 673:92] - node _T_4995 = and(io.lsu_axi_wvalid, _T_4994) @[el2_lsu_bus_buffer.scala 673:90] - node _T_4996 = or(_T_4993, _T_4995) @[el2_lsu_bus_buffer.scala 673:69] - node _T_4997 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 673:136] - node _T_4998 = and(io.lsu_axi_arvalid, _T_4997) @[el2_lsu_bus_buffer.scala 673:134] - node _T_4999 = or(_T_4996, _T_4998) @[el2_lsu_bus_buffer.scala 673:112] - io.lsu_pmu_bus_busy <= _T_4999 @[el2_lsu_bus_buffer.scala 673:23] - reg _T_5000 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 675:49] - _T_5000 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 675:49] - WrPtr0_r <= _T_5000 @[el2_lsu_bus_buffer.scala 675:12] - reg _T_5001 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 676:49] - _T_5001 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 676:49] - WrPtr1_r <= _T_5001 @[el2_lsu_bus_buffer.scala 676:12] - node _T_5002 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 677:75] - node _T_5003 = and(io.lsu_busreq_m, _T_5002) @[el2_lsu_bus_buffer.scala 677:73] - node _T_5004 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 677:89] - node _T_5005 = and(_T_5003, _T_5004) @[el2_lsu_bus_buffer.scala 677:87] - reg _T_5006 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 677:56] - _T_5006 <= _T_5005 @[el2_lsu_bus_buffer.scala 677:56] - io.lsu_busreq_r <= _T_5006 @[el2_lsu_bus_buffer.scala 677:19] - reg _T_5007 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 678:66] - _T_5007 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 678:66] - lsu_nonblock_load_valid_r <= _T_5007 @[el2_lsu_bus_buffer.scala 678:29] + lsu_imprecise_error_store_tag <= _T_4992 @[Mux.scala 27:72] + node _T_4993 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 663:72] + node _T_4994 = and(io.lsu_nonblock_load_data_error, _T_4993) @[el2_lsu_bus_buffer.scala 663:70] + io.lsu_imprecise_error_load_any <= _T_4994 @[el2_lsu_bus_buffer.scala 663:35] + node _T_4995 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4996 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4997 = mux(_T_4995, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4998 = mux(_T_4996, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4999 = or(_T_4997, _T_4998) @[Mux.scala 27:72] + wire _T_5000 : UInt<32> @[Mux.scala 27:72] + _T_5000 <= _T_4999 @[Mux.scala 27:72] + node _T_5001 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_5002 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_5003 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_5004 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_5005 = mux(_T_5001, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5006 = mux(_T_5002, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5007 = mux(_T_5003, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5008 = mux(_T_5004, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5009 = or(_T_5005, _T_5006) @[Mux.scala 27:72] + node _T_5010 = or(_T_5009, _T_5007) @[Mux.scala 27:72] + node _T_5011 = or(_T_5010, _T_5008) @[Mux.scala 27:72] + wire _T_5012 : UInt<32> @[Mux.scala 27:72] + _T_5012 <= _T_5011 @[Mux.scala 27:72] + node _T_5013 = mux(io.lsu_imprecise_error_store_any, _T_5000, _T_5012) @[el2_lsu_bus_buffer.scala 664:41] + io.lsu_imprecise_error_addr_any <= _T_5013 @[el2_lsu_bus_buffer.scala 664:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 665:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 667:23] + node _T_5014 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 670:46] + node _T_5015 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 670:89] + node _T_5016 = or(_T_5014, _T_5015) @[el2_lsu_bus_buffer.scala 670:68] + node _T_5017 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 670:132] + node _T_5018 = or(_T_5016, _T_5017) @[el2_lsu_bus_buffer.scala 670:110] + io.lsu_pmu_bus_trxn <= _T_5018 @[el2_lsu_bus_buffer.scala 670:23] + node _T_5019 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 671:48] + node _T_5020 = and(_T_5019, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 671:65] + io.lsu_pmu_bus_misaligned <= _T_5020 @[el2_lsu_bus_buffer.scala 671:29] + node _T_5021 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 672:59] + io.lsu_pmu_bus_error <= _T_5021 @[el2_lsu_bus_buffer.scala 672:24] + node _T_5022 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 674:48] + node _T_5023 = and(io.lsu_axi_awvalid, _T_5022) @[el2_lsu_bus_buffer.scala 674:46] + node _T_5024 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 674:92] + node _T_5025 = and(io.lsu_axi_wvalid, _T_5024) @[el2_lsu_bus_buffer.scala 674:90] + node _T_5026 = or(_T_5023, _T_5025) @[el2_lsu_bus_buffer.scala 674:69] + node _T_5027 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 674:136] + node _T_5028 = and(io.lsu_axi_arvalid, _T_5027) @[el2_lsu_bus_buffer.scala 674:134] + node _T_5029 = or(_T_5026, _T_5028) @[el2_lsu_bus_buffer.scala 674:112] + io.lsu_pmu_bus_busy <= _T_5029 @[el2_lsu_bus_buffer.scala 674:23] + reg _T_5030 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 676:49] + _T_5030 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 676:49] + WrPtr0_r <= _T_5030 @[el2_lsu_bus_buffer.scala 676:12] + reg _T_5031 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 677:49] + _T_5031 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 677:49] + WrPtr1_r <= _T_5031 @[el2_lsu_bus_buffer.scala 677:12] + node _T_5032 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 678:75] + node _T_5033 = and(io.lsu_busreq_m, _T_5032) @[el2_lsu_bus_buffer.scala 678:73] + node _T_5034 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 678:89] + node _T_5035 = and(_T_5033, _T_5034) @[el2_lsu_bus_buffer.scala 678:87] + reg _T_5036 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 678:56] + _T_5036 <= _T_5035 @[el2_lsu_bus_buffer.scala 678:56] + io.lsu_busreq_r <= _T_5036 @[el2_lsu_bus_buffer.scala 678:19] + reg _T_5037 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 679:66] + _T_5037 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 679:66] + lsu_nonblock_load_valid_r <= _T_5037 @[el2_lsu_bus_buffer.scala 679:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index fb8b0d33..447e94a0 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -313,11 +313,11 @@ module el2_lsu_bus_buffer( wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 136:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] - reg _T_4375; // @[Reg.scala 27:20] - reg _T_4372; // @[Reg.scala 27:20] - reg _T_4369; // @[Reg.scala 27:20] - reg _T_4366; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4375,_T_4372,_T_4369,_T_4366}; // @[Cat.scala 29:58] + reg _T_4405; // @[Reg.scala 27:20] + reg _T_4402; // @[Reg.scala 27:20] + reg _T_4399; // @[Reg.scala 27:20] + reg _T_4396; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4405,_T_4402,_T_4399,_T_4396}; // @[Cat.scala 29:58] wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 138:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 138:129] @@ -373,86 +373,86 @@ module el2_lsu_bus_buffer( wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 201:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 559:60] - wire _T_2636 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:95] - wire _T_4122 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4145 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4149 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] - reg [1:0] _T_1861; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 410:13] - wire _T_4156 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 514:48] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 560:60] + wire _T_2666 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:95] + wire _T_4152 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4175 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4179 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1891; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1891}; // @[el2_lsu_bus_buffer.scala 411:13] + wire _T_4186 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 515:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 514:104] - wire _T_4157 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 514:104] - wire _T_4158 = obuf_merge & _T_4157; // @[el2_lsu_bus_buffer.scala 514:91] - wire _T_4159 = _T_4156 | _T_4158; // @[el2_lsu_bus_buffer.scala 514:77] - reg obuf_valid; // @[el2_lsu_bus_buffer.scala 404:54] - wire _T_4160 = _T_4159 & obuf_valid; // @[el2_lsu_bus_buffer.scala 514:135] - reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 403:55] - wire _T_4161 = _T_4160 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 514:148] - wire _GEN_280 = _T_4149 & _T_4161; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_4145 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_4122 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2637 = _T_2636 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 471:105] - wire _T_2638 = ~_T_2637; // @[el2_lsu_bus_buffer.scala 471:80] - wire _T_2639 = buf_ageQ_3[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 471:78] - wire _T_2631 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:95] - wire _T_3929 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3952 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3956 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3963 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 514:48] - wire _T_3964 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 514:104] - wire _T_3965 = obuf_merge & _T_3964; // @[el2_lsu_bus_buffer.scala 514:91] - wire _T_3966 = _T_3963 | _T_3965; // @[el2_lsu_bus_buffer.scala 514:77] - wire _T_3967 = _T_3966 & obuf_valid; // @[el2_lsu_bus_buffer.scala 514:135] - wire _T_3968 = _T_3967 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 514:148] - wire _GEN_204 = _T_3956 & _T_3968; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3952 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3929 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2632 = _T_2631 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 471:105] - wire _T_2633 = ~_T_2632; // @[el2_lsu_bus_buffer.scala 471:80] - wire _T_2634 = buf_ageQ_3[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 471:78] - wire _T_2626 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:95] - wire _T_3736 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3759 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3763 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3770 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 514:48] - wire _T_3771 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 514:104] - wire _T_3772 = obuf_merge & _T_3771; // @[el2_lsu_bus_buffer.scala 514:91] - wire _T_3773 = _T_3770 | _T_3772; // @[el2_lsu_bus_buffer.scala 514:77] - wire _T_3774 = _T_3773 & obuf_valid; // @[el2_lsu_bus_buffer.scala 514:135] - wire _T_3775 = _T_3774 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 514:148] - wire _GEN_128 = _T_3763 & _T_3775; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3759 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3736 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2627 = _T_2626 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 471:105] - wire _T_2628 = ~_T_2627; // @[el2_lsu_bus_buffer.scala 471:80] - wire _T_2629 = buf_ageQ_3[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 471:78] - wire _T_2621 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:95] - wire _T_3543 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3566 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3570 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3577 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 514:48] - wire _T_3578 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 514:104] - wire _T_3579 = obuf_merge & _T_3578; // @[el2_lsu_bus_buffer.scala 514:91] - wire _T_3580 = _T_3577 | _T_3579; // @[el2_lsu_bus_buffer.scala 514:77] - wire _T_3581 = _T_3580 & obuf_valid; // @[el2_lsu_bus_buffer.scala 514:135] - wire _T_3582 = _T_3581 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 514:148] - wire _GEN_52 = _T_3570 & _T_3582; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3566 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3543 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 471:105] - wire _T_2623 = ~_T_2622; // @[el2_lsu_bus_buffer.scala 471:80] - wire _T_2624 = buf_ageQ_3[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 471:78] - wire [3:0] buf_age_3 = {_T_2639,_T_2634,_T_2629,_T_2624}; // @[Cat.scala 29:58] - wire _T_2738 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_2740 = _T_2738 & _T_19; // @[el2_lsu_bus_buffer.scala 472:106] - wire _T_2732 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_2734 = _T_2732 & _T_12; // @[el2_lsu_bus_buffer.scala 472:106] - wire _T_2726 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_2728 = _T_2726 & _T_5; // @[el2_lsu_bus_buffer.scala 472:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2740,_T_2734,_T_2728}; // @[Cat.scala 29:58] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 515:104] + wire _T_4187 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 515:104] + wire _T_4188 = obuf_merge & _T_4187; // @[el2_lsu_bus_buffer.scala 515:91] + wire _T_4189 = _T_4186 | _T_4188; // @[el2_lsu_bus_buffer.scala 515:77] + reg obuf_valid; // @[el2_lsu_bus_buffer.scala 405:54] + wire _T_4190 = _T_4189 & obuf_valid; // @[el2_lsu_bus_buffer.scala 515:135] + reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 404:55] + wire _T_4191 = _T_4190 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 515:148] + wire _GEN_280 = _T_4179 & _T_4191; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4175 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4152 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2667 = _T_2666 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 472:105] + wire _T_2668 = ~_T_2667; // @[el2_lsu_bus_buffer.scala 472:80] + wire _T_2669 = buf_ageQ_3[3] & _T_2668; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2661 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:95] + wire _T_3959 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3982 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3986 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3993 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 515:48] + wire _T_3994 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 515:104] + wire _T_3995 = obuf_merge & _T_3994; // @[el2_lsu_bus_buffer.scala 515:91] + wire _T_3996 = _T_3993 | _T_3995; // @[el2_lsu_bus_buffer.scala 515:77] + wire _T_3997 = _T_3996 & obuf_valid; // @[el2_lsu_bus_buffer.scala 515:135] + wire _T_3998 = _T_3997 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 515:148] + wire _GEN_204 = _T_3986 & _T_3998; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3982 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3959 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2662 = _T_2661 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 472:105] + wire _T_2663 = ~_T_2662; // @[el2_lsu_bus_buffer.scala 472:80] + wire _T_2664 = buf_ageQ_3[2] & _T_2663; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2656 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:95] + wire _T_3766 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3789 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3793 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3800 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 515:48] + wire _T_3801 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 515:104] + wire _T_3802 = obuf_merge & _T_3801; // @[el2_lsu_bus_buffer.scala 515:91] + wire _T_3803 = _T_3800 | _T_3802; // @[el2_lsu_bus_buffer.scala 515:77] + wire _T_3804 = _T_3803 & obuf_valid; // @[el2_lsu_bus_buffer.scala 515:135] + wire _T_3805 = _T_3804 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 515:148] + wire _GEN_128 = _T_3793 & _T_3805; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3789 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3766 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2657 = _T_2656 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 472:105] + wire _T_2658 = ~_T_2657; // @[el2_lsu_bus_buffer.scala 472:80] + wire _T_2659 = buf_ageQ_3[1] & _T_2658; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2651 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:95] + wire _T_3573 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3596 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3600 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3607 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 515:48] + wire _T_3608 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 515:104] + wire _T_3609 = obuf_merge & _T_3608; // @[el2_lsu_bus_buffer.scala 515:91] + wire _T_3610 = _T_3607 | _T_3609; // @[el2_lsu_bus_buffer.scala 515:77] + wire _T_3611 = _T_3610 & obuf_valid; // @[el2_lsu_bus_buffer.scala 515:135] + wire _T_3612 = _T_3611 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 515:148] + wire _GEN_52 = _T_3600 & _T_3612; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3596 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3573 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2652 = _T_2651 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 472:105] + wire _T_2653 = ~_T_2652; // @[el2_lsu_bus_buffer.scala 472:80] + wire _T_2654 = buf_ageQ_3[0] & _T_2653; // @[el2_lsu_bus_buffer.scala 472:78] + wire [3:0] buf_age_3 = {_T_2669,_T_2664,_T_2659,_T_2654}; // @[Cat.scala 29:58] + wire _T_2768 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2770 = _T_2768 & _T_19; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2762 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2764 = _T_2762 & _T_12; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2756 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2758 = _T_2756 & _T_5; // @[el2_lsu_bus_buffer.scala 473:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2770,_T_2764,_T_2758}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 206:144] wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 206:99] @@ -461,7 +461,7 @@ module el2_lsu_bus_buffer( wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 212:51] reg ibuf_write; // @[Reg.scala 27:20] wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 212:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 297:24] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 298:24] wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 212:86] wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 212:99] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] @@ -470,55 +470,55 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 206:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 559:60] - wire _T_2616 = buf_ageQ_2[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 471:78] - wire _T_2611 = buf_ageQ_2[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 471:78] - wire _T_2606 = buf_ageQ_2[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 471:78] - wire _T_2601 = buf_ageQ_2[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 471:78] - wire [3:0] buf_age_2 = {_T_2616,_T_2611,_T_2606,_T_2601}; // @[Cat.scala 29:58] - wire _T_2717 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_2719 = _T_2717 & _T_26; // @[el2_lsu_bus_buffer.scala 472:106] - wire _T_2705 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_2707 = _T_2705 & _T_12; // @[el2_lsu_bus_buffer.scala 472:106] - wire _T_2699 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_2701 = _T_2699 & _T_5; // @[el2_lsu_bus_buffer.scala 472:106] - wire [3:0] buf_age_younger_2 = {_T_2719,1'h0,_T_2707,_T_2701}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 560:60] + wire _T_2646 = buf_ageQ_2[3] & _T_2668; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2641 = buf_ageQ_2[2] & _T_2663; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2636 = buf_ageQ_2[1] & _T_2658; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2631 = buf_ageQ_2[0] & _T_2653; // @[el2_lsu_bus_buffer.scala 472:78] + wire [3:0] buf_age_2 = {_T_2646,_T_2641,_T_2636,_T_2631}; // @[Cat.scala 29:58] + wire _T_2747 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2749 = _T_2747 & _T_26; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2735 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2737 = _T_2735 & _T_12; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2729 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2731 = _T_2729 & _T_5; // @[el2_lsu_bus_buffer.scala 473:106] + wire [3:0] buf_age_younger_2 = {_T_2749,1'h0,_T_2737,_T_2731}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 206:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 206:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 206:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 559:60] - wire _T_2593 = buf_ageQ_1[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 471:78] - wire _T_2588 = buf_ageQ_1[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 471:78] - wire _T_2583 = buf_ageQ_1[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 471:78] - wire _T_2578 = buf_ageQ_1[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 471:78] - wire [3:0] buf_age_1 = {_T_2593,_T_2588,_T_2583,_T_2578}; // @[Cat.scala 29:58] - wire _T_2690 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_2692 = _T_2690 & _T_26; // @[el2_lsu_bus_buffer.scala 472:106] - wire _T_2684 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_2686 = _T_2684 & _T_19; // @[el2_lsu_bus_buffer.scala 472:106] - wire _T_2672 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_2674 = _T_2672 & _T_5; // @[el2_lsu_bus_buffer.scala 472:106] - wire [3:0] buf_age_younger_1 = {_T_2692,_T_2686,1'h0,_T_2674}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 560:60] + wire _T_2623 = buf_ageQ_1[3] & _T_2668; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2618 = buf_ageQ_1[2] & _T_2663; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2613 = buf_ageQ_1[1] & _T_2658; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2608 = buf_ageQ_1[0] & _T_2653; // @[el2_lsu_bus_buffer.scala 472:78] + wire [3:0] buf_age_1 = {_T_2623,_T_2618,_T_2613,_T_2608}; // @[Cat.scala 29:58] + wire _T_2720 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2722 = _T_2720 & _T_26; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2714 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2716 = _T_2714 & _T_19; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2702 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2704 = _T_2702 & _T_5; // @[el2_lsu_bus_buffer.scala 473:106] + wire [3:0] buf_age_younger_1 = {_T_2722,_T_2716,1'h0,_T_2704}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 206:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 206:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 206:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 559:60] - wire _T_2570 = buf_ageQ_0[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 471:78] - wire _T_2565 = buf_ageQ_0[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 471:78] - wire _T_2560 = buf_ageQ_0[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 471:78] - wire _T_2555 = buf_ageQ_0[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 471:78] - wire [3:0] buf_age_0 = {_T_2570,_T_2565,_T_2560,_T_2555}; // @[Cat.scala 29:58] - wire _T_2663 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_2665 = _T_2663 & _T_26; // @[el2_lsu_bus_buffer.scala 472:106] - wire _T_2657 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_2659 = _T_2657 & _T_19; // @[el2_lsu_bus_buffer.scala 472:106] - wire _T_2651 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 472:91] - wire _T_2653 = _T_2651 & _T_12; // @[el2_lsu_bus_buffer.scala 472:106] - wire [3:0] buf_age_younger_0 = {_T_2665,_T_2659,_T_2653,1'h0}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 560:60] + wire _T_2600 = buf_ageQ_0[3] & _T_2668; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2595 = buf_ageQ_0[2] & _T_2663; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2590 = buf_ageQ_0[1] & _T_2658; // @[el2_lsu_bus_buffer.scala 472:78] + wire _T_2585 = buf_ageQ_0[0] & _T_2653; // @[el2_lsu_bus_buffer.scala 472:78] + wire [3:0] buf_age_0 = {_T_2600,_T_2595,_T_2590,_T_2585}; // @[Cat.scala 29:58] + wire _T_2693 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2695 = _T_2693 & _T_26; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2687 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2689 = _T_2687 & _T_19; // @[el2_lsu_bus_buffer.scala 473:106] + wire _T_2681 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 473:91] + wire _T_2683 = _T_2681 & _T_12; // @[el2_lsu_bus_buffer.scala 473:106] + wire [3:0] buf_age_younger_0 = {_T_2695,_T_2689,_T_2683,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 206:144] wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 206:99] @@ -767,1876 +767,1890 @@ module el2_lsu_bus_buffer( wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 199:73] wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 199:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] - wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_554 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_557 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_560 = ld_byte_ibuf_hit_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_563 = ld_byte_ibuf_hit_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_lo_initial = {_T_563,_T_560,_T_557,_T_554}; // @[Cat.scala 29:58] + wire [7:0] _T_568 = ld_byte_ibuf_hit_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_571 = ld_byte_ibuf_hit_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_574 = ld_byte_ibuf_hit_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_577 = ld_byte_ibuf_hit_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_hi_initial = {_T_577,_T_574,_T_571,_T_568}; // @[Cat.scala 29:58] + wire [7:0] _T_582 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_0; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 224:91] - wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 224:91] - wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_354 = {{1'd0}, _T_582}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_584 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [7:0] _T_587 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_1; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 224:91] - wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 224:91] - wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_355 = {{1'd0}, _T_587}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_589 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [7:0] _T_592 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_2; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 224:91] - wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 224:91] - wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_356 = {{1'd0}, _T_592}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_594 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [7:0] _T_597 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_3; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 224:91] - wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 224:91] - wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 224:123] - wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 224:123] - wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 224:123] - wire [7:0] _T_577 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 225:65] - wire [7:0] _T_582 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 225:65] - wire [7:0] _T_587 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 225:65] - wire [7:0] _T_592 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 225:65] - wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 225:97] - wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 225:97] - wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 225:97] - wire [7:0] _T_600 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 226:65] - wire [7:0] _T_605 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 226:65] - wire [7:0] _T_610 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 226:65] - wire [7:0] _T_615 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 226:65] - wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 226:96] - wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 226:96] - wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 226:96] - wire [7:0] _T_623 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 227:65] - wire [7:0] _T_628 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 227:65] - wire [7:0] _T_633 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 227:65] - wire [7:0] _T_638 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 227:65] - wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 227:95] - wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 227:95] - wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 227:95] - wire [32:0] _T_646 = {_T_574,_T_597,_T_620,_T_643}; // @[Cat.scala 29:58] - wire [7:0] _T_649 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 229:91] - wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 229:91] - wire [7:0] _T_654 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 229:91] - wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 229:91] - wire [7:0] _T_659 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 229:91] - wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 229:91] - wire [7:0] _T_664 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 229:91] - wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 229:91] - wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 229:123] - wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 229:123] - wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 229:123] - wire [7:0] _T_672 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 230:65] - wire [7:0] _T_677 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 230:65] - wire [7:0] _T_682 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 230:65] - wire [7:0] _T_687 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 230:65] - wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 230:97] - wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 230:97] - wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 230:97] - wire [7:0] _T_695 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 231:65] - wire [7:0] _T_700 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 231:65] - wire [7:0] _T_705 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 231:65] - wire [7:0] _T_710 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 231:65] - wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 231:96] - wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 231:96] - wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 231:96] - wire [7:0] _T_718 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 232:65] - wire [7:0] _T_723 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 232:65] - wire [7:0] _T_728 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 232:65] - wire [7:0] _T_733 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 232:65] - wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 232:95] - wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 232:95] - wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 232:95] - wire [32:0] _T_741 = {_T_669,_T_692,_T_715,_T_738}; // @[Cat.scala 29:58] - wire [3:0] _T_742 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_743 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_744 = io_lsu_pkt_r_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_745 = _T_742 | _T_743; // @[Mux.scala 27:72] - wire [3:0] ldst_byteen_r = _T_745 | _T_744; // @[Mux.scala 27:72] - wire _T_748 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 239:55] - wire _T_750 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 240:55] - wire [3:0] _T_752 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] - wire _T_754 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 241:55] - wire [3:0] _T_756 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] - wire _T_758 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 242:55] - wire [3:0] _T_760 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] - wire [3:0] _T_762 = _T_750 ? _T_752 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_763 = _T_754 ? _T_756 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_764 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_766 = _T_762 | _T_763; // @[Mux.scala 27:72] - wire [3:0] ldst_byteen_hi_r = _T_766 | _T_764; // @[Mux.scala 27:72] - wire [3:0] _T_773 = {ldst_byteen_r[2:0],1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_777 = {ldst_byteen_r[1:0],2'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_781 = {ldst_byteen_r[0],3'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_782 = _T_748 ? ldst_byteen_r : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_783 = _T_750 ? _T_773 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_784 = _T_754 ? _T_777 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_785 = _T_758 ? _T_781 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_786 = _T_782 | _T_783; // @[Mux.scala 27:72] - wire [3:0] _T_787 = _T_786 | _T_784; // @[Mux.scala 27:72] - wire [3:0] ldst_byteen_lo_r = _T_787 | _T_785; // @[Mux.scala 27:72] - wire [31:0] _T_794 = {8'h0,io_store_data_r[31:8]}; // @[Cat.scala 29:58] - wire [31:0] _T_798 = {16'h0,io_store_data_r[31:16]}; // @[Cat.scala 29:58] - wire [31:0] _T_802 = {24'h0,io_store_data_r[31:24]}; // @[Cat.scala 29:58] - wire [31:0] _T_804 = _T_750 ? _T_794 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_805 = _T_754 ? _T_798 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_806 = _T_758 ? _T_802 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_808 = _T_804 | _T_805; // @[Mux.scala 27:72] - wire [31:0] store_data_hi_r = _T_808 | _T_806; // @[Mux.scala 27:72] - wire [31:0] _T_815 = {io_store_data_r[23:0],8'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_819 = {io_store_data_r[15:0],16'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_823 = {io_store_data_r[7:0],24'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_824 = _T_748 ? io_store_data_r : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_825 = _T_750 ? _T_815 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_826 = _T_754 ? _T_819 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_827 = _T_758 ? _T_823 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_828 = _T_824 | _T_825; // @[Mux.scala 27:72] - wire [31:0] _T_829 = _T_828 | _T_826; // @[Mux.scala 27:72] - wire [31:0] store_data_lo_r = _T_829 | _T_827; // @[Mux.scala 27:72] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 260:40] - wire _T_836 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 262:26] - wire _T_837 = io_lsu_pkt_r_word & _T_748; // @[Mux.scala 27:72] - wire _T_838 = io_lsu_pkt_r_half & _T_836; // @[Mux.scala 27:72] - wire _T_840 = _T_837 | _T_838; // @[Mux.scala 27:72] - wire is_aligned_r = _T_840 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] - wire _T_842 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 264:55] - wire _T_843 = io_lsu_busreq_r & _T_842; // @[el2_lsu_bus_buffer.scala 264:34] - wire _T_844 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 264:79] - wire ibuf_byp = _T_843 & _T_844; // @[el2_lsu_bus_buffer.scala 264:77] - wire _T_845 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 265:36] - wire _T_846 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 265:56] - wire ibuf_wr_en = _T_845 & _T_846; // @[el2_lsu_bus_buffer.scala 265:54] - wire _T_847 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 267:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 310:59] - wire _T_856 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 273:62] - wire _T_857 = ibuf_wr_en | _T_856; // @[el2_lsu_bus_buffer.scala 273:48] - wire _T_921 = _T_845 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 292:54] - wire _T_922 = _T_921 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 292:75] - wire _T_923 = _T_922 & ibuf_write; // @[el2_lsu_bus_buffer.scala 292:88] - wire _T_926 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 292:124] - wire _T_927 = _T_923 & _T_926; // @[el2_lsu_bus_buffer.scala 292:101] - wire _T_928 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 292:147] - wire _T_929 = _T_927 & _T_928; // @[el2_lsu_bus_buffer.scala 292:145] - wire _T_930 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 292:170] - wire ibuf_merge_en = _T_929 & _T_930; // @[el2_lsu_bus_buffer.scala 292:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 293:20] - wire _T_858 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 273:98] - wire _T_859 = ~_T_858; // @[el2_lsu_bus_buffer.scala 273:82] - wire _T_860 = _T_857 & _T_859; // @[el2_lsu_bus_buffer.scala 273:80] - wire _T_861 = _T_860 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 274:5] - wire _T_849 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 268:44] - wire _T_850 = io_lsu_busreq_m & _T_849; // @[el2_lsu_bus_buffer.scala 268:42] - wire _T_851 = _T_850 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 268:61] - wire _T_854 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 268:115] - wire _T_855 = io_lsu_pkt_m_load | _T_854; // @[el2_lsu_bus_buffer.scala 268:95] - wire ibuf_force_drain = _T_851 & _T_855; // @[el2_lsu_bus_buffer.scala 268:74] - wire _T_862 = _T_861 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 274:16] + wire [8:0] _GEN_357 = {{1'd0}, _T_597}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_599 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_600 = _T_584 | _T_589; // @[el2_lsu_bus_buffer.scala 225:123] + wire [8:0] _T_601 = _T_600 | _T_594; // @[el2_lsu_bus_buffer.scala 225:123] + wire [8:0] _T_602 = _T_601 | _T_599; // @[el2_lsu_bus_buffer.scala 225:123] + wire [7:0] _T_605 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_607 = _T_605 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_610 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_612 = _T_610 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_615 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_617 = _T_615 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_620 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_622 = _T_620 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_623 = _T_607 | _T_612; // @[el2_lsu_bus_buffer.scala 226:97] + wire [7:0] _T_624 = _T_623 | _T_617; // @[el2_lsu_bus_buffer.scala 226:97] + wire [7:0] _T_625 = _T_624 | _T_622; // @[el2_lsu_bus_buffer.scala 226:97] + wire [7:0] _T_628 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_630 = _T_628 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_633 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_635 = _T_633 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_638 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_640 = _T_638 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_643 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_645 = _T_643 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_646 = _T_630 | _T_635; // @[el2_lsu_bus_buffer.scala 227:96] + wire [7:0] _T_647 = _T_646 | _T_640; // @[el2_lsu_bus_buffer.scala 227:96] + wire [7:0] _T_648 = _T_647 | _T_645; // @[el2_lsu_bus_buffer.scala 227:96] + wire [7:0] _T_651 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_653 = _T_651 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_656 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_658 = _T_656 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_661 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_663 = _T_661 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_666 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_668 = _T_666 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_669 = _T_653 | _T_658; // @[el2_lsu_bus_buffer.scala 228:95] + wire [7:0] _T_670 = _T_669 | _T_663; // @[el2_lsu_bus_buffer.scala 228:95] + wire [7:0] _T_671 = _T_670 | _T_668; // @[el2_lsu_bus_buffer.scala 228:95] + wire [32:0] _T_674 = {_T_602,_T_625,_T_648,_T_671}; // @[Cat.scala 29:58] + wire [32:0] _GEN_358 = {{1'd0}, ld_fwddata_buf_lo_initial}; // @[el2_lsu_bus_buffer.scala 228:101] + wire [32:0] _T_675 = _T_674 | _GEN_358; // @[el2_lsu_bus_buffer.scala 228:101] + wire [7:0] _T_678 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_359 = {{1'd0}, _T_678}; // @[el2_lsu_bus_buffer.scala 230:91] + wire [8:0] _T_680 = _GEN_359 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 230:91] + wire [7:0] _T_683 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_360 = {{1'd0}, _T_683}; // @[el2_lsu_bus_buffer.scala 230:91] + wire [8:0] _T_685 = _GEN_360 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 230:91] + wire [7:0] _T_688 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_361 = {{1'd0}, _T_688}; // @[el2_lsu_bus_buffer.scala 230:91] + wire [8:0] _T_690 = _GEN_361 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 230:91] + wire [7:0] _T_693 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _GEN_362 = {{1'd0}, _T_693}; // @[el2_lsu_bus_buffer.scala 230:91] + wire [8:0] _T_695 = _GEN_362 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 230:91] + wire [8:0] _T_696 = _T_680 | _T_685; // @[el2_lsu_bus_buffer.scala 230:123] + wire [8:0] _T_697 = _T_696 | _T_690; // @[el2_lsu_bus_buffer.scala 230:123] + wire [8:0] _T_698 = _T_697 | _T_695; // @[el2_lsu_bus_buffer.scala 230:123] + wire [7:0] _T_701 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_703 = _T_701 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_706 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_708 = _T_706 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_711 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_713 = _T_711 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_716 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_718 = _T_716 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_719 = _T_703 | _T_708; // @[el2_lsu_bus_buffer.scala 231:97] + wire [7:0] _T_720 = _T_719 | _T_713; // @[el2_lsu_bus_buffer.scala 231:97] + wire [7:0] _T_721 = _T_720 | _T_718; // @[el2_lsu_bus_buffer.scala 231:97] + wire [7:0] _T_724 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_726 = _T_724 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 232:65] + wire [7:0] _T_729 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_731 = _T_729 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 232:65] + wire [7:0] _T_734 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_736 = _T_734 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 232:65] + wire [7:0] _T_739 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_741 = _T_739 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 232:65] + wire [7:0] _T_742 = _T_726 | _T_731; // @[el2_lsu_bus_buffer.scala 232:96] + wire [7:0] _T_743 = _T_742 | _T_736; // @[el2_lsu_bus_buffer.scala 232:96] + wire [7:0] _T_744 = _T_743 | _T_741; // @[el2_lsu_bus_buffer.scala 232:96] + wire [7:0] _T_747 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_749 = _T_747 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 233:65] + wire [7:0] _T_752 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_754 = _T_752 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 233:65] + wire [7:0] _T_757 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_759 = _T_757 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 233:65] + wire [7:0] _T_762 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_764 = _T_762 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 233:65] + wire [7:0] _T_765 = _T_749 | _T_754; // @[el2_lsu_bus_buffer.scala 233:95] + wire [7:0] _T_766 = _T_765 | _T_759; // @[el2_lsu_bus_buffer.scala 233:95] + wire [7:0] _T_767 = _T_766 | _T_764; // @[el2_lsu_bus_buffer.scala 233:95] + wire [32:0] _T_770 = {_T_698,_T_721,_T_744,_T_767}; // @[Cat.scala 29:58] + wire [32:0] _GEN_363 = {{1'd0}, ld_fwddata_buf_hi_initial}; // @[el2_lsu_bus_buffer.scala 233:101] + wire [32:0] _T_771 = _T_770 | _GEN_363; // @[el2_lsu_bus_buffer.scala 233:101] + wire [3:0] _T_772 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_773 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_774 = io_lsu_pkt_r_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_775 = _T_772 | _T_773; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_r = _T_775 | _T_774; // @[Mux.scala 27:72] + wire _T_778 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 240:55] + wire _T_780 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 241:55] + wire [3:0] _T_782 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] + wire _T_784 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 242:55] + wire [3:0] _T_786 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] + wire _T_788 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 243:55] + wire [3:0] _T_790 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] + wire [3:0] _T_792 = _T_780 ? _T_782 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_793 = _T_784 ? _T_786 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_794 = _T_788 ? _T_790 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_796 = _T_792 | _T_793; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_hi_r = _T_796 | _T_794; // @[Mux.scala 27:72] + wire [3:0] _T_803 = {ldst_byteen_r[2:0],1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_807 = {ldst_byteen_r[1:0],2'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_811 = {ldst_byteen_r[0],3'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_812 = _T_778 ? ldst_byteen_r : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_813 = _T_780 ? _T_803 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_814 = _T_784 ? _T_807 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_815 = _T_788 ? _T_811 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_816 = _T_812 | _T_813; // @[Mux.scala 27:72] + wire [3:0] _T_817 = _T_816 | _T_814; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_lo_r = _T_817 | _T_815; // @[Mux.scala 27:72] + wire [31:0] _T_824 = {8'h0,io_store_data_r[31:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_828 = {16'h0,io_store_data_r[31:16]}; // @[Cat.scala 29:58] + wire [31:0] _T_832 = {24'h0,io_store_data_r[31:24]}; // @[Cat.scala 29:58] + wire [31:0] _T_834 = _T_780 ? _T_824 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_835 = _T_784 ? _T_828 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_836 = _T_788 ? _T_832 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_838 = _T_834 | _T_835; // @[Mux.scala 27:72] + wire [31:0] store_data_hi_r = _T_838 | _T_836; // @[Mux.scala 27:72] + wire [31:0] _T_845 = {io_store_data_r[23:0],8'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_849 = {io_store_data_r[15:0],16'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_853 = {io_store_data_r[7:0],24'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_854 = _T_778 ? io_store_data_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_855 = _T_780 ? _T_845 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_856 = _T_784 ? _T_849 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_857 = _T_788 ? _T_853 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_858 = _T_854 | _T_855; // @[Mux.scala 27:72] + wire [31:0] _T_859 = _T_858 | _T_856; // @[Mux.scala 27:72] + wire [31:0] store_data_lo_r = _T_859 | _T_857; // @[Mux.scala 27:72] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 261:40] + wire _T_866 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 263:26] + wire _T_867 = io_lsu_pkt_r_word & _T_778; // @[Mux.scala 27:72] + wire _T_868 = io_lsu_pkt_r_half & _T_866; // @[Mux.scala 27:72] + wire _T_870 = _T_867 | _T_868; // @[Mux.scala 27:72] + wire is_aligned_r = _T_870 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] + wire _T_872 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 265:55] + wire _T_873 = io_lsu_busreq_r & _T_872; // @[el2_lsu_bus_buffer.scala 265:34] + wire _T_874 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 265:79] + wire ibuf_byp = _T_873 & _T_874; // @[el2_lsu_bus_buffer.scala 265:77] + wire _T_875 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 266:36] + wire _T_876 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 266:56] + wire ibuf_wr_en = _T_875 & _T_876; // @[el2_lsu_bus_buffer.scala 266:54] + wire _T_877 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 268:36] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 311:59] + wire _T_886 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 274:62] + wire _T_887 = ibuf_wr_en | _T_886; // @[el2_lsu_bus_buffer.scala 274:48] + wire _T_951 = _T_875 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 293:54] + wire _T_952 = _T_951 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 293:75] + wire _T_953 = _T_952 & ibuf_write; // @[el2_lsu_bus_buffer.scala 293:88] + wire _T_956 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 293:124] + wire _T_957 = _T_953 & _T_956; // @[el2_lsu_bus_buffer.scala 293:101] + wire _T_958 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 293:147] + wire _T_959 = _T_957 & _T_958; // @[el2_lsu_bus_buffer.scala 293:145] + wire _T_960 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 293:170] + wire ibuf_merge_en = _T_959 & _T_960; // @[el2_lsu_bus_buffer.scala 293:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 294:20] + wire _T_888 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 274:98] + wire _T_889 = ~_T_888; // @[el2_lsu_bus_buffer.scala 274:82] + wire _T_890 = _T_887 & _T_889; // @[el2_lsu_bus_buffer.scala 274:80] + wire _T_891 = _T_890 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 275:5] + wire _T_879 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 269:44] + wire _T_880 = io_lsu_busreq_m & _T_879; // @[el2_lsu_bus_buffer.scala 269:42] + wire _T_881 = _T_880 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 269:61] + wire _T_884 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 269:115] + wire _T_885 = io_lsu_pkt_m_load | _T_884; // @[el2_lsu_bus_buffer.scala 269:95] + wire ibuf_force_drain = _T_881 & _T_885; // @[el2_lsu_bus_buffer.scala 269:74] + wire _T_892 = _T_891 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 275:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_863 = _T_862 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 274:35] - wire _T_864 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 274:55] - wire _T_865 = _T_863 | _T_864; // @[el2_lsu_bus_buffer.scala 274:53] - wire _T_866 = _T_865 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 274:67] - wire ibuf_drain_vld = ibuf_valid & _T_866; // @[el2_lsu_bus_buffer.scala 273:32] - wire _T_848 = ibuf_drain_vld & _T_847; // @[el2_lsu_bus_buffer.scala 267:34] - wire ibuf_rst = _T_848 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 267:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 676:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 675:49] + wire _T_893 = _T_892 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 275:35] + wire _T_894 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 275:55] + wire _T_895 = _T_893 | _T_894; // @[el2_lsu_bus_buffer.scala 275:53] + wire _T_896 = _T_895 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 275:67] + wire ibuf_drain_vld = ibuf_valid & _T_896; // @[el2_lsu_bus_buffer.scala 274:32] + wire _T_878 = ibuf_drain_vld & _T_877; // @[el2_lsu_bus_buffer.scala 268:34] + wire ibuf_rst = _T_878 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 268:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 677:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 676:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] - wire [3:0] _T_873 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 283:77] + wire [3:0] _T_903 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 284:77] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] - wire [7:0] _T_881 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 288:8] - wire [7:0] _T_884 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 289:8] - wire [7:0] _T_885 = _T_858 ? _T_881 : _T_884; // @[el2_lsu_bus_buffer.scala 287:46] - wire [7:0] _T_890 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 288:8] - wire [7:0] _T_893 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 289:8] - wire [7:0] _T_894 = _T_858 ? _T_890 : _T_893; // @[el2_lsu_bus_buffer.scala 287:46] - wire [7:0] _T_899 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 288:8] - wire [7:0] _T_902 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 289:8] - wire [7:0] _T_903 = _T_858 ? _T_899 : _T_902; // @[el2_lsu_bus_buffer.scala 287:46] - wire [7:0] _T_908 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 288:8] - wire [7:0] _T_911 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 289:8] - wire [7:0] _T_912 = _T_858 ? _T_908 : _T_911; // @[el2_lsu_bus_buffer.scala 287:46] - wire [23:0] _T_914 = {_T_912,_T_903,_T_894}; // @[Cat.scala 29:58] - wire _T_915 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 290:59] - wire [2:0] _T_918 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 290:93] - wire _T_933 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 294:65] - wire _T_934 = ibuf_merge_en & _T_933; // @[el2_lsu_bus_buffer.scala 294:63] - wire _T_937 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 294:96] - wire _T_939 = _T_934 ? _T_937 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 294:48] - wire _T_944 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 294:96] - wire _T_946 = _T_934 ? _T_944 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 294:48] - wire _T_951 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 294:96] - wire _T_953 = _T_934 ? _T_951 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 294:48] - wire _T_958 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 294:96] - wire _T_960 = _T_934 ? _T_958 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 294:48] - wire [3:0] ibuf_byteen_out = {_T_960,_T_953,_T_946,_T_939}; // @[Cat.scala 29:58] - wire [7:0] _T_970 = _T_934 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 295:45] - wire [7:0] _T_978 = _T_934 ? _T_890 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 295:45] - wire [7:0] _T_986 = _T_934 ? _T_899 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 295:45] - wire [7:0] _T_994 = _T_934 ? _T_908 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 295:45] - wire [31:0] ibuf_data_out = {_T_994,_T_986,_T_978,_T_970}; // @[Cat.scala 29:58] - wire _T_997 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 297:28] - wire _T_998 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 297:63] - wire _T_1003 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 298:89] + wire [7:0] _T_911 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 289:8] + wire [7:0] _T_914 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 290:8] + wire [7:0] _T_915 = _T_888 ? _T_911 : _T_914; // @[el2_lsu_bus_buffer.scala 288:46] + wire [7:0] _T_920 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 289:8] + wire [7:0] _T_923 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 290:8] + wire [7:0] _T_924 = _T_888 ? _T_920 : _T_923; // @[el2_lsu_bus_buffer.scala 288:46] + wire [7:0] _T_929 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 289:8] + wire [7:0] _T_932 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 290:8] + wire [7:0] _T_933 = _T_888 ? _T_929 : _T_932; // @[el2_lsu_bus_buffer.scala 288:46] + wire [7:0] _T_938 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 289:8] + wire [7:0] _T_941 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 290:8] + wire [7:0] _T_942 = _T_888 ? _T_938 : _T_941; // @[el2_lsu_bus_buffer.scala 288:46] + wire [23:0] _T_944 = {_T_942,_T_933,_T_924}; // @[Cat.scala 29:58] + wire _T_945 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 291:59] + wire [2:0] _T_948 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 291:93] + wire _T_963 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 295:65] + wire _T_964 = ibuf_merge_en & _T_963; // @[el2_lsu_bus_buffer.scala 295:63] + wire _T_967 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 295:96] + wire _T_969 = _T_964 ? _T_967 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 295:48] + wire _T_974 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 295:96] + wire _T_976 = _T_964 ? _T_974 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 295:48] + wire _T_981 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 295:96] + wire _T_983 = _T_964 ? _T_981 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 295:48] + wire _T_988 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 295:96] + wire _T_990 = _T_964 ? _T_988 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 295:48] + wire [3:0] ibuf_byteen_out = {_T_990,_T_983,_T_976,_T_969}; // @[Cat.scala 29:58] + wire [7:0] _T_1000 = _T_964 ? _T_911 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 296:45] + wire [7:0] _T_1008 = _T_964 ? _T_920 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 296:45] + wire [7:0] _T_1016 = _T_964 ? _T_929 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 296:45] + wire [7:0] _T_1024 = _T_964 ? _T_938 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 296:45] + wire [31:0] ibuf_data_out = {_T_1024,_T_1016,_T_1008,_T_1000}; // @[Cat.scala 29:58] + wire _T_1027 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 298:28] + wire _T_1028 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 298:63] + wire _T_1033 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 299:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4464 = buf_write[3] & _T_2636; // @[el2_lsu_bus_buffer.scala 581:64] - wire _T_4465 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 581:91] - wire _T_4466 = _T_4464 & _T_4465; // @[el2_lsu_bus_buffer.scala 581:89] - wire _T_4459 = buf_write[2] & _T_2631; // @[el2_lsu_bus_buffer.scala 581:64] - wire _T_4460 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 581:91] - wire _T_4461 = _T_4459 & _T_4460; // @[el2_lsu_bus_buffer.scala 581:89] - wire [1:0] _T_4467 = _T_4466 + _T_4461; // @[el2_lsu_bus_buffer.scala 581:142] - wire _T_4454 = buf_write[1] & _T_2626; // @[el2_lsu_bus_buffer.scala 581:64] - wire _T_4455 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 581:91] - wire _T_4456 = _T_4454 & _T_4455; // @[el2_lsu_bus_buffer.scala 581:89] - wire [1:0] _GEN_362 = {{1'd0}, _T_4456}; // @[el2_lsu_bus_buffer.scala 581:142] - wire [2:0] _T_4468 = _T_4467 + _GEN_362; // @[el2_lsu_bus_buffer.scala 581:142] - wire _T_4449 = buf_write[0] & _T_2621; // @[el2_lsu_bus_buffer.scala 581:64] - wire _T_4450 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 581:91] - wire _T_4451 = _T_4449 & _T_4450; // @[el2_lsu_bus_buffer.scala 581:89] - wire [2:0] _GEN_363 = {{2'd0}, _T_4451}; // @[el2_lsu_bus_buffer.scala 581:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4468 + _GEN_363; // @[el2_lsu_bus_buffer.scala 581:142] - wire _T_1029 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 320:43] - wire _T_4481 = _T_2636 & _T_4465; // @[el2_lsu_bus_buffer.scala 582:73] - wire _T_4478 = _T_2631 & _T_4460; // @[el2_lsu_bus_buffer.scala 582:73] - wire [1:0] _T_4482 = _T_4481 + _T_4478; // @[el2_lsu_bus_buffer.scala 582:126] - wire _T_4475 = _T_2626 & _T_4455; // @[el2_lsu_bus_buffer.scala 582:73] - wire [1:0] _GEN_364 = {{1'd0}, _T_4475}; // @[el2_lsu_bus_buffer.scala 582:126] - wire [2:0] _T_4483 = _T_4482 + _GEN_364; // @[el2_lsu_bus_buffer.scala 582:126] - wire _T_4472 = _T_2621 & _T_4450; // @[el2_lsu_bus_buffer.scala 582:73] - wire [2:0] _GEN_365 = {{2'd0}, _T_4472}; // @[el2_lsu_bus_buffer.scala 582:126] - wire [3:0] buf_numvld_cmd_any = _T_4483 + _GEN_365; // @[el2_lsu_bus_buffer.scala 582:126] - wire _T_1030 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 320:72] - wire _T_1031 = _T_1029 & _T_1030; // @[el2_lsu_bus_buffer.scala 320:51] - reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 419:54] - wire _T_1032 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 320:97] - wire _T_1033 = _T_1031 & _T_1032; // @[el2_lsu_bus_buffer.scala 320:80] - wire _T_1035 = _T_1033 & _T_930; // @[el2_lsu_bus_buffer.scala 320:114] - wire _T_1994 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 436:58] - wire _T_1995 = ~_T_1994; // @[el2_lsu_bus_buffer.scala 436:45] - wire _T_1997 = _T_1995 & _T_2636; // @[el2_lsu_bus_buffer.scala 436:63] - wire _T_1999 = _T_1997 & _T_4465; // @[el2_lsu_bus_buffer.scala 436:88] - wire _T_1988 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 436:58] - wire _T_1989 = ~_T_1988; // @[el2_lsu_bus_buffer.scala 436:45] - wire _T_1991 = _T_1989 & _T_2631; // @[el2_lsu_bus_buffer.scala 436:63] - wire _T_1993 = _T_1991 & _T_4460; // @[el2_lsu_bus_buffer.scala 436:88] - wire _T_1982 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 436:58] - wire _T_1983 = ~_T_1982; // @[el2_lsu_bus_buffer.scala 436:45] - wire _T_1985 = _T_1983 & _T_2626; // @[el2_lsu_bus_buffer.scala 436:63] - wire _T_1987 = _T_1985 & _T_4455; // @[el2_lsu_bus_buffer.scala 436:88] - wire _T_1976 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 436:58] - wire _T_1977 = ~_T_1976; // @[el2_lsu_bus_buffer.scala 436:45] - wire _T_1979 = _T_1977 & _T_2621; // @[el2_lsu_bus_buffer.scala 436:63] - wire _T_1981 = _T_1979 & _T_4450; // @[el2_lsu_bus_buffer.scala 436:88] - wire [3:0] CmdPtr0Dec = {_T_1999,_T_1993,_T_1987,_T_1981}; // @[Cat.scala 29:58] - wire [7:0] _T_2069 = {4'h0,_T_1999,_T_1993,_T_1987,_T_1981}; // @[Cat.scala 29:58] - wire _T_2072 = _T_2069[4] | _T_2069[5]; // @[el2_lsu_bus_buffer.scala 444:42] - wire _T_2074 = _T_2072 | _T_2069[6]; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_2076 = _T_2074 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 444:54] - wire _T_2079 = _T_2069[2] | _T_2069[3]; // @[el2_lsu_bus_buffer.scala 444:67] - wire _T_2081 = _T_2079 | _T_2069[6]; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2083 = _T_2081 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 444:79] - wire _T_2086 = _T_2069[1] | _T_2069[3]; // @[el2_lsu_bus_buffer.scala 444:92] - wire _T_2088 = _T_2086 | _T_2069[5]; // @[el2_lsu_bus_buffer.scala 444:98] - wire _T_2090 = _T_2088 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 444:104] - wire [2:0] _T_2092 = {_T_2076,_T_2083,_T_2090}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2092[1:0]; // @[el2_lsu_bus_buffer.scala 450:11] - wire _T_1036 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 321:114] - wire _T_1037 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 321:114] - wire _T_1038 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 321:114] - wire _T_1039 = CmdPtr0 == 2'h3; // @[el2_lsu_bus_buffer.scala 321:114] + wire _T_4494 = buf_write[3] & _T_2666; // @[el2_lsu_bus_buffer.scala 582:64] + wire _T_4495 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 582:91] + wire _T_4496 = _T_4494 & _T_4495; // @[el2_lsu_bus_buffer.scala 582:89] + wire _T_4489 = buf_write[2] & _T_2661; // @[el2_lsu_bus_buffer.scala 582:64] + wire _T_4490 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 582:91] + wire _T_4491 = _T_4489 & _T_4490; // @[el2_lsu_bus_buffer.scala 582:89] + wire [1:0] _T_4497 = _T_4496 + _T_4491; // @[el2_lsu_bus_buffer.scala 582:142] + wire _T_4484 = buf_write[1] & _T_2656; // @[el2_lsu_bus_buffer.scala 582:64] + wire _T_4485 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 582:91] + wire _T_4486 = _T_4484 & _T_4485; // @[el2_lsu_bus_buffer.scala 582:89] + wire [1:0] _GEN_364 = {{1'd0}, _T_4486}; // @[el2_lsu_bus_buffer.scala 582:142] + wire [2:0] _T_4498 = _T_4497 + _GEN_364; // @[el2_lsu_bus_buffer.scala 582:142] + wire _T_4479 = buf_write[0] & _T_2651; // @[el2_lsu_bus_buffer.scala 582:64] + wire _T_4480 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 582:91] + wire _T_4481 = _T_4479 & _T_4480; // @[el2_lsu_bus_buffer.scala 582:89] + wire [2:0] _GEN_365 = {{2'd0}, _T_4481}; // @[el2_lsu_bus_buffer.scala 582:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4498 + _GEN_365; // @[el2_lsu_bus_buffer.scala 582:142] + wire _T_1059 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 321:43] + wire _T_4511 = _T_2666 & _T_4495; // @[el2_lsu_bus_buffer.scala 583:73] + wire _T_4508 = _T_2661 & _T_4490; // @[el2_lsu_bus_buffer.scala 583:73] + wire [1:0] _T_4512 = _T_4511 + _T_4508; // @[el2_lsu_bus_buffer.scala 583:126] + wire _T_4505 = _T_2656 & _T_4485; // @[el2_lsu_bus_buffer.scala 583:73] + wire [1:0] _GEN_366 = {{1'd0}, _T_4505}; // @[el2_lsu_bus_buffer.scala 583:126] + wire [2:0] _T_4513 = _T_4512 + _GEN_366; // @[el2_lsu_bus_buffer.scala 583:126] + wire _T_4502 = _T_2651 & _T_4480; // @[el2_lsu_bus_buffer.scala 583:73] + wire [2:0] _GEN_367 = {{2'd0}, _T_4502}; // @[el2_lsu_bus_buffer.scala 583:126] + wire [3:0] buf_numvld_cmd_any = _T_4513 + _GEN_367; // @[el2_lsu_bus_buffer.scala 583:126] + wire _T_1060 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 321:72] + wire _T_1061 = _T_1059 & _T_1060; // @[el2_lsu_bus_buffer.scala 321:51] + reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 420:54] + wire _T_1062 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 321:97] + wire _T_1063 = _T_1061 & _T_1062; // @[el2_lsu_bus_buffer.scala 321:80] + wire _T_1065 = _T_1063 & _T_960; // @[el2_lsu_bus_buffer.scala 321:114] + wire _T_2024 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 437:58] + wire _T_2025 = ~_T_2024; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2027 = _T_2025 & _T_2666; // @[el2_lsu_bus_buffer.scala 437:63] + wire _T_2029 = _T_2027 & _T_4495; // @[el2_lsu_bus_buffer.scala 437:88] + wire _T_2018 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 437:58] + wire _T_2019 = ~_T_2018; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2021 = _T_2019 & _T_2661; // @[el2_lsu_bus_buffer.scala 437:63] + wire _T_2023 = _T_2021 & _T_4490; // @[el2_lsu_bus_buffer.scala 437:88] + wire _T_2012 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 437:58] + wire _T_2013 = ~_T_2012; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2015 = _T_2013 & _T_2656; // @[el2_lsu_bus_buffer.scala 437:63] + wire _T_2017 = _T_2015 & _T_4485; // @[el2_lsu_bus_buffer.scala 437:88] + wire _T_2006 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 437:58] + wire _T_2007 = ~_T_2006; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2009 = _T_2007 & _T_2651; // @[el2_lsu_bus_buffer.scala 437:63] + wire _T_2011 = _T_2009 & _T_4480; // @[el2_lsu_bus_buffer.scala 437:88] + wire [3:0] CmdPtr0Dec = {_T_2029,_T_2023,_T_2017,_T_2011}; // @[Cat.scala 29:58] + wire [7:0] _T_2099 = {4'h0,_T_2029,_T_2023,_T_2017,_T_2011}; // @[Cat.scala 29:58] + wire _T_2102 = _T_2099[4] | _T_2099[5]; // @[el2_lsu_bus_buffer.scala 445:42] + wire _T_2104 = _T_2102 | _T_2099[6]; // @[el2_lsu_bus_buffer.scala 445:48] + wire _T_2106 = _T_2104 | _T_2099[7]; // @[el2_lsu_bus_buffer.scala 445:54] + wire _T_2109 = _T_2099[2] | _T_2099[3]; // @[el2_lsu_bus_buffer.scala 445:67] + wire _T_2111 = _T_2109 | _T_2099[6]; // @[el2_lsu_bus_buffer.scala 445:73] + wire _T_2113 = _T_2111 | _T_2099[7]; // @[el2_lsu_bus_buffer.scala 445:79] + wire _T_2116 = _T_2099[1] | _T_2099[3]; // @[el2_lsu_bus_buffer.scala 445:92] + wire _T_2118 = _T_2116 | _T_2099[5]; // @[el2_lsu_bus_buffer.scala 445:98] + wire _T_2120 = _T_2118 | _T_2099[7]; // @[el2_lsu_bus_buffer.scala 445:104] + wire [2:0] _T_2122 = {_T_2106,_T_2113,_T_2120}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2122[1:0]; // @[el2_lsu_bus_buffer.scala 451:11] + wire _T_1066 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 322:114] + wire _T_1067 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 322:114] + wire _T_1068 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 322:114] + wire _T_1069 = CmdPtr0 == 2'h3; // @[el2_lsu_bus_buffer.scala 322:114] reg buf_nomerge_0; // @[Reg.scala 27:20] - wire _T_1040 = _T_1036 & buf_nomerge_0; // @[Mux.scala 27:72] + wire _T_1070 = _T_1066 & buf_nomerge_0; // @[Mux.scala 27:72] reg buf_nomerge_1; // @[Reg.scala 27:20] - wire _T_1041 = _T_1037 & buf_nomerge_1; // @[Mux.scala 27:72] + wire _T_1071 = _T_1067 & buf_nomerge_1; // @[Mux.scala 27:72] reg buf_nomerge_2; // @[Reg.scala 27:20] - wire _T_1042 = _T_1038 & buf_nomerge_2; // @[Mux.scala 27:72] + wire _T_1072 = _T_1068 & buf_nomerge_2; // @[Mux.scala 27:72] reg buf_nomerge_3; // @[Reg.scala 27:20] - wire _T_1043 = _T_1039 & buf_nomerge_3; // @[Mux.scala 27:72] - wire _T_1044 = _T_1040 | _T_1041; // @[Mux.scala 27:72] - wire _T_1045 = _T_1044 | _T_1042; // @[Mux.scala 27:72] - wire _T_1046 = _T_1045 | _T_1043; // @[Mux.scala 27:72] - wire _T_1048 = ~_T_1046; // @[el2_lsu_bus_buffer.scala 321:31] - wire _T_1049 = _T_1035 & _T_1048; // @[el2_lsu_bus_buffer.scala 321:29] - reg _T_4345; // @[Reg.scala 27:20] - reg _T_4342; // @[Reg.scala 27:20] - reg _T_4339; // @[Reg.scala 27:20] - reg _T_4336; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4345,_T_4342,_T_4339,_T_4336}; // @[Cat.scala 29:58] - wire _T_1058 = _T_1036 & buf_sideeffect[0]; // @[Mux.scala 27:72] - wire _T_1059 = _T_1037 & buf_sideeffect[1]; // @[Mux.scala 27:72] - wire _T_1060 = _T_1038 & buf_sideeffect[2]; // @[Mux.scala 27:72] - wire _T_1061 = _T_1039 & buf_sideeffect[3]; // @[Mux.scala 27:72] - wire _T_1062 = _T_1058 | _T_1059; // @[Mux.scala 27:72] - wire _T_1063 = _T_1062 | _T_1060; // @[Mux.scala 27:72] - wire _T_1064 = _T_1063 | _T_1061; // @[Mux.scala 27:72] - wire _T_1066 = ~_T_1064; // @[el2_lsu_bus_buffer.scala 322:5] - wire _T_1067 = _T_1049 & _T_1066; // @[el2_lsu_bus_buffer.scala 321:140] - wire _T_1078 = _T_850 & _T_844; // @[el2_lsu_bus_buffer.scala 324:58] - wire _T_1080 = _T_1078 & _T_1030; // @[el2_lsu_bus_buffer.scala 324:72] - wire [29:0] _T_1090 = _T_1036 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] - wire [29:0] _T_1091 = _T_1037 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] - wire [29:0] _T_1094 = _T_1090 | _T_1091; // @[Mux.scala 27:72] - wire [29:0] _T_1092 = _T_1038 ? buf_addr_2[31:2] : 30'h0; // @[Mux.scala 27:72] - wire [29:0] _T_1095 = _T_1094 | _T_1092; // @[Mux.scala 27:72] - wire [29:0] _T_1093 = _T_1039 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] - wire [29:0] _T_1096 = _T_1095 | _T_1093; // @[Mux.scala 27:72] - wire _T_1098 = io_lsu_addr_m[31:2] != _T_1096; // @[el2_lsu_bus_buffer.scala 324:123] - wire obuf_force_wr_en = _T_1080 & _T_1098; // @[el2_lsu_bus_buffer.scala 324:101] - wire _T_1068 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 322:119] - wire obuf_wr_wait = _T_1067 & _T_1068; // @[el2_lsu_bus_buffer.scala 322:117] - wire _T_1069 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 323:75] - wire _T_1070 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 323:95] - wire _T_1071 = _T_1069 & _T_1070; // @[el2_lsu_bus_buffer.scala 323:79] - wire [2:0] _T_1073 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 323:121] - wire _T_4500 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 583:63] - wire _T_4504 = _T_4500 | _T_4481; // @[el2_lsu_bus_buffer.scala 583:74] - wire _T_4495 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 583:63] - wire _T_4499 = _T_4495 | _T_4478; // @[el2_lsu_bus_buffer.scala 583:74] - wire [1:0] _T_4505 = _T_4504 + _T_4499; // @[el2_lsu_bus_buffer.scala 583:154] - wire _T_4490 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 583:63] - wire _T_4494 = _T_4490 | _T_4475; // @[el2_lsu_bus_buffer.scala 583:74] - wire [1:0] _GEN_366 = {{1'd0}, _T_4494}; // @[el2_lsu_bus_buffer.scala 583:154] - wire [2:0] _T_4506 = _T_4505 + _GEN_366; // @[el2_lsu_bus_buffer.scala 583:154] - wire _T_4485 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 583:63] - wire _T_4489 = _T_4485 | _T_4472; // @[el2_lsu_bus_buffer.scala 583:74] - wire [2:0] _GEN_367 = {{2'd0}, _T_4489}; // @[el2_lsu_bus_buffer.scala 583:154] - wire [3:0] buf_numvld_pend_any = _T_4506 + _GEN_367; // @[el2_lsu_bus_buffer.scala 583:154] - wire _T_1100 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 326:53] - wire _T_1101 = ibuf_byp & _T_1100; // @[el2_lsu_bus_buffer.scala 326:31] - wire _T_1102 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 326:64] - wire _T_1103 = _T_1102 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 326:84] - wire ibuf_buf_byp = _T_1101 & _T_1103; // @[el2_lsu_bus_buffer.scala 326:61] - wire _T_1104 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 341:32] - wire _T_4796 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 612:62] - wire _T_4798 = _T_4796 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 612:73] - wire _T_4799 = _T_4798 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 612:93] - wire _T_4800 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 612:62] - wire _T_4802 = _T_4800 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 612:73] - wire _T_4803 = _T_4802 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 612:93] - wire _T_4812 = _T_4799 | _T_4803; // @[el2_lsu_bus_buffer.scala 612:141] - wire _T_4804 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 612:62] - wire _T_4806 = _T_4804 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 612:73] - wire _T_4807 = _T_4806 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 612:93] - wire _T_4813 = _T_4812 | _T_4807; // @[el2_lsu_bus_buffer.scala 612:141] - wire _T_4808 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 612:62] - wire _T_4810 = _T_4808 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 612:73] - wire _T_4811 = _T_4810 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 612:93] - wire bus_sideeffect_pend = _T_4813 | _T_4811; // @[el2_lsu_bus_buffer.scala 612:141] - wire _T_1105 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 341:74] - wire _T_1106 = ~_T_1105; // @[el2_lsu_bus_buffer.scala 341:52] - wire _T_1107 = _T_1104 & _T_1106; // @[el2_lsu_bus_buffer.scala 341:50] - wire [2:0] _T_1112 = _T_1036 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1113 = _T_1037 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1116 = _T_1112 | _T_1113; // @[Mux.scala 27:72] - wire [2:0] _T_1114 = _T_1038 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1117 = _T_1116 | _T_1114; // @[Mux.scala 27:72] - wire [2:0] _T_1115 = _T_1039 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1118 = _T_1117 | _T_1115; // @[Mux.scala 27:72] - wire _T_1120 = _T_1118 == 3'h2; // @[el2_lsu_bus_buffer.scala 342:36] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 441:31] - wire _T_1121 = _T_1120 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 342:47] - wire [3:0] _T_1124 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] - wire _T_1133 = _T_1036 & _T_1124[0]; // @[Mux.scala 27:72] - wire _T_1134 = _T_1037 & _T_1124[1]; // @[Mux.scala 27:72] - wire _T_1137 = _T_1133 | _T_1134; // @[Mux.scala 27:72] - wire _T_1135 = _T_1038 & _T_1124[2]; // @[Mux.scala 27:72] - wire _T_1138 = _T_1137 | _T_1135; // @[Mux.scala 27:72] - wire _T_1136 = _T_1039 & _T_1124[3]; // @[Mux.scala 27:72] - wire _T_1139 = _T_1138 | _T_1136; // @[Mux.scala 27:72] - wire _T_1141 = ~_T_1139; // @[el2_lsu_bus_buffer.scala 343:23] - wire _T_1142 = _T_1121 & _T_1141; // @[el2_lsu_bus_buffer.scala 343:21] - wire _T_1159 = _T_1064 & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 343:141] - wire _T_1160 = ~_T_1159; // @[el2_lsu_bus_buffer.scala 343:105] - wire _T_1161 = _T_1142 & _T_1160; // @[el2_lsu_bus_buffer.scala 343:103] + wire _T_1073 = _T_1069 & buf_nomerge_3; // @[Mux.scala 27:72] + wire _T_1074 = _T_1070 | _T_1071; // @[Mux.scala 27:72] + wire _T_1075 = _T_1074 | _T_1072; // @[Mux.scala 27:72] + wire _T_1076 = _T_1075 | _T_1073; // @[Mux.scala 27:72] + wire _T_1078 = ~_T_1076; // @[el2_lsu_bus_buffer.scala 322:31] + wire _T_1079 = _T_1065 & _T_1078; // @[el2_lsu_bus_buffer.scala 322:29] + reg _T_4375; // @[Reg.scala 27:20] + reg _T_4372; // @[Reg.scala 27:20] + reg _T_4369; // @[Reg.scala 27:20] + reg _T_4366; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4375,_T_4372,_T_4369,_T_4366}; // @[Cat.scala 29:58] + wire _T_1088 = _T_1066 & buf_sideeffect[0]; // @[Mux.scala 27:72] + wire _T_1089 = _T_1067 & buf_sideeffect[1]; // @[Mux.scala 27:72] + wire _T_1090 = _T_1068 & buf_sideeffect[2]; // @[Mux.scala 27:72] + wire _T_1091 = _T_1069 & buf_sideeffect[3]; // @[Mux.scala 27:72] + wire _T_1092 = _T_1088 | _T_1089; // @[Mux.scala 27:72] + wire _T_1093 = _T_1092 | _T_1090; // @[Mux.scala 27:72] + wire _T_1094 = _T_1093 | _T_1091; // @[Mux.scala 27:72] + wire _T_1096 = ~_T_1094; // @[el2_lsu_bus_buffer.scala 323:5] + wire _T_1097 = _T_1079 & _T_1096; // @[el2_lsu_bus_buffer.scala 322:140] + wire _T_1108 = _T_880 & _T_874; // @[el2_lsu_bus_buffer.scala 325:58] + wire _T_1110 = _T_1108 & _T_1060; // @[el2_lsu_bus_buffer.scala 325:72] + wire [29:0] _T_1120 = _T_1066 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1121 = _T_1067 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1124 = _T_1120 | _T_1121; // @[Mux.scala 27:72] + wire [29:0] _T_1122 = _T_1068 ? buf_addr_2[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] + wire [29:0] _T_1123 = _T_1069 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] + wire _T_1128 = io_lsu_addr_m[31:2] != _T_1126; // @[el2_lsu_bus_buffer.scala 325:123] + wire obuf_force_wr_en = _T_1110 & _T_1128; // @[el2_lsu_bus_buffer.scala 325:101] + wire _T_1098 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 323:119] + wire obuf_wr_wait = _T_1097 & _T_1098; // @[el2_lsu_bus_buffer.scala 323:117] + wire _T_1099 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 324:75] + wire _T_1100 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 324:95] + wire _T_1101 = _T_1099 & _T_1100; // @[el2_lsu_bus_buffer.scala 324:79] + wire [2:0] _T_1103 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 324:121] + wire _T_4530 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 584:63] + wire _T_4534 = _T_4530 | _T_4511; // @[el2_lsu_bus_buffer.scala 584:74] + wire _T_4525 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 584:63] + wire _T_4529 = _T_4525 | _T_4508; // @[el2_lsu_bus_buffer.scala 584:74] + wire [1:0] _T_4535 = _T_4534 + _T_4529; // @[el2_lsu_bus_buffer.scala 584:154] + wire _T_4520 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 584:63] + wire _T_4524 = _T_4520 | _T_4505; // @[el2_lsu_bus_buffer.scala 584:74] + wire [1:0] _GEN_368 = {{1'd0}, _T_4524}; // @[el2_lsu_bus_buffer.scala 584:154] + wire [2:0] _T_4536 = _T_4535 + _GEN_368; // @[el2_lsu_bus_buffer.scala 584:154] + wire _T_4515 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 584:63] + wire _T_4519 = _T_4515 | _T_4502; // @[el2_lsu_bus_buffer.scala 584:74] + wire [2:0] _GEN_369 = {{2'd0}, _T_4519}; // @[el2_lsu_bus_buffer.scala 584:154] + wire [3:0] buf_numvld_pend_any = _T_4536 + _GEN_369; // @[el2_lsu_bus_buffer.scala 584:154] + wire _T_1130 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 327:53] + wire _T_1131 = ibuf_byp & _T_1130; // @[el2_lsu_bus_buffer.scala 327:31] + wire _T_1132 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 327:64] + wire _T_1133 = _T_1132 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 327:84] + wire ibuf_buf_byp = _T_1131 & _T_1133; // @[el2_lsu_bus_buffer.scala 327:61] + wire _T_1134 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 342:32] + wire _T_4826 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 613:62] + wire _T_4828 = _T_4826 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 613:73] + wire _T_4829 = _T_4828 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 613:93] + wire _T_4830 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 613:62] + wire _T_4832 = _T_4830 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 613:73] + wire _T_4833 = _T_4832 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 613:93] + wire _T_4842 = _T_4829 | _T_4833; // @[el2_lsu_bus_buffer.scala 613:141] + wire _T_4834 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 613:62] + wire _T_4836 = _T_4834 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 613:73] + wire _T_4837 = _T_4836 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 613:93] + wire _T_4843 = _T_4842 | _T_4837; // @[el2_lsu_bus_buffer.scala 613:141] + wire _T_4838 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 613:62] + wire _T_4840 = _T_4838 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 613:73] + wire _T_4841 = _T_4840 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 613:93] + wire bus_sideeffect_pend = _T_4843 | _T_4841; // @[el2_lsu_bus_buffer.scala 613:141] + wire _T_1135 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 342:74] + wire _T_1136 = ~_T_1135; // @[el2_lsu_bus_buffer.scala 342:52] + wire _T_1137 = _T_1134 & _T_1136; // @[el2_lsu_bus_buffer.scala 342:50] + wire [2:0] _T_1142 = _T_1066 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1143 = _T_1067 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1146 = _T_1142 | _T_1143; // @[Mux.scala 27:72] + wire [2:0] _T_1144 = _T_1068 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1147 = _T_1146 | _T_1144; // @[Mux.scala 27:72] + wire [2:0] _T_1145 = _T_1069 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1148 = _T_1147 | _T_1145; // @[Mux.scala 27:72] + wire _T_1150 = _T_1148 == 3'h2; // @[el2_lsu_bus_buffer.scala 343:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 442:31] + wire _T_1151 = _T_1150 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 343:47] + wire [3:0] _T_1154 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] + wire _T_1163 = _T_1066 & _T_1154[0]; // @[Mux.scala 27:72] + wire _T_1164 = _T_1067 & _T_1154[1]; // @[Mux.scala 27:72] + wire _T_1167 = _T_1163 | _T_1164; // @[Mux.scala 27:72] + wire _T_1165 = _T_1068 & _T_1154[2]; // @[Mux.scala 27:72] + wire _T_1168 = _T_1167 | _T_1165; // @[Mux.scala 27:72] + wire _T_1166 = _T_1069 & _T_1154[3]; // @[Mux.scala 27:72] + wire _T_1169 = _T_1168 | _T_1166; // @[Mux.scala 27:72] + wire _T_1171 = ~_T_1169; // @[el2_lsu_bus_buffer.scala 344:23] + wire _T_1172 = _T_1151 & _T_1171; // @[el2_lsu_bus_buffer.scala 344:21] + wire _T_1189 = _T_1094 & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 344:141] + wire _T_1190 = ~_T_1189; // @[el2_lsu_bus_buffer.scala 344:105] + wire _T_1191 = _T_1172 & _T_1190; // @[el2_lsu_bus_buffer.scala 344:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] reg buf_dual_0; // @[Reg.scala 27:20] - wire [3:0] _T_1164 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] - wire _T_1173 = _T_1036 & _T_1164[0]; // @[Mux.scala 27:72] - wire _T_1174 = _T_1037 & _T_1164[1]; // @[Mux.scala 27:72] - wire _T_1177 = _T_1173 | _T_1174; // @[Mux.scala 27:72] - wire _T_1175 = _T_1038 & _T_1164[2]; // @[Mux.scala 27:72] - wire _T_1178 = _T_1177 | _T_1175; // @[Mux.scala 27:72] - wire _T_1176 = _T_1039 & _T_1164[3]; // @[Mux.scala 27:72] - wire _T_1179 = _T_1178 | _T_1176; // @[Mux.scala 27:72] + wire [3:0] _T_1194 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire _T_1203 = _T_1066 & _T_1194[0]; // @[Mux.scala 27:72] + wire _T_1204 = _T_1067 & _T_1194[1]; // @[Mux.scala 27:72] + wire _T_1207 = _T_1203 | _T_1204; // @[Mux.scala 27:72] + wire _T_1205 = _T_1068 & _T_1194[2]; // @[Mux.scala 27:72] + wire _T_1208 = _T_1207 | _T_1205; // @[Mux.scala 27:72] + wire _T_1206 = _T_1069 & _T_1194[3]; // @[Mux.scala 27:72] + wire _T_1209 = _T_1208 | _T_1206; // @[Mux.scala 27:72] reg buf_samedw_3; // @[Reg.scala 27:20] reg buf_samedw_2; // @[Reg.scala 27:20] reg buf_samedw_1; // @[Reg.scala 27:20] reg buf_samedw_0; // @[Reg.scala 27:20] - wire [3:0] _T_1183 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] - wire _T_1192 = _T_1036 & _T_1183[0]; // @[Mux.scala 27:72] - wire _T_1193 = _T_1037 & _T_1183[1]; // @[Mux.scala 27:72] - wire _T_1196 = _T_1192 | _T_1193; // @[Mux.scala 27:72] - wire _T_1194 = _T_1038 & _T_1183[2]; // @[Mux.scala 27:72] - wire _T_1197 = _T_1196 | _T_1194; // @[Mux.scala 27:72] - wire _T_1195 = _T_1039 & _T_1183[3]; // @[Mux.scala 27:72] - wire _T_1198 = _T_1197 | _T_1195; // @[Mux.scala 27:72] - wire _T_1200 = _T_1179 & _T_1198; // @[el2_lsu_bus_buffer.scala 344:77] - wire _T_1209 = _T_1036 & buf_write[0]; // @[Mux.scala 27:72] - wire _T_1210 = _T_1037 & buf_write[1]; // @[Mux.scala 27:72] - wire _T_1213 = _T_1209 | _T_1210; // @[Mux.scala 27:72] - wire _T_1211 = _T_1038 & buf_write[2]; // @[Mux.scala 27:72] - wire _T_1214 = _T_1213 | _T_1211; // @[Mux.scala 27:72] - wire _T_1212 = _T_1039 & buf_write[3]; // @[Mux.scala 27:72] - wire _T_1215 = _T_1214 | _T_1212; // @[Mux.scala 27:72] - wire _T_1217 = ~_T_1215; // @[el2_lsu_bus_buffer.scala 344:150] - wire _T_1218 = _T_1200 & _T_1217; // @[el2_lsu_bus_buffer.scala 344:148] - wire _T_1219 = ~_T_1218; // @[el2_lsu_bus_buffer.scala 344:8] - wire [3:0] _T_2035 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 437:62] - wire [3:0] _T_2036 = buf_age_3 & _T_2035; // @[el2_lsu_bus_buffer.scala 437:59] - wire _T_2037 = |_T_2036; // @[el2_lsu_bus_buffer.scala 437:76] - wire _T_2038 = ~_T_2037; // @[el2_lsu_bus_buffer.scala 437:45] - wire _T_2040 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 437:83] - wire _T_2041 = _T_2038 & _T_2040; // @[el2_lsu_bus_buffer.scala 437:81] - wire _T_2043 = _T_2041 & _T_2636; // @[el2_lsu_bus_buffer.scala 437:98] - wire _T_2045 = _T_2043 & _T_4465; // @[el2_lsu_bus_buffer.scala 437:123] - wire [3:0] _T_2025 = buf_age_2 & _T_2035; // @[el2_lsu_bus_buffer.scala 437:59] - wire _T_2026 = |_T_2025; // @[el2_lsu_bus_buffer.scala 437:76] - wire _T_2027 = ~_T_2026; // @[el2_lsu_bus_buffer.scala 437:45] - wire _T_2029 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 437:83] - wire _T_2030 = _T_2027 & _T_2029; // @[el2_lsu_bus_buffer.scala 437:81] - wire _T_2032 = _T_2030 & _T_2631; // @[el2_lsu_bus_buffer.scala 437:98] - wire _T_2034 = _T_2032 & _T_4460; // @[el2_lsu_bus_buffer.scala 437:123] - wire [3:0] _T_2014 = buf_age_1 & _T_2035; // @[el2_lsu_bus_buffer.scala 437:59] - wire _T_2015 = |_T_2014; // @[el2_lsu_bus_buffer.scala 437:76] - wire _T_2016 = ~_T_2015; // @[el2_lsu_bus_buffer.scala 437:45] - wire _T_2018 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 437:83] - wire _T_2019 = _T_2016 & _T_2018; // @[el2_lsu_bus_buffer.scala 437:81] - wire _T_2021 = _T_2019 & _T_2626; // @[el2_lsu_bus_buffer.scala 437:98] - wire _T_2023 = _T_2021 & _T_4455; // @[el2_lsu_bus_buffer.scala 437:123] - wire [3:0] _T_2003 = buf_age_0 & _T_2035; // @[el2_lsu_bus_buffer.scala 437:59] - wire _T_2004 = |_T_2003; // @[el2_lsu_bus_buffer.scala 437:76] - wire _T_2005 = ~_T_2004; // @[el2_lsu_bus_buffer.scala 437:45] - wire _T_2007 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 437:83] - wire _T_2008 = _T_2005 & _T_2007; // @[el2_lsu_bus_buffer.scala 437:81] - wire _T_2010 = _T_2008 & _T_2621; // @[el2_lsu_bus_buffer.scala 437:98] - wire _T_2012 = _T_2010 & _T_4450; // @[el2_lsu_bus_buffer.scala 437:123] - wire [3:0] CmdPtr1Dec = {_T_2045,_T_2034,_T_2023,_T_2012}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 442:31] - wire _T_1220 = _T_1219 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 344:181] - wire [3:0] _T_1223 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] - wire _T_1232 = _T_1036 & _T_1223[0]; // @[Mux.scala 27:72] - wire _T_1233 = _T_1037 & _T_1223[1]; // @[Mux.scala 27:72] - wire _T_1236 = _T_1232 | _T_1233; // @[Mux.scala 27:72] - wire _T_1234 = _T_1038 & _T_1223[2]; // @[Mux.scala 27:72] - wire _T_1237 = _T_1236 | _T_1234; // @[Mux.scala 27:72] - wire _T_1235 = _T_1039 & _T_1223[3]; // @[Mux.scala 27:72] - wire _T_1238 = _T_1237 | _T_1235; // @[Mux.scala 27:72] - wire _T_1240 = _T_1220 | _T_1238; // @[el2_lsu_bus_buffer.scala 344:197] - wire _T_1241 = _T_1240 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 344:269] - wire _T_1242 = _T_1161 & _T_1241; // @[el2_lsu_bus_buffer.scala 343:164] - wire _T_1243 = _T_1107 | _T_1242; // @[el2_lsu_bus_buffer.scala 341:98] + wire [3:0] _T_1213 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] + wire _T_1222 = _T_1066 & _T_1213[0]; // @[Mux.scala 27:72] + wire _T_1223 = _T_1067 & _T_1213[1]; // @[Mux.scala 27:72] + wire _T_1226 = _T_1222 | _T_1223; // @[Mux.scala 27:72] + wire _T_1224 = _T_1068 & _T_1213[2]; // @[Mux.scala 27:72] + wire _T_1227 = _T_1226 | _T_1224; // @[Mux.scala 27:72] + wire _T_1225 = _T_1069 & _T_1213[3]; // @[Mux.scala 27:72] + wire _T_1228 = _T_1227 | _T_1225; // @[Mux.scala 27:72] + wire _T_1230 = _T_1209 & _T_1228; // @[el2_lsu_bus_buffer.scala 345:77] + wire _T_1239 = _T_1066 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1240 = _T_1067 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1243 = _T_1239 | _T_1240; // @[Mux.scala 27:72] + wire _T_1241 = _T_1068 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1244 = _T_1243 | _T_1241; // @[Mux.scala 27:72] + wire _T_1242 = _T_1069 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1245 = _T_1244 | _T_1242; // @[Mux.scala 27:72] + wire _T_1247 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 345:150] + wire _T_1248 = _T_1230 & _T_1247; // @[el2_lsu_bus_buffer.scala 345:148] + wire _T_1249 = ~_T_1248; // @[el2_lsu_bus_buffer.scala 345:8] + wire [3:0] _T_2065 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 438:62] + wire [3:0] _T_2066 = buf_age_3 & _T_2065; // @[el2_lsu_bus_buffer.scala 438:59] + wire _T_2067 = |_T_2066; // @[el2_lsu_bus_buffer.scala 438:76] + wire _T_2068 = ~_T_2067; // @[el2_lsu_bus_buffer.scala 438:45] + wire _T_2070 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 438:83] + wire _T_2071 = _T_2068 & _T_2070; // @[el2_lsu_bus_buffer.scala 438:81] + wire _T_2073 = _T_2071 & _T_2666; // @[el2_lsu_bus_buffer.scala 438:98] + wire _T_2075 = _T_2073 & _T_4495; // @[el2_lsu_bus_buffer.scala 438:123] + wire [3:0] _T_2055 = buf_age_2 & _T_2065; // @[el2_lsu_bus_buffer.scala 438:59] + wire _T_2056 = |_T_2055; // @[el2_lsu_bus_buffer.scala 438:76] + wire _T_2057 = ~_T_2056; // @[el2_lsu_bus_buffer.scala 438:45] + wire _T_2059 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 438:83] + wire _T_2060 = _T_2057 & _T_2059; // @[el2_lsu_bus_buffer.scala 438:81] + wire _T_2062 = _T_2060 & _T_2661; // @[el2_lsu_bus_buffer.scala 438:98] + wire _T_2064 = _T_2062 & _T_4490; // @[el2_lsu_bus_buffer.scala 438:123] + wire [3:0] _T_2044 = buf_age_1 & _T_2065; // @[el2_lsu_bus_buffer.scala 438:59] + wire _T_2045 = |_T_2044; // @[el2_lsu_bus_buffer.scala 438:76] + wire _T_2046 = ~_T_2045; // @[el2_lsu_bus_buffer.scala 438:45] + wire _T_2048 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 438:83] + wire _T_2049 = _T_2046 & _T_2048; // @[el2_lsu_bus_buffer.scala 438:81] + wire _T_2051 = _T_2049 & _T_2656; // @[el2_lsu_bus_buffer.scala 438:98] + wire _T_2053 = _T_2051 & _T_4485; // @[el2_lsu_bus_buffer.scala 438:123] + wire [3:0] _T_2033 = buf_age_0 & _T_2065; // @[el2_lsu_bus_buffer.scala 438:59] + wire _T_2034 = |_T_2033; // @[el2_lsu_bus_buffer.scala 438:76] + wire _T_2035 = ~_T_2034; // @[el2_lsu_bus_buffer.scala 438:45] + wire _T_2037 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 438:83] + wire _T_2038 = _T_2035 & _T_2037; // @[el2_lsu_bus_buffer.scala 438:81] + wire _T_2040 = _T_2038 & _T_2651; // @[el2_lsu_bus_buffer.scala 438:98] + wire _T_2042 = _T_2040 & _T_4480; // @[el2_lsu_bus_buffer.scala 438:123] + wire [3:0] CmdPtr1Dec = {_T_2075,_T_2064,_T_2053,_T_2042}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 443:31] + wire _T_1250 = _T_1249 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 345:181] + wire [3:0] _T_1253 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] + wire _T_1262 = _T_1066 & _T_1253[0]; // @[Mux.scala 27:72] + wire _T_1263 = _T_1067 & _T_1253[1]; // @[Mux.scala 27:72] + wire _T_1266 = _T_1262 | _T_1263; // @[Mux.scala 27:72] + wire _T_1264 = _T_1068 & _T_1253[2]; // @[Mux.scala 27:72] + wire _T_1267 = _T_1266 | _T_1264; // @[Mux.scala 27:72] + wire _T_1265 = _T_1069 & _T_1253[3]; // @[Mux.scala 27:72] + wire _T_1268 = _T_1267 | _T_1265; // @[Mux.scala 27:72] + wire _T_1270 = _T_1250 | _T_1268; // @[el2_lsu_bus_buffer.scala 345:197] + wire _T_1271 = _T_1270 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 345:269] + wire _T_1272 = _T_1191 & _T_1271; // @[el2_lsu_bus_buffer.scala 344:164] + wire _T_1273 = _T_1137 | _T_1272; // @[el2_lsu_bus_buffer.scala 342:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 406:54] - reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 407:55] - wire _T_4871 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 616:54] - wire _T_4872 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 616:75] - wire _T_4874 = _T_4871 ? _T_4872 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 616:39] - wire bus_cmd_ready = obuf_write ? _T_4874 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 616:23] - wire _T_1244 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 345:48] - wire _T_1245 = bus_cmd_ready | _T_1244; // @[el2_lsu_bus_buffer.scala 345:46] + reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 407:54] + reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 408:55] + wire _T_4901 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 617:54] + wire _T_4902 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 617:75] + wire _T_4904 = _T_4901 ? _T_4902 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 617:39] + wire bus_cmd_ready = obuf_write ? _T_4904 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 617:23] + wire _T_1274 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 346:48] + wire _T_1275 = bus_cmd_ready | _T_1274; // @[el2_lsu_bus_buffer.scala 346:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1246 = _T_1245 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 345:60] - wire _T_1247 = _T_1243 & _T_1246; // @[el2_lsu_bus_buffer.scala 345:29] - wire _T_1248 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 345:77] - wire _T_1249 = _T_1247 & _T_1248; // @[el2_lsu_bus_buffer.scala 345:75] + wire _T_1276 = _T_1275 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 346:60] + wire _T_1277 = _T_1273 & _T_1276; // @[el2_lsu_bus_buffer.scala 346:29] + wire _T_1278 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 346:77] + wire _T_1279 = _T_1277 & _T_1278; // @[el2_lsu_bus_buffer.scala 346:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4819 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 614:56] - wire _T_4820 = obuf_valid & _T_4819; // @[el2_lsu_bus_buffer.scala 614:38] - wire _T_4822 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 614:126] - wire _T_4823 = obuf_merge & _T_4822; // @[el2_lsu_bus_buffer.scala 614:114] - wire _T_4824 = _T_3577 | _T_4823; // @[el2_lsu_bus_buffer.scala 614:100] - wire _T_4825 = ~_T_4824; // @[el2_lsu_bus_buffer.scala 614:80] - wire _T_4826 = _T_4820 & _T_4825; // @[el2_lsu_bus_buffer.scala 614:78] - wire _T_4863 = _T_4796 & _T_4826; // @[Mux.scala 27:72] - wire _T_4831 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 614:56] - wire _T_4832 = obuf_valid & _T_4831; // @[el2_lsu_bus_buffer.scala 614:38] - wire _T_4834 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 614:126] - wire _T_4835 = obuf_merge & _T_4834; // @[el2_lsu_bus_buffer.scala 614:114] - wire _T_4836 = _T_3770 | _T_4835; // @[el2_lsu_bus_buffer.scala 614:100] - wire _T_4837 = ~_T_4836; // @[el2_lsu_bus_buffer.scala 614:80] - wire _T_4838 = _T_4832 & _T_4837; // @[el2_lsu_bus_buffer.scala 614:78] - wire _T_4864 = _T_4800 & _T_4838; // @[Mux.scala 27:72] - wire _T_4867 = _T_4863 | _T_4864; // @[Mux.scala 27:72] - wire _T_4843 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 614:56] - wire _T_4844 = obuf_valid & _T_4843; // @[el2_lsu_bus_buffer.scala 614:38] - wire _T_4846 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 614:126] - wire _T_4847 = obuf_merge & _T_4846; // @[el2_lsu_bus_buffer.scala 614:114] - wire _T_4848 = _T_3963 | _T_4847; // @[el2_lsu_bus_buffer.scala 614:100] - wire _T_4849 = ~_T_4848; // @[el2_lsu_bus_buffer.scala 614:80] - wire _T_4850 = _T_4844 & _T_4849; // @[el2_lsu_bus_buffer.scala 614:78] - wire _T_4865 = _T_4804 & _T_4850; // @[Mux.scala 27:72] - wire _T_4868 = _T_4867 | _T_4865; // @[Mux.scala 27:72] - wire _T_4855 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 614:56] - wire _T_4856 = obuf_valid & _T_4855; // @[el2_lsu_bus_buffer.scala 614:38] - wire _T_4858 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 614:126] - wire _T_4859 = obuf_merge & _T_4858; // @[el2_lsu_bus_buffer.scala 614:114] - wire _T_4860 = _T_4156 | _T_4859; // @[el2_lsu_bus_buffer.scala 614:100] - wire _T_4861 = ~_T_4860; // @[el2_lsu_bus_buffer.scala 614:80] - wire _T_4862 = _T_4856 & _T_4861; // @[el2_lsu_bus_buffer.scala 614:78] - wire _T_4866 = _T_4808 & _T_4862; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4868 | _T_4866; // @[Mux.scala 27:72] - wire _T_1252 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 345:118] - wire _T_1253 = _T_1249 & _T_1252; // @[el2_lsu_bus_buffer.scala 345:116] - wire obuf_wr_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 345:142] - wire _T_1255 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 347:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 617:39] - wire _T_4878 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 619:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 618:39] - wire _T_4879 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 619:70] - wire _T_4880 = _T_4878 & _T_4879; // @[el2_lsu_bus_buffer.scala 619:52] - wire _T_4881 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 619:111] - wire bus_cmd_sent = _T_4880 | _T_4881; // @[el2_lsu_bus_buffer.scala 619:89] - wire _T_1256 = bus_cmd_sent | _T_1255; // @[el2_lsu_bus_buffer.scala 347:33] - wire _T_1257 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 347:65] - wire _T_1258 = _T_1256 & _T_1257; // @[el2_lsu_bus_buffer.scala 347:63] - wire _T_1259 = _T_1258 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 347:77] - wire obuf_rst = _T_1259 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 347:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : _T_1215; // @[el2_lsu_bus_buffer.scala 348:26] - wire [31:0] _T_1296 = _T_1036 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1297 = _T_1037 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1298 = _T_1038 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1299 = _T_1039 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1300 = _T_1296 | _T_1297; // @[Mux.scala 27:72] - wire [31:0] _T_1301 = _T_1300 | _T_1298; // @[Mux.scala 27:72] - wire [31:0] _T_1302 = _T_1301 | _T_1299; // @[Mux.scala 27:72] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1302; // @[el2_lsu_bus_buffer.scala 350:25] + wire _T_4849 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 615:56] + wire _T_4850 = obuf_valid & _T_4849; // @[el2_lsu_bus_buffer.scala 615:38] + wire _T_4852 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 615:126] + wire _T_4853 = obuf_merge & _T_4852; // @[el2_lsu_bus_buffer.scala 615:114] + wire _T_4854 = _T_3607 | _T_4853; // @[el2_lsu_bus_buffer.scala 615:100] + wire _T_4855 = ~_T_4854; // @[el2_lsu_bus_buffer.scala 615:80] + wire _T_4856 = _T_4850 & _T_4855; // @[el2_lsu_bus_buffer.scala 615:78] + wire _T_4893 = _T_4826 & _T_4856; // @[Mux.scala 27:72] + wire _T_4861 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 615:56] + wire _T_4862 = obuf_valid & _T_4861; // @[el2_lsu_bus_buffer.scala 615:38] + wire _T_4864 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 615:126] + wire _T_4865 = obuf_merge & _T_4864; // @[el2_lsu_bus_buffer.scala 615:114] + wire _T_4866 = _T_3800 | _T_4865; // @[el2_lsu_bus_buffer.scala 615:100] + wire _T_4867 = ~_T_4866; // @[el2_lsu_bus_buffer.scala 615:80] + wire _T_4868 = _T_4862 & _T_4867; // @[el2_lsu_bus_buffer.scala 615:78] + wire _T_4894 = _T_4830 & _T_4868; // @[Mux.scala 27:72] + wire _T_4897 = _T_4893 | _T_4894; // @[Mux.scala 27:72] + wire _T_4873 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 615:56] + wire _T_4874 = obuf_valid & _T_4873; // @[el2_lsu_bus_buffer.scala 615:38] + wire _T_4876 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 615:126] + wire _T_4877 = obuf_merge & _T_4876; // @[el2_lsu_bus_buffer.scala 615:114] + wire _T_4878 = _T_3993 | _T_4877; // @[el2_lsu_bus_buffer.scala 615:100] + wire _T_4879 = ~_T_4878; // @[el2_lsu_bus_buffer.scala 615:80] + wire _T_4880 = _T_4874 & _T_4879; // @[el2_lsu_bus_buffer.scala 615:78] + wire _T_4895 = _T_4834 & _T_4880; // @[Mux.scala 27:72] + wire _T_4898 = _T_4897 | _T_4895; // @[Mux.scala 27:72] + wire _T_4885 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 615:56] + wire _T_4886 = obuf_valid & _T_4885; // @[el2_lsu_bus_buffer.scala 615:38] + wire _T_4888 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 615:126] + wire _T_4889 = obuf_merge & _T_4888; // @[el2_lsu_bus_buffer.scala 615:114] + wire _T_4890 = _T_4186 | _T_4889; // @[el2_lsu_bus_buffer.scala 615:100] + wire _T_4891 = ~_T_4890; // @[el2_lsu_bus_buffer.scala 615:80] + wire _T_4892 = _T_4886 & _T_4891; // @[el2_lsu_bus_buffer.scala 615:78] + wire _T_4896 = _T_4838 & _T_4892; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4898 | _T_4896; // @[Mux.scala 27:72] + wire _T_1282 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 346:118] + wire _T_1283 = _T_1279 & _T_1282; // @[el2_lsu_bus_buffer.scala 346:116] + wire obuf_wr_en = _T_1283 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 346:142] + wire _T_1285 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 348:47] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 618:39] + wire _T_4908 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 620:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 619:39] + wire _T_4909 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 620:70] + wire _T_4910 = _T_4908 & _T_4909; // @[el2_lsu_bus_buffer.scala 620:52] + wire _T_4911 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 620:111] + wire bus_cmd_sent = _T_4910 | _T_4911; // @[el2_lsu_bus_buffer.scala 620:89] + wire _T_1286 = bus_cmd_sent | _T_1285; // @[el2_lsu_bus_buffer.scala 348:33] + wire _T_1287 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 348:65] + wire _T_1288 = _T_1286 & _T_1287; // @[el2_lsu_bus_buffer.scala 348:63] + wire _T_1289 = _T_1288 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 348:77] + wire obuf_rst = _T_1289 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 348:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : _T_1245; // @[el2_lsu_bus_buffer.scala 349:26] + wire [31:0] _T_1326 = _T_1066 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1327 = _T_1067 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1328 = _T_1068 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1329 = _T_1069 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1330 = _T_1326 | _T_1327; // @[Mux.scala 27:72] + wire [31:0] _T_1331 = _T_1330 | _T_1328; // @[Mux.scala 27:72] + wire [31:0] _T_1332 = _T_1331 | _T_1329; // @[Mux.scala 27:72] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1332; // @[el2_lsu_bus_buffer.scala 351:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] - wire [1:0] _T_1309 = _T_1036 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1339 = _T_1066 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] - wire [1:0] _T_1310 = _T_1037 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1340 = _T_1067 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_2; // @[Reg.scala 27:20] - wire [1:0] _T_1311 = _T_1038 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1341 = _T_1068 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_3; // @[Reg.scala 27:20] - wire [1:0] _T_1312 = _T_1039 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_1313 = _T_1309 | _T_1310; // @[Mux.scala 27:72] - wire [1:0] _T_1314 = _T_1313 | _T_1311; // @[Mux.scala 27:72] - wire [1:0] _T_1315 = _T_1314 | _T_1312; // @[Mux.scala 27:72] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1315; // @[el2_lsu_bus_buffer.scala 353:23] - wire _T_1317 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 362:39] - wire _T_1318 = ~_T_1317; // @[el2_lsu_bus_buffer.scala 362:26] - wire _T_1324 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 366:72] - wire _T_1327 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 366:98] - wire _T_1328 = obuf_sz_in[0] & _T_1327; // @[el2_lsu_bus_buffer.scala 366:96] - wire _T_1329 = _T_1324 | _T_1328; // @[el2_lsu_bus_buffer.scala 366:79] - wire _T_1332 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 366:153] - wire _T_1333 = ~_T_1332; // @[el2_lsu_bus_buffer.scala 366:134] - wire _T_1334 = obuf_sz_in[1] & _T_1333; // @[el2_lsu_bus_buffer.scala 366:132] - wire _T_1335 = _T_1329 | _T_1334; // @[el2_lsu_bus_buffer.scala 366:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1335; // @[el2_lsu_bus_buffer.scala 366:28] - wire _T_1352 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 380:40] - wire _T_1353 = _T_1352 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 380:60] + wire [1:0] _T_1342 = _T_1069 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1343 = _T_1339 | _T_1340; // @[Mux.scala 27:72] + wire [1:0] _T_1344 = _T_1343 | _T_1341; // @[Mux.scala 27:72] + wire [1:0] _T_1345 = _T_1344 | _T_1342; // @[Mux.scala 27:72] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1345; // @[el2_lsu_bus_buffer.scala 354:23] + wire _T_1347 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 363:39] + wire _T_1348 = ~_T_1347; // @[el2_lsu_bus_buffer.scala 363:26] + wire _T_1354 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 367:72] + wire _T_1357 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 367:98] + wire _T_1358 = obuf_sz_in[0] & _T_1357; // @[el2_lsu_bus_buffer.scala 367:96] + wire _T_1359 = _T_1354 | _T_1358; // @[el2_lsu_bus_buffer.scala 367:79] + wire _T_1362 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 367:153] + wire _T_1363 = ~_T_1362; // @[el2_lsu_bus_buffer.scala 367:134] + wire _T_1364 = obuf_sz_in[1] & _T_1363; // @[el2_lsu_bus_buffer.scala 367:132] + wire _T_1365 = _T_1359 | _T_1364; // @[el2_lsu_bus_buffer.scala 367:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1365; // @[el2_lsu_bus_buffer.scala 367:28] + wire _T_1382 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 381:40] + wire _T_1383 = _T_1382 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 381:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1354 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 380:80] - wire _T_1355 = _T_1353 & _T_1354; // @[el2_lsu_bus_buffer.scala 380:78] - wire _T_1356 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 380:99] - wire _T_1357 = _T_1355 & _T_1356; // @[el2_lsu_bus_buffer.scala 380:97] - wire _T_1358 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 380:113] - wire _T_1359 = _T_1357 & _T_1358; // @[el2_lsu_bus_buffer.scala 380:111] - wire _T_1360 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 380:130] - wire _T_1361 = _T_1359 & _T_1360; // @[el2_lsu_bus_buffer.scala 380:128] - wire _T_1362 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 381:20] - wire _T_1363 = obuf_valid & _T_1362; // @[el2_lsu_bus_buffer.scala 381:18] - reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 408:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 620:37] - reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 409:55] - wire _T_1364 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 381:90] - wire _T_1365 = bus_rsp_read & _T_1364; // @[el2_lsu_bus_buffer.scala 381:70] - wire _T_1366 = ~_T_1365; // @[el2_lsu_bus_buffer.scala 381:55] - wire _T_1367 = obuf_rdrsp_pend & _T_1366; // @[el2_lsu_bus_buffer.scala 381:53] - wire _T_1368 = _T_1363 | _T_1367; // @[el2_lsu_bus_buffer.scala 381:34] - wire obuf_nosend_in = _T_1361 & _T_1368; // @[el2_lsu_bus_buffer.scala 380:165] - wire _T_1336 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 374:44] - wire _T_1337 = obuf_wr_en & _T_1336; // @[el2_lsu_bus_buffer.scala 374:42] - wire _T_1338 = ~_T_1337; // @[el2_lsu_bus_buffer.scala 374:29] - wire _T_1339 = _T_1338 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 374:61] - wire _T_1343 = _T_1339 & _T_1366; // @[el2_lsu_bus_buffer.scala 374:79] - wire _T_1345 = bus_cmd_sent & _T_1356; // @[el2_lsu_bus_buffer.scala 375:20] - wire _T_1346 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 375:37] - wire _T_1347 = _T_1345 & _T_1346; // @[el2_lsu_bus_buffer.scala 375:35] - wire _T_1349 = bus_cmd_sent | _T_1356; // @[el2_lsu_bus_buffer.scala 377:44] - wire [7:0] _T_1371 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1372 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1373 = io_lsu_addr_r[2] ? _T_1371 : _T_1372; // @[el2_lsu_bus_buffer.scala 382:46] - wire [3:0] _T_1392 = _T_1036 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1393 = _T_1037 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1394 = _T_1038 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1395 = _T_1039 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1396 = _T_1392 | _T_1393; // @[Mux.scala 27:72] - wire [3:0] _T_1397 = _T_1396 | _T_1394; // @[Mux.scala 27:72] - wire [3:0] _T_1398 = _T_1397 | _T_1395; // @[Mux.scala 27:72] - wire [7:0] _T_1400 = {_T_1398,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1413 = {4'h0,_T_1398}; // @[Cat.scala 29:58] - wire [7:0] _T_1414 = _T_1302[2] ? _T_1400 : _T_1413; // @[el2_lsu_bus_buffer.scala 383:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1373 : _T_1414; // @[el2_lsu_bus_buffer.scala 382:28] - wire [7:0] _T_1416 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1417 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1418 = io_end_addr_r[2] ? _T_1416 : _T_1417; // @[el2_lsu_bus_buffer.scala 384:46] - wire [7:0] _T_1445 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1458 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1459 = buf_addr_0[2] ? _T_1445 : _T_1458; // @[el2_lsu_bus_buffer.scala 385:8] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1418 : _T_1459; // @[el2_lsu_bus_buffer.scala 384:28] - wire [63:0] _T_1461 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1462 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1463 = io_lsu_addr_r[2] ? _T_1461 : _T_1462; // @[el2_lsu_bus_buffer.scala 387:44] - wire [31:0] _T_1482 = _T_1036 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1483 = _T_1037 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1484 = _T_1038 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1485 = _T_1039 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1486 = _T_1482 | _T_1483; // @[Mux.scala 27:72] - wire [31:0] _T_1487 = _T_1486 | _T_1484; // @[Mux.scala 27:72] - wire [31:0] _T_1488 = _T_1487 | _T_1485; // @[Mux.scala 27:72] - wire [63:0] _T_1490 = {_T_1488,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1503 = {32'h0,_T_1488}; // @[Cat.scala 29:58] - wire [63:0] _T_1504 = _T_1302[2] ? _T_1490 : _T_1503; // @[el2_lsu_bus_buffer.scala 388:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1463 : _T_1504; // @[el2_lsu_bus_buffer.scala 387:26] - wire [63:0] _T_1506 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1507 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1508 = io_lsu_addr_r[2] ? _T_1506 : _T_1507; // @[el2_lsu_bus_buffer.scala 389:44] - wire [63:0] _T_1535 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1548 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1549 = buf_addr_0[2] ? _T_1535 : _T_1548; // @[el2_lsu_bus_buffer.scala 390:8] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1508 : _T_1549; // @[el2_lsu_bus_buffer.scala 389:26] - wire _T_1634 = CmdPtr0 != 2'h0; // @[el2_lsu_bus_buffer.scala 396:30] - wire _T_1635 = _T_1634 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 396:43] - wire _T_1636 = _T_1635 & found_cmdptr1; // @[el2_lsu_bus_buffer.scala 396:59] - wire _T_1650 = _T_1636 & _T_1120; // @[el2_lsu_bus_buffer.scala 396:75] - wire _T_1664 = _T_1650 & _T_2621; // @[el2_lsu_bus_buffer.scala 396:118] - wire _T_1685 = _T_1664 & _T_1141; // @[el2_lsu_bus_buffer.scala 396:161] - wire _T_1703 = _T_1685 & _T_1066; // @[el2_lsu_bus_buffer.scala 397:83] - wire _T_1805 = _T_1217 & _T_1179; // @[el2_lsu_bus_buffer.scala 400:36] + wire _T_1384 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 381:80] + wire _T_1385 = _T_1383 & _T_1384; // @[el2_lsu_bus_buffer.scala 381:78] + wire _T_1386 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 381:99] + wire _T_1387 = _T_1385 & _T_1386; // @[el2_lsu_bus_buffer.scala 381:97] + wire _T_1388 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 381:113] + wire _T_1389 = _T_1387 & _T_1388; // @[el2_lsu_bus_buffer.scala 381:111] + wire _T_1390 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 381:130] + wire _T_1391 = _T_1389 & _T_1390; // @[el2_lsu_bus_buffer.scala 381:128] + wire _T_1392 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 382:20] + wire _T_1393 = obuf_valid & _T_1392; // @[el2_lsu_bus_buffer.scala 382:18] + reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 409:56] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 621:37] + reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 410:55] + wire _T_1394 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 382:90] + wire _T_1395 = bus_rsp_read & _T_1394; // @[el2_lsu_bus_buffer.scala 382:70] + wire _T_1396 = ~_T_1395; // @[el2_lsu_bus_buffer.scala 382:55] + wire _T_1397 = obuf_rdrsp_pend & _T_1396; // @[el2_lsu_bus_buffer.scala 382:53] + wire _T_1398 = _T_1393 | _T_1397; // @[el2_lsu_bus_buffer.scala 382:34] + wire obuf_nosend_in = _T_1391 & _T_1398; // @[el2_lsu_bus_buffer.scala 381:165] + wire _T_1366 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 375:44] + wire _T_1367 = obuf_wr_en & _T_1366; // @[el2_lsu_bus_buffer.scala 375:42] + wire _T_1368 = ~_T_1367; // @[el2_lsu_bus_buffer.scala 375:29] + wire _T_1369 = _T_1368 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 375:61] + wire _T_1373 = _T_1369 & _T_1396; // @[el2_lsu_bus_buffer.scala 375:79] + wire _T_1375 = bus_cmd_sent & _T_1386; // @[el2_lsu_bus_buffer.scala 376:20] + wire _T_1376 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 376:37] + wire _T_1377 = _T_1375 & _T_1376; // @[el2_lsu_bus_buffer.scala 376:35] + wire _T_1379 = bus_cmd_sent | _T_1386; // @[el2_lsu_bus_buffer.scala 378:44] + wire [7:0] _T_1401 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1402 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1403 = io_lsu_addr_r[2] ? _T_1401 : _T_1402; // @[el2_lsu_bus_buffer.scala 383:46] + wire [3:0] _T_1422 = _T_1066 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1423 = _T_1067 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1424 = _T_1068 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1425 = _T_1069 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1426 = _T_1422 | _T_1423; // @[Mux.scala 27:72] + wire [3:0] _T_1427 = _T_1426 | _T_1424; // @[Mux.scala 27:72] + wire [3:0] _T_1428 = _T_1427 | _T_1425; // @[Mux.scala 27:72] + wire [7:0] _T_1430 = {_T_1428,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1443 = {4'h0,_T_1428}; // @[Cat.scala 29:58] + wire [7:0] _T_1444 = _T_1332[2] ? _T_1430 : _T_1443; // @[el2_lsu_bus_buffer.scala 384:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1403 : _T_1444; // @[el2_lsu_bus_buffer.scala 383:28] + wire [7:0] _T_1446 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1447 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1448 = io_end_addr_r[2] ? _T_1446 : _T_1447; // @[el2_lsu_bus_buffer.scala 385:46] + wire [7:0] _T_1475 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1488 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] + wire [7:0] _T_1489 = buf_addr_0[2] ? _T_1475 : _T_1488; // @[el2_lsu_bus_buffer.scala 386:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1448 : _T_1489; // @[el2_lsu_bus_buffer.scala 385:28] + wire [63:0] _T_1491 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1492 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1493 = io_lsu_addr_r[2] ? _T_1491 : _T_1492; // @[el2_lsu_bus_buffer.scala 388:44] + wire [31:0] _T_1512 = _T_1066 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1513 = _T_1067 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1514 = _T_1068 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1515 = _T_1069 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1516 = _T_1512 | _T_1513; // @[Mux.scala 27:72] + wire [31:0] _T_1517 = _T_1516 | _T_1514; // @[Mux.scala 27:72] + wire [31:0] _T_1518 = _T_1517 | _T_1515; // @[Mux.scala 27:72] + wire [63:0] _T_1520 = {_T_1518,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1533 = {32'h0,_T_1518}; // @[Cat.scala 29:58] + wire [63:0] _T_1534 = _T_1332[2] ? _T_1520 : _T_1533; // @[el2_lsu_bus_buffer.scala 389:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1493 : _T_1534; // @[el2_lsu_bus_buffer.scala 388:26] + wire [63:0] _T_1536 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1537 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1538 = io_lsu_addr_r[2] ? _T_1536 : _T_1537; // @[el2_lsu_bus_buffer.scala 390:44] + wire [63:0] _T_1565 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1578 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] + wire [63:0] _T_1579 = buf_addr_0[2] ? _T_1565 : _T_1578; // @[el2_lsu_bus_buffer.scala 391:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1538 : _T_1579; // @[el2_lsu_bus_buffer.scala 390:26] + wire _T_1664 = CmdPtr0 != 2'h0; // @[el2_lsu_bus_buffer.scala 397:30] + wire _T_1665 = _T_1664 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 397:43] + wire _T_1666 = _T_1665 & found_cmdptr1; // @[el2_lsu_bus_buffer.scala 397:59] + wire _T_1680 = _T_1666 & _T_1150; // @[el2_lsu_bus_buffer.scala 397:75] + wire _T_1694 = _T_1680 & _T_2651; // @[el2_lsu_bus_buffer.scala 397:118] + wire _T_1715 = _T_1694 & _T_1171; // @[el2_lsu_bus_buffer.scala 397:161] + wire _T_1733 = _T_1715 & _T_1096; // @[el2_lsu_bus_buffer.scala 398:83] + wire _T_1835 = _T_1247 & _T_1209; // @[el2_lsu_bus_buffer.scala 401:36] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] reg buf_dualhi_0; // @[Reg.scala 27:20] - wire [3:0] _T_1808 = {buf_dualhi_3,buf_dualhi_2,buf_dualhi_1,buf_dualhi_0}; // @[Cat.scala 29:58] - wire _T_1817 = _T_1036 & _T_1808[0]; // @[Mux.scala 27:72] - wire _T_1818 = _T_1037 & _T_1808[1]; // @[Mux.scala 27:72] - wire _T_1821 = _T_1817 | _T_1818; // @[Mux.scala 27:72] - wire _T_1819 = _T_1038 & _T_1808[2]; // @[Mux.scala 27:72] - wire _T_1822 = _T_1821 | _T_1819; // @[Mux.scala 27:72] - wire _T_1820 = _T_1039 & _T_1808[3]; // @[Mux.scala 27:72] - wire _T_1823 = _T_1822 | _T_1820; // @[Mux.scala 27:72] - wire _T_1825 = ~_T_1823; // @[el2_lsu_bus_buffer.scala 400:107] - wire _T_1826 = _T_1805 & _T_1825; // @[el2_lsu_bus_buffer.scala 400:105] - wire _T_1846 = _T_1826 & _T_1198; // @[el2_lsu_bus_buffer.scala 400:177] - wire _T_1848 = _T_1703 & _T_1846; // @[el2_lsu_bus_buffer.scala 397:120] - wire _T_1849 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 401:19] - wire _T_1850 = _T_1849 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 401:35] - wire obuf_merge_en = _T_1848 | _T_1850; // @[el2_lsu_bus_buffer.scala 400:251] - wire _T_1552 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 391:80] - wire _T_1553 = obuf_byteen0_in[0] | _T_1552; // @[el2_lsu_bus_buffer.scala 391:63] - wire _T_1556 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 391:80] - wire _T_1557 = obuf_byteen0_in[1] | _T_1556; // @[el2_lsu_bus_buffer.scala 391:63] - wire _T_1560 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 391:80] - wire _T_1561 = obuf_byteen0_in[2] | _T_1560; // @[el2_lsu_bus_buffer.scala 391:63] - wire _T_1564 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 391:80] - wire _T_1565 = obuf_byteen0_in[3] | _T_1564; // @[el2_lsu_bus_buffer.scala 391:63] - wire _T_1568 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 391:80] - wire _T_1569 = obuf_byteen0_in[4] | _T_1568; // @[el2_lsu_bus_buffer.scala 391:63] - wire _T_1572 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 391:80] - wire _T_1573 = obuf_byteen0_in[5] | _T_1572; // @[el2_lsu_bus_buffer.scala 391:63] - wire _T_1576 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 391:80] - wire _T_1577 = obuf_byteen0_in[6] | _T_1576; // @[el2_lsu_bus_buffer.scala 391:63] - wire _T_1580 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 391:80] - wire _T_1581 = obuf_byteen0_in[7] | _T_1580; // @[el2_lsu_bus_buffer.scala 391:63] - wire [7:0] obuf_byteen_in = {_T_1581,_T_1577,_T_1573,_T_1569,_T_1565,_T_1561,_T_1557,_T_1553}; // @[Cat.scala 29:58] - wire [7:0] _T_1592 = _T_1552 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 392:44] - wire [7:0] _T_1597 = _T_1556 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 392:44] - wire [7:0] _T_1602 = _T_1560 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 392:44] - wire [7:0] _T_1607 = _T_1564 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 392:44] - wire [7:0] _T_1612 = _T_1568 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 392:44] - wire [7:0] _T_1617 = _T_1572 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 392:44] - wire [7:0] _T_1622 = _T_1576 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 392:44] - wire [7:0] _T_1627 = _T_1580 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 392:44] - wire [55:0] _T_1633 = {_T_1627,_T_1622,_T_1617,_T_1612,_T_1607,_T_1602,_T_1597}; // @[Cat.scala 29:58] - wire _T_1852 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 404:58] - wire _T_1853 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 404:93] + wire [3:0] _T_1838 = {buf_dualhi_3,buf_dualhi_2,buf_dualhi_1,buf_dualhi_0}; // @[Cat.scala 29:58] + wire _T_1847 = _T_1066 & _T_1838[0]; // @[Mux.scala 27:72] + wire _T_1848 = _T_1067 & _T_1838[1]; // @[Mux.scala 27:72] + wire _T_1851 = _T_1847 | _T_1848; // @[Mux.scala 27:72] + wire _T_1849 = _T_1068 & _T_1838[2]; // @[Mux.scala 27:72] + wire _T_1852 = _T_1851 | _T_1849; // @[Mux.scala 27:72] + wire _T_1850 = _T_1069 & _T_1838[3]; // @[Mux.scala 27:72] + wire _T_1853 = _T_1852 | _T_1850; // @[Mux.scala 27:72] + wire _T_1855 = ~_T_1853; // @[el2_lsu_bus_buffer.scala 401:107] + wire _T_1856 = _T_1835 & _T_1855; // @[el2_lsu_bus_buffer.scala 401:105] + wire _T_1876 = _T_1856 & _T_1228; // @[el2_lsu_bus_buffer.scala 401:177] + wire _T_1878 = _T_1733 & _T_1876; // @[el2_lsu_bus_buffer.scala 398:120] + wire _T_1879 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 402:19] + wire _T_1880 = _T_1879 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 402:35] + wire obuf_merge_en = _T_1878 | _T_1880; // @[el2_lsu_bus_buffer.scala 401:251] + wire _T_1582 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1583 = obuf_byteen0_in[0] | _T_1582; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1586 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1587 = obuf_byteen0_in[1] | _T_1586; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1590 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1591 = obuf_byteen0_in[2] | _T_1590; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1594 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1595 = obuf_byteen0_in[3] | _T_1594; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1598 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1599 = obuf_byteen0_in[4] | _T_1598; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1602 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1603 = obuf_byteen0_in[5] | _T_1602; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1606 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1607 = obuf_byteen0_in[6] | _T_1606; // @[el2_lsu_bus_buffer.scala 392:63] + wire _T_1610 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 392:80] + wire _T_1611 = obuf_byteen0_in[7] | _T_1610; // @[el2_lsu_bus_buffer.scala 392:63] + wire [7:0] obuf_byteen_in = {_T_1611,_T_1607,_T_1603,_T_1599,_T_1595,_T_1591,_T_1587,_T_1583}; // @[Cat.scala 29:58] + wire [7:0] _T_1622 = _T_1582 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1627 = _T_1586 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1632 = _T_1590 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1637 = _T_1594 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1642 = _T_1598 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1647 = _T_1602 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1652 = _T_1606 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [7:0] _T_1657 = _T_1610 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 393:44] + wire [55:0] _T_1663 = {_T_1657,_T_1652,_T_1647,_T_1642,_T_1637,_T_1632,_T_1627}; // @[Cat.scala 29:58] + wire _T_1882 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 405:58] + wire _T_1883 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 405:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1866 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:65] - wire _T_1867 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 422:103] - wire _T_1868 = ibuf_valid & _T_1867; // @[el2_lsu_bus_buffer.scala 422:92] - wire _T_1869 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 423:35] - wire _T_1870 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1871 = io_ldst_dual_r & _T_1870; // @[el2_lsu_bus_buffer.scala 424:21] - wire _T_1872 = _T_1869 | _T_1871; // @[el2_lsu_bus_buffer.scala 423:44] - wire _T_1873 = io_lsu_busreq_r & _T_1872; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1874 = _T_1868 | _T_1873; // @[el2_lsu_bus_buffer.scala 422:112] - wire _T_1875 = ~_T_1874; // @[el2_lsu_bus_buffer.scala 422:78] - wire _T_1876 = _T_1866 & _T_1875; // @[el2_lsu_bus_buffer.scala 422:76] - wire _T_1877 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:65] - wire _T_1878 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 422:103] - wire _T_1879 = ibuf_valid & _T_1878; // @[el2_lsu_bus_buffer.scala 422:92] - wire _T_1880 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 423:35] - wire _T_1881 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1882 = io_ldst_dual_r & _T_1881; // @[el2_lsu_bus_buffer.scala 424:21] - wire _T_1883 = _T_1880 | _T_1882; // @[el2_lsu_bus_buffer.scala 423:44] - wire _T_1884 = io_lsu_busreq_r & _T_1883; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1885 = _T_1879 | _T_1884; // @[el2_lsu_bus_buffer.scala 422:112] - wire _T_1886 = ~_T_1885; // @[el2_lsu_bus_buffer.scala 422:78] - wire _T_1887 = _T_1877 & _T_1886; // @[el2_lsu_bus_buffer.scala 422:76] - wire _T_1888 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:65] - wire _T_1889 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 422:103] - wire _T_1890 = ibuf_valid & _T_1889; // @[el2_lsu_bus_buffer.scala 422:92] - wire _T_1891 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 423:35] - wire _T_1892 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1893 = io_ldst_dual_r & _T_1892; // @[el2_lsu_bus_buffer.scala 424:21] - wire _T_1894 = _T_1891 | _T_1893; // @[el2_lsu_bus_buffer.scala 423:44] - wire _T_1895 = io_lsu_busreq_r & _T_1894; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1896 = _T_1890 | _T_1895; // @[el2_lsu_bus_buffer.scala 422:112] - wire _T_1897 = ~_T_1896; // @[el2_lsu_bus_buffer.scala 422:78] - wire _T_1898 = _T_1888 & _T_1897; // @[el2_lsu_bus_buffer.scala 422:76] - wire _T_1899 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:65] - wire _T_1900 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 422:103] - wire _T_1901 = ibuf_valid & _T_1900; // @[el2_lsu_bus_buffer.scala 422:92] - wire _T_1902 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 423:35] - wire _T_1903 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1904 = io_ldst_dual_r & _T_1903; // @[el2_lsu_bus_buffer.scala 424:21] - wire _T_1905 = _T_1902 | _T_1904; // @[el2_lsu_bus_buffer.scala 423:44] - wire _T_1906 = io_lsu_busreq_r & _T_1905; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1907 = _T_1901 | _T_1906; // @[el2_lsu_bus_buffer.scala 422:112] - wire _T_1908 = ~_T_1907; // @[el2_lsu_bus_buffer.scala 422:78] - wire _T_1909 = _T_1899 & _T_1908; // @[el2_lsu_bus_buffer.scala 422:76] - wire [1:0] _T_1910 = _T_1909 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1911 = _T_1898 ? 2'h2 : _T_1910; // @[Mux.scala 98:16] - wire [1:0] _T_1912 = _T_1887 ? 2'h1 : _T_1911; // @[Mux.scala 98:16] - wire [1:0] WrPtr0_m = _T_1876 ? 2'h0 : _T_1912; // @[Mux.scala 98:16] - wire [8:0] _T_1915 = {buf_state_0,buf_state_1,buf_state_2}; // @[Cat.scala 29:58] - wire _T_1920 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 428:33] - wire _T_1921 = io_lsu_busreq_m & _T_1920; // @[el2_lsu_bus_buffer.scala 428:22] - wire _T_1922 = _T_1868 | _T_1921; // @[el2_lsu_bus_buffer.scala 427:115] - wire _T_1928 = _T_1922 | _T_1873; // @[el2_lsu_bus_buffer.scala 428:42] - wire _T_1929 = ~_T_1928; // @[el2_lsu_bus_buffer.scala 427:81] - wire _T_1930 = _T_1866 & _T_1929; // @[el2_lsu_bus_buffer.scala 427:79] - wire _T_1934 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 428:33] - wire _T_1935 = io_lsu_busreq_m & _T_1934; // @[el2_lsu_bus_buffer.scala 428:22] - wire _T_1936 = _T_1879 | _T_1935; // @[el2_lsu_bus_buffer.scala 427:115] - wire _T_1942 = _T_1936 | _T_1884; // @[el2_lsu_bus_buffer.scala 428:42] - wire _T_1943 = ~_T_1942; // @[el2_lsu_bus_buffer.scala 427:81] - wire _T_1944 = _T_1877 & _T_1943; // @[el2_lsu_bus_buffer.scala 427:79] - wire _T_1948 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 428:33] - wire _T_1949 = io_lsu_busreq_m & _T_1948; // @[el2_lsu_bus_buffer.scala 428:22] - wire _T_1950 = _T_1890 | _T_1949; // @[el2_lsu_bus_buffer.scala 427:115] - wire _T_1956 = _T_1950 | _T_1895; // @[el2_lsu_bus_buffer.scala 428:42] - wire _T_1957 = ~_T_1956; // @[el2_lsu_bus_buffer.scala 427:81] - wire _T_1958 = _T_1888 & _T_1957; // @[el2_lsu_bus_buffer.scala 427:79] - wire _T_1962 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 428:33] - wire _T_1963 = io_lsu_busreq_m & _T_1962; // @[el2_lsu_bus_buffer.scala 428:22] - wire _T_1964 = _T_1901 | _T_1963; // @[el2_lsu_bus_buffer.scala 427:115] - wire _T_1970 = _T_1964 | _T_1906; // @[el2_lsu_bus_buffer.scala 428:42] - wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 427:81] - wire _T_1972 = _T_1899 & _T_1971; // @[el2_lsu_bus_buffer.scala 427:79] - wire [1:0] _T_1973 = _T_1972 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1974 = _T_1958 ? 2'h2 : _T_1973; // @[Mux.scala 98:16] - wire [1:0] _T_1975 = _T_1944 ? 2'h1 : _T_1974; // @[Mux.scala 98:16] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 560:63] - wire _T_2761 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 473:104] - wire _T_2762 = buf_rspageQ_0[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 473:89] - wire _T_2758 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 473:104] - wire _T_2759 = buf_rspageQ_0[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 473:89] - wire _T_2755 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 473:104] - wire _T_2756 = buf_rspageQ_0[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 473:89] - wire _T_2752 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 473:104] - wire _T_2753 = buf_rspageQ_0[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 473:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2762,_T_2759,_T_2756,_T_2753}; // @[Cat.scala 29:58] - wire _T_2048 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 440:65] - wire _T_2049 = ~_T_2048; // @[el2_lsu_bus_buffer.scala 440:44] - wire _T_2051 = _T_2049 & _T_2752; // @[el2_lsu_bus_buffer.scala 440:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 560:63] - wire _T_2777 = buf_rspageQ_1[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 473:89] - wire _T_2774 = buf_rspageQ_1[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 473:89] - wire _T_2771 = buf_rspageQ_1[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 473:89] - wire _T_2768 = buf_rspageQ_1[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 473:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2777,_T_2774,_T_2771,_T_2768}; // @[Cat.scala 29:58] - wire _T_2052 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 440:65] - wire _T_2053 = ~_T_2052; // @[el2_lsu_bus_buffer.scala 440:44] - wire _T_2055 = _T_2053 & _T_2755; // @[el2_lsu_bus_buffer.scala 440:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 560:63] - wire _T_2792 = buf_rspageQ_2[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 473:89] - wire _T_2789 = buf_rspageQ_2[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 473:89] - wire _T_2786 = buf_rspageQ_2[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 473:89] - wire _T_2783 = buf_rspageQ_2[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 473:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2792,_T_2789,_T_2786,_T_2783}; // @[Cat.scala 29:58] - wire _T_2056 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 440:65] - wire _T_2057 = ~_T_2056; // @[el2_lsu_bus_buffer.scala 440:44] - wire _T_2059 = _T_2057 & _T_2758; // @[el2_lsu_bus_buffer.scala 440:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 560:63] - wire _T_2807 = buf_rspageQ_3[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 473:89] - wire _T_2804 = buf_rspageQ_3[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 473:89] - wire _T_2801 = buf_rspageQ_3[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 473:89] - wire _T_2798 = buf_rspageQ_3[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 473:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2807,_T_2804,_T_2801,_T_2798}; // @[Cat.scala 29:58] - wire _T_2060 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 440:65] - wire _T_2061 = ~_T_2060; // @[el2_lsu_bus_buffer.scala 440:44] - wire _T_2063 = _T_2061 & _T_2761; // @[el2_lsu_bus_buffer.scala 440:70] - wire [7:0] _T_2119 = {4'h0,_T_2063,_T_2059,_T_2055,_T_2051}; // @[Cat.scala 29:58] - wire _T_2122 = _T_2119[4] | _T_2119[5]; // @[el2_lsu_bus_buffer.scala 444:42] - wire _T_2124 = _T_2122 | _T_2119[6]; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_2126 = _T_2124 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 444:54] - wire _T_2129 = _T_2119[2] | _T_2119[3]; // @[el2_lsu_bus_buffer.scala 444:67] - wire _T_2131 = _T_2129 | _T_2119[6]; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2133 = _T_2131 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 444:79] - wire _T_2136 = _T_2119[1] | _T_2119[3]; // @[el2_lsu_bus_buffer.scala 444:92] - wire _T_2138 = _T_2136 | _T_2119[5]; // @[el2_lsu_bus_buffer.scala 444:98] - wire _T_2140 = _T_2138 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 444:104] - wire [2:0] _T_2142 = {_T_2126,_T_2133,_T_2140}; // @[Cat.scala 29:58] - wire _T_3547 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 503:77] - wire _T_3548 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 503:97] - wire _T_3549 = _T_3547 & _T_3548; // @[el2_lsu_bus_buffer.scala 503:95] - wire _T_3550 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 503:117] - wire _T_3551 = _T_3549 & _T_3550; // @[el2_lsu_bus_buffer.scala 503:112] - wire _T_3552 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 503:144] - wire _T_3553 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 503:166] - wire _T_3554 = _T_3552 & _T_3553; // @[el2_lsu_bus_buffer.scala 503:161] - wire _T_3555 = _T_3551 | _T_3554; // @[el2_lsu_bus_buffer.scala 503:132] - wire _T_3556 = _T_845 & _T_3555; // @[el2_lsu_bus_buffer.scala 503:63] - wire _T_3557 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 503:206] - wire _T_3558 = ibuf_drain_vld & _T_3557; // @[el2_lsu_bus_buffer.scala 503:201] - wire _T_3559 = _T_3556 | _T_3558; // @[el2_lsu_bus_buffer.scala 503:183] - wire _T_3569 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 510:46] - wire _T_3604 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 621:38] - wire _T_3649 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 528:73] - wire _T_3650 = bus_rsp_write & _T_3649; // @[el2_lsu_bus_buffer.scala 528:52] - wire _T_3651 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 529:46] - reg _T_4322; // @[Reg.scala 27:20] - reg _T_4320; // @[Reg.scala 27:20] - reg _T_4318; // @[Reg.scala 27:20] - reg _T_4316; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4322,_T_4320,_T_4318,_T_4316}; // @[Cat.scala 29:58] + wire _T_1896 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 423:65] + wire _T_1897 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 423:103] + wire _T_1898 = ibuf_valid & _T_1897; // @[el2_lsu_bus_buffer.scala 423:92] + wire _T_1899 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 424:35] + wire _T_1900 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 425:33] + wire _T_1901 = io_ldst_dual_r & _T_1900; // @[el2_lsu_bus_buffer.scala 425:21] + wire _T_1902 = _T_1899 | _T_1901; // @[el2_lsu_bus_buffer.scala 424:44] + wire _T_1903 = io_lsu_busreq_r & _T_1902; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1904 = _T_1898 | _T_1903; // @[el2_lsu_bus_buffer.scala 423:112] + wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 423:78] + wire _T_1906 = _T_1896 & _T_1905; // @[el2_lsu_bus_buffer.scala 423:76] + wire _T_1907 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 423:65] + wire _T_1908 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 423:103] + wire _T_1909 = ibuf_valid & _T_1908; // @[el2_lsu_bus_buffer.scala 423:92] + wire _T_1910 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 424:35] + wire _T_1911 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 425:33] + wire _T_1912 = io_ldst_dual_r & _T_1911; // @[el2_lsu_bus_buffer.scala 425:21] + wire _T_1913 = _T_1910 | _T_1912; // @[el2_lsu_bus_buffer.scala 424:44] + wire _T_1914 = io_lsu_busreq_r & _T_1913; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1915 = _T_1909 | _T_1914; // @[el2_lsu_bus_buffer.scala 423:112] + wire _T_1916 = ~_T_1915; // @[el2_lsu_bus_buffer.scala 423:78] + wire _T_1917 = _T_1907 & _T_1916; // @[el2_lsu_bus_buffer.scala 423:76] + wire _T_1918 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 423:65] + wire _T_1919 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 423:103] + wire _T_1920 = ibuf_valid & _T_1919; // @[el2_lsu_bus_buffer.scala 423:92] + wire _T_1921 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 424:35] + wire _T_1922 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 425:33] + wire _T_1923 = io_ldst_dual_r & _T_1922; // @[el2_lsu_bus_buffer.scala 425:21] + wire _T_1924 = _T_1921 | _T_1923; // @[el2_lsu_bus_buffer.scala 424:44] + wire _T_1925 = io_lsu_busreq_r & _T_1924; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1926 = _T_1920 | _T_1925; // @[el2_lsu_bus_buffer.scala 423:112] + wire _T_1927 = ~_T_1926; // @[el2_lsu_bus_buffer.scala 423:78] + wire _T_1928 = _T_1918 & _T_1927; // @[el2_lsu_bus_buffer.scala 423:76] + wire _T_1929 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 423:65] + wire _T_1930 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 423:103] + wire _T_1931 = ibuf_valid & _T_1930; // @[el2_lsu_bus_buffer.scala 423:92] + wire _T_1932 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 424:35] + wire _T_1933 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 425:33] + wire _T_1934 = io_ldst_dual_r & _T_1933; // @[el2_lsu_bus_buffer.scala 425:21] + wire _T_1935 = _T_1932 | _T_1934; // @[el2_lsu_bus_buffer.scala 424:44] + wire _T_1936 = io_lsu_busreq_r & _T_1935; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1937 = _T_1931 | _T_1936; // @[el2_lsu_bus_buffer.scala 423:112] + wire _T_1938 = ~_T_1937; // @[el2_lsu_bus_buffer.scala 423:78] + wire _T_1939 = _T_1929 & _T_1938; // @[el2_lsu_bus_buffer.scala 423:76] + wire [1:0] _T_1940 = _T_1939 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1941 = _T_1928 ? 2'h2 : _T_1940; // @[Mux.scala 98:16] + wire [1:0] _T_1942 = _T_1917 ? 2'h1 : _T_1941; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1906 ? 2'h0 : _T_1942; // @[Mux.scala 98:16] + wire [8:0] _T_1945 = {buf_state_0,buf_state_1,buf_state_2}; // @[Cat.scala 29:58] + wire _T_1950 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 429:33] + wire _T_1951 = io_lsu_busreq_m & _T_1950; // @[el2_lsu_bus_buffer.scala 429:22] + wire _T_1952 = _T_1898 | _T_1951; // @[el2_lsu_bus_buffer.scala 428:115] + wire _T_1958 = _T_1952 | _T_1903; // @[el2_lsu_bus_buffer.scala 429:42] + wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 428:81] + wire _T_1960 = _T_1896 & _T_1959; // @[el2_lsu_bus_buffer.scala 428:79] + wire _T_1964 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 429:33] + wire _T_1965 = io_lsu_busreq_m & _T_1964; // @[el2_lsu_bus_buffer.scala 429:22] + wire _T_1966 = _T_1909 | _T_1965; // @[el2_lsu_bus_buffer.scala 428:115] + wire _T_1972 = _T_1966 | _T_1914; // @[el2_lsu_bus_buffer.scala 429:42] + wire _T_1973 = ~_T_1972; // @[el2_lsu_bus_buffer.scala 428:81] + wire _T_1974 = _T_1907 & _T_1973; // @[el2_lsu_bus_buffer.scala 428:79] + wire _T_1978 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 429:33] + wire _T_1979 = io_lsu_busreq_m & _T_1978; // @[el2_lsu_bus_buffer.scala 429:22] + wire _T_1980 = _T_1920 | _T_1979; // @[el2_lsu_bus_buffer.scala 428:115] + wire _T_1986 = _T_1980 | _T_1925; // @[el2_lsu_bus_buffer.scala 429:42] + wire _T_1987 = ~_T_1986; // @[el2_lsu_bus_buffer.scala 428:81] + wire _T_1988 = _T_1918 & _T_1987; // @[el2_lsu_bus_buffer.scala 428:79] + wire _T_1992 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 429:33] + wire _T_1993 = io_lsu_busreq_m & _T_1992; // @[el2_lsu_bus_buffer.scala 429:22] + wire _T_1994 = _T_1931 | _T_1993; // @[el2_lsu_bus_buffer.scala 428:115] + wire _T_2000 = _T_1994 | _T_1936; // @[el2_lsu_bus_buffer.scala 429:42] + wire _T_2001 = ~_T_2000; // @[el2_lsu_bus_buffer.scala 428:81] + wire _T_2002 = _T_1929 & _T_2001; // @[el2_lsu_bus_buffer.scala 428:79] + wire [1:0] _T_2003 = _T_2002 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_2004 = _T_1988 ? 2'h2 : _T_2003; // @[Mux.scala 98:16] + wire [1:0] _T_2005 = _T_1974 ? 2'h1 : _T_2004; // @[Mux.scala 98:16] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 561:63] + wire _T_2791 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 474:104] + wire _T_2792 = buf_rspageQ_0[3] & _T_2791; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2788 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 474:104] + wire _T_2789 = buf_rspageQ_0[2] & _T_2788; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2785 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 474:104] + wire _T_2786 = buf_rspageQ_0[1] & _T_2785; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2782 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 474:104] + wire _T_2783 = buf_rspageQ_0[0] & _T_2782; // @[el2_lsu_bus_buffer.scala 474:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2792,_T_2789,_T_2786,_T_2783}; // @[Cat.scala 29:58] + wire _T_2078 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 441:65] + wire _T_2079 = ~_T_2078; // @[el2_lsu_bus_buffer.scala 441:44] + wire _T_2081 = _T_2079 & _T_2782; // @[el2_lsu_bus_buffer.scala 441:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 561:63] + wire _T_2807 = buf_rspageQ_1[3] & _T_2791; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2804 = buf_rspageQ_1[2] & _T_2788; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2801 = buf_rspageQ_1[1] & _T_2785; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2798 = buf_rspageQ_1[0] & _T_2782; // @[el2_lsu_bus_buffer.scala 474:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2807,_T_2804,_T_2801,_T_2798}; // @[Cat.scala 29:58] + wire _T_2082 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 441:65] + wire _T_2083 = ~_T_2082; // @[el2_lsu_bus_buffer.scala 441:44] + wire _T_2085 = _T_2083 & _T_2785; // @[el2_lsu_bus_buffer.scala 441:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 561:63] + wire _T_2822 = buf_rspageQ_2[3] & _T_2791; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2819 = buf_rspageQ_2[2] & _T_2788; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2816 = buf_rspageQ_2[1] & _T_2785; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2813 = buf_rspageQ_2[0] & _T_2782; // @[el2_lsu_bus_buffer.scala 474:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2822,_T_2819,_T_2816,_T_2813}; // @[Cat.scala 29:58] + wire _T_2086 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 441:65] + wire _T_2087 = ~_T_2086; // @[el2_lsu_bus_buffer.scala 441:44] + wire _T_2089 = _T_2087 & _T_2788; // @[el2_lsu_bus_buffer.scala 441:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 561:63] + wire _T_2837 = buf_rspageQ_3[3] & _T_2791; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2834 = buf_rspageQ_3[2] & _T_2788; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2831 = buf_rspageQ_3[1] & _T_2785; // @[el2_lsu_bus_buffer.scala 474:89] + wire _T_2828 = buf_rspageQ_3[0] & _T_2782; // @[el2_lsu_bus_buffer.scala 474:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2837,_T_2834,_T_2831,_T_2828}; // @[Cat.scala 29:58] + wire _T_2090 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 441:65] + wire _T_2091 = ~_T_2090; // @[el2_lsu_bus_buffer.scala 441:44] + wire _T_2093 = _T_2091 & _T_2791; // @[el2_lsu_bus_buffer.scala 441:70] + wire [7:0] _T_2149 = {4'h0,_T_2093,_T_2089,_T_2085,_T_2081}; // @[Cat.scala 29:58] + wire _T_2152 = _T_2149[4] | _T_2149[5]; // @[el2_lsu_bus_buffer.scala 445:42] + wire _T_2154 = _T_2152 | _T_2149[6]; // @[el2_lsu_bus_buffer.scala 445:48] + wire _T_2156 = _T_2154 | _T_2149[7]; // @[el2_lsu_bus_buffer.scala 445:54] + wire _T_2159 = _T_2149[2] | _T_2149[3]; // @[el2_lsu_bus_buffer.scala 445:67] + wire _T_2161 = _T_2159 | _T_2149[6]; // @[el2_lsu_bus_buffer.scala 445:73] + wire _T_2163 = _T_2161 | _T_2149[7]; // @[el2_lsu_bus_buffer.scala 445:79] + wire _T_2166 = _T_2149[1] | _T_2149[3]; // @[el2_lsu_bus_buffer.scala 445:92] + wire _T_2168 = _T_2166 | _T_2149[5]; // @[el2_lsu_bus_buffer.scala 445:98] + wire _T_2170 = _T_2168 | _T_2149[7]; // @[el2_lsu_bus_buffer.scala 445:104] + wire [2:0] _T_2172 = {_T_2156,_T_2163,_T_2170}; // @[Cat.scala 29:58] + wire _T_3577 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 504:77] + wire _T_3578 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 504:97] + wire _T_3579 = _T_3577 & _T_3578; // @[el2_lsu_bus_buffer.scala 504:95] + wire _T_3580 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 504:117] + wire _T_3581 = _T_3579 & _T_3580; // @[el2_lsu_bus_buffer.scala 504:112] + wire _T_3582 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 504:144] + wire _T_3583 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 504:166] + wire _T_3584 = _T_3582 & _T_3583; // @[el2_lsu_bus_buffer.scala 504:161] + wire _T_3585 = _T_3581 | _T_3584; // @[el2_lsu_bus_buffer.scala 504:132] + wire _T_3586 = _T_875 & _T_3585; // @[el2_lsu_bus_buffer.scala 504:63] + wire _T_3587 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 504:206] + wire _T_3588 = ibuf_drain_vld & _T_3587; // @[el2_lsu_bus_buffer.scala 504:201] + wire _T_3589 = _T_3586 | _T_3588; // @[el2_lsu_bus_buffer.scala 504:183] + wire _T_3599 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 511:46] + wire _T_3634 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 622:38] + wire _T_3679 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 529:73] + wire _T_3680 = bus_rsp_write & _T_3679; // @[el2_lsu_bus_buffer.scala 529:52] + wire _T_3681 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 530:46] + reg _T_4352; // @[Reg.scala 27:20] + reg _T_4350; // @[Reg.scala 27:20] + reg _T_4348; // @[Reg.scala 27:20] + reg _T_4346; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4352,_T_4350,_T_4348,_T_4346}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 530:47] - wire _T_3653 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 530:47] - wire _T_3654 = buf_ldfwd[0] & _T_3653; // @[el2_lsu_bus_buffer.scala 530:27] - wire _T_3655 = _T_3651 | _T_3654; // @[el2_lsu_bus_buffer.scala 529:77] - wire _T_3656 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 531:26] - wire _T_3658 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 531:44] - wire _T_3659 = _T_3656 & _T_3658; // @[el2_lsu_bus_buffer.scala 531:42] - wire _T_3660 = _T_3659 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 531:58] + wire [2:0] _GEN_370 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 531:47] + wire _T_3683 = io_lsu_axi_rid == _GEN_370; // @[el2_lsu_bus_buffer.scala 531:47] + wire _T_3684 = buf_ldfwd[0] & _T_3683; // @[el2_lsu_bus_buffer.scala 531:27] + wire _T_3685 = _T_3681 | _T_3684; // @[el2_lsu_bus_buffer.scala 530:77] + wire _T_3686 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 532:26] + wire _T_3688 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 532:44] + wire _T_3689 = _T_3686 & _T_3688; // @[el2_lsu_bus_buffer.scala 532:42] + wire _T_3690 = _T_3689 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 532:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 531:94] - wire _T_3661 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 531:94] - wire _T_3662 = _T_3660 & _T_3661; // @[el2_lsu_bus_buffer.scala 531:74] - wire _T_3663 = _T_3655 | _T_3662; // @[el2_lsu_bus_buffer.scala 530:71] - wire _T_3664 = bus_rsp_read & _T_3663; // @[el2_lsu_bus_buffer.scala 529:25] - wire _T_3665 = _T_3650 | _T_3664; // @[el2_lsu_bus_buffer.scala 528:105] - wire _GEN_42 = _T_3604 & _T_3665; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3570 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3566 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3543 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3691 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3701 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 543:21] + wire [2:0] _GEN_371 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 532:94] + wire _T_3691 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 532:94] + wire _T_3692 = _T_3690 & _T_3691; // @[el2_lsu_bus_buffer.scala 532:74] + wire _T_3693 = _T_3685 | _T_3692; // @[el2_lsu_bus_buffer.scala 531:71] + wire _T_3694 = bus_rsp_read & _T_3693; // @[el2_lsu_bus_buffer.scala 530:25] + wire _T_3695 = _T_3680 | _T_3694; // @[el2_lsu_bus_buffer.scala 529:105] + wire _GEN_42 = _T_3634 & _T_3695; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3600 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3596 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3573 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3721 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3731 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 544:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 543:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 543:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 543:58] - wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 543:58] - wire _T_3703 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 543:58] - wire _T_3704 = _T_3701[0] & _T_3703; // @[el2_lsu_bus_buffer.scala 543:38] - wire _T_3705 = _T_3661 | _T_3704; // @[el2_lsu_bus_buffer.scala 542:95] - wire _T_3706 = bus_rsp_read & _T_3705; // @[el2_lsu_bus_buffer.scala 542:45] - wire _GEN_36 = _T_3691 & _T_3706; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3604 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3570 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3566 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3543 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3583 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 516:49] - wire _T_3584 = _T_3583 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 516:70] - wire _T_3709 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2142[1:0]; // @[el2_lsu_bus_buffer.scala 453:10] - wire _T_3712 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 548:37] - wire _T_3713 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 548:98] - wire _T_3714 = buf_dual_0 & _T_3713; // @[el2_lsu_bus_buffer.scala 548:80] - wire _T_3715 = _T_3712 | _T_3714; // @[el2_lsu_bus_buffer.scala 548:65] - wire _T_3716 = _T_3715 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 548:112] - wire _T_3717 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3709 ? _T_3716 : _T_3717; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3691 ? _T_3584 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3604 ? _T_3584 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3570 ? _T_3584 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3566 ? _T_3569 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3543 ? _T_3559 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2144 = _T_1866 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 465:94] - wire _T_2150 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 467:23] - wire _T_2152 = _T_2150 & _T_3547; // @[el2_lsu_bus_buffer.scala 467:41] - wire _T_2154 = _T_2152 & _T_1869; // @[el2_lsu_bus_buffer.scala 467:71] - wire _T_2156 = _T_2154 & _T_1867; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2157 = _T_4489 | _T_2156; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2158 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 468:17] - wire _T_2159 = _T_2158 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 468:35] - wire _T_2161 = _T_2159 & _T_1870; // @[el2_lsu_bus_buffer.scala 468:52] - wire _T_2163 = _T_2161 & _T_1869; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2164 = _T_2157 | _T_2163; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2165 = _T_2144 & _T_2164; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2167 = _T_2165 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 468:97] - wire _T_2181 = _T_2154 & _T_1878; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2182 = _T_4494 | _T_2181; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2188 = _T_2161 & _T_1880; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2189 = _T_2182 | _T_2188; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2190 = _T_2144 & _T_2189; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2192 = _T_2190 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 468:97] - wire _T_2206 = _T_2154 & _T_1889; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2207 = _T_4499 | _T_2206; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2213 = _T_2161 & _T_1891; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2214 = _T_2207 | _T_2213; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2215 = _T_2144 & _T_2214; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2217 = _T_2215 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 468:97] - wire _T_2231 = _T_2154 & _T_1900; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2232 = _T_4504 | _T_2231; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2238 = _T_2161 & _T_1902; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2239 = _T_2232 | _T_2238; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2240 = _T_2144 & _T_2239; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2242 = _T_2240 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 468:97] - wire [2:0] _T_2244 = {_T_2242,_T_2217,_T_2192}; // @[Cat.scala 29:58] - wire _T_3743 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 503:117] - wire _T_3744 = _T_3549 & _T_3743; // @[el2_lsu_bus_buffer.scala 503:112] - wire _T_3746 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 503:166] - wire _T_3747 = _T_3552 & _T_3746; // @[el2_lsu_bus_buffer.scala 503:161] - wire _T_3748 = _T_3744 | _T_3747; // @[el2_lsu_bus_buffer.scala 503:132] - wire _T_3749 = _T_845 & _T_3748; // @[el2_lsu_bus_buffer.scala 503:63] - wire _T_3750 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 503:206] - wire _T_3751 = ibuf_drain_vld & _T_3750; // @[el2_lsu_bus_buffer.scala 503:201] - wire _T_3752 = _T_3749 | _T_3751; // @[el2_lsu_bus_buffer.scala 503:183] - wire _T_3797 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3842 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 528:73] - wire _T_3843 = bus_rsp_write & _T_3842; // @[el2_lsu_bus_buffer.scala 528:52] - wire _T_3844 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 529:46] - wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 530:47] - wire _T_3846 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 530:47] - wire _T_3847 = buf_ldfwd[1] & _T_3846; // @[el2_lsu_bus_buffer.scala 530:27] - wire _T_3848 = _T_3844 | _T_3847; // @[el2_lsu_bus_buffer.scala 529:77] - wire _T_3849 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 531:26] - wire _T_3851 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 531:44] - wire _T_3852 = _T_3849 & _T_3851; // @[el2_lsu_bus_buffer.scala 531:42] - wire _T_3853 = _T_3852 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 531:58] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 544:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 544:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 544:58] + wire [2:0] _GEN_373 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 544:58] + wire _T_3733 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 544:58] + wire _T_3734 = _T_3731[0] & _T_3733; // @[el2_lsu_bus_buffer.scala 544:38] + wire _T_3735 = _T_3691 | _T_3734; // @[el2_lsu_bus_buffer.scala 543:95] + wire _T_3736 = bus_rsp_read & _T_3735; // @[el2_lsu_bus_buffer.scala 543:45] + wire _GEN_36 = _T_3721 & _T_3736; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3634 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3600 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3596 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3573 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3613 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 517:49] + wire _T_3614 = _T_3613 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 517:70] + wire _T_3739 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2172[1:0]; // @[el2_lsu_bus_buffer.scala 454:10] + wire _T_3742 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 549:37] + wire _T_3743 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 549:98] + wire _T_3744 = buf_dual_0 & _T_3743; // @[el2_lsu_bus_buffer.scala 549:80] + wire _T_3745 = _T_3742 | _T_3744; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_3746 = _T_3745 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 549:112] + wire _T_3747 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3739 ? _T_3746 : _T_3747; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3721 ? _T_3614 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3634 ? _T_3614 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3600 ? _T_3614 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3596 ? _T_3599 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3573 ? _T_3589 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2174 = _T_1896 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 466:94] + wire _T_2180 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 468:23] + wire _T_2182 = _T_2180 & _T_3577; // @[el2_lsu_bus_buffer.scala 468:41] + wire _T_2184 = _T_2182 & _T_1899; // @[el2_lsu_bus_buffer.scala 468:71] + wire _T_2186 = _T_2184 & _T_1897; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2187 = _T_4519 | _T_2186; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2188 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 469:17] + wire _T_2189 = _T_2188 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 469:35] + wire _T_2191 = _T_2189 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:52] + wire _T_2193 = _T_2191 & _T_1899; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2194 = _T_2187 | _T_2193; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2195 = _T_2174 & _T_2194; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2197 = _T_2195 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2211 = _T_2184 & _T_1908; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2212 = _T_4524 | _T_2211; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2218 = _T_2191 & _T_1910; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2219 = _T_2212 | _T_2218; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2220 = _T_2174 & _T_2219; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2222 = _T_2220 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2236 = _T_2184 & _T_1919; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2237 = _T_4529 | _T_2236; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2243 = _T_2191 & _T_1921; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2244 = _T_2237 | _T_2243; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2245 = _T_2174 & _T_2244; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2247 = _T_2245 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2261 = _T_2184 & _T_1930; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2262 = _T_4534 | _T_2261; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2268 = _T_2191 & _T_1932; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2269 = _T_2262 | _T_2268; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2270 = _T_2174 & _T_2269; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2272 = _T_2270 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 469:97] + wire [2:0] _T_2274 = {_T_2272,_T_2247,_T_2222}; // @[Cat.scala 29:58] + wire _T_3773 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 504:117] + wire _T_3774 = _T_3579 & _T_3773; // @[el2_lsu_bus_buffer.scala 504:112] + wire _T_3776 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 504:166] + wire _T_3777 = _T_3582 & _T_3776; // @[el2_lsu_bus_buffer.scala 504:161] + wire _T_3778 = _T_3774 | _T_3777; // @[el2_lsu_bus_buffer.scala 504:132] + wire _T_3779 = _T_875 & _T_3778; // @[el2_lsu_bus_buffer.scala 504:63] + wire _T_3780 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 504:206] + wire _T_3781 = ibuf_drain_vld & _T_3780; // @[el2_lsu_bus_buffer.scala 504:201] + wire _T_3782 = _T_3779 | _T_3781; // @[el2_lsu_bus_buffer.scala 504:183] + wire _T_3827 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3872 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 529:73] + wire _T_3873 = bus_rsp_write & _T_3872; // @[el2_lsu_bus_buffer.scala 529:52] + wire _T_3874 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 530:46] + wire [2:0] _GEN_374 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 531:47] + wire _T_3876 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 531:47] + wire _T_3877 = buf_ldfwd[1] & _T_3876; // @[el2_lsu_bus_buffer.scala 531:27] + wire _T_3878 = _T_3874 | _T_3877; // @[el2_lsu_bus_buffer.scala 530:77] + wire _T_3879 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 532:26] + wire _T_3881 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 532:44] + wire _T_3882 = _T_3879 & _T_3881; // @[el2_lsu_bus_buffer.scala 532:42] + wire _T_3883 = _T_3882 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 532:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 531:94] - wire _T_3854 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 531:94] - wire _T_3855 = _T_3853 & _T_3854; // @[el2_lsu_bus_buffer.scala 531:74] - wire _T_3856 = _T_3848 | _T_3855; // @[el2_lsu_bus_buffer.scala 530:71] - wire _T_3857 = bus_rsp_read & _T_3856; // @[el2_lsu_bus_buffer.scala 529:25] - wire _T_3858 = _T_3843 | _T_3857; // @[el2_lsu_bus_buffer.scala 528:105] - wire _GEN_118 = _T_3797 & _T_3858; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3763 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3759 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3736 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3884 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3894 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 543:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 543:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 543:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 543:58] - wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 543:58] - wire _T_3896 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 543:58] - wire _T_3897 = _T_3894[0] & _T_3896; // @[el2_lsu_bus_buffer.scala 543:38] - wire _T_3898 = _T_3854 | _T_3897; // @[el2_lsu_bus_buffer.scala 542:95] - wire _T_3899 = bus_rsp_read & _T_3898; // @[el2_lsu_bus_buffer.scala 542:45] - wire _GEN_112 = _T_3884 & _T_3899; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3797 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3763 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3759 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3736 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3776 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 516:49] - wire _T_3777 = _T_3776 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 516:70] - wire _T_3902 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3905 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 548:37] - wire _T_3906 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 548:98] - wire _T_3907 = buf_dual_1 & _T_3906; // @[el2_lsu_bus_buffer.scala 548:80] - wire _T_3908 = _T_3905 | _T_3907; // @[el2_lsu_bus_buffer.scala 548:65] - wire _T_3909 = _T_3908 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 548:112] - wire _T_3910 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3902 ? _T_3909 : _T_3910; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3884 ? _T_3777 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3797 ? _T_3777 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3763 ? _T_3777 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3759 ? _T_3569 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3736 ? _T_3752 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2246 = _T_1877 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 465:94] - wire _T_2256 = _T_2152 & _T_1880; // @[el2_lsu_bus_buffer.scala 467:71] - wire _T_2258 = _T_2256 & _T_1867; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2259 = _T_4489 | _T_2258; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2263 = _T_2159 & _T_1881; // @[el2_lsu_bus_buffer.scala 468:52] - wire _T_2265 = _T_2263 & _T_1869; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2266 = _T_2259 | _T_2265; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2267 = _T_2246 & _T_2266; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2269 = _T_2267 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 468:97] - wire _T_2283 = _T_2256 & _T_1878; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2284 = _T_4494 | _T_2283; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2290 = _T_2263 & _T_1880; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2291 = _T_2284 | _T_2290; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2292 = _T_2246 & _T_2291; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2294 = _T_2292 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 468:97] - wire _T_2308 = _T_2256 & _T_1889; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2309 = _T_4499 | _T_2308; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2315 = _T_2263 & _T_1891; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2316 = _T_2309 | _T_2315; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2317 = _T_2246 & _T_2316; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2319 = _T_2317 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 468:97] - wire _T_2333 = _T_2256 & _T_1900; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2334 = _T_4504 | _T_2333; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2340 = _T_2263 & _T_1902; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2341 = _T_2334 | _T_2340; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2342 = _T_2246 & _T_2341; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2344 = _T_2342 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 468:97] - wire [2:0] _T_2346 = {_T_2344,_T_2319,_T_2294}; // @[Cat.scala 29:58] - wire _T_3936 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 503:117] - wire _T_3937 = _T_3549 & _T_3936; // @[el2_lsu_bus_buffer.scala 503:112] - wire _T_3939 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 503:166] - wire _T_3940 = _T_3552 & _T_3939; // @[el2_lsu_bus_buffer.scala 503:161] - wire _T_3941 = _T_3937 | _T_3940; // @[el2_lsu_bus_buffer.scala 503:132] - wire _T_3942 = _T_845 & _T_3941; // @[el2_lsu_bus_buffer.scala 503:63] - wire _T_3943 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 503:206] - wire _T_3944 = ibuf_drain_vld & _T_3943; // @[el2_lsu_bus_buffer.scala 503:201] - wire _T_3945 = _T_3942 | _T_3944; // @[el2_lsu_bus_buffer.scala 503:183] - wire _T_3990 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4035 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 528:73] - wire _T_4036 = bus_rsp_write & _T_4035; // @[el2_lsu_bus_buffer.scala 528:52] - wire _T_4037 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 529:46] - wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 530:47] - wire _T_4039 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 530:47] - wire _T_4040 = buf_ldfwd[2] & _T_4039; // @[el2_lsu_bus_buffer.scala 530:27] - wire _T_4041 = _T_4037 | _T_4040; // @[el2_lsu_bus_buffer.scala 529:77] - wire _T_4042 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 531:26] - wire _T_4044 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 531:44] - wire _T_4045 = _T_4042 & _T_4044; // @[el2_lsu_bus_buffer.scala 531:42] - wire _T_4046 = _T_4045 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 531:58] + wire [2:0] _GEN_375 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 532:94] + wire _T_3884 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 532:94] + wire _T_3885 = _T_3883 & _T_3884; // @[el2_lsu_bus_buffer.scala 532:74] + wire _T_3886 = _T_3878 | _T_3885; // @[el2_lsu_bus_buffer.scala 531:71] + wire _T_3887 = bus_rsp_read & _T_3886; // @[el2_lsu_bus_buffer.scala 530:25] + wire _T_3888 = _T_3873 | _T_3887; // @[el2_lsu_bus_buffer.scala 529:105] + wire _GEN_118 = _T_3827 & _T_3888; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3793 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3789 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3766 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3914 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3924 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 544:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 544:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 544:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 544:58] + wire [2:0] _GEN_377 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 544:58] + wire _T_3926 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 544:58] + wire _T_3927 = _T_3924[0] & _T_3926; // @[el2_lsu_bus_buffer.scala 544:38] + wire _T_3928 = _T_3884 | _T_3927; // @[el2_lsu_bus_buffer.scala 543:95] + wire _T_3929 = bus_rsp_read & _T_3928; // @[el2_lsu_bus_buffer.scala 543:45] + wire _GEN_112 = _T_3914 & _T_3929; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3827 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3793 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3789 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3766 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3806 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 517:49] + wire _T_3807 = _T_3806 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 517:70] + wire _T_3932 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3935 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 549:37] + wire _T_3936 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 549:98] + wire _T_3937 = buf_dual_1 & _T_3936; // @[el2_lsu_bus_buffer.scala 549:80] + wire _T_3938 = _T_3935 | _T_3937; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_3939 = _T_3938 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 549:112] + wire _T_3940 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3932 ? _T_3939 : _T_3940; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3914 ? _T_3807 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3827 ? _T_3807 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3793 ? _T_3807 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3789 ? _T_3599 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3766 ? _T_3782 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2276 = _T_1907 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 466:94] + wire _T_2286 = _T_2182 & _T_1910; // @[el2_lsu_bus_buffer.scala 468:71] + wire _T_2288 = _T_2286 & _T_1897; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2289 = _T_4519 | _T_2288; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2293 = _T_2189 & _T_1911; // @[el2_lsu_bus_buffer.scala 469:52] + wire _T_2295 = _T_2293 & _T_1899; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2296 = _T_2289 | _T_2295; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2297 = _T_2276 & _T_2296; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2299 = _T_2297 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2313 = _T_2286 & _T_1908; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2314 = _T_4524 | _T_2313; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2320 = _T_2293 & _T_1910; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2321 = _T_2314 | _T_2320; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2322 = _T_2276 & _T_2321; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2324 = _T_2322 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2338 = _T_2286 & _T_1919; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2339 = _T_4529 | _T_2338; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2345 = _T_2293 & _T_1921; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2346 = _T_2339 | _T_2345; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2347 = _T_2276 & _T_2346; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2349 = _T_2347 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2363 = _T_2286 & _T_1930; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2364 = _T_4534 | _T_2363; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2370 = _T_2293 & _T_1932; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2371 = _T_2364 | _T_2370; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2372 = _T_2276 & _T_2371; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2374 = _T_2372 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 469:97] + wire [2:0] _T_2376 = {_T_2374,_T_2349,_T_2324}; // @[Cat.scala 29:58] + wire _T_3966 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 504:117] + wire _T_3967 = _T_3579 & _T_3966; // @[el2_lsu_bus_buffer.scala 504:112] + wire _T_3969 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 504:166] + wire _T_3970 = _T_3582 & _T_3969; // @[el2_lsu_bus_buffer.scala 504:161] + wire _T_3971 = _T_3967 | _T_3970; // @[el2_lsu_bus_buffer.scala 504:132] + wire _T_3972 = _T_875 & _T_3971; // @[el2_lsu_bus_buffer.scala 504:63] + wire _T_3973 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 504:206] + wire _T_3974 = ibuf_drain_vld & _T_3973; // @[el2_lsu_bus_buffer.scala 504:201] + wire _T_3975 = _T_3972 | _T_3974; // @[el2_lsu_bus_buffer.scala 504:183] + wire _T_4020 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4065 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 529:73] + wire _T_4066 = bus_rsp_write & _T_4065; // @[el2_lsu_bus_buffer.scala 529:52] + wire _T_4067 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 530:46] + wire [2:0] _GEN_378 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 531:47] + wire _T_4069 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 531:47] + wire _T_4070 = buf_ldfwd[2] & _T_4069; // @[el2_lsu_bus_buffer.scala 531:27] + wire _T_4071 = _T_4067 | _T_4070; // @[el2_lsu_bus_buffer.scala 530:77] + wire _T_4072 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 532:26] + wire _T_4074 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 532:44] + wire _T_4075 = _T_4072 & _T_4074; // @[el2_lsu_bus_buffer.scala 532:42] + wire _T_4076 = _T_4075 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 532:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 531:94] - wire _T_4047 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 531:94] - wire _T_4048 = _T_4046 & _T_4047; // @[el2_lsu_bus_buffer.scala 531:74] - wire _T_4049 = _T_4041 | _T_4048; // @[el2_lsu_bus_buffer.scala 530:71] - wire _T_4050 = bus_rsp_read & _T_4049; // @[el2_lsu_bus_buffer.scala 529:25] - wire _T_4051 = _T_4036 | _T_4050; // @[el2_lsu_bus_buffer.scala 528:105] - wire _GEN_194 = _T_3990 & _T_4051; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3956 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3952 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3929 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_4077 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4087 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 543:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 543:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 543:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 543:58] - wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 543:58] - wire _T_4089 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 543:58] - wire _T_4090 = _T_4087[0] & _T_4089; // @[el2_lsu_bus_buffer.scala 543:38] - wire _T_4091 = _T_4047 | _T_4090; // @[el2_lsu_bus_buffer.scala 542:95] - wire _T_4092 = bus_rsp_read & _T_4091; // @[el2_lsu_bus_buffer.scala 542:45] - wire _GEN_188 = _T_4077 & _T_4092; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_3990 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3956 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3952 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3929 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3969 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 516:49] - wire _T_3970 = _T_3969 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 516:70] - wire _T_4095 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4098 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 548:37] - wire _T_4099 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 548:98] - wire _T_4100 = buf_dual_2 & _T_4099; // @[el2_lsu_bus_buffer.scala 548:80] - wire _T_4101 = _T_4098 | _T_4100; // @[el2_lsu_bus_buffer.scala 548:65] - wire _T_4102 = _T_4101 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 548:112] - wire _T_4103 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_4095 ? _T_4102 : _T_4103; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_4077 ? _T_3970 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_3990 ? _T_3970 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3956 ? _T_3970 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3952 ? _T_3569 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3929 ? _T_3945 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2348 = _T_1888 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 465:94] - wire _T_2358 = _T_2152 & _T_1891; // @[el2_lsu_bus_buffer.scala 467:71] - wire _T_2360 = _T_2358 & _T_1867; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2361 = _T_4489 | _T_2360; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2365 = _T_2159 & _T_1892; // @[el2_lsu_bus_buffer.scala 468:52] - wire _T_2367 = _T_2365 & _T_1869; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2368 = _T_2361 | _T_2367; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2369 = _T_2348 & _T_2368; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2371 = _T_2369 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 468:97] - wire _T_2385 = _T_2358 & _T_1878; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2386 = _T_4494 | _T_2385; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2392 = _T_2365 & _T_1880; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2393 = _T_2386 | _T_2392; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2394 = _T_2348 & _T_2393; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2396 = _T_2394 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 468:97] - wire _T_2410 = _T_2358 & _T_1889; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2411 = _T_4499 | _T_2410; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2417 = _T_2365 & _T_1891; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2418 = _T_2411 | _T_2417; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2419 = _T_2348 & _T_2418; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2421 = _T_2419 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 468:97] - wire _T_2435 = _T_2358 & _T_1900; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2436 = _T_4504 | _T_2435; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2442 = _T_2365 & _T_1902; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2443 = _T_2436 | _T_2442; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2444 = _T_2348 & _T_2443; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2446 = _T_2444 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 468:97] - wire [2:0] _T_2448 = {_T_2446,_T_2421,_T_2396}; // @[Cat.scala 29:58] - wire _T_4129 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 503:117] - wire _T_4130 = _T_3549 & _T_4129; // @[el2_lsu_bus_buffer.scala 503:112] - wire _T_4132 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 503:166] - wire _T_4133 = _T_3552 & _T_4132; // @[el2_lsu_bus_buffer.scala 503:161] - wire _T_4134 = _T_4130 | _T_4133; // @[el2_lsu_bus_buffer.scala 503:132] - wire _T_4135 = _T_845 & _T_4134; // @[el2_lsu_bus_buffer.scala 503:63] - wire _T_4136 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 503:206] - wire _T_4137 = ibuf_drain_vld & _T_4136; // @[el2_lsu_bus_buffer.scala 503:201] - wire _T_4138 = _T_4135 | _T_4137; // @[el2_lsu_bus_buffer.scala 503:183] - wire _T_4183 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4228 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 528:73] - wire _T_4229 = bus_rsp_write & _T_4228; // @[el2_lsu_bus_buffer.scala 528:52] - wire _T_4230 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 529:46] - wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 530:47] - wire _T_4232 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 530:47] - wire _T_4233 = buf_ldfwd[3] & _T_4232; // @[el2_lsu_bus_buffer.scala 530:27] - wire _T_4234 = _T_4230 | _T_4233; // @[el2_lsu_bus_buffer.scala 529:77] - wire _T_4235 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 531:26] - wire _T_4237 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 531:44] - wire _T_4238 = _T_4235 & _T_4237; // @[el2_lsu_bus_buffer.scala 531:42] - wire _T_4239 = _T_4238 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 531:58] + wire [2:0] _GEN_379 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 532:94] + wire _T_4077 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 532:94] + wire _T_4078 = _T_4076 & _T_4077; // @[el2_lsu_bus_buffer.scala 532:74] + wire _T_4079 = _T_4071 | _T_4078; // @[el2_lsu_bus_buffer.scala 531:71] + wire _T_4080 = bus_rsp_read & _T_4079; // @[el2_lsu_bus_buffer.scala 530:25] + wire _T_4081 = _T_4066 | _T_4080; // @[el2_lsu_bus_buffer.scala 529:105] + wire _GEN_194 = _T_4020 & _T_4081; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3986 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3982 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3959 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_4107 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_4117 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 544:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 544:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 544:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 544:58] + wire [2:0] _GEN_381 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 544:58] + wire _T_4119 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 544:58] + wire _T_4120 = _T_4117[0] & _T_4119; // @[el2_lsu_bus_buffer.scala 544:38] + wire _T_4121 = _T_4077 | _T_4120; // @[el2_lsu_bus_buffer.scala 543:95] + wire _T_4122 = bus_rsp_read & _T_4121; // @[el2_lsu_bus_buffer.scala 543:45] + wire _GEN_188 = _T_4107 & _T_4122; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_4020 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3986 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3982 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3959 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3999 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 517:49] + wire _T_4000 = _T_3999 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 517:70] + wire _T_4125 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4128 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 549:37] + wire _T_4129 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 549:98] + wire _T_4130 = buf_dual_2 & _T_4129; // @[el2_lsu_bus_buffer.scala 549:80] + wire _T_4131 = _T_4128 | _T_4130; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_4132 = _T_4131 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 549:112] + wire _T_4133 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_4125 ? _T_4132 : _T_4133; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4107 ? _T_4000 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_4020 ? _T_4000 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3986 ? _T_4000 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3982 ? _T_3599 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3959 ? _T_3975 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2378 = _T_1918 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 466:94] + wire _T_2388 = _T_2182 & _T_1921; // @[el2_lsu_bus_buffer.scala 468:71] + wire _T_2390 = _T_2388 & _T_1897; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2391 = _T_4519 | _T_2390; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2395 = _T_2189 & _T_1922; // @[el2_lsu_bus_buffer.scala 469:52] + wire _T_2397 = _T_2395 & _T_1899; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2398 = _T_2391 | _T_2397; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2399 = _T_2378 & _T_2398; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2401 = _T_2399 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2415 = _T_2388 & _T_1908; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2416 = _T_4524 | _T_2415; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2422 = _T_2395 & _T_1910; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2423 = _T_2416 | _T_2422; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2424 = _T_2378 & _T_2423; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2426 = _T_2424 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2440 = _T_2388 & _T_1919; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2441 = _T_4529 | _T_2440; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2447 = _T_2395 & _T_1921; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2448 = _T_2441 | _T_2447; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2449 = _T_2378 & _T_2448; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2451 = _T_2449 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2465 = _T_2388 & _T_1930; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2466 = _T_4534 | _T_2465; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2472 = _T_2395 & _T_1932; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2473 = _T_2466 | _T_2472; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2474 = _T_2378 & _T_2473; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2476 = _T_2474 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 469:97] + wire [2:0] _T_2478 = {_T_2476,_T_2451,_T_2426}; // @[Cat.scala 29:58] + wire _T_4159 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 504:117] + wire _T_4160 = _T_3579 & _T_4159; // @[el2_lsu_bus_buffer.scala 504:112] + wire _T_4162 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 504:166] + wire _T_4163 = _T_3582 & _T_4162; // @[el2_lsu_bus_buffer.scala 504:161] + wire _T_4164 = _T_4160 | _T_4163; // @[el2_lsu_bus_buffer.scala 504:132] + wire _T_4165 = _T_875 & _T_4164; // @[el2_lsu_bus_buffer.scala 504:63] + wire _T_4166 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 504:206] + wire _T_4167 = ibuf_drain_vld & _T_4166; // @[el2_lsu_bus_buffer.scala 504:201] + wire _T_4168 = _T_4165 | _T_4167; // @[el2_lsu_bus_buffer.scala 504:183] + wire _T_4213 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4258 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 529:73] + wire _T_4259 = bus_rsp_write & _T_4258; // @[el2_lsu_bus_buffer.scala 529:52] + wire _T_4260 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 530:46] + wire [2:0] _GEN_382 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 531:47] + wire _T_4262 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 531:47] + wire _T_4263 = buf_ldfwd[3] & _T_4262; // @[el2_lsu_bus_buffer.scala 531:27] + wire _T_4264 = _T_4260 | _T_4263; // @[el2_lsu_bus_buffer.scala 530:77] + wire _T_4265 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 532:26] + wire _T_4267 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 532:44] + wire _T_4268 = _T_4265 & _T_4267; // @[el2_lsu_bus_buffer.scala 532:42] + wire _T_4269 = _T_4268 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 532:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 531:94] - wire _T_4240 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 531:94] - wire _T_4241 = _T_4239 & _T_4240; // @[el2_lsu_bus_buffer.scala 531:74] - wire _T_4242 = _T_4234 | _T_4241; // @[el2_lsu_bus_buffer.scala 530:71] - wire _T_4243 = bus_rsp_read & _T_4242; // @[el2_lsu_bus_buffer.scala 529:25] - wire _T_4244 = _T_4229 | _T_4243; // @[el2_lsu_bus_buffer.scala 528:105] - wire _GEN_270 = _T_4183 & _T_4244; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_4149 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_4145 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4122 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4270 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4280 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 543:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 543:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 543:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 543:58] - wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 543:58] - wire _T_4282 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 543:58] - wire _T_4283 = _T_4280[0] & _T_4282; // @[el2_lsu_bus_buffer.scala 543:38] - wire _T_4284 = _T_4240 | _T_4283; // @[el2_lsu_bus_buffer.scala 542:95] - wire _T_4285 = bus_rsp_read & _T_4284; // @[el2_lsu_bus_buffer.scala 542:45] - wire _GEN_264 = _T_4270 & _T_4285; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4183 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_4149 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_4145 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4122 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4162 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 516:49] - wire _T_4163 = _T_4162 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 516:70] - wire _T_4288 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4291 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 548:37] - wire _T_4292 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 548:98] - wire _T_4293 = buf_dual_3 & _T_4292; // @[el2_lsu_bus_buffer.scala 548:80] - wire _T_4294 = _T_4291 | _T_4293; // @[el2_lsu_bus_buffer.scala 548:65] - wire _T_4295 = _T_4294 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 548:112] - wire _T_4296 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4288 ? _T_4295 : _T_4296; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4270 ? _T_4163 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4183 ? _T_4163 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_4149 ? _T_4163 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_4145 ? _T_3569 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_4122 ? _T_4138 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2450 = _T_1899 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 465:94] - wire _T_2460 = _T_2152 & _T_1902; // @[el2_lsu_bus_buffer.scala 467:71] - wire _T_2462 = _T_2460 & _T_1867; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2463 = _T_4489 | _T_2462; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2467 = _T_2159 & _T_1903; // @[el2_lsu_bus_buffer.scala 468:52] - wire _T_2469 = _T_2467 & _T_1869; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2470 = _T_2463 | _T_2469; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2471 = _T_2450 & _T_2470; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2473 = _T_2471 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 468:97] - wire _T_2487 = _T_2460 & _T_1878; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2488 = _T_4494 | _T_2487; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2494 = _T_2467 & _T_1880; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2495 = _T_2488 | _T_2494; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2496 = _T_2450 & _T_2495; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2498 = _T_2496 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 468:97] - wire _T_2512 = _T_2460 & _T_1889; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2513 = _T_4499 | _T_2512; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2519 = _T_2467 & _T_1891; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2520 = _T_2513 | _T_2519; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2521 = _T_2450 & _T_2520; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2523 = _T_2521 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 468:97] - wire _T_2537 = _T_2460 & _T_1900; // @[el2_lsu_bus_buffer.scala 467:92] - wire _T_2538 = _T_4504 | _T_2537; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_2544 = _T_2467 & _T_1902; // @[el2_lsu_bus_buffer.scala 468:73] - wire _T_2545 = _T_2538 | _T_2544; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2546 = _T_2450 & _T_2545; // @[el2_lsu_bus_buffer.scala 465:113] - wire _T_2548 = _T_2546 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 468:97] - wire [2:0] _T_2550 = {_T_2548,_T_2523,_T_2498}; // @[Cat.scala 29:58] - wire _T_2814 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 476:49] - wire _T_2815 = _T_1866 | _T_2814; // @[el2_lsu_bus_buffer.scala 476:34] - wire _T_2816 = ~_T_2815; // @[el2_lsu_bus_buffer.scala 476:8] - wire _T_2824 = _T_2816 | _T_2156; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_2831 = _T_2824 | _T_2163; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_2832 = _T_2144 & _T_2831; // @[el2_lsu_bus_buffer.scala 475:114] - wire _T_2836 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 476:49] - wire _T_2837 = _T_1877 | _T_2836; // @[el2_lsu_bus_buffer.scala 476:34] - wire _T_2838 = ~_T_2837; // @[el2_lsu_bus_buffer.scala 476:8] - wire _T_2846 = _T_2838 | _T_2181; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_2853 = _T_2846 | _T_2188; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_2854 = _T_2144 & _T_2853; // @[el2_lsu_bus_buffer.scala 475:114] - wire _T_2858 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 476:49] - wire _T_2859 = _T_1888 | _T_2858; // @[el2_lsu_bus_buffer.scala 476:34] - wire _T_2860 = ~_T_2859; // @[el2_lsu_bus_buffer.scala 476:8] - wire _T_2868 = _T_2860 | _T_2206; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_2875 = _T_2868 | _T_2213; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_2876 = _T_2144 & _T_2875; // @[el2_lsu_bus_buffer.scala 475:114] - wire _T_2880 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 476:49] - wire _T_2881 = _T_1899 | _T_2880; // @[el2_lsu_bus_buffer.scala 476:34] - wire _T_2882 = ~_T_2881; // @[el2_lsu_bus_buffer.scala 476:8] - wire _T_2890 = _T_2882 | _T_2231; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_2897 = _T_2890 | _T_2238; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_2898 = _T_2144 & _T_2897; // @[el2_lsu_bus_buffer.scala 475:114] - wire [3:0] buf_rspage_set_0 = {_T_2898,_T_2876,_T_2854,_T_2832}; // @[Cat.scala 29:58] - wire _T_2915 = _T_2816 | _T_2258; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_2922 = _T_2915 | _T_2265; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_2923 = _T_2246 & _T_2922; // @[el2_lsu_bus_buffer.scala 475:114] - wire _T_2937 = _T_2838 | _T_2283; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_2944 = _T_2937 | _T_2290; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_2945 = _T_2246 & _T_2944; // @[el2_lsu_bus_buffer.scala 475:114] - wire _T_2959 = _T_2860 | _T_2308; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_2966 = _T_2959 | _T_2315; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_2967 = _T_2246 & _T_2966; // @[el2_lsu_bus_buffer.scala 475:114] - wire _T_2981 = _T_2882 | _T_2333; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_2988 = _T_2981 | _T_2340; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_2989 = _T_2246 & _T_2988; // @[el2_lsu_bus_buffer.scala 475:114] - wire [3:0] buf_rspage_set_1 = {_T_2989,_T_2967,_T_2945,_T_2923}; // @[Cat.scala 29:58] - wire _T_3006 = _T_2816 | _T_2360; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_3013 = _T_3006 | _T_2367; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_3014 = _T_2348 & _T_3013; // @[el2_lsu_bus_buffer.scala 475:114] - wire _T_3028 = _T_2838 | _T_2385; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_3035 = _T_3028 | _T_2392; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_3036 = _T_2348 & _T_3035; // @[el2_lsu_bus_buffer.scala 475:114] - wire _T_3050 = _T_2860 | _T_2410; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_3057 = _T_3050 | _T_2417; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_3058 = _T_2348 & _T_3057; // @[el2_lsu_bus_buffer.scala 475:114] - wire _T_3072 = _T_2882 | _T_2435; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_3079 = _T_3072 | _T_2442; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_3080 = _T_2348 & _T_3079; // @[el2_lsu_bus_buffer.scala 475:114] - wire [3:0] buf_rspage_set_2 = {_T_3080,_T_3058,_T_3036,_T_3014}; // @[Cat.scala 29:58] - wire _T_3097 = _T_2816 | _T_2462; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_3104 = _T_3097 | _T_2469; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_3105 = _T_2450 & _T_3104; // @[el2_lsu_bus_buffer.scala 475:114] - wire _T_3119 = _T_2838 | _T_2487; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_3126 = _T_3119 | _T_2494; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_3127 = _T_2450 & _T_3126; // @[el2_lsu_bus_buffer.scala 475:114] - wire _T_3141 = _T_2860 | _T_2512; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_3148 = _T_3141 | _T_2519; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_3149 = _T_2450 & _T_3148; // @[el2_lsu_bus_buffer.scala 475:114] - wire _T_3163 = _T_2882 | _T_2537; // @[el2_lsu_bus_buffer.scala 476:61] - wire _T_3170 = _T_3163 | _T_2544; // @[el2_lsu_bus_buffer.scala 477:112] - wire _T_3171 = _T_2450 & _T_3170; // @[el2_lsu_bus_buffer.scala 475:114] - wire [3:0] buf_rspage_set_3 = {_T_3171,_T_3149,_T_3127,_T_3105}; // @[Cat.scala 29:58] - wire _T_3256 = _T_2880 | _T_1899; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_3257 = ~_T_3256; // @[el2_lsu_bus_buffer.scala 480:86] - wire _T_3258 = buf_rspageQ_0[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 480:84] - wire _T_3250 = _T_2858 | _T_1888; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_3251 = ~_T_3250; // @[el2_lsu_bus_buffer.scala 480:86] - wire _T_3252 = buf_rspageQ_0[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 480:84] - wire _T_3244 = _T_2836 | _T_1877; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_3245 = ~_T_3244; // @[el2_lsu_bus_buffer.scala 480:86] - wire _T_3246 = buf_rspageQ_0[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 480:84] - wire _T_3238 = _T_2814 | _T_1866; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_3239 = ~_T_3238; // @[el2_lsu_bus_buffer.scala 480:86] - wire _T_3240 = buf_rspageQ_0[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 480:84] - wire [3:0] buf_rspage_0 = {_T_3258,_T_3252,_T_3246,_T_3240}; // @[Cat.scala 29:58] - wire _T_3177 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 479:90] - wire _T_3180 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 479:90] - wire _T_3183 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 479:90] - wire _T_3186 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 479:90] - wire [2:0] _T_3188 = {_T_3186,_T_3183,_T_3180}; // @[Cat.scala 29:58] - wire _T_3285 = buf_rspageQ_1[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 480:84] - wire _T_3279 = buf_rspageQ_1[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 480:84] - wire _T_3273 = buf_rspageQ_1[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 480:84] - wire _T_3267 = buf_rspageQ_1[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 480:84] - wire [3:0] buf_rspage_1 = {_T_3285,_T_3279,_T_3273,_T_3267}; // @[Cat.scala 29:58] - wire _T_3192 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 479:90] - wire _T_3195 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 479:90] - wire _T_3198 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 479:90] - wire _T_3201 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 479:90] - wire [2:0] _T_3203 = {_T_3201,_T_3198,_T_3195}; // @[Cat.scala 29:58] - wire _T_3312 = buf_rspageQ_2[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 480:84] - wire _T_3306 = buf_rspageQ_2[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 480:84] - wire _T_3300 = buf_rspageQ_2[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 480:84] - wire _T_3294 = buf_rspageQ_2[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 480:84] - wire [3:0] buf_rspage_2 = {_T_3312,_T_3306,_T_3300,_T_3294}; // @[Cat.scala 29:58] - wire _T_3207 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 479:90] - wire _T_3210 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 479:90] - wire _T_3213 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 479:90] - wire _T_3216 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 479:90] + wire [2:0] _GEN_383 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 532:94] + wire _T_4270 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 532:94] + wire _T_4271 = _T_4269 & _T_4270; // @[el2_lsu_bus_buffer.scala 532:74] + wire _T_4272 = _T_4264 | _T_4271; // @[el2_lsu_bus_buffer.scala 531:71] + wire _T_4273 = bus_rsp_read & _T_4272; // @[el2_lsu_bus_buffer.scala 530:25] + wire _T_4274 = _T_4259 | _T_4273; // @[el2_lsu_bus_buffer.scala 529:105] + wire _GEN_270 = _T_4213 & _T_4274; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4179 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4175 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4152 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4300 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4310 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 544:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 544:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 544:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 544:58] + wire [2:0] _GEN_385 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 544:58] + wire _T_4312 = io_lsu_axi_rid == _GEN_385; // @[el2_lsu_bus_buffer.scala 544:58] + wire _T_4313 = _T_4310[0] & _T_4312; // @[el2_lsu_bus_buffer.scala 544:38] + wire _T_4314 = _T_4270 | _T_4313; // @[el2_lsu_bus_buffer.scala 543:95] + wire _T_4315 = bus_rsp_read & _T_4314; // @[el2_lsu_bus_buffer.scala 543:45] + wire _GEN_264 = _T_4300 & _T_4315; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4213 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4179 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4175 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4152 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4192 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 517:49] + wire _T_4193 = _T_4192 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 517:70] + wire _T_4318 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4321 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 549:37] + wire _T_4322 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 549:98] + wire _T_4323 = buf_dual_3 & _T_4322; // @[el2_lsu_bus_buffer.scala 549:80] + wire _T_4324 = _T_4321 | _T_4323; // @[el2_lsu_bus_buffer.scala 549:65] + wire _T_4325 = _T_4324 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 549:112] + wire _T_4326 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4318 ? _T_4325 : _T_4326; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4300 ? _T_4193 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4213 ? _T_4193 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4179 ? _T_4193 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4175 ? _T_3599 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4152 ? _T_4168 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2480 = _T_1929 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 466:94] + wire _T_2490 = _T_2182 & _T_1932; // @[el2_lsu_bus_buffer.scala 468:71] + wire _T_2492 = _T_2490 & _T_1897; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2493 = _T_4519 | _T_2492; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2497 = _T_2189 & _T_1933; // @[el2_lsu_bus_buffer.scala 469:52] + wire _T_2499 = _T_2497 & _T_1899; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2500 = _T_2493 | _T_2499; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2501 = _T_2480 & _T_2500; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2503 = _T_2501 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2517 = _T_2490 & _T_1908; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2518 = _T_4524 | _T_2517; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2524 = _T_2497 & _T_1910; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2525 = _T_2518 | _T_2524; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2526 = _T_2480 & _T_2525; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2528 = _T_2526 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2542 = _T_2490 & _T_1919; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2543 = _T_4529 | _T_2542; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2549 = _T_2497 & _T_1921; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2550 = _T_2543 | _T_2549; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2551 = _T_2480 & _T_2550; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2553 = _T_2551 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_2567 = _T_2490 & _T_1930; // @[el2_lsu_bus_buffer.scala 468:92] + wire _T_2568 = _T_4534 | _T_2567; // @[el2_lsu_bus_buffer.scala 467:86] + wire _T_2574 = _T_2497 & _T_1932; // @[el2_lsu_bus_buffer.scala 469:73] + wire _T_2575 = _T_2568 | _T_2574; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2576 = _T_2480 & _T_2575; // @[el2_lsu_bus_buffer.scala 466:113] + wire _T_2578 = _T_2576 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 469:97] + wire [2:0] _T_2580 = {_T_2578,_T_2553,_T_2528}; // @[Cat.scala 29:58] + wire _T_2844 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 477:49] + wire _T_2845 = _T_1896 | _T_2844; // @[el2_lsu_bus_buffer.scala 477:34] + wire _T_2846 = ~_T_2845; // @[el2_lsu_bus_buffer.scala 477:8] + wire _T_2854 = _T_2846 | _T_2186; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2861 = _T_2854 | _T_2193; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2862 = _T_2174 & _T_2861; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_2866 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 477:49] + wire _T_2867 = _T_1907 | _T_2866; // @[el2_lsu_bus_buffer.scala 477:34] + wire _T_2868 = ~_T_2867; // @[el2_lsu_bus_buffer.scala 477:8] + wire _T_2876 = _T_2868 | _T_2211; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2883 = _T_2876 | _T_2218; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2884 = _T_2174 & _T_2883; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_2888 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 477:49] + wire _T_2889 = _T_1918 | _T_2888; // @[el2_lsu_bus_buffer.scala 477:34] + wire _T_2890 = ~_T_2889; // @[el2_lsu_bus_buffer.scala 477:8] + wire _T_2898 = _T_2890 | _T_2236; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2905 = _T_2898 | _T_2243; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2906 = _T_2174 & _T_2905; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_2910 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 477:49] + wire _T_2911 = _T_1929 | _T_2910; // @[el2_lsu_bus_buffer.scala 477:34] + wire _T_2912 = ~_T_2911; // @[el2_lsu_bus_buffer.scala 477:8] + wire _T_2920 = _T_2912 | _T_2261; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2927 = _T_2920 | _T_2268; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2928 = _T_2174 & _T_2927; // @[el2_lsu_bus_buffer.scala 476:114] + wire [3:0] buf_rspage_set_0 = {_T_2928,_T_2906,_T_2884,_T_2862}; // @[Cat.scala 29:58] + wire _T_2945 = _T_2846 | _T_2288; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2952 = _T_2945 | _T_2295; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2953 = _T_2276 & _T_2952; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_2967 = _T_2868 | _T_2313; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2974 = _T_2967 | _T_2320; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2975 = _T_2276 & _T_2974; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_2989 = _T_2890 | _T_2338; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_2996 = _T_2989 | _T_2345; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_2997 = _T_2276 & _T_2996; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3011 = _T_2912 | _T_2363; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3018 = _T_3011 | _T_2370; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3019 = _T_2276 & _T_3018; // @[el2_lsu_bus_buffer.scala 476:114] + wire [3:0] buf_rspage_set_1 = {_T_3019,_T_2997,_T_2975,_T_2953}; // @[Cat.scala 29:58] + wire _T_3036 = _T_2846 | _T_2390; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3043 = _T_3036 | _T_2397; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3044 = _T_2378 & _T_3043; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3058 = _T_2868 | _T_2415; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3065 = _T_3058 | _T_2422; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3066 = _T_2378 & _T_3065; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3080 = _T_2890 | _T_2440; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3087 = _T_3080 | _T_2447; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3088 = _T_2378 & _T_3087; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3102 = _T_2912 | _T_2465; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3109 = _T_3102 | _T_2472; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3110 = _T_2378 & _T_3109; // @[el2_lsu_bus_buffer.scala 476:114] + wire [3:0] buf_rspage_set_2 = {_T_3110,_T_3088,_T_3066,_T_3044}; // @[Cat.scala 29:58] + wire _T_3127 = _T_2846 | _T_2492; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3134 = _T_3127 | _T_2499; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3135 = _T_2480 & _T_3134; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3149 = _T_2868 | _T_2517; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3156 = _T_3149 | _T_2524; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3157 = _T_2480 & _T_3156; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3171 = _T_2890 | _T_2542; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3178 = _T_3171 | _T_2549; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3179 = _T_2480 & _T_3178; // @[el2_lsu_bus_buffer.scala 476:114] + wire _T_3193 = _T_2912 | _T_2567; // @[el2_lsu_bus_buffer.scala 477:61] + wire _T_3200 = _T_3193 | _T_2574; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3201 = _T_2480 & _T_3200; // @[el2_lsu_bus_buffer.scala 476:114] + wire [3:0] buf_rspage_set_3 = {_T_3201,_T_3179,_T_3157,_T_3135}; // @[Cat.scala 29:58] + wire _T_3286 = _T_2910 | _T_1929; // @[el2_lsu_bus_buffer.scala 481:112] + wire _T_3287 = ~_T_3286; // @[el2_lsu_bus_buffer.scala 481:86] + wire _T_3288 = buf_rspageQ_0[3] & _T_3287; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3280 = _T_2888 | _T_1918; // @[el2_lsu_bus_buffer.scala 481:112] + wire _T_3281 = ~_T_3280; // @[el2_lsu_bus_buffer.scala 481:86] + wire _T_3282 = buf_rspageQ_0[2] & _T_3281; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3274 = _T_2866 | _T_1907; // @[el2_lsu_bus_buffer.scala 481:112] + wire _T_3275 = ~_T_3274; // @[el2_lsu_bus_buffer.scala 481:86] + wire _T_3276 = buf_rspageQ_0[1] & _T_3275; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3268 = _T_2844 | _T_1896; // @[el2_lsu_bus_buffer.scala 481:112] + wire _T_3269 = ~_T_3268; // @[el2_lsu_bus_buffer.scala 481:86] + wire _T_3270 = buf_rspageQ_0[0] & _T_3269; // @[el2_lsu_bus_buffer.scala 481:84] + wire [3:0] buf_rspage_0 = {_T_3288,_T_3282,_T_3276,_T_3270}; // @[Cat.scala 29:58] + wire _T_3207 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3210 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3213 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3216 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 480:90] wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] - wire _T_3339 = buf_rspageQ_3[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 480:84] - wire _T_3333 = buf_rspageQ_3[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 480:84] - wire _T_3327 = buf_rspageQ_3[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 480:84] - wire _T_3321 = buf_rspageQ_3[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 480:84] - wire [3:0] buf_rspage_3 = {_T_3339,_T_3333,_T_3327,_T_3321}; // @[Cat.scala 29:58] - wire _T_3222 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 479:90] - wire _T_3225 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 479:90] - wire _T_3228 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 479:90] - wire _T_3231 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3315 = buf_rspageQ_1[3] & _T_3287; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3309 = buf_rspageQ_1[2] & _T_3281; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3303 = buf_rspageQ_1[1] & _T_3275; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3297 = buf_rspageQ_1[0] & _T_3269; // @[el2_lsu_bus_buffer.scala 481:84] + wire [3:0] buf_rspage_1 = {_T_3315,_T_3309,_T_3303,_T_3297}; // @[Cat.scala 29:58] + wire _T_3222 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3225 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3228 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3231 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 480:90] wire [2:0] _T_3233 = {_T_3231,_T_3228,_T_3225}; // @[Cat.scala 29:58] - wire _T_3344 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 485:65] - wire _T_3346 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 485:65] - wire _T_3348 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 485:65] - wire _T_3350 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 485:65] - wire [3:0] ibuf_drainvec_vld = {_T_3350,_T_3348,_T_3346,_T_3344}; // @[Cat.scala 29:58] - wire _T_3358 = _T_3552 & _T_1870; // @[el2_lsu_bus_buffer.scala 487:37] - wire _T_3367 = _T_3552 & _T_1881; // @[el2_lsu_bus_buffer.scala 487:37] - wire _T_3376 = _T_3552 & _T_1892; // @[el2_lsu_bus_buffer.scala 487:37] - wire _T_3385 = _T_3552 & _T_1903; // @[el2_lsu_bus_buffer.scala 487:37] - wire _T_3415 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 489:47] - wire _T_3417 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 489:47] - wire _T_3419 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 489:47] - wire _T_3421 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 489:47] - wire [3:0] buf_dual_in = {_T_3421,_T_3419,_T_3417,_T_3415}; // @[Cat.scala 29:58] - wire _T_3426 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 490:49] - wire _T_3428 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 490:49] - wire _T_3430 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 490:49] - wire _T_3432 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 490:49] - wire [3:0] buf_samedw_in = {_T_3432,_T_3430,_T_3428,_T_3426}; // @[Cat.scala 29:58] - wire _T_3437 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 491:86] - wire _T_3438 = ibuf_drainvec_vld[0] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 491:50] - wire _T_3441 = ibuf_drainvec_vld[1] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 491:50] - wire _T_3444 = ibuf_drainvec_vld[2] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 491:50] - wire _T_3447 = ibuf_drainvec_vld[3] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 491:50] - wire [3:0] buf_nomerge_in = {_T_3447,_T_3444,_T_3441,_T_3438}; // @[Cat.scala 29:58] - wire _T_3455 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3358; // @[el2_lsu_bus_buffer.scala 492:49] - wire _T_3460 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3367; // @[el2_lsu_bus_buffer.scala 492:49] - wire _T_3465 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3376; // @[el2_lsu_bus_buffer.scala 492:49] - wire _T_3470 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3385; // @[el2_lsu_bus_buffer.scala 492:49] - wire [3:0] buf_dualhi_in = {_T_3470,_T_3465,_T_3460,_T_3455}; // @[Cat.scala 29:58] - wire _T_3499 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3501 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3503 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3505 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 494:53] - wire [3:0] buf_sideeffect_in = {_T_3505,_T_3503,_T_3501,_T_3499}; // @[Cat.scala 29:58] - wire _T_3510 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 495:49] - wire _T_3512 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 495:49] - wire _T_3514 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 495:49] - wire _T_3516 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 495:49] - wire [3:0] buf_unsign_in = {_T_3516,_T_3514,_T_3512,_T_3510}; // @[Cat.scala 29:58] - wire _T_3533 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 497:48] - wire _T_3535 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 497:48] - wire _T_3537 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 497:48] - wire _T_3539 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 497:48] - wire [3:0] buf_write_in = {_T_3539,_T_3537,_T_3535,_T_3533}; // @[Cat.scala 29:58] - wire _T_3572 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:89] - wire _T_3574 = _T_3572 & _T_1364; // @[el2_lsu_bus_buffer.scala 513:104] - wire _T_3587 = buf_state_en_0 & _T_3658; // @[el2_lsu_bus_buffer.scala 518:44] - wire _T_3588 = _T_3587 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 518:60] - wire _T_3590 = _T_3588 & _T_1346; // @[el2_lsu_bus_buffer.scala 518:74] - wire _T_3593 = _T_3583 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:67] - wire _T_3594 = _T_3593 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 520:81] - wire _T_4887 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 625:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4887; // @[el2_lsu_bus_buffer.scala 625:38] - wire _T_3597 = _T_3593 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 521:82] - wire _T_3672 = bus_rsp_read_error & _T_3651; // @[el2_lsu_bus_buffer.scala 535:91] - wire _T_3674 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 536:31] - wire _T_3676 = _T_3674 & _T_3653; // @[el2_lsu_bus_buffer.scala 536:46] - wire _T_3677 = _T_3672 | _T_3676; // @[el2_lsu_bus_buffer.scala 535:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4887; // @[el2_lsu_bus_buffer.scala 624:40] - wire _T_3680 = bus_rsp_write_error & _T_3649; // @[el2_lsu_bus_buffer.scala 537:53] - wire _T_3681 = _T_3677 | _T_3680; // @[el2_lsu_bus_buffer.scala 536:88] - wire _T_3682 = _T_3583 & _T_3681; // @[el2_lsu_bus_buffer.scala 535:68] - wire _GEN_46 = _T_3604 & _T_3682; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3570 ? _T_3597 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3566 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3543 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3607 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 525:73] - wire _T_3608 = buf_write[0] & _T_3607; // @[el2_lsu_bus_buffer.scala 525:71] - wire _T_3609 = io_dec_tlu_force_halt | _T_3608; // @[el2_lsu_bus_buffer.scala 525:55] - wire _T_3611 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 526:30] - wire _T_3612 = buf_dual_0 & _T_3611; // @[el2_lsu_bus_buffer.scala 526:28] - wire _T_3615 = _T_3612 & _T_3658; // @[el2_lsu_bus_buffer.scala 526:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 526:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 526:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 526:90] - wire _T_3616 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 526:90] - wire _T_3617 = _T_3615 & _T_3616; // @[el2_lsu_bus_buffer.scala 526:61] - wire _T_4512 = _T_2761 | _T_2758; // @[el2_lsu_bus_buffer.scala 584:93] - wire _T_4513 = _T_4512 | _T_2755; // @[el2_lsu_bus_buffer.scala 584:93] - wire any_done_wait_state = _T_4513 | _T_2752; // @[el2_lsu_bus_buffer.scala 584:93] - wire _T_3619 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:31] - wire _T_3625 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3627 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3629 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3631 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3633 = _T_3625 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3634 = _T_3627 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3635 = _T_3629 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3636 = _T_3631 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3637 = _T_3633 | _T_3634; // @[Mux.scala 27:72] - wire _T_3638 = _T_3637 | _T_3635; // @[Mux.scala 27:72] - wire _T_3639 = _T_3638 | _T_3636; // @[Mux.scala 27:72] - wire _T_3641 = _T_3615 & _T_3639; // @[el2_lsu_bus_buffer.scala 527:101] - wire _T_3642 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 527:167] - wire _T_3643 = _T_3641 & _T_3642; // @[el2_lsu_bus_buffer.scala 527:138] - wire _T_3644 = _T_3643 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:187] - wire _T_3645 = _T_3619 | _T_3644; // @[el2_lsu_bus_buffer.scala 527:53] - wire _T_3668 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 534:47] - wire _T_3669 = _T_3668 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 534:62] - wire _T_3683 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 538:50] - wire _T_3684 = buf_state_en_0 & _T_3683; // @[el2_lsu_bus_buffer.scala 538:48] - wire _T_3696 = buf_ldfwd[0] | _T_3701[0]; // @[el2_lsu_bus_buffer.scala 541:90] - wire _T_3697 = _T_3696 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 541:118] - wire _GEN_29 = _T_3717 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3709 ? 1'h0 : _T_3717; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3709 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3691 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3691 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3604 & _T_3669; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3604 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3604 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3570 ? _T_3590 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3570 ? _T_3594 : _GEN_45; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3570 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3566 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3566 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3566 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3543 & buf_state_en_0; // @[Conditional.scala 40:58] - wire buf_data_en_0 = _T_3543 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3543 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3543 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3780 = buf_state_en_1 & _T_3851; // @[el2_lsu_bus_buffer.scala 518:44] - wire _T_3781 = _T_3780 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 518:60] - wire _T_3783 = _T_3781 & _T_1346; // @[el2_lsu_bus_buffer.scala 518:74] - wire _T_3786 = _T_3776 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:67] - wire _T_3787 = _T_3786 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 520:81] - wire _T_3790 = _T_3786 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 521:82] - wire _T_3865 = bus_rsp_read_error & _T_3844; // @[el2_lsu_bus_buffer.scala 535:91] - wire _T_3867 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 536:31] - wire _T_3869 = _T_3867 & _T_3846; // @[el2_lsu_bus_buffer.scala 536:46] - wire _T_3870 = _T_3865 | _T_3869; // @[el2_lsu_bus_buffer.scala 535:143] - wire _T_3873 = bus_rsp_write_error & _T_3842; // @[el2_lsu_bus_buffer.scala 537:53] - wire _T_3874 = _T_3870 | _T_3873; // @[el2_lsu_bus_buffer.scala 536:88] - wire _T_3875 = _T_3776 & _T_3874; // @[el2_lsu_bus_buffer.scala 535:68] - wire _GEN_122 = _T_3797 & _T_3875; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3763 ? _T_3790 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3759 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3736 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3801 = buf_write[1] & _T_3607; // @[el2_lsu_bus_buffer.scala 525:71] - wire _T_3802 = io_dec_tlu_force_halt | _T_3801; // @[el2_lsu_bus_buffer.scala 525:55] - wire _T_3804 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 526:30] - wire _T_3805 = buf_dual_1 & _T_3804; // @[el2_lsu_bus_buffer.scala 526:28] - wire _T_3808 = _T_3805 & _T_3851; // @[el2_lsu_bus_buffer.scala 526:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 526:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 526:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 526:90] - wire _T_3809 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 526:90] - wire _T_3810 = _T_3808 & _T_3809; // @[el2_lsu_bus_buffer.scala 526:61] - wire _T_3812 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:31] - wire _T_3818 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3820 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3822 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3824 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3826 = _T_3818 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3827 = _T_3820 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3828 = _T_3822 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3829 = _T_3824 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3830 = _T_3826 | _T_3827; // @[Mux.scala 27:72] - wire _T_3831 = _T_3830 | _T_3828; // @[Mux.scala 27:72] - wire _T_3832 = _T_3831 | _T_3829; // @[Mux.scala 27:72] - wire _T_3834 = _T_3808 & _T_3832; // @[el2_lsu_bus_buffer.scala 527:101] - wire _T_3835 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 527:167] - wire _T_3836 = _T_3834 & _T_3835; // @[el2_lsu_bus_buffer.scala 527:138] - wire _T_3837 = _T_3836 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:187] - wire _T_3838 = _T_3812 | _T_3837; // @[el2_lsu_bus_buffer.scala 527:53] - wire _T_3861 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 534:47] - wire _T_3862 = _T_3861 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 534:62] - wire _T_3876 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 538:50] - wire _T_3877 = buf_state_en_1 & _T_3876; // @[el2_lsu_bus_buffer.scala 538:48] - wire _T_3889 = buf_ldfwd[1] | _T_3894[0]; // @[el2_lsu_bus_buffer.scala 541:90] - wire _T_3890 = _T_3889 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 541:118] - wire _GEN_105 = _T_3910 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3902 ? 1'h0 : _T_3910; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3902 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3884 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3884 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3797 & _T_3862; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3797 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3797 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3763 ? _T_3783 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3763 ? _T_3787 : _GEN_121; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3763 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3759 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3759 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3759 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3736 & buf_state_en_1; // @[Conditional.scala 40:58] - wire buf_data_en_1 = _T_3736 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3736 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3736 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3973 = buf_state_en_2 & _T_4044; // @[el2_lsu_bus_buffer.scala 518:44] - wire _T_3974 = _T_3973 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 518:60] - wire _T_3976 = _T_3974 & _T_1346; // @[el2_lsu_bus_buffer.scala 518:74] - wire _T_3979 = _T_3969 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:67] - wire _T_3980 = _T_3979 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 520:81] - wire _T_3983 = _T_3979 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 521:82] - wire _T_4058 = bus_rsp_read_error & _T_4037; // @[el2_lsu_bus_buffer.scala 535:91] - wire _T_4060 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 536:31] - wire _T_4062 = _T_4060 & _T_4039; // @[el2_lsu_bus_buffer.scala 536:46] - wire _T_4063 = _T_4058 | _T_4062; // @[el2_lsu_bus_buffer.scala 535:143] - wire _T_4066 = bus_rsp_write_error & _T_4035; // @[el2_lsu_bus_buffer.scala 537:53] - wire _T_4067 = _T_4063 | _T_4066; // @[el2_lsu_bus_buffer.scala 536:88] - wire _T_4068 = _T_3969 & _T_4067; // @[el2_lsu_bus_buffer.scala 535:68] - wire _GEN_198 = _T_3990 & _T_4068; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3956 ? _T_3983 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3952 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3929 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_3994 = buf_write[2] & _T_3607; // @[el2_lsu_bus_buffer.scala 525:71] - wire _T_3995 = io_dec_tlu_force_halt | _T_3994; // @[el2_lsu_bus_buffer.scala 525:55] - wire _T_3997 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 526:30] - wire _T_3998 = buf_dual_2 & _T_3997; // @[el2_lsu_bus_buffer.scala 526:28] - wire _T_4001 = _T_3998 & _T_4044; // @[el2_lsu_bus_buffer.scala 526:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 526:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 526:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 526:90] - wire _T_4002 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 526:90] - wire _T_4003 = _T_4001 & _T_4002; // @[el2_lsu_bus_buffer.scala 526:61] - wire _T_4005 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:31] - wire _T_4011 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4013 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4015 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4017 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4019 = _T_4011 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4020 = _T_4013 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4021 = _T_4015 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4022 = _T_4017 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4023 = _T_4019 | _T_4020; // @[Mux.scala 27:72] - wire _T_4024 = _T_4023 | _T_4021; // @[Mux.scala 27:72] - wire _T_4025 = _T_4024 | _T_4022; // @[Mux.scala 27:72] - wire _T_4027 = _T_4001 & _T_4025; // @[el2_lsu_bus_buffer.scala 527:101] - wire _T_4028 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 527:167] - wire _T_4029 = _T_4027 & _T_4028; // @[el2_lsu_bus_buffer.scala 527:138] - wire _T_4030 = _T_4029 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:187] - wire _T_4031 = _T_4005 | _T_4030; // @[el2_lsu_bus_buffer.scala 527:53] - wire _T_4054 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 534:47] - wire _T_4055 = _T_4054 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 534:62] - wire _T_4069 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 538:50] - wire _T_4070 = buf_state_en_2 & _T_4069; // @[el2_lsu_bus_buffer.scala 538:48] - wire _T_4082 = buf_ldfwd[2] | _T_4087[0]; // @[el2_lsu_bus_buffer.scala 541:90] - wire _T_4083 = _T_4082 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 541:118] - wire _GEN_181 = _T_4103 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_4095 ? 1'h0 : _T_4103; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_4095 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_4077 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_4077 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_3990 & _T_4055; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3990 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_3990 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3956 ? _T_3976 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3956 ? _T_3980 : _GEN_197; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3956 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3952 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3952 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3952 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3929 & buf_state_en_2; // @[Conditional.scala 40:58] - wire buf_data_en_2 = _T_3929 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3929 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3929 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4166 = buf_state_en_3 & _T_4237; // @[el2_lsu_bus_buffer.scala 518:44] - wire _T_4167 = _T_4166 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 518:60] - wire _T_4169 = _T_4167 & _T_1346; // @[el2_lsu_bus_buffer.scala 518:74] - wire _T_4172 = _T_4162 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:67] - wire _T_4173 = _T_4172 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 520:81] - wire _T_4176 = _T_4172 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 521:82] - wire _T_4251 = bus_rsp_read_error & _T_4230; // @[el2_lsu_bus_buffer.scala 535:91] - wire _T_4253 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 536:31] - wire _T_4255 = _T_4253 & _T_4232; // @[el2_lsu_bus_buffer.scala 536:46] - wire _T_4256 = _T_4251 | _T_4255; // @[el2_lsu_bus_buffer.scala 535:143] - wire _T_4259 = bus_rsp_write_error & _T_4228; // @[el2_lsu_bus_buffer.scala 537:53] - wire _T_4260 = _T_4256 | _T_4259; // @[el2_lsu_bus_buffer.scala 536:88] - wire _T_4261 = _T_4162 & _T_4260; // @[el2_lsu_bus_buffer.scala 535:68] - wire _GEN_274 = _T_4183 & _T_4261; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4149 ? _T_4176 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4145 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4122 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4187 = buf_write[3] & _T_3607; // @[el2_lsu_bus_buffer.scala 525:71] - wire _T_4188 = io_dec_tlu_force_halt | _T_4187; // @[el2_lsu_bus_buffer.scala 525:55] - wire _T_4190 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 526:30] - wire _T_4191 = buf_dual_3 & _T_4190; // @[el2_lsu_bus_buffer.scala 526:28] - wire _T_4194 = _T_4191 & _T_4237; // @[el2_lsu_bus_buffer.scala 526:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 526:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 526:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 526:90] - wire _T_4195 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 526:90] - wire _T_4196 = _T_4194 & _T_4195; // @[el2_lsu_bus_buffer.scala 526:61] - wire _T_4198 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:31] - wire _T_4204 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4206 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4208 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4210 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4212 = _T_4204 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4213 = _T_4206 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4214 = _T_4208 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4215 = _T_4210 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4216 = _T_4212 | _T_4213; // @[Mux.scala 27:72] - wire _T_4217 = _T_4216 | _T_4214; // @[Mux.scala 27:72] - wire _T_4218 = _T_4217 | _T_4215; // @[Mux.scala 27:72] - wire _T_4220 = _T_4194 & _T_4218; // @[el2_lsu_bus_buffer.scala 527:101] - wire _T_4221 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 527:167] - wire _T_4222 = _T_4220 & _T_4221; // @[el2_lsu_bus_buffer.scala 527:138] - wire _T_4223 = _T_4222 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:187] - wire _T_4224 = _T_4198 | _T_4223; // @[el2_lsu_bus_buffer.scala 527:53] - wire _T_4247 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 534:47] - wire _T_4248 = _T_4247 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 534:62] - wire _T_4262 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 538:50] - wire _T_4263 = buf_state_en_3 & _T_4262; // @[el2_lsu_bus_buffer.scala 538:48] - wire _T_4275 = buf_ldfwd[3] | _T_4280[0]; // @[el2_lsu_bus_buffer.scala 541:90] - wire _T_4276 = _T_4275 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 541:118] - wire _GEN_257 = _T_4296 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4288 ? 1'h0 : _T_4296; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4288 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4270 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4270 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4183 & _T_4248; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4183 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4183 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_4149 ? _T_4169 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_4149 ? _T_4173 : _GEN_273; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_4149 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_4145 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_4145 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_4145 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_4122 & buf_state_en_3; // @[Conditional.scala 40:58] - wire buf_data_en_3 = _T_4122 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4122 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4122 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] - reg _T_4351; // @[Reg.scala 27:20] - reg _T_4354; // @[Reg.scala 27:20] - reg _T_4357; // @[Reg.scala 27:20] - reg _T_4360; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4360,_T_4357,_T_4354,_T_4351}; // @[Cat.scala 29:58] - reg _T_4426; // @[el2_lsu_bus_buffer.scala 577:82] - reg _T_4421; // @[el2_lsu_bus_buffer.scala 577:82] - reg _T_4416; // @[el2_lsu_bus_buffer.scala 577:82] - reg _T_4411; // @[el2_lsu_bus_buffer.scala 577:82] - wire [3:0] buf_error = {_T_4426,_T_4421,_T_4416,_T_4411}; // @[Cat.scala 29:58] - wire _T_4408 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 577:86] - wire _T_4409 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 577:128] - wire _T_4413 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 577:86] - wire _T_4414 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 577:128] - wire _T_4418 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 577:86] - wire _T_4419 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 577:128] - wire _T_4423 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 577:86] - wire _T_4424 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 577:128] - wire [2:0] _T_4431 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4433 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4434 = io_ldst_dual_m ? _T_4433 : {{1'd0}, io_lsu_busreq_m}; // @[el2_lsu_bus_buffer.scala 580:28] - wire [1:0] _T_4435 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4436 = io_ldst_dual_r ? _T_4435 : {{1'd0}, io_lsu_busreq_r}; // @[el2_lsu_bus_buffer.scala 580:94] - wire [2:0] _T_4437 = _T_4434 + _T_4436; // @[el2_lsu_bus_buffer.scala 580:88] - wire [2:0] _GEN_388 = {{2'd0}, ibuf_valid}; // @[el2_lsu_bus_buffer.scala 580:154] - wire [3:0] _T_4438 = _T_4437 + _GEN_388; // @[el2_lsu_bus_buffer.scala 580:154] - wire [1:0] _T_4443 = _T_5 + _T_12; // @[el2_lsu_bus_buffer.scala 580:217] - wire [1:0] _GEN_389 = {{1'd0}, _T_19}; // @[el2_lsu_bus_buffer.scala 580:217] - wire [2:0] _T_4444 = _T_4443 + _GEN_389; // @[el2_lsu_bus_buffer.scala 580:217] - wire [2:0] _GEN_390 = {{2'd0}, _T_26}; // @[el2_lsu_bus_buffer.scala 580:217] - wire [3:0] _T_4445 = _T_4444 + _GEN_390; // @[el2_lsu_bus_buffer.scala 580:217] - wire [3:0] buf_numvld_any = _T_4438 + _T_4445; // @[el2_lsu_bus_buffer.scala 580:169] - wire _T_4516 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 586:52] - wire _T_4517 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 586:92] - wire _T_4518 = buf_numvld_any == 4'h4; // @[el2_lsu_bus_buffer.scala 586:121] - wire _T_4520 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 587:52] - wire _T_4521 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 587:52] - wire _T_4522 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 587:52] - wire _T_4523 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 587:52] - wire _T_4524 = _T_4520 | _T_4521; // @[el2_lsu_bus_buffer.scala 587:65] - wire _T_4525 = _T_4524 | _T_4522; // @[el2_lsu_bus_buffer.scala 587:65] - wire _T_4526 = _T_4525 | _T_4523; // @[el2_lsu_bus_buffer.scala 587:65] - wire _T_4527 = ~_T_4526; // @[el2_lsu_bus_buffer.scala 587:34] - wire _T_4529 = _T_4527 & _T_844; // @[el2_lsu_bus_buffer.scala 587:70] - wire _T_4532 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 589:51] - wire _T_4533 = _T_4532 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 589:72] - wire _T_4534 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 589:94] - wire _T_4535 = _T_4533 & _T_4534; // @[el2_lsu_bus_buffer.scala 589:92] - wire _T_4536 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 589:111] - wire _T_4538 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 592:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 678:66] - wire _T_4556 = _T_2814 & _T_3658; // @[Mux.scala 27:72] - wire _T_4557 = _T_2836 & _T_3851; // @[Mux.scala 27:72] - wire _T_4558 = _T_2858 & _T_4044; // @[Mux.scala 27:72] - wire _T_4559 = _T_2880 & _T_4237; // @[Mux.scala 27:72] - wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] - wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4561 | _T_4559; // @[Mux.scala 27:72] - wire _T_4567 = buf_error[0] & _T_3658; // @[el2_lsu_bus_buffer.scala 595:108] - wire _T_4572 = buf_error[1] & _T_3851; // @[el2_lsu_bus_buffer.scala 595:108] - wire _T_4577 = buf_error[2] & _T_4044; // @[el2_lsu_bus_buffer.scala 595:108] - wire _T_4582 = buf_error[3] & _T_4237; // @[el2_lsu_bus_buffer.scala 595:108] - wire _T_4583 = _T_2814 & _T_4567; // @[Mux.scala 27:72] - wire _T_4584 = _T_2836 & _T_4572; // @[Mux.scala 27:72] - wire _T_4585 = _T_2858 & _T_4577; // @[Mux.scala 27:72] - wire _T_4586 = _T_2880 & _T_4582; // @[Mux.scala 27:72] - wire _T_4587 = _T_4583 | _T_4584; // @[Mux.scala 27:72] - wire _T_4588 = _T_4587 | _T_4585; // @[Mux.scala 27:72] - wire _T_4595 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 596:109] - wire _T_4596 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 596:124] - wire _T_4597 = _T_4595 | _T_4596; // @[el2_lsu_bus_buffer.scala 596:122] - wire _T_4598 = _T_4556 & _T_4597; // @[el2_lsu_bus_buffer.scala 596:106] - wire _T_4603 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 596:109] - wire _T_4604 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 596:124] - wire _T_4605 = _T_4603 | _T_4604; // @[el2_lsu_bus_buffer.scala 596:122] - wire _T_4606 = _T_4557 & _T_4605; // @[el2_lsu_bus_buffer.scala 596:106] - wire _T_4611 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 596:109] - wire _T_4612 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 596:124] - wire _T_4613 = _T_4611 | _T_4612; // @[el2_lsu_bus_buffer.scala 596:122] - wire _T_4614 = _T_4558 & _T_4613; // @[el2_lsu_bus_buffer.scala 596:106] - wire _T_4619 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 596:109] - wire _T_4620 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 596:124] - wire _T_4621 = _T_4619 | _T_4620; // @[el2_lsu_bus_buffer.scala 596:122] - wire _T_4622 = _T_4559 & _T_4621; // @[el2_lsu_bus_buffer.scala 596:106] - wire [1:0] _T_4625 = _T_4614 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4626 = _T_4622 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_391 = {{1'd0}, _T_4606}; // @[Mux.scala 27:72] - wire [1:0] _T_4628 = _GEN_391 | _T_4625; // @[Mux.scala 27:72] - wire [31:0] _T_4663 = _T_4598 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4664 = _T_4606 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4665 = _T_4614 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4666 = _T_4622 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4667 = _T_4663 | _T_4664; // @[Mux.scala 27:72] - wire [31:0] _T_4668 = _T_4667 | _T_4665; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4668 | _T_4666; // @[Mux.scala 27:72] - wire _T_4674 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 598:120] - wire _T_4675 = _T_4556 & _T_4674; // @[el2_lsu_bus_buffer.scala 598:105] - wire _T_4680 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 598:120] - wire _T_4681 = _T_4557 & _T_4680; // @[el2_lsu_bus_buffer.scala 598:105] - wire _T_4686 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 598:120] - wire _T_4687 = _T_4558 & _T_4686; // @[el2_lsu_bus_buffer.scala 598:105] - wire _T_4692 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 598:120] - wire _T_4693 = _T_4559 & _T_4692; // @[el2_lsu_bus_buffer.scala 598:105] - wire [31:0] _T_4694 = _T_4675 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4695 = _T_4681 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4696 = _T_4687 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4697 = _T_4693 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4698 = _T_4694 | _T_4695; // @[Mux.scala 27:72] - wire [31:0] _T_4699 = _T_4698 | _T_4696; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4699 | _T_4697; // @[Mux.scala 27:72] - wire _T_4701 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 120:123] - wire _T_4702 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 120:123] - wire _T_4703 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 120:123] - wire _T_4704 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 120:123] - wire [31:0] _T_4705 = _T_4701 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4706 = _T_4702 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4707 = _T_4703 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4708 = _T_4704 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4709 = _T_4705 | _T_4706; // @[Mux.scala 27:72] - wire [31:0] _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] - wire [31:0] _T_4711 = _T_4710 | _T_4708; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4711[1:0]; // @[el2_lsu_bus_buffer.scala 599:83] - wire [1:0] _T_4717 = _T_4701 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4718 = _T_4702 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4719 = _T_4703 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4720 = _T_4704 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4721 = _T_4717 | _T_4718; // @[Mux.scala 27:72] - wire [1:0] _T_4722 = _T_4721 | _T_4719; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4722 | _T_4720; // @[Mux.scala 27:72] - wire _T_4732 = _T_4701 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4733 = _T_4702 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4734 = _T_4703 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4735 = _T_4704 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4736 = _T_4732 | _T_4733; // @[Mux.scala 27:72] - wire _T_4737 = _T_4736 | _T_4734; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4737 | _T_4735; // @[Mux.scala 27:72] - wire [63:0] _T_4757 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_392 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 603:121] - wire [5:0] _T_4758 = _GEN_392 * 4'h8; // @[el2_lsu_bus_buffer.scala 603:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4757 >> _T_4758; // @[el2_lsu_bus_buffer.scala 603:92] - wire _T_4759 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 606:69] - wire _T_4761 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 607:81] - wire _T_4762 = lsu_nonblock_unsign & _T_4761; // @[el2_lsu_bus_buffer.scala 607:63] - wire [31:0] _T_4764 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4765 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 608:45] - wire _T_4766 = lsu_nonblock_unsign & _T_4765; // @[el2_lsu_bus_buffer.scala 608:26] - wire [31:0] _T_4768 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4769 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 609:6] - wire _T_4771 = _T_4769 & _T_4761; // @[el2_lsu_bus_buffer.scala 609:27] - wire [23:0] _T_4774 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4776 = {_T_4774,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4779 = _T_4769 & _T_4765; // @[el2_lsu_bus_buffer.scala 610:27] - wire [15:0] _T_4782 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4784 = {_T_4782,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4785 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 611:21] - wire [31:0] _T_4786 = _T_4762 ? _T_4764 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4787 = _T_4766 ? _T_4768 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4788 = _T_4771 ? _T_4776 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4789 = _T_4779 ? _T_4784 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4790 = _T_4785 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4791 = _T_4786 | _T_4787; // @[Mux.scala 27:72] - wire [31:0] _T_4792 = _T_4791 | _T_4788; // @[Mux.scala 27:72] - wire [31:0] _T_4793 = _T_4792 | _T_4789; // @[Mux.scala 27:72] - wire [63:0] _GEN_393 = {{32'd0}, _T_4793}; // @[Mux.scala 27:72] - wire [63:0] _T_4794 = _GEN_393 | _T_4790; // @[Mux.scala 27:72] - wire _T_4889 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 629:36] - wire _T_4890 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 629:51] - wire _T_4891 = _T_4889 & _T_4890; // @[el2_lsu_bus_buffer.scala 629:49] - wire [31:0] _T_4895 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4897 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4902 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 641:50] - wire _T_4903 = _T_4889 & _T_4902; // @[el2_lsu_bus_buffer.scala 641:48] - wire [7:0] _T_4907 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4910 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 646:36] - wire _T_4912 = _T_4910 & _T_1362; // @[el2_lsu_bus_buffer.scala 646:50] - wire _T_4924 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 659:114] - wire _T_4926 = _T_4924 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 659:129] - wire _T_4929 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 659:114] - wire _T_4931 = _T_4929 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 659:129] - wire _T_4934 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 659:114] - wire _T_4936 = _T_4934 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 659:129] - wire _T_4939 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 659:114] - wire _T_4941 = _T_4939 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 659:129] - wire _T_4942 = _T_2814 & _T_4926; // @[Mux.scala 27:72] - wire _T_4943 = _T_2836 & _T_4931; // @[Mux.scala 27:72] - wire _T_4944 = _T_2858 & _T_4936; // @[Mux.scala 27:72] - wire _T_4945 = _T_2880 & _T_4941; // @[Mux.scala 27:72] - wire _T_4946 = _T_4942 | _T_4943; // @[Mux.scala 27:72] - wire _T_4947 = _T_4946 | _T_4944; // @[Mux.scala 27:72] - wire _T_4957 = _T_2836 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 660:98] - wire lsu_imprecise_error_store_tag = _T_4957 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 660:113] - wire _T_4963 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 662:72] - wire _T_4965 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 120:123] - wire [31:0] _T_4967 = _T_4965 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4968 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4969 = _T_4967 | _T_4968; // @[Mux.scala 27:72] - wire _T_4986 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 669:68] - wire _T_4989 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 670:48] - wire _T_4992 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 673:48] - wire _T_4993 = io_lsu_axi_awvalid & _T_4992; // @[el2_lsu_bus_buffer.scala 673:46] - wire _T_4994 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 673:92] - wire _T_4995 = io_lsu_axi_wvalid & _T_4994; // @[el2_lsu_bus_buffer.scala 673:90] - wire _T_4996 = _T_4993 | _T_4995; // @[el2_lsu_bus_buffer.scala 673:69] - wire _T_4997 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 673:136] - wire _T_4998 = io_lsu_axi_arvalid & _T_4997; // @[el2_lsu_bus_buffer.scala 673:134] - wire _T_5002 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 677:75] - wire _T_5003 = io_lsu_busreq_m & _T_5002; // @[el2_lsu_bus_buffer.scala 677:73] - reg _T_5006; // @[el2_lsu_bus_buffer.scala 677:56] + wire _T_3342 = buf_rspageQ_2[3] & _T_3287; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3336 = buf_rspageQ_2[2] & _T_3281; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3330 = buf_rspageQ_2[1] & _T_3275; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3324 = buf_rspageQ_2[0] & _T_3269; // @[el2_lsu_bus_buffer.scala 481:84] + wire [3:0] buf_rspage_2 = {_T_3342,_T_3336,_T_3330,_T_3324}; // @[Cat.scala 29:58] + wire _T_3237 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3240 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3243 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3246 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 480:90] + wire [2:0] _T_3248 = {_T_3246,_T_3243,_T_3240}; // @[Cat.scala 29:58] + wire _T_3369 = buf_rspageQ_3[3] & _T_3287; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3363 = buf_rspageQ_3[2] & _T_3281; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3357 = buf_rspageQ_3[1] & _T_3275; // @[el2_lsu_bus_buffer.scala 481:84] + wire _T_3351 = buf_rspageQ_3[0] & _T_3269; // @[el2_lsu_bus_buffer.scala 481:84] + wire [3:0] buf_rspage_3 = {_T_3369,_T_3363,_T_3357,_T_3351}; // @[Cat.scala 29:58] + wire _T_3252 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3255 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3258 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 480:90] + wire _T_3261 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 480:90] + wire [2:0] _T_3263 = {_T_3261,_T_3258,_T_3255}; // @[Cat.scala 29:58] + wire _T_3374 = ibuf_drain_vld & _T_1897; // @[el2_lsu_bus_buffer.scala 486:65] + wire _T_3376 = ibuf_drain_vld & _T_1908; // @[el2_lsu_bus_buffer.scala 486:65] + wire _T_3378 = ibuf_drain_vld & _T_1919; // @[el2_lsu_bus_buffer.scala 486:65] + wire _T_3380 = ibuf_drain_vld & _T_1930; // @[el2_lsu_bus_buffer.scala 486:65] + wire [3:0] ibuf_drainvec_vld = {_T_3380,_T_3378,_T_3376,_T_3374}; // @[Cat.scala 29:58] + wire _T_3388 = _T_3582 & _T_1900; // @[el2_lsu_bus_buffer.scala 488:37] + wire _T_3397 = _T_3582 & _T_1911; // @[el2_lsu_bus_buffer.scala 488:37] + wire _T_3406 = _T_3582 & _T_1922; // @[el2_lsu_bus_buffer.scala 488:37] + wire _T_3415 = _T_3582 & _T_1933; // @[el2_lsu_bus_buffer.scala 488:37] + wire _T_3445 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 490:47] + wire _T_3447 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 490:47] + wire _T_3449 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 490:47] + wire _T_3451 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 490:47] + wire [3:0] buf_dual_in = {_T_3451,_T_3449,_T_3447,_T_3445}; // @[Cat.scala 29:58] + wire _T_3456 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 491:49] + wire _T_3458 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 491:49] + wire _T_3460 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 491:49] + wire _T_3462 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 491:49] + wire [3:0] buf_samedw_in = {_T_3462,_T_3460,_T_3458,_T_3456}; // @[Cat.scala 29:58] + wire _T_3467 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 492:86] + wire _T_3468 = ibuf_drainvec_vld[0] ? _T_3467 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 492:50] + wire _T_3471 = ibuf_drainvec_vld[1] ? _T_3467 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 492:50] + wire _T_3474 = ibuf_drainvec_vld[2] ? _T_3467 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 492:50] + wire _T_3477 = ibuf_drainvec_vld[3] ? _T_3467 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 492:50] + wire [3:0] buf_nomerge_in = {_T_3477,_T_3474,_T_3471,_T_3468}; // @[Cat.scala 29:58] + wire _T_3485 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3388; // @[el2_lsu_bus_buffer.scala 493:49] + wire _T_3490 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3397; // @[el2_lsu_bus_buffer.scala 493:49] + wire _T_3495 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3406; // @[el2_lsu_bus_buffer.scala 493:49] + wire _T_3500 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3415; // @[el2_lsu_bus_buffer.scala 493:49] + wire [3:0] buf_dualhi_in = {_T_3500,_T_3495,_T_3490,_T_3485}; // @[Cat.scala 29:58] + wire _T_3529 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_3531 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_3533 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_3535 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 495:53] + wire [3:0] buf_sideeffect_in = {_T_3535,_T_3533,_T_3531,_T_3529}; // @[Cat.scala 29:58] + wire _T_3540 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 496:49] + wire _T_3542 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 496:49] + wire _T_3544 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 496:49] + wire _T_3546 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 496:49] + wire [3:0] buf_unsign_in = {_T_3546,_T_3544,_T_3542,_T_3540}; // @[Cat.scala 29:58] + wire _T_3563 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 498:48] + wire _T_3565 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 498:48] + wire _T_3567 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 498:48] + wire _T_3569 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 498:48] + wire [3:0] buf_write_in = {_T_3569,_T_3567,_T_3565,_T_3563}; // @[Cat.scala 29:58] + wire _T_3602 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 514:89] + wire _T_3604 = _T_3602 & _T_1394; // @[el2_lsu_bus_buffer.scala 514:104] + wire _T_3617 = buf_state_en_0 & _T_3688; // @[el2_lsu_bus_buffer.scala 519:44] + wire _T_3618 = _T_3617 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 519:60] + wire _T_3620 = _T_3618 & _T_1376; // @[el2_lsu_bus_buffer.scala 519:74] + wire _T_3623 = _T_3613 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:67] + wire _T_3624 = _T_3623 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 521:81] + wire _T_4917 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 626:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4917; // @[el2_lsu_bus_buffer.scala 626:38] + wire _T_3627 = _T_3623 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 522:82] + wire _T_3702 = bus_rsp_read_error & _T_3681; // @[el2_lsu_bus_buffer.scala 536:91] + wire _T_3704 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 537:31] + wire _T_3706 = _T_3704 & _T_3683; // @[el2_lsu_bus_buffer.scala 537:46] + wire _T_3707 = _T_3702 | _T_3706; // @[el2_lsu_bus_buffer.scala 536:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4917; // @[el2_lsu_bus_buffer.scala 625:40] + wire _T_3710 = bus_rsp_write_error & _T_3679; // @[el2_lsu_bus_buffer.scala 538:53] + wire _T_3711 = _T_3707 | _T_3710; // @[el2_lsu_bus_buffer.scala 537:88] + wire _T_3712 = _T_3613 & _T_3711; // @[el2_lsu_bus_buffer.scala 536:68] + wire _GEN_46 = _T_3634 & _T_3712; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3600 ? _T_3627 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3596 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3573 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_3637 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 526:73] + wire _T_3638 = buf_write[0] & _T_3637; // @[el2_lsu_bus_buffer.scala 526:71] + wire _T_3639 = io_dec_tlu_force_halt | _T_3638; // @[el2_lsu_bus_buffer.scala 526:55] + wire _T_3641 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 527:30] + wire _T_3642 = buf_dual_0 & _T_3641; // @[el2_lsu_bus_buffer.scala 527:28] + wire _T_3645 = _T_3642 & _T_3688; // @[el2_lsu_bus_buffer.scala 527:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 527:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 527:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 527:90] + wire _T_3646 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 527:90] + wire _T_3647 = _T_3645 & _T_3646; // @[el2_lsu_bus_buffer.scala 527:61] + wire _T_4542 = _T_2791 | _T_2788; // @[el2_lsu_bus_buffer.scala 585:93] + wire _T_4543 = _T_4542 | _T_2785; // @[el2_lsu_bus_buffer.scala 585:93] + wire any_done_wait_state = _T_4543 | _T_2782; // @[el2_lsu_bus_buffer.scala 585:93] + wire _T_3649 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_3655 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3657 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3659 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3661 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3663 = _T_3655 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3664 = _T_3657 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3665 = _T_3659 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3666 = _T_3661 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3667 = _T_3663 | _T_3664; // @[Mux.scala 27:72] + wire _T_3668 = _T_3667 | _T_3665; // @[Mux.scala 27:72] + wire _T_3669 = _T_3668 | _T_3666; // @[Mux.scala 27:72] + wire _T_3671 = _T_3645 & _T_3669; // @[el2_lsu_bus_buffer.scala 528:101] + wire _T_3672 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 528:167] + wire _T_3673 = _T_3671 & _T_3672; // @[el2_lsu_bus_buffer.scala 528:138] + wire _T_3674 = _T_3673 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:187] + wire _T_3675 = _T_3649 | _T_3674; // @[el2_lsu_bus_buffer.scala 528:53] + wire _T_3698 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 535:47] + wire _T_3699 = _T_3698 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 535:62] + wire _T_3713 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 539:50] + wire _T_3714 = buf_state_en_0 & _T_3713; // @[el2_lsu_bus_buffer.scala 539:48] + wire _T_3726 = buf_ldfwd[0] | _T_3731[0]; // @[el2_lsu_bus_buffer.scala 542:90] + wire _T_3727 = _T_3726 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 542:118] + wire _GEN_29 = _T_3747 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3739 ? 1'h0 : _T_3747; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3739 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3721 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3721 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3634 & _T_3699; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3634 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3634 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3600 ? _T_3620 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3600 ? _T_3624 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3600 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3596 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3596 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3596 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3573 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_data_en_0 = _T_3573 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3573 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3573 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire _T_3810 = buf_state_en_1 & _T_3881; // @[el2_lsu_bus_buffer.scala 519:44] + wire _T_3811 = _T_3810 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 519:60] + wire _T_3813 = _T_3811 & _T_1376; // @[el2_lsu_bus_buffer.scala 519:74] + wire _T_3816 = _T_3806 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:67] + wire _T_3817 = _T_3816 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 521:81] + wire _T_3820 = _T_3816 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 522:82] + wire _T_3895 = bus_rsp_read_error & _T_3874; // @[el2_lsu_bus_buffer.scala 536:91] + wire _T_3897 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 537:31] + wire _T_3899 = _T_3897 & _T_3876; // @[el2_lsu_bus_buffer.scala 537:46] + wire _T_3900 = _T_3895 | _T_3899; // @[el2_lsu_bus_buffer.scala 536:143] + wire _T_3903 = bus_rsp_write_error & _T_3872; // @[el2_lsu_bus_buffer.scala 538:53] + wire _T_3904 = _T_3900 | _T_3903; // @[el2_lsu_bus_buffer.scala 537:88] + wire _T_3905 = _T_3806 & _T_3904; // @[el2_lsu_bus_buffer.scala 536:68] + wire _GEN_122 = _T_3827 & _T_3905; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3793 ? _T_3820 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3789 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3766 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire _T_3831 = buf_write[1] & _T_3637; // @[el2_lsu_bus_buffer.scala 526:71] + wire _T_3832 = io_dec_tlu_force_halt | _T_3831; // @[el2_lsu_bus_buffer.scala 526:55] + wire _T_3834 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 527:30] + wire _T_3835 = buf_dual_1 & _T_3834; // @[el2_lsu_bus_buffer.scala 527:28] + wire _T_3838 = _T_3835 & _T_3881; // @[el2_lsu_bus_buffer.scala 527:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 527:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 527:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 527:90] + wire _T_3839 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 527:90] + wire _T_3840 = _T_3838 & _T_3839; // @[el2_lsu_bus_buffer.scala 527:61] + wire _T_3842 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_3848 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3850 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3852 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3854 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3856 = _T_3848 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3857 = _T_3850 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3858 = _T_3852 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3859 = _T_3854 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3860 = _T_3856 | _T_3857; // @[Mux.scala 27:72] + wire _T_3861 = _T_3860 | _T_3858; // @[Mux.scala 27:72] + wire _T_3862 = _T_3861 | _T_3859; // @[Mux.scala 27:72] + wire _T_3864 = _T_3838 & _T_3862; // @[el2_lsu_bus_buffer.scala 528:101] + wire _T_3865 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 528:167] + wire _T_3866 = _T_3864 & _T_3865; // @[el2_lsu_bus_buffer.scala 528:138] + wire _T_3867 = _T_3866 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:187] + wire _T_3868 = _T_3842 | _T_3867; // @[el2_lsu_bus_buffer.scala 528:53] + wire _T_3891 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 535:47] + wire _T_3892 = _T_3891 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 535:62] + wire _T_3906 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 539:50] + wire _T_3907 = buf_state_en_1 & _T_3906; // @[el2_lsu_bus_buffer.scala 539:48] + wire _T_3919 = buf_ldfwd[1] | _T_3924[0]; // @[el2_lsu_bus_buffer.scala 542:90] + wire _T_3920 = _T_3919 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 542:118] + wire _GEN_105 = _T_3940 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3932 ? 1'h0 : _T_3940; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3932 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3914 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3914 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3827 & _T_3892; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3827 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3827 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3793 ? _T_3813 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3793 ? _T_3817 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3793 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3789 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3789 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3789 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3766 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_data_en_1 = _T_3766 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3766 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3766 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_4003 = buf_state_en_2 & _T_4074; // @[el2_lsu_bus_buffer.scala 519:44] + wire _T_4004 = _T_4003 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 519:60] + wire _T_4006 = _T_4004 & _T_1376; // @[el2_lsu_bus_buffer.scala 519:74] + wire _T_4009 = _T_3999 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:67] + wire _T_4010 = _T_4009 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 521:81] + wire _T_4013 = _T_4009 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 522:82] + wire _T_4088 = bus_rsp_read_error & _T_4067; // @[el2_lsu_bus_buffer.scala 536:91] + wire _T_4090 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 537:31] + wire _T_4092 = _T_4090 & _T_4069; // @[el2_lsu_bus_buffer.scala 537:46] + wire _T_4093 = _T_4088 | _T_4092; // @[el2_lsu_bus_buffer.scala 536:143] + wire _T_4096 = bus_rsp_write_error & _T_4065; // @[el2_lsu_bus_buffer.scala 538:53] + wire _T_4097 = _T_4093 | _T_4096; // @[el2_lsu_bus_buffer.scala 537:88] + wire _T_4098 = _T_3999 & _T_4097; // @[el2_lsu_bus_buffer.scala 536:68] + wire _GEN_198 = _T_4020 & _T_4098; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3986 ? _T_4013 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3982 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3959 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire _T_4024 = buf_write[2] & _T_3637; // @[el2_lsu_bus_buffer.scala 526:71] + wire _T_4025 = io_dec_tlu_force_halt | _T_4024; // @[el2_lsu_bus_buffer.scala 526:55] + wire _T_4027 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 527:30] + wire _T_4028 = buf_dual_2 & _T_4027; // @[el2_lsu_bus_buffer.scala 527:28] + wire _T_4031 = _T_4028 & _T_4074; // @[el2_lsu_bus_buffer.scala 527:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 527:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 527:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 527:90] + wire _T_4032 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 527:90] + wire _T_4033 = _T_4031 & _T_4032; // @[el2_lsu_bus_buffer.scala 527:61] + wire _T_4035 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_4041 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4043 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4045 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4047 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4049 = _T_4041 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4050 = _T_4043 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4051 = _T_4045 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4052 = _T_4047 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4053 = _T_4049 | _T_4050; // @[Mux.scala 27:72] + wire _T_4054 = _T_4053 | _T_4051; // @[Mux.scala 27:72] + wire _T_4055 = _T_4054 | _T_4052; // @[Mux.scala 27:72] + wire _T_4057 = _T_4031 & _T_4055; // @[el2_lsu_bus_buffer.scala 528:101] + wire _T_4058 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 528:167] + wire _T_4059 = _T_4057 & _T_4058; // @[el2_lsu_bus_buffer.scala 528:138] + wire _T_4060 = _T_4059 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:187] + wire _T_4061 = _T_4035 | _T_4060; // @[el2_lsu_bus_buffer.scala 528:53] + wire _T_4084 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 535:47] + wire _T_4085 = _T_4084 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 535:62] + wire _T_4099 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 539:50] + wire _T_4100 = buf_state_en_2 & _T_4099; // @[el2_lsu_bus_buffer.scala 539:48] + wire _T_4112 = buf_ldfwd[2] | _T_4117[0]; // @[el2_lsu_bus_buffer.scala 542:90] + wire _T_4113 = _T_4112 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 542:118] + wire _GEN_181 = _T_4133 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4125 ? 1'h0 : _T_4133; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_4125 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4107 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4107 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_4020 & _T_4085; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_4020 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_4020 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3986 ? _T_4006 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3986 ? _T_4010 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3986 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3982 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3982 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3982 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3959 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_data_en_2 = _T_3959 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3959 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3959 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_4196 = buf_state_en_3 & _T_4267; // @[el2_lsu_bus_buffer.scala 519:44] + wire _T_4197 = _T_4196 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 519:60] + wire _T_4199 = _T_4197 & _T_1376; // @[el2_lsu_bus_buffer.scala 519:74] + wire _T_4202 = _T_4192 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:67] + wire _T_4203 = _T_4202 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 521:81] + wire _T_4206 = _T_4202 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 522:82] + wire _T_4281 = bus_rsp_read_error & _T_4260; // @[el2_lsu_bus_buffer.scala 536:91] + wire _T_4283 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 537:31] + wire _T_4285 = _T_4283 & _T_4262; // @[el2_lsu_bus_buffer.scala 537:46] + wire _T_4286 = _T_4281 | _T_4285; // @[el2_lsu_bus_buffer.scala 536:143] + wire _T_4289 = bus_rsp_write_error & _T_4258; // @[el2_lsu_bus_buffer.scala 538:53] + wire _T_4290 = _T_4286 | _T_4289; // @[el2_lsu_bus_buffer.scala 537:88] + wire _T_4291 = _T_4192 & _T_4290; // @[el2_lsu_bus_buffer.scala 536:68] + wire _GEN_274 = _T_4213 & _T_4291; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4179 ? _T_4206 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4175 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4152 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire _T_4217 = buf_write[3] & _T_3637; // @[el2_lsu_bus_buffer.scala 526:71] + wire _T_4218 = io_dec_tlu_force_halt | _T_4217; // @[el2_lsu_bus_buffer.scala 526:55] + wire _T_4220 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 527:30] + wire _T_4221 = buf_dual_3 & _T_4220; // @[el2_lsu_bus_buffer.scala 527:28] + wire _T_4224 = _T_4221 & _T_4267; // @[el2_lsu_bus_buffer.scala 527:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 527:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 527:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 527:90] + wire _T_4225 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 527:90] + wire _T_4226 = _T_4224 & _T_4225; // @[el2_lsu_bus_buffer.scala 527:61] + wire _T_4228 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_4234 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4236 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4238 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4240 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4242 = _T_4234 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4243 = _T_4236 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4244 = _T_4238 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4245 = _T_4240 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4246 = _T_4242 | _T_4243; // @[Mux.scala 27:72] + wire _T_4247 = _T_4246 | _T_4244; // @[Mux.scala 27:72] + wire _T_4248 = _T_4247 | _T_4245; // @[Mux.scala 27:72] + wire _T_4250 = _T_4224 & _T_4248; // @[el2_lsu_bus_buffer.scala 528:101] + wire _T_4251 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 528:167] + wire _T_4252 = _T_4250 & _T_4251; // @[el2_lsu_bus_buffer.scala 528:138] + wire _T_4253 = _T_4252 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 528:187] + wire _T_4254 = _T_4228 | _T_4253; // @[el2_lsu_bus_buffer.scala 528:53] + wire _T_4277 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 535:47] + wire _T_4278 = _T_4277 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 535:62] + wire _T_4292 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 539:50] + wire _T_4293 = buf_state_en_3 & _T_4292; // @[el2_lsu_bus_buffer.scala 539:48] + wire _T_4305 = buf_ldfwd[3] | _T_4310[0]; // @[el2_lsu_bus_buffer.scala 542:90] + wire _T_4306 = _T_4305 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 542:118] + wire _GEN_257 = _T_4326 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4318 ? 1'h0 : _T_4326; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4318 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4300 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4300 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4213 & _T_4278; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4213 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4213 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4179 ? _T_4199 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4179 ? _T_4203 : _GEN_273; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4179 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4175 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4175 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4175 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4152 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_data_en_3 = _T_4152 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4152 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4152 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4381; // @[Reg.scala 27:20] + reg _T_4384; // @[Reg.scala 27:20] + reg _T_4387; // @[Reg.scala 27:20] + reg _T_4390; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4390,_T_4387,_T_4384,_T_4381}; // @[Cat.scala 29:58] + reg _T_4456; // @[el2_lsu_bus_buffer.scala 578:82] + reg _T_4451; // @[el2_lsu_bus_buffer.scala 578:82] + reg _T_4446; // @[el2_lsu_bus_buffer.scala 578:82] + reg _T_4441; // @[el2_lsu_bus_buffer.scala 578:82] + wire [3:0] buf_error = {_T_4456,_T_4451,_T_4446,_T_4441}; // @[Cat.scala 29:58] + wire _T_4438 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 578:86] + wire _T_4439 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 578:128] + wire _T_4443 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 578:86] + wire _T_4444 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 578:128] + wire _T_4448 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 578:86] + wire _T_4449 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 578:128] + wire _T_4453 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 578:86] + wire _T_4454 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 578:128] + wire [2:0] _T_4461 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] + wire [1:0] _T_4463 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4464 = io_ldst_dual_m ? _T_4463 : {{1'd0}, io_lsu_busreq_m}; // @[el2_lsu_bus_buffer.scala 581:28] + wire [1:0] _T_4465 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4466 = io_ldst_dual_r ? _T_4465 : {{1'd0}, io_lsu_busreq_r}; // @[el2_lsu_bus_buffer.scala 581:94] + wire [2:0] _T_4467 = _T_4464 + _T_4466; // @[el2_lsu_bus_buffer.scala 581:88] + wire [2:0] _GEN_390 = {{2'd0}, ibuf_valid}; // @[el2_lsu_bus_buffer.scala 581:154] + wire [3:0] _T_4468 = _T_4467 + _GEN_390; // @[el2_lsu_bus_buffer.scala 581:154] + wire [1:0] _T_4473 = _T_5 + _T_12; // @[el2_lsu_bus_buffer.scala 581:217] + wire [1:0] _GEN_391 = {{1'd0}, _T_19}; // @[el2_lsu_bus_buffer.scala 581:217] + wire [2:0] _T_4474 = _T_4473 + _GEN_391; // @[el2_lsu_bus_buffer.scala 581:217] + wire [2:0] _GEN_392 = {{2'd0}, _T_26}; // @[el2_lsu_bus_buffer.scala 581:217] + wire [3:0] _T_4475 = _T_4474 + _GEN_392; // @[el2_lsu_bus_buffer.scala 581:217] + wire [3:0] buf_numvld_any = _T_4468 + _T_4475; // @[el2_lsu_bus_buffer.scala 581:169] + wire _T_4546 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 587:52] + wire _T_4547 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 587:92] + wire _T_4548 = buf_numvld_any == 4'h4; // @[el2_lsu_bus_buffer.scala 587:121] + wire _T_4550 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 588:52] + wire _T_4551 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 588:52] + wire _T_4552 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 588:52] + wire _T_4553 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 588:52] + wire _T_4554 = _T_4550 | _T_4551; // @[el2_lsu_bus_buffer.scala 588:65] + wire _T_4555 = _T_4554 | _T_4552; // @[el2_lsu_bus_buffer.scala 588:65] + wire _T_4556 = _T_4555 | _T_4553; // @[el2_lsu_bus_buffer.scala 588:65] + wire _T_4557 = ~_T_4556; // @[el2_lsu_bus_buffer.scala 588:34] + wire _T_4559 = _T_4557 & _T_874; // @[el2_lsu_bus_buffer.scala 588:70] + wire _T_4562 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 590:51] + wire _T_4563 = _T_4562 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 590:72] + wire _T_4564 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 590:94] + wire _T_4565 = _T_4563 & _T_4564; // @[el2_lsu_bus_buffer.scala 590:92] + wire _T_4566 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 590:111] + wire _T_4568 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 593:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 679:66] + wire _T_4586 = _T_2844 & _T_3688; // @[Mux.scala 27:72] + wire _T_4587 = _T_2866 & _T_3881; // @[Mux.scala 27:72] + wire _T_4588 = _T_2888 & _T_4074; // @[Mux.scala 27:72] + wire _T_4589 = _T_2910 & _T_4267; // @[Mux.scala 27:72] + wire _T_4590 = _T_4586 | _T_4587; // @[Mux.scala 27:72] + wire _T_4591 = _T_4590 | _T_4588; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4591 | _T_4589; // @[Mux.scala 27:72] + wire _T_4597 = buf_error[0] & _T_3688; // @[el2_lsu_bus_buffer.scala 596:108] + wire _T_4602 = buf_error[1] & _T_3881; // @[el2_lsu_bus_buffer.scala 596:108] + wire _T_4607 = buf_error[2] & _T_4074; // @[el2_lsu_bus_buffer.scala 596:108] + wire _T_4612 = buf_error[3] & _T_4267; // @[el2_lsu_bus_buffer.scala 596:108] + wire _T_4613 = _T_2844 & _T_4597; // @[Mux.scala 27:72] + wire _T_4614 = _T_2866 & _T_4602; // @[Mux.scala 27:72] + wire _T_4615 = _T_2888 & _T_4607; // @[Mux.scala 27:72] + wire _T_4616 = _T_2910 & _T_4612; // @[Mux.scala 27:72] + wire _T_4617 = _T_4613 | _T_4614; // @[Mux.scala 27:72] + wire _T_4618 = _T_4617 | _T_4615; // @[Mux.scala 27:72] + wire _T_4625 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 597:109] + wire _T_4626 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 597:124] + wire _T_4627 = _T_4625 | _T_4626; // @[el2_lsu_bus_buffer.scala 597:122] + wire _T_4628 = _T_4586 & _T_4627; // @[el2_lsu_bus_buffer.scala 597:106] + wire _T_4633 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 597:109] + wire _T_4634 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 597:124] + wire _T_4635 = _T_4633 | _T_4634; // @[el2_lsu_bus_buffer.scala 597:122] + wire _T_4636 = _T_4587 & _T_4635; // @[el2_lsu_bus_buffer.scala 597:106] + wire _T_4641 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 597:109] + wire _T_4642 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 597:124] + wire _T_4643 = _T_4641 | _T_4642; // @[el2_lsu_bus_buffer.scala 597:122] + wire _T_4644 = _T_4588 & _T_4643; // @[el2_lsu_bus_buffer.scala 597:106] + wire _T_4649 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 597:109] + wire _T_4650 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 597:124] + wire _T_4651 = _T_4649 | _T_4650; // @[el2_lsu_bus_buffer.scala 597:122] + wire _T_4652 = _T_4589 & _T_4651; // @[el2_lsu_bus_buffer.scala 597:106] + wire [1:0] _T_4655 = _T_4644 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4656 = _T_4652 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_393 = {{1'd0}, _T_4636}; // @[Mux.scala 27:72] + wire [1:0] _T_4658 = _GEN_393 | _T_4655; // @[Mux.scala 27:72] + wire [31:0] _T_4693 = _T_4628 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4694 = _T_4636 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4695 = _T_4644 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4696 = _T_4652 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4697 = _T_4693 | _T_4694; // @[Mux.scala 27:72] + wire [31:0] _T_4698 = _T_4697 | _T_4695; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4698 | _T_4696; // @[Mux.scala 27:72] + wire _T_4704 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 599:120] + wire _T_4705 = _T_4586 & _T_4704; // @[el2_lsu_bus_buffer.scala 599:105] + wire _T_4710 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 599:120] + wire _T_4711 = _T_4587 & _T_4710; // @[el2_lsu_bus_buffer.scala 599:105] + wire _T_4716 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 599:120] + wire _T_4717 = _T_4588 & _T_4716; // @[el2_lsu_bus_buffer.scala 599:105] + wire _T_4722 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 599:120] + wire _T_4723 = _T_4589 & _T_4722; // @[el2_lsu_bus_buffer.scala 599:105] + wire [31:0] _T_4724 = _T_4705 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4725 = _T_4711 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4726 = _T_4717 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4727 = _T_4723 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4728 = _T_4724 | _T_4725; // @[Mux.scala 27:72] + wire [31:0] _T_4729 = _T_4728 | _T_4726; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4729 | _T_4727; // @[Mux.scala 27:72] + wire _T_4731 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 120:123] + wire _T_4732 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 120:123] + wire _T_4733 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 120:123] + wire _T_4734 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 120:123] + wire [31:0] _T_4735 = _T_4731 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4736 = _T_4732 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4737 = _T_4733 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4738 = _T_4734 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4739 = _T_4735 | _T_4736; // @[Mux.scala 27:72] + wire [31:0] _T_4740 = _T_4739 | _T_4737; // @[Mux.scala 27:72] + wire [31:0] _T_4741 = _T_4740 | _T_4738; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4741[1:0]; // @[el2_lsu_bus_buffer.scala 600:83] + wire [1:0] _T_4747 = _T_4731 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4748 = _T_4732 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4749 = _T_4733 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4750 = _T_4734 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4751 = _T_4747 | _T_4748; // @[Mux.scala 27:72] + wire [1:0] _T_4752 = _T_4751 | _T_4749; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4752 | _T_4750; // @[Mux.scala 27:72] + wire _T_4762 = _T_4731 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4763 = _T_4732 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4764 = _T_4733 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4765 = _T_4734 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4766 = _T_4762 | _T_4763; // @[Mux.scala 27:72] + wire _T_4767 = _T_4766 | _T_4764; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4767 | _T_4765; // @[Mux.scala 27:72] + wire [63:0] _T_4787 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 604:121] + wire [5:0] _T_4788 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 604:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4787 >> _T_4788; // @[el2_lsu_bus_buffer.scala 604:92] + wire _T_4789 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 607:69] + wire _T_4791 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 608:81] + wire _T_4792 = lsu_nonblock_unsign & _T_4791; // @[el2_lsu_bus_buffer.scala 608:63] + wire [31:0] _T_4794 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4795 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 609:45] + wire _T_4796 = lsu_nonblock_unsign & _T_4795; // @[el2_lsu_bus_buffer.scala 609:26] + wire [31:0] _T_4798 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4799 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 610:6] + wire _T_4801 = _T_4799 & _T_4791; // @[el2_lsu_bus_buffer.scala 610:27] + wire [23:0] _T_4804 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4806 = {_T_4804,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4809 = _T_4799 & _T_4795; // @[el2_lsu_bus_buffer.scala 611:27] + wire [15:0] _T_4812 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4814 = {_T_4812,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4815 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 612:21] + wire [31:0] _T_4816 = _T_4792 ? _T_4794 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4817 = _T_4796 ? _T_4798 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4818 = _T_4801 ? _T_4806 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4819 = _T_4809 ? _T_4814 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4820 = _T_4815 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4821 = _T_4816 | _T_4817; // @[Mux.scala 27:72] + wire [31:0] _T_4822 = _T_4821 | _T_4818; // @[Mux.scala 27:72] + wire [31:0] _T_4823 = _T_4822 | _T_4819; // @[Mux.scala 27:72] + wire [63:0] _GEN_395 = {{32'd0}, _T_4823}; // @[Mux.scala 27:72] + wire [63:0] _T_4824 = _GEN_395 | _T_4820; // @[Mux.scala 27:72] + wire _T_4919 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 630:36] + wire _T_4920 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 630:51] + wire _T_4921 = _T_4919 & _T_4920; // @[el2_lsu_bus_buffer.scala 630:49] + wire [31:0] _T_4925 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4927 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4932 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 642:50] + wire _T_4933 = _T_4919 & _T_4932; // @[el2_lsu_bus_buffer.scala 642:48] + wire [7:0] _T_4937 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4940 = obuf_valid & _T_1386; // @[el2_lsu_bus_buffer.scala 647:36] + wire _T_4942 = _T_4940 & _T_1392; // @[el2_lsu_bus_buffer.scala 647:50] + wire _T_4954 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 660:114] + wire _T_4956 = _T_4954 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 660:129] + wire _T_4959 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 660:114] + wire _T_4961 = _T_4959 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 660:129] + wire _T_4964 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 660:114] + wire _T_4966 = _T_4964 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 660:129] + wire _T_4969 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 660:114] + wire _T_4971 = _T_4969 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 660:129] + wire _T_4972 = _T_2844 & _T_4956; // @[Mux.scala 27:72] + wire _T_4973 = _T_2866 & _T_4961; // @[Mux.scala 27:72] + wire _T_4974 = _T_2888 & _T_4966; // @[Mux.scala 27:72] + wire _T_4975 = _T_2910 & _T_4971; // @[Mux.scala 27:72] + wire _T_4976 = _T_4972 | _T_4973; // @[Mux.scala 27:72] + wire _T_4977 = _T_4976 | _T_4974; // @[Mux.scala 27:72] + wire _T_4987 = _T_2866 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 661:98] + wire lsu_imprecise_error_store_tag = _T_4987 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 661:113] + wire _T_4993 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 663:72] + wire _T_4995 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 120:123] + wire [31:0] _T_4997 = _T_4995 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4998 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4999 = _T_4997 | _T_4998; // @[Mux.scala 27:72] + wire _T_5016 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 670:68] + wire _T_5019 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 671:48] + wire _T_5022 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 674:48] + wire _T_5023 = io_lsu_axi_awvalid & _T_5022; // @[el2_lsu_bus_buffer.scala 674:46] + wire _T_5024 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 674:92] + wire _T_5025 = io_lsu_axi_wvalid & _T_5024; // @[el2_lsu_bus_buffer.scala 674:90] + wire _T_5026 = _T_5023 | _T_5025; // @[el2_lsu_bus_buffer.scala 674:69] + wire _T_5027 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 674:136] + wire _T_5028 = io_lsu_axi_arvalid & _T_5027; // @[el2_lsu_bus_buffer.scala 674:134] + wire _T_5032 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 678:75] + wire _T_5033 = io_lsu_busreq_m & _T_5032; // @[el2_lsu_bus_buffer.scala 678:73] + reg _T_5036; // @[el2_lsu_bus_buffer.scala 678:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2709,103 +2723,103 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_5006; // @[el2_lsu_bus_buffer.scala 677:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 585:30] - assign io_lsu_bus_buffer_full_any = _T_4516 ? _T_4517 : _T_4518; // @[el2_lsu_bus_buffer.scala 586:30] - assign io_lsu_bus_buffer_empty_any = _T_4529 & _T_1244; // @[el2_lsu_bus_buffer.scala 587:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 666:23] + assign io_lsu_busreq_r = _T_5036; // @[el2_lsu_bus_buffer.scala 678:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 586:30] + assign io_lsu_bus_buffer_full_any = _T_4546 ? _T_4547 : _T_4548; // @[el2_lsu_bus_buffer.scala 587:30] + assign io_lsu_bus_buffer_empty_any = _T_4559 & _T_1274; // @[el2_lsu_bus_buffer.scala 588:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 667:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 198:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 199:25] - assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 224:24] - assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 229:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4963; // @[el2_lsu_bus_buffer.scala 662:35] - assign io_lsu_imprecise_error_store_any = _T_4947 | _T_4945; // @[el2_lsu_bus_buffer.scala 659:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4969 : _T_4711; // @[el2_lsu_bus_buffer.scala 663:35] - assign io_lsu_nonblock_load_valid_m = _T_4535 & _T_4536; // @[el2_lsu_bus_buffer.scala 589:32] - assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 590:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4538; // @[el2_lsu_bus_buffer.scala 592:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 593:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4759; // @[el2_lsu_bus_buffer.scala 606:35] - assign io_lsu_nonblock_load_data_error = _T_4588 | _T_4586; // @[el2_lsu_bus_buffer.scala 595:35] - assign io_lsu_nonblock_load_data_tag = _T_4628 | _T_4626; // @[el2_lsu_bus_buffer.scala 596:33] - assign io_lsu_nonblock_load_data = _T_4794[31:0]; // @[el2_lsu_bus_buffer.scala 607:29] - assign io_lsu_pmu_bus_trxn = _T_4986 | _T_4881; // @[el2_lsu_bus_buffer.scala 669:23] - assign io_lsu_pmu_bus_misaligned = _T_4989 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 670:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 671:24] - assign io_lsu_pmu_bus_busy = _T_4996 | _T_4998; // @[el2_lsu_bus_buffer.scala 673:23] - assign io_lsu_axi_awvalid = _T_4891 & _T_1252; // @[el2_lsu_bus_buffer.scala 629:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 630:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4895; // @[el2_lsu_bus_buffer.scala 631:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 635:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 636:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4897 : 3'h3; // @[el2_lsu_bus_buffer.scala 632:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 637:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 639:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 634:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 633:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 638:20] - assign io_lsu_axi_wvalid = _T_4903 & _T_1252; // @[el2_lsu_bus_buffer.scala 641:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 643:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4907; // @[el2_lsu_bus_buffer.scala 642:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 644:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 657:21] - assign io_lsu_axi_arvalid = _T_4912 & _T_1252; // @[el2_lsu_bus_buffer.scala 646:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 647:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4895; // @[el2_lsu_bus_buffer.scala 648:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 652:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 653:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4897 : 3'h3; // @[el2_lsu_bus_buffer.scala 649:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 654:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 656:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 651:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 650:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 655:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 658:21] - assign io_test = _T_745 | _T_744; // @[el2_lsu_bus_buffer.scala 258:11] - assign io_data_hi = _T_4699 | _T_4697; // @[el2_lsu_bus_buffer.scala 604:14] - assign io_data_lo = _T_4668 | _T_4666; // @[el2_lsu_bus_buffer.scala 605:14] - assign io_data_en = {_T_4431,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 578:14] - assign io_Cmdptr0 = _T_2092[1:0]; // @[el2_lsu_bus_buffer.scala 447:14] - assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 358:14] - assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 278:15] - assign io_WrPtr1_m = _T_1930 ? 2'h0 : _T_1975; // @[el2_lsu_bus_buffer.scala 432:15] - assign io_wdata_in = {_T_1633,_T_1592}; // @[el2_lsu_bus_buffer.scala 393:15] - assign io_buf_state = {_T_1915,buf_state_3}; // @[el2_lsu_bus_buffer.scala 425:16] + assign io_ld_fwddata_buf_lo = _T_675[31:0]; // @[el2_lsu_bus_buffer.scala 225:24] + assign io_ld_fwddata_buf_hi = _T_771[31:0]; // @[el2_lsu_bus_buffer.scala 230:24] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4993; // @[el2_lsu_bus_buffer.scala 663:35] + assign io_lsu_imprecise_error_store_any = _T_4977 | _T_4975; // @[el2_lsu_bus_buffer.scala 660:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4999 : _T_4741; // @[el2_lsu_bus_buffer.scala 664:35] + assign io_lsu_nonblock_load_valid_m = _T_4565 & _T_4566; // @[el2_lsu_bus_buffer.scala 590:32] + assign io_lsu_nonblock_load_tag_m = _T_1906 ? 2'h0 : _T_1942; // @[el2_lsu_bus_buffer.scala 591:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4568; // @[el2_lsu_bus_buffer.scala 593:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 594:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4789; // @[el2_lsu_bus_buffer.scala 607:35] + assign io_lsu_nonblock_load_data_error = _T_4618 | _T_4616; // @[el2_lsu_bus_buffer.scala 596:35] + assign io_lsu_nonblock_load_data_tag = _T_4658 | _T_4656; // @[el2_lsu_bus_buffer.scala 597:33] + assign io_lsu_nonblock_load_data = _T_4824[31:0]; // @[el2_lsu_bus_buffer.scala 608:29] + assign io_lsu_pmu_bus_trxn = _T_5016 | _T_4911; // @[el2_lsu_bus_buffer.scala 670:23] + assign io_lsu_pmu_bus_misaligned = _T_5019 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 671:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 672:24] + assign io_lsu_pmu_bus_busy = _T_5026 | _T_5028; // @[el2_lsu_bus_buffer.scala 674:23] + assign io_lsu_axi_awvalid = _T_4921 & _T_1282; // @[el2_lsu_bus_buffer.scala 630:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1891}; // @[el2_lsu_bus_buffer.scala 631:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4925; // @[el2_lsu_bus_buffer.scala 632:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 636:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 637:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4927 : 3'h3; // @[el2_lsu_bus_buffer.scala 633:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 638:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 640:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 635:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 634:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 639:20] + assign io_lsu_axi_wvalid = _T_4933 & _T_1282; // @[el2_lsu_bus_buffer.scala 642:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 644:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4937; // @[el2_lsu_bus_buffer.scala 643:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 645:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 658:21] + assign io_lsu_axi_arvalid = _T_4942 & _T_1282; // @[el2_lsu_bus_buffer.scala 647:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1891}; // @[el2_lsu_bus_buffer.scala 648:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4925; // @[el2_lsu_bus_buffer.scala 649:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 653:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 654:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4927 : 3'h3; // @[el2_lsu_bus_buffer.scala 650:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 655:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 657:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 652:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 651:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 656:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 659:21] + assign io_test = _T_775 | _T_774; // @[el2_lsu_bus_buffer.scala 259:11] + assign io_data_hi = _T_4729 | _T_4727; // @[el2_lsu_bus_buffer.scala 605:14] + assign io_data_lo = _T_4698 | _T_4696; // @[el2_lsu_bus_buffer.scala 606:14] + assign io_data_en = {_T_4461,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 579:14] + assign io_Cmdptr0 = _T_2122[1:0]; // @[el2_lsu_bus_buffer.scala 448:14] + assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 359:14] + assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 279:15] + assign io_WrPtr1_m = _T_1960 ? 2'h0 : _T_2005; // @[el2_lsu_bus_buffer.scala 433:15] + assign io_wdata_in = {_T_1663,_T_1622}; // @[el2_lsu_bus_buffer.scala 394:15] + assign io_buf_state = {_T_1945,buf_state_3}; // @[el2_lsu_bus_buffer.scala 426:16] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_io_en = _T_845 & _T_846; // @[el2_lib.scala 488:17] + assign rvclkhdr_io_en = _T_875 & _T_876; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_1_io_en = _T_845 & _T_846; // @[el2_lib.scala 488:17] + assign rvclkhdr_1_io_en = _T_875 & _T_876; // @[el2_lib.scala 488:17] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_2_io_clk = io_lsu_busm_clk; // @[el2_lib.scala 487:18] - assign rvclkhdr_2_io_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_en = _T_1283 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_3_io_clk = io_lsu_busm_clk; // @[el2_lib.scala 487:18] - assign rvclkhdr_3_io_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_en = _T_1283 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_4_io_en = _T_3543 & buf_state_en_0; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_en = _T_3573 & buf_state_en_0; // @[el2_lib.scala 488:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_5_io_en = _T_3736 & buf_state_en_1; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_en = _T_3766 & buf_state_en_1; // @[el2_lib.scala 488:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_6_io_en = _T_3929 & buf_state_en_2; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_en = _T_3959 & buf_state_en_2; // @[el2_lib.scala 488:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_7_io_en = _T_4122 & buf_state_en_3; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_en = _T_4152 & buf_state_en_3; // @[el2_lib.scala 488:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_8_io_en = _T_3543 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_en = _T_3573 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_9_io_en = _T_3736 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_en = _T_3766 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_10_io_en = _T_3929 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_en = _T_3959 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_11_io_en = _T_4122 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_en = _T_4152 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2845,13 +2859,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4375 = _RAND_1[0:0]; + _T_4405 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4372 = _RAND_2[0:0]; + _T_4402 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4369 = _RAND_3[0:0]; + _T_4399 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4366 = _RAND_4[0:0]; + _T_4396 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2877,7 +2891,7 @@ initial begin _RAND_16 = {1{`RANDOM}}; buf_ageQ_3 = _RAND_16[3:0]; _RAND_17 = {1{`RANDOM}}; - _T_1861 = _RAND_17[1:0]; + _T_1891 = _RAND_17[1:0]; _RAND_18 = {1{`RANDOM}}; obuf_merge = _RAND_18[0:0]; _RAND_19 = {1{`RANDOM}}; @@ -2943,13 +2957,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4345 = _RAND_50[0:0]; + _T_4375 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4342 = _RAND_51[0:0]; + _T_4372 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4339 = _RAND_52[0:0]; + _T_4369 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4336 = _RAND_53[0:0]; + _T_4366 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; buf_dual_3 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -3013,13 +3027,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4322 = _RAND_85[0:0]; + _T_4352 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4320 = _RAND_86[0:0]; + _T_4350 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4318 = _RAND_87[0:0]; + _T_4348 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4316 = _RAND_88[0:0]; + _T_4346 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -3037,40 +3051,40 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4351 = _RAND_97[0:0]; + _T_4381 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4354 = _RAND_98[0:0]; + _T_4384 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4357 = _RAND_99[0:0]; + _T_4387 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4360 = _RAND_100[0:0]; + _T_4390 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4426 = _RAND_101[0:0]; + _T_4456 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4421 = _RAND_102[0:0]; + _T_4451 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4416 = _RAND_103[0:0]; + _T_4446 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4411 = _RAND_104[0:0]; + _T_4441 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_5006 = _RAND_106[0:0]; + _T_5036 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end if (reset) begin - _T_4375 = 1'h0; + _T_4405 = 1'h0; end if (reset) begin - _T_4372 = 1'h0; + _T_4402 = 1'h0; end if (reset) begin - _T_4369 = 1'h0; + _T_4399 = 1'h0; end if (reset) begin - _T_4366 = 1'h0; + _T_4396 = 1'h0; end if (reset) begin buf_state_0 = 3'h0; @@ -3109,7 +3123,7 @@ initial begin buf_ageQ_3 = 4'h0; end if (reset) begin - _T_1861 = 2'h0; + _T_1891 = 2'h0; end if (reset) begin obuf_merge = 1'h0; @@ -3208,16 +3222,16 @@ initial begin buf_nomerge_3 = 1'h0; end if (reset) begin - _T_4345 = 1'h0; + _T_4375 = 1'h0; end if (reset) begin - _T_4342 = 1'h0; + _T_4372 = 1'h0; end if (reset) begin - _T_4339 = 1'h0; + _T_4369 = 1'h0; end if (reset) begin - _T_4336 = 1'h0; + _T_4366 = 1'h0; end if (reset) begin buf_dual_3 = 1'h0; @@ -3313,16 +3327,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4322 = 1'h0; + _T_4352 = 1'h0; end if (reset) begin - _T_4320 = 1'h0; + _T_4350 = 1'h0; end if (reset) begin - _T_4318 = 1'h0; + _T_4348 = 1'h0; end if (reset) begin - _T_4316 = 1'h0; + _T_4346 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3349,34 +3363,34 @@ initial begin buf_dualtag_3 = 2'h0; end if (reset) begin - _T_4351 = 1'h0; + _T_4381 = 1'h0; end if (reset) begin - _T_4354 = 1'h0; + _T_4384 = 1'h0; end if (reset) begin - _T_4357 = 1'h0; + _T_4387 = 1'h0; end if (reset) begin - _T_4360 = 1'h0; + _T_4390 = 1'h0; end if (reset) begin - _T_4426 = 1'h0; + _T_4456 = 1'h0; end if (reset) begin - _T_4421 = 1'h0; + _T_4451 = 1'h0; end if (reset) begin - _T_4416 = 1'h0; + _T_4446 = 1'h0; end if (reset) begin - _T_4411 = 1'h0; + _T_4441 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_5006 = 1'h0; + _T_5036 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3389,7 +3403,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3358) begin + end else if (_T_3388) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3397,75 +3411,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4375 <= 1'h0; + _T_4405 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4375 <= buf_write_in[3]; + _T_4405 <= buf_write_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4372 <= 1'h0; + _T_4402 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4372 <= buf_write_in[2]; + _T_4402 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4369 <= 1'h0; + _T_4399 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4369 <= buf_write_in[1]; + _T_4399 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4366 <= 1'h0; + _T_4396 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4366 <= buf_write_in[0]; + _T_4396 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3543) begin + if (_T_3573) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3566) begin + end else if (_T_3596) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3570) begin + end else if (_T_3600) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3574) begin + end else if (_T_3604) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3604) begin - if (_T_3609) begin + end else if (_T_3634) begin + if (_T_3639) begin buf_state_0 <= 3'h0; - end else if (_T_3617) begin + end else if (_T_3647) begin buf_state_0 <= 3'h4; - end else if (_T_3645) begin + end else if (_T_3675) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3691) begin + end else if (_T_3721) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3697) begin + end else if (_T_3727) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3709) begin + end else if (_T_3739) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3481,7 +3495,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3367) begin + end else if (_T_3397) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3491,45 +3505,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3736) begin + if (_T_3766) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3759) begin + end else if (_T_3789) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3763) begin + end else if (_T_3793) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3574) begin + end else if (_T_3604) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3797) begin - if (_T_3802) begin + end else if (_T_3827) begin + if (_T_3832) begin buf_state_1 <= 3'h0; - end else if (_T_3810) begin + end else if (_T_3840) begin buf_state_1 <= 3'h4; - end else if (_T_3838) begin + end else if (_T_3868) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3884) begin + end else if (_T_3914) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3890) begin + end else if (_T_3920) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3902) begin + end else if (_T_3932) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3545,7 +3559,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3376) begin + end else if (_T_3406) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3555,45 +3569,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3929) begin + if (_T_3959) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3952) begin + end else if (_T_3982) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3956) begin + end else if (_T_3986) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3574) begin + end else if (_T_3604) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3990) begin - if (_T_3995) begin + end else if (_T_4020) begin + if (_T_4025) begin buf_state_2 <= 3'h0; - end else if (_T_4003) begin + end else if (_T_4033) begin buf_state_2 <= 3'h4; - end else if (_T_4031) begin + end else if (_T_4061) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4077) begin + end else if (_T_4107) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_4083) begin + end else if (_T_4113) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4095) begin + end else if (_T_4125) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3609,7 +3623,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3385) begin + end else if (_T_3415) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3619,45 +3633,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_4122) begin + if (_T_4152) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_4145) begin + end else if (_T_4175) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_4149) begin + end else if (_T_4179) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3574) begin + end else if (_T_3604) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4183) begin - if (_T_4188) begin + end else if (_T_4213) begin + if (_T_4218) begin buf_state_3 <= 3'h0; - end else if (_T_4196) begin + end else if (_T_4226) begin buf_state_3 <= 3'h4; - end else if (_T_4224) begin + end else if (_T_4254) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4270) begin + end else if (_T_4300) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4276) begin + end else if (_T_4306) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4288) begin + end else if (_T_4318) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3674,7 +3688,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_byteen_3 <= ibuf_byteen_out; - end else if (_T_3385) begin + end else if (_T_3415) begin buf_byteen_3 <= ldst_byteen_hi_r; end else begin buf_byteen_3 <= ldst_byteen_lo_r; @@ -3687,7 +3701,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_byteen_2 <= ibuf_byteen_out; - end else if (_T_3376) begin + end else if (_T_3406) begin buf_byteen_2 <= ldst_byteen_hi_r; end else begin buf_byteen_2 <= ldst_byteen_lo_r; @@ -3700,7 +3714,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_byteen_1 <= ibuf_byteen_out; - end else if (_T_3367) begin + end else if (_T_3397) begin buf_byteen_1 <= ldst_byteen_hi_r; end else begin buf_byteen_1 <= ldst_byteen_lo_r; @@ -3713,7 +3727,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_byteen_0 <= ibuf_byteen_out; - end else if (_T_3358) begin + end else if (_T_3388) begin buf_byteen_0 <= ldst_byteen_hi_r; end else begin buf_byteen_0 <= ldst_byteen_lo_r; @@ -3724,17 +3738,17 @@ end // initial if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2550,_T_2473}; + buf_ageQ_3 <= {_T_2580,_T_2503}; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin - _T_1861 <= 2'h0; + _T_1891 <= 2'h0; end else if (obuf_wr_en) begin if (ibuf_buf_byp) begin - _T_1861 <= WrPtr0_r; + _T_1891 <= WrPtr0_r; end else begin - _T_1861 <= CmdPtr0; + _T_1891 <= CmdPtr0; end end end @@ -3760,14 +3774,14 @@ end // initial if (reset) begin obuf_valid <= 1'h0; end else begin - obuf_valid <= _T_1852 & _T_1853; + obuf_valid <= _T_1882 & _T_1883; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_wr_enQ <= 1'h0; end else begin - obuf_wr_enQ <= _T_1253 & io_lsu_bus_clk_en; + obuf_wr_enQ <= _T_1283 & io_lsu_bus_clk_en; end end always @(posedge rvclkhdr_io_l1clk or posedge reset) begin @@ -3790,15 +3804,15 @@ end // initial if (reset) begin ibuf_valid <= 1'h0; end else begin - ibuf_valid <= _T_997 & _T_998; + ibuf_valid <= _T_1027 & _T_1028; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_byteen <= 4'h0; end else if (ibuf_wr_en) begin - if (_T_858) begin - ibuf_byteen <= _T_873; + if (_T_888) begin + ibuf_byteen <= _T_903; end else if (io_ldst_dual_r) begin ibuf_byteen <= ldst_byteen_hi_r; end else begin @@ -3810,35 +3824,35 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2448,_T_2371}; + buf_ageQ_2 <= {_T_2478,_T_2401}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2346,_T_2269}; + buf_ageQ_1 <= {_T_2376,_T_2299}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2244,_T_2167}; + buf_ageQ_0 <= {_T_2274,_T_2197}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin buf_data_0 <= 32'h0; - end else if (_T_3543) begin - if (_T_3558) begin + end else if (_T_3573) begin + if (_T_3588) begin buf_data_0 <= ibuf_data_out; end else begin buf_data_0 <= store_data_lo_r; end - end else if (_T_3566) begin + end else if (_T_3596) begin buf_data_0 <= 32'h0; - end else if (_T_3570) begin + end else if (_T_3600) begin if (buf_error_en_0) begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_0[2]) begin @@ -3846,8 +3860,8 @@ end // initial end else begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3604) begin - if (_T_3684) begin + end else if (_T_3634) begin + if (_T_3714) begin if (buf_addr_0[2]) begin buf_data_0 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3863,15 +3877,15 @@ end // initial always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin buf_data_1 <= 32'h0; - end else if (_T_3736) begin - if (_T_3751) begin + end else if (_T_3766) begin + if (_T_3781) begin buf_data_1 <= ibuf_data_out; end else begin buf_data_1 <= store_data_lo_r; end - end else if (_T_3759) begin + end else if (_T_3789) begin buf_data_1 <= 32'h0; - end else if (_T_3763) begin + end else if (_T_3793) begin if (buf_error_en_1) begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_1[2]) begin @@ -3879,8 +3893,8 @@ end // initial end else begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3797) begin - if (_T_3877) begin + end else if (_T_3827) begin + if (_T_3907) begin if (buf_addr_1[2]) begin buf_data_1 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3896,15 +3910,15 @@ end // initial always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin buf_data_2 <= 32'h0; - end else if (_T_3929) begin - if (_T_3944) begin + end else if (_T_3959) begin + if (_T_3974) begin buf_data_2 <= ibuf_data_out; end else begin buf_data_2 <= store_data_lo_r; end - end else if (_T_3952) begin + end else if (_T_3982) begin buf_data_2 <= 32'h0; - end else if (_T_3956) begin + end else if (_T_3986) begin if (buf_error_en_2) begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_2[2]) begin @@ -3912,8 +3926,8 @@ end // initial end else begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3990) begin - if (_T_4070) begin + end else if (_T_4020) begin + if (_T_4100) begin if (buf_addr_2[2]) begin buf_data_2 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3929,15 +3943,15 @@ end // initial always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin buf_data_3 <= 32'h0; - end else if (_T_4122) begin - if (_T_4137) begin + end else if (_T_4152) begin + if (_T_4167) begin buf_data_3 <= ibuf_data_out; end else begin buf_data_3 <= store_data_lo_r; end - end else if (_T_4145) begin + end else if (_T_4175) begin buf_data_3 <= 32'h0; - end else if (_T_4149) begin + end else if (_T_4179) begin if (buf_error_en_3) begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_3[2]) begin @@ -3945,8 +3959,8 @@ end // initial end else begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_4183) begin - if (_T_4263) begin + end else if (_T_4213) begin + if (_T_4293) begin if (buf_addr_3[2]) begin buf_data_3 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3964,27 +3978,27 @@ end // initial ibuf_timer <= 3'h0; end else if (ibuf_wr_en) begin ibuf_timer <= 3'h0; - end else if (_T_915) begin - ibuf_timer <= _T_918; + end else if (_T_945) begin + ibuf_timer <= _T_948; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_sideeffect <= 1'h0; - end else if (_T_1003) begin + end else if (_T_1033) begin ibuf_sideeffect <= io_is_sideeffects_r; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr1_r <= 2'h0; - end else if (_T_1930) begin + end else if (_T_1960) begin WrPtr1_r <= 2'h0; - end else if (_T_1944) begin + end else if (_T_1974) begin WrPtr1_r <= 2'h1; - end else if (_T_1958) begin + end else if (_T_1988) begin WrPtr1_r <= 2'h2; - end else if (_T_1972) begin + end else if (_T_2002) begin WrPtr1_r <= 2'h3; end else begin WrPtr1_r <= 2'h0; @@ -3993,13 +4007,13 @@ end // initial always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr0_r <= 2'h0; - end else if (_T_1876) begin + end else if (_T_1906) begin WrPtr0_r <= 2'h0; - end else if (_T_1887) begin + end else if (_T_1917) begin WrPtr0_r <= 2'h1; - end else if (_T_1898) begin + end else if (_T_1928) begin WrPtr0_r <= 2'h2; - end else if (_T_1909) begin + end else if (_T_1939) begin WrPtr0_r <= 2'h3; end else begin WrPtr0_r <= 2'h0; @@ -4008,8 +4022,8 @@ end // initial always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_tag <= 2'h0; - end else if (_T_1003) begin - if (!(_T_858)) begin + end else if (_T_1033) begin + if (!(_T_888)) begin if (io_ldst_dual_r) begin ibuf_tag <= WrPtr1_r; end else begin @@ -4022,41 +4036,41 @@ end // initial if (reset) begin ibuf_data <= 32'h0; end else begin - ibuf_data <= {_T_914,_T_885}; + ibuf_data <= {_T_944,_T_915}; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_dualtag <= 2'h0; - end else if (_T_1003) begin + end else if (_T_1033) begin ibuf_dualtag <= WrPtr0_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_dual <= 1'h0; - end else if (_T_1003) begin + end else if (_T_1033) begin ibuf_dual <= io_ldst_dual_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_samedw <= 1'h0; - end else if (_T_1003) begin + end else if (_T_1033) begin ibuf_samedw <= ldst_samedw_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_nomerge <= 1'h0; - end else if (_T_1003) begin + end else if (_T_1033) begin ibuf_nomerge <= io_no_dword_merge_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_unsign <= 1'h0; - end else if (_T_1003) begin + end else if (_T_1033) begin ibuf_unsign <= io_lsu_pkt_r_unsign; end end @@ -4072,8 +4086,8 @@ end // initial obuf_wr_timer <= 3'h0; end else if (obuf_wr_en) begin obuf_wr_timer <= 3'h0; - end else if (_T_1071) begin - obuf_wr_timer <= _T_1073; + end else if (_T_1101) begin + obuf_wr_timer <= _T_1103; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4106,30 +4120,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4345 <= 1'h0; + _T_4375 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4345 <= buf_sideeffect_in[3]; + _T_4375 <= buf_sideeffect_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4342 <= 1'h0; + _T_4372 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4342 <= buf_sideeffect_in[2]; + _T_4372 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4339 <= 1'h0; + _T_4369 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4339 <= buf_sideeffect_in[1]; + _T_4369 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4336 <= 1'h0; + _T_4366 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4336 <= buf_sideeffect_in[0]; + _T_4366 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4195,7 +4209,7 @@ end // initial if (ibuf_buf_byp) begin obuf_write <= io_lsu_pkt_r_store; end else begin - obuf_write <= _T_1215; + obuf_write <= _T_1245; end end end @@ -4203,14 +4217,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1318 & _T_4878; + obuf_cmd_done <= _T_1348 & _T_4908; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1318 & _T_4879; + obuf_data_done <= _T_1348 & _T_4909; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4226,7 +4240,7 @@ end // initial end else if (ibuf_buf_byp) begin obuf_addr <= io_lsu_addr_r; end else begin - obuf_addr <= _T_1302; + obuf_addr <= _T_1332; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4280,7 +4294,7 @@ end // initial if (ibuf_buf_byp) begin obuf_sideeffect <= io_is_sideeffects_r; end else begin - obuf_sideeffect <= _T_1064; + obuf_sideeffect <= _T_1094; end end end @@ -4288,13 +4302,13 @@ end // initial if (reset) begin obuf_rdrsp_pend <= 1'h0; end else begin - obuf_rdrsp_pend <= _T_1343 | _T_1347; + obuf_rdrsp_pend <= _T_1373 | _T_1377; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_rdrsp_tag <= 3'h0; - end else if (_T_1349) begin + end else if (_T_1379) begin obuf_rdrsp_tag <= obuf_tag0; end end @@ -4333,7 +4347,7 @@ end // initial if (ibuf_buf_byp) begin obuf_sz <= ibuf_sz_in; end else begin - obuf_sz <= _T_1315; + obuf_sz <= _T_1345; end end end @@ -4348,86 +4362,86 @@ end // initial if (reset) begin obuf_data <= 64'h0; end else begin - obuf_data <= {_T_1633,_T_1592}; + obuf_data <= {_T_1663,_T_1622}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3188,_T_3177}; + buf_rspageQ_0 <= {_T_3218,_T_3207}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3203,_T_3192}; + buf_rspageQ_1 <= {_T_3233,_T_3222}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3218,_T_3207}; + buf_rspageQ_2 <= {_T_3248,_T_3237}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3233,_T_3222}; + buf_rspageQ_3 <= {_T_3263,_T_3252}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4322 <= 1'h0; + _T_4352 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4122) begin - _T_4322 <= 1'h0; - end else if (_T_4145) begin - _T_4322 <= 1'h0; + if (_T_4152) begin + _T_4352 <= 1'h0; + end else if (_T_4175) begin + _T_4352 <= 1'h0; end else begin - _T_4322 <= _T_4149; + _T_4352 <= _T_4179; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4320 <= 1'h0; + _T_4350 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3929) begin - _T_4320 <= 1'h0; - end else if (_T_3952) begin - _T_4320 <= 1'h0; + if (_T_3959) begin + _T_4350 <= 1'h0; + end else if (_T_3982) begin + _T_4350 <= 1'h0; end else begin - _T_4320 <= _T_3956; + _T_4350 <= _T_3986; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4318 <= 1'h0; + _T_4348 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3736) begin - _T_4318 <= 1'h0; - end else if (_T_3759) begin - _T_4318 <= 1'h0; + if (_T_3766) begin + _T_4348 <= 1'h0; + end else if (_T_3789) begin + _T_4348 <= 1'h0; end else begin - _T_4318 <= _T_3763; + _T_4348 <= _T_3793; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4316 <= 1'h0; + _T_4346 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3543) begin - _T_4316 <= 1'h0; - end else if (_T_3566) begin - _T_4316 <= 1'h0; + if (_T_3573) begin + _T_4346 <= 1'h0; + end else if (_T_3596) begin + _T_4346 <= 1'h0; end else begin - _T_4316 <= _T_3570; + _T_4346 <= _T_3600; end end end @@ -4435,11 +4449,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3543) begin + if (_T_3573) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3566) begin + end else if (_T_3596) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3570) begin + end else if (_T_3600) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4452,7 +4466,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3358) begin + end else if (_T_3388) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4463,11 +4477,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4122) begin + if (_T_4152) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4145) begin + end else if (_T_4175) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4149) begin + end else if (_T_4179) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4478,11 +4492,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3929) begin + if (_T_3959) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3952) begin + end else if (_T_3982) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3956) begin + end else if (_T_3986) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4493,11 +4507,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3736) begin + if (_T_3766) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3759) begin + end else if (_T_3789) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3763) begin + end else if (_T_3793) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4510,7 +4524,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3367) begin + end else if (_T_3397) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4523,7 +4537,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3376) begin + end else if (_T_3406) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4536,7 +4550,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3385) begin + end else if (_T_3415) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; @@ -4545,58 +4559,58 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4351 <= 1'h0; + _T_4381 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4351 <= buf_unsign_in[0]; + _T_4381 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4354 <= 1'h0; + _T_4384 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4354 <= buf_unsign_in[1]; + _T_4384 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4357 <= 1'h0; + _T_4387 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4357 <= buf_unsign_in[2]; + _T_4387 <= buf_unsign_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4360 <= 1'h0; + _T_4390 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4360 <= buf_unsign_in[3]; + _T_4390 <= buf_unsign_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4426 <= 1'h0; + _T_4456 <= 1'h0; end else begin - _T_4426 <= _T_4423 & _T_4424; + _T_4456 <= _T_4453 & _T_4454; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4421 <= 1'h0; + _T_4451 <= 1'h0; end else begin - _T_4421 <= _T_4418 & _T_4419; + _T_4451 <= _T_4448 & _T_4449; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4416 <= 1'h0; + _T_4446 <= 1'h0; end else begin - _T_4416 <= _T_4413 & _T_4414; + _T_4446 <= _T_4443 & _T_4444; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4411 <= 1'h0; + _T_4441 <= 1'h0; end else begin - _T_4411 <= _T_4408 & _T_4409; + _T_4441 <= _T_4438 & _T_4439; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4608,9 +4622,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_5006 <= 1'h0; + _T_5036 <= 1'h0; end else begin - _T_5006 <= _T_5003 & _T_4536; + _T_5036 <= _T_5033 & _T_4566; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 529b756e..1c134cad 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -220,16 +220,17 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val buf_data = Wire(Vec(DEPTH, UInt(32.W))) buf_data := buf_data.map(i=> 0.U) val fwd_data = WireInit(UInt(32.W), 0.U) - + val ld_fwddata_buf_lo_initial = (0 until 4).map(i=>Fill(8, ld_byte_ibuf_hit_lo(i))).reverse.reduce(Cat(_,_)) + val ld_fwddata_buf_hi_initial = (0 until 4).map(i=>Fill(8, ld_byte_ibuf_hit_hi(i))).reverse.reduce(Cat(_,_)) io.ld_fwddata_buf_lo := Cat((0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(3)(i)) & buf_data(i)(31, 23)).reduce(_ | _), (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(2)(i)) & buf_data(i)(23, 16)).reduce(_ | _), (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(1)(i)) & buf_data(i)(15, 8)).reduce(_ | _), - (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(0)(i)) & buf_data(i)(7, 0)).reduce(_ | _)) + (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(0)(i)) & buf_data(i)(7, 0)).reduce(_ | _)) | ld_fwddata_buf_lo_initial io.ld_fwddata_buf_hi := Cat((0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(3)(i)) & buf_data(i)(31, 23)).reduce(_ | _), (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(2)(i)) & buf_data(i)(23, 16)).reduce(_ | _), (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(1)(i)) & buf_data(i)(15, 8)).reduce(_ | _), - (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(0)(i)) & buf_data(i)(7, 0)).reduce(_ | _)) + (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(0)(i)) & buf_data(i)(7, 0)).reduce(_ | _)) | ld_fwddata_buf_hi_initial val bus_coalescing_disable = io.dec_tlu_wb_coalescing_disable | BUILD_AHB_LITE.B val ldst_byteen_r = Mux1H(Seq(io.lsu_pkt_r.by -> 1.U(4.W), diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index d2203935..20620448 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$.class and b/target/scala-2.12/classes/lsu/BusBufmain$.class differ diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 78cfda8b..457a4498 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class and b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index 866a2900..6f7473e7 100644 Binary files a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class and b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class differ