From adce90c0e9e638b3bd264f415a930cd786644a79 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Tue, 29 Dec 2020 10:02:46 +0500 Subject: [PATCH] buf_rst corrected --- lsu_bus_buffer.fir | 6894 +++++++++-------- lsu_bus_buffer.v | 2634 +++---- src/main/scala/lsu/lsu_bus_buffer.scala | 20 +- target/scala-2.12/classes/lsu/buffer$.class | Bin 3869 -> 3869 bytes .../classes/lsu/buffer$delayedInit$body.class | Bin 729 -> 729 bytes .../classes/lsu/lsu_bus_buffer.class | Bin 573817 -> 573871 bytes 6 files changed, 4775 insertions(+), 4773 deletions(-) diff --git a/lsu_bus_buffer.fir b/lsu_bus_buffer.fir index dc6caed1..b1a5deda 100644 --- a/lsu_bus_buffer.fir +++ b/lsu_bus_buffer.fir @@ -1452,10 +1452,10 @@ circuit lsu_bus_buffer : node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] - node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 236:59] - node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 236:79] - node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 236:93] - node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 236:93] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 236:60] + node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 236:81] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 236:95] + node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 236:95] node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 236:47] node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 236:26] node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 238:36] @@ -2033,46 +2033,46 @@ circuit lsu_bus_buffer : node _T_1326 = and(bus_rsp_read, _T_1325) @[lsu_bus_buffer.scala 321:97] node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:82] node _T_1328 = and(_T_1324, _T_1327) @[lsu_bus_buffer.scala 321:80] - node _T_1329 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:21] - node _T_1330 = and(bus_cmd_sent, _T_1329) @[lsu_bus_buffer.scala 322:19] + node _T_1329 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:157] + node _T_1330 = and(bus_cmd_sent, _T_1329) @[lsu_bus_buffer.scala 321:155] node _T_1331 = or(_T_1328, _T_1330) @[lsu_bus_buffer.scala 321:139] - node _T_1332 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:37] - node obuf_rdrsp_pend_in = and(_T_1331, _T_1332) @[lsu_bus_buffer.scala 322:35] - node obuf_rdrsp_pend_en = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 323:47] + node _T_1332 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:173] + node obuf_rdrsp_pend_in = and(_T_1331, _T_1332) @[lsu_bus_buffer.scala 321:171] + node obuf_rdrsp_pend_en = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 322:47] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1333 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 325:46] - node _T_1334 = and(bus_cmd_sent, _T_1333) @[lsu_bus_buffer.scala 325:44] - node obuf_rdrsp_tag_in = mux(_T_1334, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 325:30] + node _T_1333 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 324:46] + node _T_1334 = and(bus_cmd_sent, _T_1333) @[lsu_bus_buffer.scala 324:44] + node obuf_rdrsp_tag_in = mux(_T_1334, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 324:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1335 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 328:34] - node _T_1336 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 328:52] - node _T_1337 = eq(_T_1335, _T_1336) @[lsu_bus_buffer.scala 328:40] - node _T_1338 = and(_T_1337, obuf_aligned_in) @[lsu_bus_buffer.scala 328:60] - node _T_1339 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 328:80] - node _T_1340 = and(_T_1338, _T_1339) @[lsu_bus_buffer.scala 328:78] - node _T_1341 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 328:99] - node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 328:97] - node _T_1343 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 328:113] - node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 328:111] - node _T_1345 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 328:130] - node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 328:128] - node _T_1347 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 329:20] - node _T_1348 = and(obuf_valid, _T_1347) @[lsu_bus_buffer.scala 329:18] - node _T_1349 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 329:90] - node _T_1350 = and(bus_rsp_read, _T_1349) @[lsu_bus_buffer.scala 329:70] - node _T_1351 = eq(_T_1350, UInt<1>("h00")) @[lsu_bus_buffer.scala 329:55] - node _T_1352 = and(obuf_rdrsp_pend, _T_1351) @[lsu_bus_buffer.scala 329:53] - node _T_1353 = or(_T_1348, _T_1352) @[lsu_bus_buffer.scala 329:34] - node _T_1354 = and(_T_1346, _T_1353) @[lsu_bus_buffer.scala 328:177] - obuf_nosend_in <= _T_1354 @[lsu_bus_buffer.scala 328:18] - node _T_1355 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 330:60] + node _T_1335 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 327:34] + node _T_1336 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 327:52] + node _T_1337 = eq(_T_1335, _T_1336) @[lsu_bus_buffer.scala 327:40] + node _T_1338 = and(_T_1337, obuf_aligned_in) @[lsu_bus_buffer.scala 327:60] + node _T_1339 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 327:80] + node _T_1340 = and(_T_1338, _T_1339) @[lsu_bus_buffer.scala 327:78] + node _T_1341 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 327:99] + node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 327:97] + node _T_1343 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 327:113] + node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 327:111] + node _T_1345 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 327:130] + node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 327:128] + node _T_1347 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 328:20] + node _T_1348 = and(obuf_valid, _T_1347) @[lsu_bus_buffer.scala 328:18] + node _T_1349 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 328:90] + node _T_1350 = and(bus_rsp_read, _T_1349) @[lsu_bus_buffer.scala 328:70] + node _T_1351 = eq(_T_1350, UInt<1>("h00")) @[lsu_bus_buffer.scala 328:55] + node _T_1352 = and(obuf_rdrsp_pend, _T_1351) @[lsu_bus_buffer.scala 328:53] + node _T_1353 = or(_T_1348, _T_1352) @[lsu_bus_buffer.scala 328:34] + node _T_1354 = and(_T_1346, _T_1353) @[lsu_bus_buffer.scala 327:177] + obuf_nosend_in <= _T_1354 @[lsu_bus_buffer.scala 327:18] + node _T_1355 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 329:60] node _T_1356 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1357 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1358 = mux(_T_1355, _T_1356, _T_1357) @[lsu_bus_buffer.scala 330:46] + node _T_1358 = mux(_T_1355, _T_1356, _T_1357) @[lsu_bus_buffer.scala 329:46] node _T_1359 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] node _T_1360 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] node _T_1361 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] @@ -2086,8 +2086,8 @@ circuit lsu_bus_buffer : node _T_1369 = or(_T_1368, _T_1366) @[Mux.scala 27:72] wire _T_1370 : UInt<32> @[Mux.scala 27:72] _T_1370 <= _T_1369 @[Mux.scala 27:72] - node _T_1371 = bits(_T_1370, 2, 2) @[lsu_bus_buffer.scala 331:36] - node _T_1372 = bits(_T_1371, 0, 0) @[lsu_bus_buffer.scala 331:46] + node _T_1371 = bits(_T_1370, 2, 2) @[lsu_bus_buffer.scala 330:36] + node _T_1372 = bits(_T_1371, 0, 0) @[lsu_bus_buffer.scala 330:46] node _T_1373 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] node _T_1374 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] node _T_1375 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] @@ -2116,12 +2116,12 @@ circuit lsu_bus_buffer : wire _T_1397 : UInt<4> @[Mux.scala 27:72] _T_1397 <= _T_1396 @[Mux.scala 27:72] node _T_1398 = cat(UInt<4>("h00"), _T_1397) @[Cat.scala 29:58] - node _T_1399 = mux(_T_1372, _T_1385, _T_1398) @[lsu_bus_buffer.scala 331:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1358, _T_1399) @[lsu_bus_buffer.scala 330:28] - node _T_1400 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 332:60] + node _T_1399 = mux(_T_1372, _T_1385, _T_1398) @[lsu_bus_buffer.scala 330:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1358, _T_1399) @[lsu_bus_buffer.scala 329:28] + node _T_1400 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 331:60] node _T_1401 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1402 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1403 = mux(_T_1400, _T_1401, _T_1402) @[lsu_bus_buffer.scala 332:46] + node _T_1403 = mux(_T_1400, _T_1401, _T_1402) @[lsu_bus_buffer.scala 331:46] node _T_1404 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] node _T_1405 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] node _T_1406 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] @@ -2135,8 +2135,8 @@ circuit lsu_bus_buffer : node _T_1414 = or(_T_1413, _T_1411) @[Mux.scala 27:72] wire _T_1415 : UInt<32> @[Mux.scala 27:72] _T_1415 <= _T_1414 @[Mux.scala 27:72] - node _T_1416 = bits(_T_1415, 2, 2) @[lsu_bus_buffer.scala 333:36] - node _T_1417 = bits(_T_1416, 0, 0) @[lsu_bus_buffer.scala 333:46] + node _T_1416 = bits(_T_1415, 2, 2) @[lsu_bus_buffer.scala 332:36] + node _T_1417 = bits(_T_1416, 0, 0) @[lsu_bus_buffer.scala 332:46] node _T_1418 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] node _T_1419 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] node _T_1420 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] @@ -2165,12 +2165,12 @@ circuit lsu_bus_buffer : wire _T_1442 : UInt<4> @[Mux.scala 27:72] _T_1442 <= _T_1441 @[Mux.scala 27:72] node _T_1443 = cat(UInt<4>("h00"), _T_1442) @[Cat.scala 29:58] - node _T_1444 = mux(_T_1417, _T_1430, _T_1443) @[lsu_bus_buffer.scala 333:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1403, _T_1444) @[lsu_bus_buffer.scala 332:28] - node _T_1445 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 335:58] + node _T_1444 = mux(_T_1417, _T_1430, _T_1443) @[lsu_bus_buffer.scala 332:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1403, _T_1444) @[lsu_bus_buffer.scala 331:28] + node _T_1445 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 334:58] node _T_1446 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1447 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1448 = mux(_T_1445, _T_1446, _T_1447) @[lsu_bus_buffer.scala 335:44] + node _T_1448 = mux(_T_1445, _T_1446, _T_1447) @[lsu_bus_buffer.scala 334:44] node _T_1449 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] node _T_1450 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] node _T_1451 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] @@ -2184,8 +2184,8 @@ circuit lsu_bus_buffer : node _T_1459 = or(_T_1458, _T_1456) @[Mux.scala 27:72] wire _T_1460 : UInt<32> @[Mux.scala 27:72] _T_1460 <= _T_1459 @[Mux.scala 27:72] - node _T_1461 = bits(_T_1460, 2, 2) @[lsu_bus_buffer.scala 336:36] - node _T_1462 = bits(_T_1461, 0, 0) @[lsu_bus_buffer.scala 336:46] + node _T_1461 = bits(_T_1460, 2, 2) @[lsu_bus_buffer.scala 335:36] + node _T_1462 = bits(_T_1461, 0, 0) @[lsu_bus_buffer.scala 335:46] node _T_1463 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] node _T_1464 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] node _T_1465 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] @@ -2214,12 +2214,12 @@ circuit lsu_bus_buffer : wire _T_1487 : UInt<32> @[Mux.scala 27:72] _T_1487 <= _T_1486 @[Mux.scala 27:72] node _T_1488 = cat(UInt<32>("h00"), _T_1487) @[Cat.scala 29:58] - node _T_1489 = mux(_T_1462, _T_1475, _T_1488) @[lsu_bus_buffer.scala 336:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1448, _T_1489) @[lsu_bus_buffer.scala 335:26] - node _T_1490 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 337:58] + node _T_1489 = mux(_T_1462, _T_1475, _T_1488) @[lsu_bus_buffer.scala 335:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1448, _T_1489) @[lsu_bus_buffer.scala 334:26] + node _T_1490 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 336:58] node _T_1491 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1492 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1493 = mux(_T_1490, _T_1491, _T_1492) @[lsu_bus_buffer.scala 337:44] + node _T_1493 = mux(_T_1490, _T_1491, _T_1492) @[lsu_bus_buffer.scala 336:44] node _T_1494 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] node _T_1495 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] node _T_1496 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] @@ -2233,8 +2233,8 @@ circuit lsu_bus_buffer : node _T_1504 = or(_T_1503, _T_1501) @[Mux.scala 27:72] wire _T_1505 : UInt<32> @[Mux.scala 27:72] _T_1505 <= _T_1504 @[Mux.scala 27:72] - node _T_1506 = bits(_T_1505, 2, 2) @[lsu_bus_buffer.scala 338:36] - node _T_1507 = bits(_T_1506, 0, 0) @[lsu_bus_buffer.scala 338:46] + node _T_1506 = bits(_T_1505, 2, 2) @[lsu_bus_buffer.scala 337:36] + node _T_1507 = bits(_T_1506, 0, 0) @[lsu_bus_buffer.scala 337:46] node _T_1508 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] node _T_1509 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] node _T_1510 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] @@ -2263,40 +2263,40 @@ circuit lsu_bus_buffer : wire _T_1532 : UInt<32> @[Mux.scala 27:72] _T_1532 <= _T_1531 @[Mux.scala 27:72] node _T_1533 = cat(UInt<32>("h00"), _T_1532) @[Cat.scala 29:58] - node _T_1534 = mux(_T_1507, _T_1520, _T_1533) @[lsu_bus_buffer.scala 338:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1493, _T_1534) @[lsu_bus_buffer.scala 337:26] - node _T_1535 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 339:59] - node _T_1536 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 339:97] - node _T_1537 = and(obuf_merge_en, _T_1536) @[lsu_bus_buffer.scala 339:80] - node _T_1538 = or(_T_1535, _T_1537) @[lsu_bus_buffer.scala 339:63] - node _T_1539 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 339:59] - node _T_1540 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 339:97] - node _T_1541 = and(obuf_merge_en, _T_1540) @[lsu_bus_buffer.scala 339:80] - node _T_1542 = or(_T_1539, _T_1541) @[lsu_bus_buffer.scala 339:63] - node _T_1543 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 339:59] - node _T_1544 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 339:97] - node _T_1545 = and(obuf_merge_en, _T_1544) @[lsu_bus_buffer.scala 339:80] - node _T_1546 = or(_T_1543, _T_1545) @[lsu_bus_buffer.scala 339:63] - node _T_1547 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 339:59] - node _T_1548 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 339:97] - node _T_1549 = and(obuf_merge_en, _T_1548) @[lsu_bus_buffer.scala 339:80] - node _T_1550 = or(_T_1547, _T_1549) @[lsu_bus_buffer.scala 339:63] - node _T_1551 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 339:59] - node _T_1552 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 339:97] - node _T_1553 = and(obuf_merge_en, _T_1552) @[lsu_bus_buffer.scala 339:80] - node _T_1554 = or(_T_1551, _T_1553) @[lsu_bus_buffer.scala 339:63] - node _T_1555 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 339:59] - node _T_1556 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 339:97] - node _T_1557 = and(obuf_merge_en, _T_1556) @[lsu_bus_buffer.scala 339:80] - node _T_1558 = or(_T_1555, _T_1557) @[lsu_bus_buffer.scala 339:63] - node _T_1559 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 339:59] - node _T_1560 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 339:97] - node _T_1561 = and(obuf_merge_en, _T_1560) @[lsu_bus_buffer.scala 339:80] - node _T_1562 = or(_T_1559, _T_1561) @[lsu_bus_buffer.scala 339:63] - node _T_1563 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 339:59] - node _T_1564 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 339:97] - node _T_1565 = and(obuf_merge_en, _T_1564) @[lsu_bus_buffer.scala 339:80] - node _T_1566 = or(_T_1563, _T_1565) @[lsu_bus_buffer.scala 339:63] + node _T_1534 = mux(_T_1507, _T_1520, _T_1533) @[lsu_bus_buffer.scala 337:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1493, _T_1534) @[lsu_bus_buffer.scala 336:26] + node _T_1535 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 338:59] + node _T_1536 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 338:97] + node _T_1537 = and(obuf_merge_en, _T_1536) @[lsu_bus_buffer.scala 338:80] + node _T_1538 = or(_T_1535, _T_1537) @[lsu_bus_buffer.scala 338:63] + node _T_1539 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 338:59] + node _T_1540 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 338:97] + node _T_1541 = and(obuf_merge_en, _T_1540) @[lsu_bus_buffer.scala 338:80] + node _T_1542 = or(_T_1539, _T_1541) @[lsu_bus_buffer.scala 338:63] + node _T_1543 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 338:59] + node _T_1544 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 338:97] + node _T_1545 = and(obuf_merge_en, _T_1544) @[lsu_bus_buffer.scala 338:80] + node _T_1546 = or(_T_1543, _T_1545) @[lsu_bus_buffer.scala 338:63] + node _T_1547 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 338:59] + node _T_1548 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 338:97] + node _T_1549 = and(obuf_merge_en, _T_1548) @[lsu_bus_buffer.scala 338:80] + node _T_1550 = or(_T_1547, _T_1549) @[lsu_bus_buffer.scala 338:63] + node _T_1551 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 338:59] + node _T_1552 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 338:97] + node _T_1553 = and(obuf_merge_en, _T_1552) @[lsu_bus_buffer.scala 338:80] + node _T_1554 = or(_T_1551, _T_1553) @[lsu_bus_buffer.scala 338:63] + node _T_1555 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 338:59] + node _T_1556 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 338:97] + node _T_1557 = and(obuf_merge_en, _T_1556) @[lsu_bus_buffer.scala 338:80] + node _T_1558 = or(_T_1555, _T_1557) @[lsu_bus_buffer.scala 338:63] + node _T_1559 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 338:59] + node _T_1560 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 338:97] + node _T_1561 = and(obuf_merge_en, _T_1560) @[lsu_bus_buffer.scala 338:80] + node _T_1562 = or(_T_1559, _T_1561) @[lsu_bus_buffer.scala 338:63] + node _T_1563 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 338:59] + node _T_1564 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 338:97] + node _T_1565 = and(obuf_merge_en, _T_1564) @[lsu_bus_buffer.scala 338:80] + node _T_1566 = or(_T_1563, _T_1565) @[lsu_bus_buffer.scala 338:63] node _T_1567 = cat(_T_1566, _T_1562) @[Cat.scala 29:58] node _T_1568 = cat(_T_1567, _T_1558) @[Cat.scala 29:58] node _T_1569 = cat(_T_1568, _T_1554) @[Cat.scala 29:58] @@ -2304,46 +2304,46 @@ circuit lsu_bus_buffer : node _T_1571 = cat(_T_1570, _T_1546) @[Cat.scala 29:58] node _T_1572 = cat(_T_1571, _T_1542) @[Cat.scala 29:58] node obuf_byteen_in = cat(_T_1572, _T_1538) @[Cat.scala 29:58] - node _T_1573 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 340:76] - node _T_1574 = and(obuf_merge_en, _T_1573) @[lsu_bus_buffer.scala 340:59] - node _T_1575 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 340:94] - node _T_1576 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 340:123] - node _T_1577 = mux(_T_1574, _T_1575, _T_1576) @[lsu_bus_buffer.scala 340:44] - node _T_1578 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 340:76] - node _T_1579 = and(obuf_merge_en, _T_1578) @[lsu_bus_buffer.scala 340:59] - node _T_1580 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 340:94] - node _T_1581 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 340:123] - node _T_1582 = mux(_T_1579, _T_1580, _T_1581) @[lsu_bus_buffer.scala 340:44] - node _T_1583 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 340:76] - node _T_1584 = and(obuf_merge_en, _T_1583) @[lsu_bus_buffer.scala 340:59] - node _T_1585 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 340:94] - node _T_1586 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 340:123] - node _T_1587 = mux(_T_1584, _T_1585, _T_1586) @[lsu_bus_buffer.scala 340:44] - node _T_1588 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 340:76] - node _T_1589 = and(obuf_merge_en, _T_1588) @[lsu_bus_buffer.scala 340:59] - node _T_1590 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 340:94] - node _T_1591 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 340:123] - node _T_1592 = mux(_T_1589, _T_1590, _T_1591) @[lsu_bus_buffer.scala 340:44] - node _T_1593 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 340:76] - node _T_1594 = and(obuf_merge_en, _T_1593) @[lsu_bus_buffer.scala 340:59] - node _T_1595 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 340:94] - node _T_1596 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 340:123] - node _T_1597 = mux(_T_1594, _T_1595, _T_1596) @[lsu_bus_buffer.scala 340:44] - node _T_1598 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 340:76] - node _T_1599 = and(obuf_merge_en, _T_1598) @[lsu_bus_buffer.scala 340:59] - node _T_1600 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 340:94] - node _T_1601 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 340:123] - node _T_1602 = mux(_T_1599, _T_1600, _T_1601) @[lsu_bus_buffer.scala 340:44] - node _T_1603 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 340:76] - node _T_1604 = and(obuf_merge_en, _T_1603) @[lsu_bus_buffer.scala 340:59] - node _T_1605 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 340:94] - node _T_1606 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 340:123] - node _T_1607 = mux(_T_1604, _T_1605, _T_1606) @[lsu_bus_buffer.scala 340:44] - node _T_1608 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 340:76] - node _T_1609 = and(obuf_merge_en, _T_1608) @[lsu_bus_buffer.scala 340:59] - node _T_1610 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 340:94] - node _T_1611 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 340:123] - node _T_1612 = mux(_T_1609, _T_1610, _T_1611) @[lsu_bus_buffer.scala 340:44] + node _T_1573 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 339:76] + node _T_1574 = and(obuf_merge_en, _T_1573) @[lsu_bus_buffer.scala 339:59] + node _T_1575 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 339:94] + node _T_1576 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 339:123] + node _T_1577 = mux(_T_1574, _T_1575, _T_1576) @[lsu_bus_buffer.scala 339:44] + node _T_1578 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 339:76] + node _T_1579 = and(obuf_merge_en, _T_1578) @[lsu_bus_buffer.scala 339:59] + node _T_1580 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 339:94] + node _T_1581 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 339:123] + node _T_1582 = mux(_T_1579, _T_1580, _T_1581) @[lsu_bus_buffer.scala 339:44] + node _T_1583 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 339:76] + node _T_1584 = and(obuf_merge_en, _T_1583) @[lsu_bus_buffer.scala 339:59] + node _T_1585 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 339:94] + node _T_1586 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 339:123] + node _T_1587 = mux(_T_1584, _T_1585, _T_1586) @[lsu_bus_buffer.scala 339:44] + node _T_1588 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 339:76] + node _T_1589 = and(obuf_merge_en, _T_1588) @[lsu_bus_buffer.scala 339:59] + node _T_1590 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 339:94] + node _T_1591 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 339:123] + node _T_1592 = mux(_T_1589, _T_1590, _T_1591) @[lsu_bus_buffer.scala 339:44] + node _T_1593 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 339:76] + node _T_1594 = and(obuf_merge_en, _T_1593) @[lsu_bus_buffer.scala 339:59] + node _T_1595 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 339:94] + node _T_1596 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 339:123] + node _T_1597 = mux(_T_1594, _T_1595, _T_1596) @[lsu_bus_buffer.scala 339:44] + node _T_1598 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 339:76] + node _T_1599 = and(obuf_merge_en, _T_1598) @[lsu_bus_buffer.scala 339:59] + node _T_1600 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 339:94] + node _T_1601 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 339:123] + node _T_1602 = mux(_T_1599, _T_1600, _T_1601) @[lsu_bus_buffer.scala 339:44] + node _T_1603 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 339:76] + node _T_1604 = and(obuf_merge_en, _T_1603) @[lsu_bus_buffer.scala 339:59] + node _T_1605 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 339:94] + node _T_1606 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 339:123] + node _T_1607 = mux(_T_1604, _T_1605, _T_1606) @[lsu_bus_buffer.scala 339:44] + node _T_1608 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 339:76] + node _T_1609 = and(obuf_merge_en, _T_1608) @[lsu_bus_buffer.scala 339:59] + node _T_1610 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 339:94] + node _T_1611 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 339:123] + node _T_1612 = mux(_T_1609, _T_1610, _T_1611) @[lsu_bus_buffer.scala 339:44] node _T_1613 = cat(_T_1612, _T_1607) @[Cat.scala 29:58] node _T_1614 = cat(_T_1613, _T_1602) @[Cat.scala 29:58] node _T_1615 = cat(_T_1614, _T_1597) @[Cat.scala 29:58] @@ -2351,14 +2351,14 @@ circuit lsu_bus_buffer : node _T_1617 = cat(_T_1616, _T_1587) @[Cat.scala 29:58] node _T_1618 = cat(_T_1617, _T_1582) @[Cat.scala 29:58] node obuf_data_in = cat(_T_1618, _T_1577) @[Cat.scala 29:58] - wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 342:24] - buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 343:14] - buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 343:14] - buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 343:14] - buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 343:14] - node _T_1619 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 344:30] - node _T_1620 = and(_T_1619, found_cmdptr0) @[lsu_bus_buffer.scala 344:43] - node _T_1621 = and(_T_1620, found_cmdptr1) @[lsu_bus_buffer.scala 344:59] + wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 341:24] + buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 342:14] + buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 342:14] + buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 342:14] + buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 342:14] + node _T_1619 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 343:30] + node _T_1620 = and(_T_1619, found_cmdptr0) @[lsu_bus_buffer.scala 343:43] + node _T_1621 = and(_T_1620, found_cmdptr1) @[lsu_bus_buffer.scala 343:59] node _T_1622 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] node _T_1623 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] node _T_1624 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] @@ -2372,8 +2372,8 @@ circuit lsu_bus_buffer : node _T_1632 = or(_T_1631, _T_1629) @[Mux.scala 27:72] wire _T_1633 : UInt<3> @[Mux.scala 27:72] _T_1633 <= _T_1632 @[Mux.scala 27:72] - node _T_1634 = eq(_T_1633, UInt<3>("h02")) @[lsu_bus_buffer.scala 344:107] - node _T_1635 = and(_T_1621, _T_1634) @[lsu_bus_buffer.scala 344:75] + node _T_1634 = eq(_T_1633, UInt<3>("h02")) @[lsu_bus_buffer.scala 343:107] + node _T_1635 = and(_T_1621, _T_1634) @[lsu_bus_buffer.scala 343:75] node _T_1636 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] node _T_1637 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] node _T_1638 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] @@ -2387,8 +2387,8 @@ circuit lsu_bus_buffer : node _T_1646 = or(_T_1645, _T_1643) @[Mux.scala 27:72] wire _T_1647 : UInt<3> @[Mux.scala 27:72] _T_1647 <= _T_1646 @[Mux.scala 27:72] - node _T_1648 = eq(_T_1647, UInt<3>("h02")) @[lsu_bus_buffer.scala 344:150] - node _T_1649 = and(_T_1635, _T_1648) @[lsu_bus_buffer.scala 344:118] + node _T_1648 = eq(_T_1647, UInt<3>("h02")) @[lsu_bus_buffer.scala 343:150] + node _T_1649 = and(_T_1635, _T_1648) @[lsu_bus_buffer.scala 343:118] node _T_1650 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1651 = cat(_T_1650, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1652 = cat(_T_1651, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] @@ -2409,8 +2409,8 @@ circuit lsu_bus_buffer : node _T_1667 = or(_T_1666, _T_1664) @[Mux.scala 27:72] wire _T_1668 : UInt<1> @[Mux.scala 27:72] _T_1668 <= _T_1667 @[Mux.scala 27:72] - node _T_1669 = eq(_T_1668, UInt<1>("h00")) @[lsu_bus_buffer.scala 345:5] - node _T_1670 = and(_T_1649, _T_1669) @[lsu_bus_buffer.scala 344:161] + node _T_1669 = eq(_T_1668, UInt<1>("h00")) @[lsu_bus_buffer.scala 344:5] + node _T_1670 = and(_T_1649, _T_1669) @[lsu_bus_buffer.scala 343:161] node _T_1671 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_1672 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_1673 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -2428,8 +2428,8 @@ circuit lsu_bus_buffer : node _T_1685 = or(_T_1684, _T_1682) @[Mux.scala 27:72] wire _T_1686 : UInt<1> @[Mux.scala 27:72] _T_1686 <= _T_1685 @[Mux.scala 27:72] - node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[lsu_bus_buffer.scala 345:87] - node _T_1688 = and(_T_1670, _T_1687) @[lsu_bus_buffer.scala 345:85] + node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[lsu_bus_buffer.scala 344:87] + node _T_1688 = and(_T_1670, _T_1687) @[lsu_bus_buffer.scala 344:85] node _T_1689 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_1690 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_1691 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -2447,7 +2447,7 @@ circuit lsu_bus_buffer : node _T_1703 = or(_T_1702, _T_1700) @[Mux.scala 27:72] wire _T_1704 : UInt<1> @[Mux.scala 27:72] _T_1704 <= _T_1703 @[Mux.scala 27:72] - node _T_1705 = eq(_T_1704, UInt<1>("h00")) @[lsu_bus_buffer.scala 346:6] + node _T_1705 = eq(_T_1704, UInt<1>("h00")) @[lsu_bus_buffer.scala 345:6] node _T_1706 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1707 = cat(_T_1706, buf_dual[1]) @[Cat.scala 29:58] node _T_1708 = cat(_T_1707, buf_dual[0]) @[Cat.scala 29:58] @@ -2468,7 +2468,7 @@ circuit lsu_bus_buffer : node _T_1723 = or(_T_1722, _T_1720) @[Mux.scala 27:72] wire _T_1724 : UInt<1> @[Mux.scala 27:72] _T_1724 <= _T_1723 @[Mux.scala 27:72] - node _T_1725 = and(_T_1705, _T_1724) @[lsu_bus_buffer.scala 346:36] + node _T_1725 = and(_T_1705, _T_1724) @[lsu_bus_buffer.scala 345:36] node _T_1726 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1727 = cat(_T_1726, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1728 = cat(_T_1727, buf_dualhi[0]) @[Cat.scala 29:58] @@ -2489,8 +2489,8 @@ circuit lsu_bus_buffer : node _T_1743 = or(_T_1742, _T_1740) @[Mux.scala 27:72] wire _T_1744 : UInt<1> @[Mux.scala 27:72] _T_1744 <= _T_1743 @[Mux.scala 27:72] - node _T_1745 = eq(_T_1744, UInt<1>("h00")) @[lsu_bus_buffer.scala 346:107] - node _T_1746 = and(_T_1725, _T_1745) @[lsu_bus_buffer.scala 346:105] + node _T_1745 = eq(_T_1744, UInt<1>("h00")) @[lsu_bus_buffer.scala 345:107] + node _T_1746 = and(_T_1725, _T_1745) @[lsu_bus_buffer.scala 345:105] node _T_1747 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1748 = cat(_T_1747, buf_samedw[1]) @[Cat.scala 29:58] node _T_1749 = cat(_T_1748, buf_samedw[0]) @[Cat.scala 29:58] @@ -2511,53 +2511,53 @@ circuit lsu_bus_buffer : node _T_1764 = or(_T_1763, _T_1761) @[Mux.scala 27:72] wire _T_1765 : UInt<1> @[Mux.scala 27:72] _T_1765 <= _T_1764 @[Mux.scala 27:72] - node _T_1766 = and(_T_1746, _T_1765) @[lsu_bus_buffer.scala 346:177] - node _T_1767 = and(_T_1688, _T_1766) @[lsu_bus_buffer.scala 345:122] - node _T_1768 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 347:19] - node _T_1769 = and(_T_1768, io.ldst_dual_r) @[lsu_bus_buffer.scala 347:35] - node _T_1770 = or(_T_1767, _T_1769) @[lsu_bus_buffer.scala 346:250] - obuf_merge_en <= _T_1770 @[lsu_bus_buffer.scala 344:17] + node _T_1766 = and(_T_1746, _T_1765) @[lsu_bus_buffer.scala 345:177] + node _T_1767 = and(_T_1688, _T_1766) @[lsu_bus_buffer.scala 344:122] + node _T_1768 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 346:19] + node _T_1769 = and(_T_1768, io.ldst_dual_r) @[lsu_bus_buffer.scala 346:35] + node _T_1770 = or(_T_1767, _T_1769) @[lsu_bus_buffer.scala 345:250] + obuf_merge_en <= _T_1770 @[lsu_bus_buffer.scala 343:17] reg obuf_wr_enQ : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when io.lsu_busm_clken : @[Reg.scala 28:19] obuf_wr_enQ <= obuf_wr_en @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1771 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 350:58] - node _T_1772 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 350:93] - node _T_1773 = and(_T_1771, _T_1772) @[lsu_bus_buffer.scala 350:91] - reg _T_1774 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:54] - _T_1774 <= _T_1773 @[lsu_bus_buffer.scala 350:54] - obuf_valid <= _T_1774 @[lsu_bus_buffer.scala 350:14] + node _T_1771 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 348:58] + node _T_1772 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 348:93] + node _T_1773 = and(_T_1771, _T_1772) @[lsu_bus_buffer.scala 348:91] + reg _T_1774 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:54] + _T_1774 <= _T_1773 @[lsu_bus_buffer.scala 348:54] + obuf_valid <= _T_1774 @[lsu_bus_buffer.scala 348:14] reg _T_1775 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1775 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1775 @[lsu_bus_buffer.scala 351:15] + obuf_nosend <= _T_1775 @[lsu_bus_buffer.scala 349:15] reg _T_1776 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_rdrsp_pend_en : @[Reg.scala 28:19] _T_1776 <= obuf_rdrsp_pend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_rdrsp_pend <= _T_1776 @[lsu_bus_buffer.scala 352:19] + obuf_rdrsp_pend <= _T_1776 @[lsu_bus_buffer.scala 350:19] reg _T_1777 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when io.lsu_busm_clken : @[Reg.scala 28:19] _T_1777 <= obuf_cmd_done_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_cmd_done <= _T_1777 @[lsu_bus_buffer.scala 353:17] + obuf_cmd_done <= _T_1777 @[lsu_bus_buffer.scala 351:17] reg _T_1778 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when io.lsu_busm_clken : @[Reg.scala 28:19] _T_1778 <= obuf_data_done_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_data_done <= _T_1778 @[lsu_bus_buffer.scala 354:18] + obuf_data_done <= _T_1778 @[lsu_bus_buffer.scala 352:18] reg _T_1779 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when io.lsu_busm_clken : @[Reg.scala 28:19] _T_1779 <= obuf_rdrsp_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_rdrsp_tag <= _T_1779 @[lsu_bus_buffer.scala 355:18] + obuf_rdrsp_tag <= _T_1779 @[lsu_bus_buffer.scala 353:18] node _T_1780 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 383:57] reg _T_1781 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1780 : @[Reg.scala 28:19] _T_1781 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1781 @[lsu_bus_buffer.scala 357:13] + obuf_tag0 <= _T_1781 @[lsu_bus_buffer.scala 355:13] node _T_1782 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 383:57] reg obuf_tag1 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1782 : @[Reg.scala 28:19] @@ -2573,13 +2573,13 @@ circuit lsu_bus_buffer : when _T_1784 : @[Reg.scala 28:19] _T_1785 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1785 @[lsu_bus_buffer.scala 360:14] + obuf_write <= _T_1785 @[lsu_bus_buffer.scala 358:14] node _T_1786 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 383:57] reg _T_1787 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1786 : @[Reg.scala 28:19] _T_1787 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1787 @[lsu_bus_buffer.scala 361:19] + obuf_sideeffect <= _T_1787 @[lsu_bus_buffer.scala 359:19] node _T_1788 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 383:57] reg obuf_sz : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1788 : @[Reg.scala 28:19] @@ -2600,7 +2600,7 @@ circuit lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] _T_1790 <= obuf_addr_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_addr <= _T_1790 @[lsu_bus_buffer.scala 364:13] + obuf_addr <= _T_1790 @[lsu_bus_buffer.scala 362:13] inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -2615,1964 +2615,1964 @@ circuit lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] _T_1791 <= obuf_data_done_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_wr_timer <= _T_1791 @[lsu_bus_buffer.scala 366:17] + obuf_wr_timer <= _T_1791 @[lsu_bus_buffer.scala 364:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1792 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] - node _T_1793 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:30] - node _T_1794 = and(ibuf_valid, _T_1793) @[lsu_bus_buffer.scala 370:19] - node _T_1795 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:18] - node _T_1796 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:57] - node _T_1797 = and(io.ldst_dual_r, _T_1796) @[lsu_bus_buffer.scala 371:45] - node _T_1798 = or(_T_1795, _T_1797) @[lsu_bus_buffer.scala 371:27] - node _T_1799 = and(io.lsu_busreq_r, _T_1798) @[lsu_bus_buffer.scala 370:58] - node _T_1800 = or(_T_1794, _T_1799) @[lsu_bus_buffer.scala 370:39] - node _T_1801 = eq(_T_1800, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:5] - node _T_1802 = and(_T_1792, _T_1801) @[lsu_bus_buffer.scala 369:76] - node _T_1803 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] - node _T_1804 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:30] - node _T_1805 = and(ibuf_valid, _T_1804) @[lsu_bus_buffer.scala 370:19] - node _T_1806 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:18] - node _T_1807 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:57] - node _T_1808 = and(io.ldst_dual_r, _T_1807) @[lsu_bus_buffer.scala 371:45] - node _T_1809 = or(_T_1806, _T_1808) @[lsu_bus_buffer.scala 371:27] - node _T_1810 = and(io.lsu_busreq_r, _T_1809) @[lsu_bus_buffer.scala 370:58] - node _T_1811 = or(_T_1805, _T_1810) @[lsu_bus_buffer.scala 370:39] - node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:5] - node _T_1813 = and(_T_1803, _T_1812) @[lsu_bus_buffer.scala 369:76] - node _T_1814 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] - node _T_1815 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:30] - node _T_1816 = and(ibuf_valid, _T_1815) @[lsu_bus_buffer.scala 370:19] - node _T_1817 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:18] - node _T_1818 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:57] - node _T_1819 = and(io.ldst_dual_r, _T_1818) @[lsu_bus_buffer.scala 371:45] - node _T_1820 = or(_T_1817, _T_1819) @[lsu_bus_buffer.scala 371:27] - node _T_1821 = and(io.lsu_busreq_r, _T_1820) @[lsu_bus_buffer.scala 370:58] - node _T_1822 = or(_T_1816, _T_1821) @[lsu_bus_buffer.scala 370:39] - node _T_1823 = eq(_T_1822, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:5] - node _T_1824 = and(_T_1814, _T_1823) @[lsu_bus_buffer.scala 369:76] - node _T_1825 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] - node _T_1826 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:30] - node _T_1827 = and(ibuf_valid, _T_1826) @[lsu_bus_buffer.scala 370:19] - node _T_1828 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:18] - node _T_1829 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:57] - node _T_1830 = and(io.ldst_dual_r, _T_1829) @[lsu_bus_buffer.scala 371:45] - node _T_1831 = or(_T_1828, _T_1830) @[lsu_bus_buffer.scala 371:27] - node _T_1832 = and(io.lsu_busreq_r, _T_1831) @[lsu_bus_buffer.scala 370:58] - node _T_1833 = or(_T_1827, _T_1832) @[lsu_bus_buffer.scala 370:39] - node _T_1834 = eq(_T_1833, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:5] - node _T_1835 = and(_T_1825, _T_1834) @[lsu_bus_buffer.scala 369:76] + node _T_1792 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 367:65] + node _T_1793 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:30] + node _T_1794 = and(ibuf_valid, _T_1793) @[lsu_bus_buffer.scala 368:19] + node _T_1795 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:18] + node _T_1796 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:57] + node _T_1797 = and(io.ldst_dual_r, _T_1796) @[lsu_bus_buffer.scala 369:45] + node _T_1798 = or(_T_1795, _T_1797) @[lsu_bus_buffer.scala 369:27] + node _T_1799 = and(io.lsu_busreq_r, _T_1798) @[lsu_bus_buffer.scala 368:58] + node _T_1800 = or(_T_1794, _T_1799) @[lsu_bus_buffer.scala 368:39] + node _T_1801 = eq(_T_1800, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:5] + node _T_1802 = and(_T_1792, _T_1801) @[lsu_bus_buffer.scala 367:76] + node _T_1803 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 367:65] + node _T_1804 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 368:30] + node _T_1805 = and(ibuf_valid, _T_1804) @[lsu_bus_buffer.scala 368:19] + node _T_1806 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 369:18] + node _T_1807 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 369:57] + node _T_1808 = and(io.ldst_dual_r, _T_1807) @[lsu_bus_buffer.scala 369:45] + node _T_1809 = or(_T_1806, _T_1808) @[lsu_bus_buffer.scala 369:27] + node _T_1810 = and(io.lsu_busreq_r, _T_1809) @[lsu_bus_buffer.scala 368:58] + node _T_1811 = or(_T_1805, _T_1810) @[lsu_bus_buffer.scala 368:39] + node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:5] + node _T_1813 = and(_T_1803, _T_1812) @[lsu_bus_buffer.scala 367:76] + node _T_1814 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 367:65] + node _T_1815 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 368:30] + node _T_1816 = and(ibuf_valid, _T_1815) @[lsu_bus_buffer.scala 368:19] + node _T_1817 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 369:18] + node _T_1818 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 369:57] + node _T_1819 = and(io.ldst_dual_r, _T_1818) @[lsu_bus_buffer.scala 369:45] + node _T_1820 = or(_T_1817, _T_1819) @[lsu_bus_buffer.scala 369:27] + node _T_1821 = and(io.lsu_busreq_r, _T_1820) @[lsu_bus_buffer.scala 368:58] + node _T_1822 = or(_T_1816, _T_1821) @[lsu_bus_buffer.scala 368:39] + node _T_1823 = eq(_T_1822, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:5] + node _T_1824 = and(_T_1814, _T_1823) @[lsu_bus_buffer.scala 367:76] + node _T_1825 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 367:65] + node _T_1826 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 368:30] + node _T_1827 = and(ibuf_valid, _T_1826) @[lsu_bus_buffer.scala 368:19] + node _T_1828 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 369:18] + node _T_1829 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 369:57] + node _T_1830 = and(io.ldst_dual_r, _T_1829) @[lsu_bus_buffer.scala 369:45] + node _T_1831 = or(_T_1828, _T_1830) @[lsu_bus_buffer.scala 369:27] + node _T_1832 = and(io.lsu_busreq_r, _T_1831) @[lsu_bus_buffer.scala 368:58] + node _T_1833 = or(_T_1827, _T_1832) @[lsu_bus_buffer.scala 368:39] + node _T_1834 = eq(_T_1833, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:5] + node _T_1835 = and(_T_1825, _T_1834) @[lsu_bus_buffer.scala 367:76] node _T_1836 = mux(_T_1835, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1837 = mux(_T_1824, UInt<2>("h02"), _T_1836) @[Mux.scala 98:16] node _T_1838 = mux(_T_1813, UInt<1>("h01"), _T_1837) @[Mux.scala 98:16] node _T_1839 = mux(_T_1802, UInt<1>("h00"), _T_1838) @[Mux.scala 98:16] - WrPtr0_m <= _T_1839 @[lsu_bus_buffer.scala 369:12] + WrPtr0_m <= _T_1839 @[lsu_bus_buffer.scala 367:12] wire WrPtr1_m : UInt<2> WrPtr1_m <= UInt<1>("h00") - node _T_1840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 375:65] - node _T_1841 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:103] - node _T_1842 = and(ibuf_valid, _T_1841) @[lsu_bus_buffer.scala 375:92] - node _T_1843 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 376:33] - node _T_1844 = and(io.lsu_busreq_m, _T_1843) @[lsu_bus_buffer.scala 376:22] - node _T_1845 = or(_T_1842, _T_1844) @[lsu_bus_buffer.scala 375:112] - node _T_1846 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:36] - node _T_1847 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:34] - node _T_1848 = and(io.ldst_dual_r, _T_1847) @[lsu_bus_buffer.scala 378:23] - node _T_1849 = or(_T_1846, _T_1848) @[lsu_bus_buffer.scala 377:46] - node _T_1850 = and(io.lsu_busreq_r, _T_1849) @[lsu_bus_buffer.scala 377:22] - node _T_1851 = or(_T_1845, _T_1850) @[lsu_bus_buffer.scala 376:42] - node _T_1852 = eq(_T_1851, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:78] - node _T_1853 = and(_T_1840, _T_1852) @[lsu_bus_buffer.scala 375:76] - node _T_1854 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 375:65] - node _T_1855 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 375:103] - node _T_1856 = and(ibuf_valid, _T_1855) @[lsu_bus_buffer.scala 375:92] - node _T_1857 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 376:33] - node _T_1858 = and(io.lsu_busreq_m, _T_1857) @[lsu_bus_buffer.scala 376:22] - node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 375:112] - node _T_1860 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 377:36] - node _T_1861 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 378:34] - node _T_1862 = and(io.ldst_dual_r, _T_1861) @[lsu_bus_buffer.scala 378:23] - node _T_1863 = or(_T_1860, _T_1862) @[lsu_bus_buffer.scala 377:46] - node _T_1864 = and(io.lsu_busreq_r, _T_1863) @[lsu_bus_buffer.scala 377:22] - node _T_1865 = or(_T_1859, _T_1864) @[lsu_bus_buffer.scala 376:42] - node _T_1866 = eq(_T_1865, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:78] - node _T_1867 = and(_T_1854, _T_1866) @[lsu_bus_buffer.scala 375:76] - node _T_1868 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 375:65] - node _T_1869 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 375:103] - node _T_1870 = and(ibuf_valid, _T_1869) @[lsu_bus_buffer.scala 375:92] - node _T_1871 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 376:33] - node _T_1872 = and(io.lsu_busreq_m, _T_1871) @[lsu_bus_buffer.scala 376:22] - node _T_1873 = or(_T_1870, _T_1872) @[lsu_bus_buffer.scala 375:112] - node _T_1874 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 377:36] - node _T_1875 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 378:34] - node _T_1876 = and(io.ldst_dual_r, _T_1875) @[lsu_bus_buffer.scala 378:23] - node _T_1877 = or(_T_1874, _T_1876) @[lsu_bus_buffer.scala 377:46] - node _T_1878 = and(io.lsu_busreq_r, _T_1877) @[lsu_bus_buffer.scala 377:22] - node _T_1879 = or(_T_1873, _T_1878) @[lsu_bus_buffer.scala 376:42] - node _T_1880 = eq(_T_1879, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:78] - node _T_1881 = and(_T_1868, _T_1880) @[lsu_bus_buffer.scala 375:76] - node _T_1882 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 375:65] - node _T_1883 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 375:103] - node _T_1884 = and(ibuf_valid, _T_1883) @[lsu_bus_buffer.scala 375:92] - node _T_1885 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 376:33] - node _T_1886 = and(io.lsu_busreq_m, _T_1885) @[lsu_bus_buffer.scala 376:22] - node _T_1887 = or(_T_1884, _T_1886) @[lsu_bus_buffer.scala 375:112] - node _T_1888 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 377:36] - node _T_1889 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 378:34] - node _T_1890 = and(io.ldst_dual_r, _T_1889) @[lsu_bus_buffer.scala 378:23] - node _T_1891 = or(_T_1888, _T_1890) @[lsu_bus_buffer.scala 377:46] - node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[lsu_bus_buffer.scala 377:22] - node _T_1893 = or(_T_1887, _T_1892) @[lsu_bus_buffer.scala 376:42] - node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:78] - node _T_1895 = and(_T_1882, _T_1894) @[lsu_bus_buffer.scala 375:76] + node _T_1840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 373:65] + node _T_1841 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:103] + node _T_1842 = and(ibuf_valid, _T_1841) @[lsu_bus_buffer.scala 373:92] + node _T_1843 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 374:33] + node _T_1844 = and(io.lsu_busreq_m, _T_1843) @[lsu_bus_buffer.scala 374:22] + node _T_1845 = or(_T_1842, _T_1844) @[lsu_bus_buffer.scala 373:112] + node _T_1846 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:36] + node _T_1847 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 376:34] + node _T_1848 = and(io.ldst_dual_r, _T_1847) @[lsu_bus_buffer.scala 376:23] + node _T_1849 = or(_T_1846, _T_1848) @[lsu_bus_buffer.scala 375:46] + node _T_1850 = and(io.lsu_busreq_r, _T_1849) @[lsu_bus_buffer.scala 375:22] + node _T_1851 = or(_T_1845, _T_1850) @[lsu_bus_buffer.scala 374:42] + node _T_1852 = eq(_T_1851, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:78] + node _T_1853 = and(_T_1840, _T_1852) @[lsu_bus_buffer.scala 373:76] + node _T_1854 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 373:65] + node _T_1855 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 373:103] + node _T_1856 = and(ibuf_valid, _T_1855) @[lsu_bus_buffer.scala 373:92] + node _T_1857 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 374:33] + node _T_1858 = and(io.lsu_busreq_m, _T_1857) @[lsu_bus_buffer.scala 374:22] + node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 373:112] + node _T_1860 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 375:36] + node _T_1861 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 376:34] + node _T_1862 = and(io.ldst_dual_r, _T_1861) @[lsu_bus_buffer.scala 376:23] + node _T_1863 = or(_T_1860, _T_1862) @[lsu_bus_buffer.scala 375:46] + node _T_1864 = and(io.lsu_busreq_r, _T_1863) @[lsu_bus_buffer.scala 375:22] + node _T_1865 = or(_T_1859, _T_1864) @[lsu_bus_buffer.scala 374:42] + node _T_1866 = eq(_T_1865, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:78] + node _T_1867 = and(_T_1854, _T_1866) @[lsu_bus_buffer.scala 373:76] + node _T_1868 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 373:65] + node _T_1869 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 373:103] + node _T_1870 = and(ibuf_valid, _T_1869) @[lsu_bus_buffer.scala 373:92] + node _T_1871 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 374:33] + node _T_1872 = and(io.lsu_busreq_m, _T_1871) @[lsu_bus_buffer.scala 374:22] + node _T_1873 = or(_T_1870, _T_1872) @[lsu_bus_buffer.scala 373:112] + node _T_1874 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 375:36] + node _T_1875 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 376:34] + node _T_1876 = and(io.ldst_dual_r, _T_1875) @[lsu_bus_buffer.scala 376:23] + node _T_1877 = or(_T_1874, _T_1876) @[lsu_bus_buffer.scala 375:46] + node _T_1878 = and(io.lsu_busreq_r, _T_1877) @[lsu_bus_buffer.scala 375:22] + node _T_1879 = or(_T_1873, _T_1878) @[lsu_bus_buffer.scala 374:42] + node _T_1880 = eq(_T_1879, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:78] + node _T_1881 = and(_T_1868, _T_1880) @[lsu_bus_buffer.scala 373:76] + node _T_1882 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 373:65] + node _T_1883 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 373:103] + node _T_1884 = and(ibuf_valid, _T_1883) @[lsu_bus_buffer.scala 373:92] + node _T_1885 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 374:33] + node _T_1886 = and(io.lsu_busreq_m, _T_1885) @[lsu_bus_buffer.scala 374:22] + node _T_1887 = or(_T_1884, _T_1886) @[lsu_bus_buffer.scala 373:112] + node _T_1888 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 375:36] + node _T_1889 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 376:34] + node _T_1890 = and(io.ldst_dual_r, _T_1889) @[lsu_bus_buffer.scala 376:23] + node _T_1891 = or(_T_1888, _T_1890) @[lsu_bus_buffer.scala 375:46] + node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[lsu_bus_buffer.scala 375:22] + node _T_1893 = or(_T_1887, _T_1892) @[lsu_bus_buffer.scala 374:42] + node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:78] + node _T_1895 = and(_T_1882, _T_1894) @[lsu_bus_buffer.scala 373:76] node _T_1896 = mux(_T_1895, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1897 = mux(_T_1881, UInt<2>("h02"), _T_1896) @[Mux.scala 98:16] node _T_1898 = mux(_T_1867, UInt<1>("h01"), _T_1897) @[Mux.scala 98:16] node _T_1899 = mux(_T_1853, UInt<1>("h00"), _T_1898) @[Mux.scala 98:16] - WrPtr1_m <= _T_1899 @[lsu_bus_buffer.scala 375:12] - wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 380:21] - buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:11] - buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:11] - buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:11] - buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:11] - node _T_1900 = orr(buf_age[0]) @[lsu_bus_buffer.scala 383:58] - node _T_1901 = eq(_T_1900, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] - node _T_1902 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:78] - node _T_1903 = and(_T_1901, _T_1902) @[lsu_bus_buffer.scala 383:63] - node _T_1904 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:90] - node _T_1905 = and(_T_1903, _T_1904) @[lsu_bus_buffer.scala 383:88] - node _T_1906 = orr(buf_age[1]) @[lsu_bus_buffer.scala 383:58] - node _T_1907 = eq(_T_1906, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] - node _T_1908 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:78] - node _T_1909 = and(_T_1907, _T_1908) @[lsu_bus_buffer.scala 383:63] - node _T_1910 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:90] - node _T_1911 = and(_T_1909, _T_1910) @[lsu_bus_buffer.scala 383:88] - node _T_1912 = orr(buf_age[2]) @[lsu_bus_buffer.scala 383:58] - node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] - node _T_1914 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:78] - node _T_1915 = and(_T_1913, _T_1914) @[lsu_bus_buffer.scala 383:63] - node _T_1916 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:90] - node _T_1917 = and(_T_1915, _T_1916) @[lsu_bus_buffer.scala 383:88] - node _T_1918 = orr(buf_age[3]) @[lsu_bus_buffer.scala 383:58] - node _T_1919 = eq(_T_1918, UInt<1>("h00")) @[lsu_bus_buffer.scala 383:45] - node _T_1920 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 383:78] - node _T_1921 = and(_T_1919, _T_1920) @[lsu_bus_buffer.scala 383:63] - node _T_1922 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 383:90] - node _T_1923 = and(_T_1921, _T_1922) @[lsu_bus_buffer.scala 383:88] + WrPtr1_m <= _T_1899 @[lsu_bus_buffer.scala 373:12] + wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 378:21] + buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 379:11] + buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 379:11] + buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 379:11] + buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 379:11] + node _T_1900 = orr(buf_age[0]) @[lsu_bus_buffer.scala 381:58] + node _T_1901 = eq(_T_1900, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1902 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:78] + node _T_1903 = and(_T_1901, _T_1902) @[lsu_bus_buffer.scala 381:63] + node _T_1904 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:90] + node _T_1905 = and(_T_1903, _T_1904) @[lsu_bus_buffer.scala 381:88] + node _T_1906 = orr(buf_age[1]) @[lsu_bus_buffer.scala 381:58] + node _T_1907 = eq(_T_1906, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1908 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:78] + node _T_1909 = and(_T_1907, _T_1908) @[lsu_bus_buffer.scala 381:63] + node _T_1910 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:90] + node _T_1911 = and(_T_1909, _T_1910) @[lsu_bus_buffer.scala 381:88] + node _T_1912 = orr(buf_age[2]) @[lsu_bus_buffer.scala 381:58] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1914 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:78] + node _T_1915 = and(_T_1913, _T_1914) @[lsu_bus_buffer.scala 381:63] + node _T_1916 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:90] + node _T_1917 = and(_T_1915, _T_1916) @[lsu_bus_buffer.scala 381:88] + node _T_1918 = orr(buf_age[3]) @[lsu_bus_buffer.scala 381:58] + node _T_1919 = eq(_T_1918, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1920 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:78] + node _T_1921 = and(_T_1919, _T_1920) @[lsu_bus_buffer.scala 381:63] + node _T_1922 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:90] + node _T_1923 = and(_T_1921, _T_1922) @[lsu_bus_buffer.scala 381:88] node _T_1924 = cat(_T_1923, _T_1917) @[Cat.scala 29:58] node _T_1925 = cat(_T_1924, _T_1911) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_1925, _T_1905) @[Cat.scala 29:58] - node _T_1926 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 384:62] - node _T_1927 = and(buf_age[0], _T_1926) @[lsu_bus_buffer.scala 384:59] - node _T_1928 = orr(_T_1927) @[lsu_bus_buffer.scala 384:76] - node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:45] - node _T_1930 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 384:94] - node _T_1931 = eq(_T_1930, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:83] - node _T_1932 = and(_T_1929, _T_1931) @[lsu_bus_buffer.scala 384:81] - node _T_1933 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 384:113] - node _T_1934 = and(_T_1932, _T_1933) @[lsu_bus_buffer.scala 384:98] - node _T_1935 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 384:125] - node _T_1936 = and(_T_1934, _T_1935) @[lsu_bus_buffer.scala 384:123] - node _T_1937 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 384:62] - node _T_1938 = and(buf_age[1], _T_1937) @[lsu_bus_buffer.scala 384:59] - node _T_1939 = orr(_T_1938) @[lsu_bus_buffer.scala 384:76] - node _T_1940 = eq(_T_1939, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:45] - node _T_1941 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 384:94] - node _T_1942 = eq(_T_1941, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:83] - node _T_1943 = and(_T_1940, _T_1942) @[lsu_bus_buffer.scala 384:81] - node _T_1944 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 384:113] - node _T_1945 = and(_T_1943, _T_1944) @[lsu_bus_buffer.scala 384:98] - node _T_1946 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 384:125] - node _T_1947 = and(_T_1945, _T_1946) @[lsu_bus_buffer.scala 384:123] - node _T_1948 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 384:62] - node _T_1949 = and(buf_age[2], _T_1948) @[lsu_bus_buffer.scala 384:59] - node _T_1950 = orr(_T_1949) @[lsu_bus_buffer.scala 384:76] - node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:45] - node _T_1952 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 384:94] - node _T_1953 = eq(_T_1952, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:83] - node _T_1954 = and(_T_1951, _T_1953) @[lsu_bus_buffer.scala 384:81] - node _T_1955 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 384:113] - node _T_1956 = and(_T_1954, _T_1955) @[lsu_bus_buffer.scala 384:98] - node _T_1957 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 384:125] - node _T_1958 = and(_T_1956, _T_1957) @[lsu_bus_buffer.scala 384:123] - node _T_1959 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 384:62] - node _T_1960 = and(buf_age[3], _T_1959) @[lsu_bus_buffer.scala 384:59] - node _T_1961 = orr(_T_1960) @[lsu_bus_buffer.scala 384:76] - node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:45] - node _T_1963 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 384:94] - node _T_1964 = eq(_T_1963, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:83] - node _T_1965 = and(_T_1962, _T_1964) @[lsu_bus_buffer.scala 384:81] - node _T_1966 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 384:113] - node _T_1967 = and(_T_1965, _T_1966) @[lsu_bus_buffer.scala 384:98] - node _T_1968 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 384:125] - node _T_1969 = and(_T_1967, _T_1968) @[lsu_bus_buffer.scala 384:123] + node _T_1926 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 382:62] + node _T_1927 = and(buf_age[0], _T_1926) @[lsu_bus_buffer.scala 382:59] + node _T_1928 = orr(_T_1927) @[lsu_bus_buffer.scala 382:76] + node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:45] + node _T_1930 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 382:94] + node _T_1931 = eq(_T_1930, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:83] + node _T_1932 = and(_T_1929, _T_1931) @[lsu_bus_buffer.scala 382:81] + node _T_1933 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 382:113] + node _T_1934 = and(_T_1932, _T_1933) @[lsu_bus_buffer.scala 382:98] + node _T_1935 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 382:125] + node _T_1936 = and(_T_1934, _T_1935) @[lsu_bus_buffer.scala 382:123] + node _T_1937 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 382:62] + node _T_1938 = and(buf_age[1], _T_1937) @[lsu_bus_buffer.scala 382:59] + node _T_1939 = orr(_T_1938) @[lsu_bus_buffer.scala 382:76] + node _T_1940 = eq(_T_1939, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:45] + node _T_1941 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 382:94] + node _T_1942 = eq(_T_1941, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:83] + node _T_1943 = and(_T_1940, _T_1942) @[lsu_bus_buffer.scala 382:81] + node _T_1944 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 382:113] + node _T_1945 = and(_T_1943, _T_1944) @[lsu_bus_buffer.scala 382:98] + node _T_1946 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 382:125] + node _T_1947 = and(_T_1945, _T_1946) @[lsu_bus_buffer.scala 382:123] + node _T_1948 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 382:62] + node _T_1949 = and(buf_age[2], _T_1948) @[lsu_bus_buffer.scala 382:59] + node _T_1950 = orr(_T_1949) @[lsu_bus_buffer.scala 382:76] + node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:45] + node _T_1952 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 382:94] + node _T_1953 = eq(_T_1952, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:83] + node _T_1954 = and(_T_1951, _T_1953) @[lsu_bus_buffer.scala 382:81] + node _T_1955 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 382:113] + node _T_1956 = and(_T_1954, _T_1955) @[lsu_bus_buffer.scala 382:98] + node _T_1957 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 382:125] + node _T_1958 = and(_T_1956, _T_1957) @[lsu_bus_buffer.scala 382:123] + node _T_1959 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 382:62] + node _T_1960 = and(buf_age[3], _T_1959) @[lsu_bus_buffer.scala 382:59] + node _T_1961 = orr(_T_1960) @[lsu_bus_buffer.scala 382:76] + node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:45] + node _T_1963 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 382:94] + node _T_1964 = eq(_T_1963, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:83] + node _T_1965 = and(_T_1962, _T_1964) @[lsu_bus_buffer.scala 382:81] + node _T_1966 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 382:113] + node _T_1967 = and(_T_1965, _T_1966) @[lsu_bus_buffer.scala 382:98] + node _T_1968 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 382:125] + node _T_1969 = and(_T_1967, _T_1968) @[lsu_bus_buffer.scala 382:123] node _T_1970 = cat(_T_1969, _T_1958) @[Cat.scala 29:58] node _T_1971 = cat(_T_1970, _T_1947) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_1971, _T_1936) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 385:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 386:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 386:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 386:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 386:19] - node _T_1972 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 387:65] - node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[lsu_bus_buffer.scala 387:44] - node _T_1974 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 387:85] - node _T_1975 = and(_T_1973, _T_1974) @[lsu_bus_buffer.scala 387:70] - node _T_1976 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 387:65] - node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[lsu_bus_buffer.scala 387:44] - node _T_1978 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 387:85] - node _T_1979 = and(_T_1977, _T_1978) @[lsu_bus_buffer.scala 387:70] - node _T_1980 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 387:65] - node _T_1981 = eq(_T_1980, UInt<1>("h00")) @[lsu_bus_buffer.scala 387:44] - node _T_1982 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 387:85] - node _T_1983 = and(_T_1981, _T_1982) @[lsu_bus_buffer.scala 387:70] - node _T_1984 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 387:65] - node _T_1985 = eq(_T_1984, UInt<1>("h00")) @[lsu_bus_buffer.scala 387:44] - node _T_1986 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 387:85] - node _T_1987 = and(_T_1985, _T_1986) @[lsu_bus_buffer.scala 387:70] + wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 383:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 384:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 384:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 384:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 384:19] + node _T_1972 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 385:65] + node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[lsu_bus_buffer.scala 385:44] + node _T_1974 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 385:85] + node _T_1975 = and(_T_1973, _T_1974) @[lsu_bus_buffer.scala 385:70] + node _T_1976 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 385:65] + node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[lsu_bus_buffer.scala 385:44] + node _T_1978 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 385:85] + node _T_1979 = and(_T_1977, _T_1978) @[lsu_bus_buffer.scala 385:70] + node _T_1980 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 385:65] + node _T_1981 = eq(_T_1980, UInt<1>("h00")) @[lsu_bus_buffer.scala 385:44] + node _T_1982 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 385:85] + node _T_1983 = and(_T_1981, _T_1982) @[lsu_bus_buffer.scala 385:70] + node _T_1984 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 385:65] + node _T_1985 = eq(_T_1984, UInt<1>("h00")) @[lsu_bus_buffer.scala 385:44] + node _T_1986 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 385:85] + node _T_1987 = and(_T_1985, _T_1986) @[lsu_bus_buffer.scala 385:70] node _T_1988 = cat(_T_1987, _T_1983) @[Cat.scala 29:58] node _T_1989 = cat(_T_1988, _T_1979) @[Cat.scala 29:58] node RspPtrDec = cat(_T_1989, _T_1975) @[Cat.scala 29:58] - node _T_1990 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 388:31] - found_cmdptr0 <= _T_1990 @[lsu_bus_buffer.scala 388:17] - node _T_1991 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 389:31] - found_cmdptr1 <= _T_1991 @[lsu_bus_buffer.scala 389:17] + node _T_1990 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 386:31] + found_cmdptr0 <= _T_1990 @[lsu_bus_buffer.scala 386:17] + node _T_1991 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 387:31] + found_cmdptr1 <= _T_1991 @[lsu_bus_buffer.scala 387:17] wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") node _T_1992 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_1993 = cat(_T_1992, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_1994 = bits(_T_1993, 4, 4) @[lsu_bus_buffer.scala 391:39] - node _T_1995 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 391:45] - node _T_1996 = or(_T_1994, _T_1995) @[lsu_bus_buffer.scala 391:42] - node _T_1997 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 391:51] - node _T_1998 = or(_T_1996, _T_1997) @[lsu_bus_buffer.scala 391:48] - node _T_1999 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 391:57] - node _T_2000 = or(_T_1998, _T_1999) @[lsu_bus_buffer.scala 391:54] - node _T_2001 = bits(_T_1993, 2, 2) @[lsu_bus_buffer.scala 391:64] - node _T_2002 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 391:70] - node _T_2003 = or(_T_2001, _T_2002) @[lsu_bus_buffer.scala 391:67] - node _T_2004 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 391:76] - node _T_2005 = or(_T_2003, _T_2004) @[lsu_bus_buffer.scala 391:73] - node _T_2006 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 391:82] - node _T_2007 = or(_T_2005, _T_2006) @[lsu_bus_buffer.scala 391:79] - node _T_2008 = bits(_T_1993, 1, 1) @[lsu_bus_buffer.scala 391:89] - node _T_2009 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 391:95] - node _T_2010 = or(_T_2008, _T_2009) @[lsu_bus_buffer.scala 391:92] - node _T_2011 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 391:101] - node _T_2012 = or(_T_2010, _T_2011) @[lsu_bus_buffer.scala 391:98] - node _T_2013 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 391:107] - node _T_2014 = or(_T_2012, _T_2013) @[lsu_bus_buffer.scala 391:104] + node _T_1994 = bits(_T_1993, 4, 4) @[lsu_bus_buffer.scala 389:39] + node _T_1995 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 389:45] + node _T_1996 = or(_T_1994, _T_1995) @[lsu_bus_buffer.scala 389:42] + node _T_1997 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 389:51] + node _T_1998 = or(_T_1996, _T_1997) @[lsu_bus_buffer.scala 389:48] + node _T_1999 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 389:57] + node _T_2000 = or(_T_1998, _T_1999) @[lsu_bus_buffer.scala 389:54] + node _T_2001 = bits(_T_1993, 2, 2) @[lsu_bus_buffer.scala 389:64] + node _T_2002 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 389:70] + node _T_2003 = or(_T_2001, _T_2002) @[lsu_bus_buffer.scala 389:67] + node _T_2004 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 389:76] + node _T_2005 = or(_T_2003, _T_2004) @[lsu_bus_buffer.scala 389:73] + node _T_2006 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 389:82] + node _T_2007 = or(_T_2005, _T_2006) @[lsu_bus_buffer.scala 389:79] + node _T_2008 = bits(_T_1993, 1, 1) @[lsu_bus_buffer.scala 389:89] + node _T_2009 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 389:95] + node _T_2010 = or(_T_2008, _T_2009) @[lsu_bus_buffer.scala 389:92] + node _T_2011 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 389:101] + node _T_2012 = or(_T_2010, _T_2011) @[lsu_bus_buffer.scala 389:98] + node _T_2013 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 389:107] + node _T_2014 = or(_T_2012, _T_2013) @[lsu_bus_buffer.scala 389:104] node _T_2015 = cat(_T_2000, _T_2007) @[Cat.scala 29:58] node _T_2016 = cat(_T_2015, _T_2014) @[Cat.scala 29:58] - CmdPtr0 <= _T_2016 @[lsu_bus_buffer.scala 396:11] + CmdPtr0 <= _T_2016 @[lsu_bus_buffer.scala 394:11] node _T_2017 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2018 = cat(_T_2017, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2019 = bits(_T_2018, 4, 4) @[lsu_bus_buffer.scala 391:39] - node _T_2020 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 391:45] - node _T_2021 = or(_T_2019, _T_2020) @[lsu_bus_buffer.scala 391:42] - node _T_2022 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 391:51] - node _T_2023 = or(_T_2021, _T_2022) @[lsu_bus_buffer.scala 391:48] - node _T_2024 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 391:57] - node _T_2025 = or(_T_2023, _T_2024) @[lsu_bus_buffer.scala 391:54] - node _T_2026 = bits(_T_2018, 2, 2) @[lsu_bus_buffer.scala 391:64] - node _T_2027 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 391:70] - node _T_2028 = or(_T_2026, _T_2027) @[lsu_bus_buffer.scala 391:67] - node _T_2029 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 391:76] - node _T_2030 = or(_T_2028, _T_2029) @[lsu_bus_buffer.scala 391:73] - node _T_2031 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 391:82] - node _T_2032 = or(_T_2030, _T_2031) @[lsu_bus_buffer.scala 391:79] - node _T_2033 = bits(_T_2018, 1, 1) @[lsu_bus_buffer.scala 391:89] - node _T_2034 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 391:95] - node _T_2035 = or(_T_2033, _T_2034) @[lsu_bus_buffer.scala 391:92] - node _T_2036 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 391:101] - node _T_2037 = or(_T_2035, _T_2036) @[lsu_bus_buffer.scala 391:98] - node _T_2038 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 391:107] - node _T_2039 = or(_T_2037, _T_2038) @[lsu_bus_buffer.scala 391:104] + node _T_2019 = bits(_T_2018, 4, 4) @[lsu_bus_buffer.scala 389:39] + node _T_2020 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 389:45] + node _T_2021 = or(_T_2019, _T_2020) @[lsu_bus_buffer.scala 389:42] + node _T_2022 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 389:51] + node _T_2023 = or(_T_2021, _T_2022) @[lsu_bus_buffer.scala 389:48] + node _T_2024 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 389:57] + node _T_2025 = or(_T_2023, _T_2024) @[lsu_bus_buffer.scala 389:54] + node _T_2026 = bits(_T_2018, 2, 2) @[lsu_bus_buffer.scala 389:64] + node _T_2027 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 389:70] + node _T_2028 = or(_T_2026, _T_2027) @[lsu_bus_buffer.scala 389:67] + node _T_2029 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 389:76] + node _T_2030 = or(_T_2028, _T_2029) @[lsu_bus_buffer.scala 389:73] + node _T_2031 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 389:82] + node _T_2032 = or(_T_2030, _T_2031) @[lsu_bus_buffer.scala 389:79] + node _T_2033 = bits(_T_2018, 1, 1) @[lsu_bus_buffer.scala 389:89] + node _T_2034 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 389:95] + node _T_2035 = or(_T_2033, _T_2034) @[lsu_bus_buffer.scala 389:92] + node _T_2036 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 389:101] + node _T_2037 = or(_T_2035, _T_2036) @[lsu_bus_buffer.scala 389:98] + node _T_2038 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 389:107] + node _T_2039 = or(_T_2037, _T_2038) @[lsu_bus_buffer.scala 389:104] node _T_2040 = cat(_T_2025, _T_2032) @[Cat.scala 29:58] node _T_2041 = cat(_T_2040, _T_2039) @[Cat.scala 29:58] - CmdPtr1 <= _T_2041 @[lsu_bus_buffer.scala 398:11] + CmdPtr1 <= _T_2041 @[lsu_bus_buffer.scala 396:11] node _T_2042 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2043 = cat(_T_2042, RspPtrDec) @[Cat.scala 29:58] - node _T_2044 = bits(_T_2043, 4, 4) @[lsu_bus_buffer.scala 391:39] - node _T_2045 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 391:45] - node _T_2046 = or(_T_2044, _T_2045) @[lsu_bus_buffer.scala 391:42] - node _T_2047 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 391:51] - node _T_2048 = or(_T_2046, _T_2047) @[lsu_bus_buffer.scala 391:48] - node _T_2049 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 391:57] - node _T_2050 = or(_T_2048, _T_2049) @[lsu_bus_buffer.scala 391:54] - node _T_2051 = bits(_T_2043, 2, 2) @[lsu_bus_buffer.scala 391:64] - node _T_2052 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 391:70] - node _T_2053 = or(_T_2051, _T_2052) @[lsu_bus_buffer.scala 391:67] - node _T_2054 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 391:76] - node _T_2055 = or(_T_2053, _T_2054) @[lsu_bus_buffer.scala 391:73] - node _T_2056 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 391:82] - node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 391:79] - node _T_2058 = bits(_T_2043, 1, 1) @[lsu_bus_buffer.scala 391:89] - node _T_2059 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 391:95] - node _T_2060 = or(_T_2058, _T_2059) @[lsu_bus_buffer.scala 391:92] - node _T_2061 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 391:101] - node _T_2062 = or(_T_2060, _T_2061) @[lsu_bus_buffer.scala 391:98] - node _T_2063 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 391:107] - node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 391:104] + node _T_2044 = bits(_T_2043, 4, 4) @[lsu_bus_buffer.scala 389:39] + node _T_2045 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 389:45] + node _T_2046 = or(_T_2044, _T_2045) @[lsu_bus_buffer.scala 389:42] + node _T_2047 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 389:51] + node _T_2048 = or(_T_2046, _T_2047) @[lsu_bus_buffer.scala 389:48] + node _T_2049 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 389:57] + node _T_2050 = or(_T_2048, _T_2049) @[lsu_bus_buffer.scala 389:54] + node _T_2051 = bits(_T_2043, 2, 2) @[lsu_bus_buffer.scala 389:64] + node _T_2052 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 389:70] + node _T_2053 = or(_T_2051, _T_2052) @[lsu_bus_buffer.scala 389:67] + node _T_2054 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 389:76] + node _T_2055 = or(_T_2053, _T_2054) @[lsu_bus_buffer.scala 389:73] + node _T_2056 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 389:82] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 389:79] + node _T_2058 = bits(_T_2043, 1, 1) @[lsu_bus_buffer.scala 389:89] + node _T_2059 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 389:95] + node _T_2060 = or(_T_2058, _T_2059) @[lsu_bus_buffer.scala 389:92] + node _T_2061 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 389:101] + node _T_2062 = or(_T_2060, _T_2061) @[lsu_bus_buffer.scala 389:98] + node _T_2063 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 389:107] + node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 389:104] node _T_2065 = cat(_T_2050, _T_2057) @[Cat.scala 29:58] node _T_2066 = cat(_T_2065, _T_2064) @[Cat.scala 29:58] - RspPtr <= _T_2066 @[lsu_bus_buffer.scala 399:10] - wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 400:26] - buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:16] - buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:16] - buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:16] - buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:16] - wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 402:25] - buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:15] - buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:15] - buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:15] - buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:15] - wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 404:28] - buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:18] - buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:18] - buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:18] - buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:18] - wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 406:27] - buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:17] - buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:17] - buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:17] - buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:17] - wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 408:24] - buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:14] - buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:14] - buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:14] - buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 409:14] - node _T_2067 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2068 = and(_T_2067, buf_state_en[0]) @[lsu_bus_buffer.scala 411:94] - node _T_2069 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2070 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2071 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2072 = and(_T_2070, _T_2071) @[lsu_bus_buffer.scala 412:57] - node _T_2073 = or(_T_2069, _T_2072) @[lsu_bus_buffer.scala 412:31] - node _T_2074 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2075 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2076 = and(_T_2074, _T_2075) @[lsu_bus_buffer.scala 413:41] - node _T_2077 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:83] - node _T_2078 = and(_T_2076, _T_2077) @[lsu_bus_buffer.scala 413:71] - node _T_2079 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:104] - node _T_2080 = and(_T_2078, _T_2079) @[lsu_bus_buffer.scala 413:92] - node _T_2081 = or(_T_2073, _T_2080) @[lsu_bus_buffer.scala 412:86] - node _T_2082 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2083 = and(_T_2082, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2084 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:64] - node _T_2085 = and(_T_2083, _T_2084) @[lsu_bus_buffer.scala 414:52] - node _T_2086 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:85] - node _T_2087 = and(_T_2085, _T_2086) @[lsu_bus_buffer.scala 414:73] - node _T_2088 = or(_T_2081, _T_2087) @[lsu_bus_buffer.scala 413:114] - node _T_2089 = and(_T_2068, _T_2088) @[lsu_bus_buffer.scala 411:113] - node _T_2090 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 414:109] - node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 414:97] - node _T_2092 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2093 = and(_T_2092, buf_state_en[0]) @[lsu_bus_buffer.scala 411:94] - node _T_2094 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2095 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2096 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2097 = and(_T_2095, _T_2096) @[lsu_bus_buffer.scala 412:57] - node _T_2098 = or(_T_2094, _T_2097) @[lsu_bus_buffer.scala 412:31] - node _T_2099 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2100 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2101 = and(_T_2099, _T_2100) @[lsu_bus_buffer.scala 413:41] - node _T_2102 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:83] - node _T_2103 = and(_T_2101, _T_2102) @[lsu_bus_buffer.scala 413:71] - node _T_2104 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:104] - node _T_2105 = and(_T_2103, _T_2104) @[lsu_bus_buffer.scala 413:92] - node _T_2106 = or(_T_2098, _T_2105) @[lsu_bus_buffer.scala 412:86] - node _T_2107 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2108 = and(_T_2107, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2109 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:64] - node _T_2110 = and(_T_2108, _T_2109) @[lsu_bus_buffer.scala 414:52] - node _T_2111 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:85] - node _T_2112 = and(_T_2110, _T_2111) @[lsu_bus_buffer.scala 414:73] - node _T_2113 = or(_T_2106, _T_2112) @[lsu_bus_buffer.scala 413:114] - node _T_2114 = and(_T_2093, _T_2113) @[lsu_bus_buffer.scala 411:113] - node _T_2115 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 414:109] - node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 414:97] - node _T_2117 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2118 = and(_T_2117, buf_state_en[0]) @[lsu_bus_buffer.scala 411:94] - node _T_2119 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2120 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2121 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2122 = and(_T_2120, _T_2121) @[lsu_bus_buffer.scala 412:57] - node _T_2123 = or(_T_2119, _T_2122) @[lsu_bus_buffer.scala 412:31] - node _T_2124 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2125 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2126 = and(_T_2124, _T_2125) @[lsu_bus_buffer.scala 413:41] - node _T_2127 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:83] - node _T_2128 = and(_T_2126, _T_2127) @[lsu_bus_buffer.scala 413:71] - node _T_2129 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:104] - node _T_2130 = and(_T_2128, _T_2129) @[lsu_bus_buffer.scala 413:92] - node _T_2131 = or(_T_2123, _T_2130) @[lsu_bus_buffer.scala 412:86] - node _T_2132 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2133 = and(_T_2132, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2134 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:64] - node _T_2135 = and(_T_2133, _T_2134) @[lsu_bus_buffer.scala 414:52] - node _T_2136 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:85] - node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 414:73] - node _T_2138 = or(_T_2131, _T_2137) @[lsu_bus_buffer.scala 413:114] - node _T_2139 = and(_T_2118, _T_2138) @[lsu_bus_buffer.scala 411:113] - node _T_2140 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 414:109] - node _T_2141 = or(_T_2139, _T_2140) @[lsu_bus_buffer.scala 414:97] - node _T_2142 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2143 = and(_T_2142, buf_state_en[0]) @[lsu_bus_buffer.scala 411:94] - node _T_2144 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2145 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2146 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2147 = and(_T_2145, _T_2146) @[lsu_bus_buffer.scala 412:57] - node _T_2148 = or(_T_2144, _T_2147) @[lsu_bus_buffer.scala 412:31] - node _T_2149 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2150 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2151 = and(_T_2149, _T_2150) @[lsu_bus_buffer.scala 413:41] - node _T_2152 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:83] - node _T_2153 = and(_T_2151, _T_2152) @[lsu_bus_buffer.scala 413:71] - node _T_2154 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:104] - node _T_2155 = and(_T_2153, _T_2154) @[lsu_bus_buffer.scala 413:92] - node _T_2156 = or(_T_2148, _T_2155) @[lsu_bus_buffer.scala 412:86] - node _T_2157 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2158 = and(_T_2157, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2159 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:64] - node _T_2160 = and(_T_2158, _T_2159) @[lsu_bus_buffer.scala 414:52] - node _T_2161 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:85] - node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 414:73] - node _T_2163 = or(_T_2156, _T_2162) @[lsu_bus_buffer.scala 413:114] - node _T_2164 = and(_T_2143, _T_2163) @[lsu_bus_buffer.scala 411:113] - node _T_2165 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 414:109] - node _T_2166 = or(_T_2164, _T_2165) @[lsu_bus_buffer.scala 414:97] + RspPtr <= _T_2066 @[lsu_bus_buffer.scala 397:10] + wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 398:26] + buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:16] + buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:16] + buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:16] + buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:16] + wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 400:25] + buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:15] + buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:15] + buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:15] + buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:15] + wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 402:28] + buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:18] + buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:18] + buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:18] + buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:18] + wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 404:27] + buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:17] + buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:17] + buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:17] + buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 405:17] + wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 406:24] + buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:14] + buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:14] + buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:14] + buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 407:14] + node _T_2067 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2068 = and(_T_2067, buf_state_en[0]) @[lsu_bus_buffer.scala 409:94] + node _T_2069 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2070 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2071 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2072 = and(_T_2070, _T_2071) @[lsu_bus_buffer.scala 410:57] + node _T_2073 = or(_T_2069, _T_2072) @[lsu_bus_buffer.scala 410:31] + node _T_2074 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2075 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2076 = and(_T_2074, _T_2075) @[lsu_bus_buffer.scala 411:41] + node _T_2077 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2078 = and(_T_2076, _T_2077) @[lsu_bus_buffer.scala 411:71] + node _T_2079 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:104] + node _T_2080 = and(_T_2078, _T_2079) @[lsu_bus_buffer.scala 411:92] + node _T_2081 = or(_T_2073, _T_2080) @[lsu_bus_buffer.scala 410:86] + node _T_2082 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2083 = and(_T_2082, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2084 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:64] + node _T_2085 = and(_T_2083, _T_2084) @[lsu_bus_buffer.scala 412:52] + node _T_2086 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:85] + node _T_2087 = and(_T_2085, _T_2086) @[lsu_bus_buffer.scala 412:73] + node _T_2088 = or(_T_2081, _T_2087) @[lsu_bus_buffer.scala 411:114] + node _T_2089 = and(_T_2068, _T_2088) @[lsu_bus_buffer.scala 409:113] + node _T_2090 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 412:109] + node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 412:97] + node _T_2092 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2093 = and(_T_2092, buf_state_en[0]) @[lsu_bus_buffer.scala 409:94] + node _T_2094 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2095 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2096 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2097 = and(_T_2095, _T_2096) @[lsu_bus_buffer.scala 410:57] + node _T_2098 = or(_T_2094, _T_2097) @[lsu_bus_buffer.scala 410:31] + node _T_2099 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2100 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2101 = and(_T_2099, _T_2100) @[lsu_bus_buffer.scala 411:41] + node _T_2102 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2103 = and(_T_2101, _T_2102) @[lsu_bus_buffer.scala 411:71] + node _T_2104 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:104] + node _T_2105 = and(_T_2103, _T_2104) @[lsu_bus_buffer.scala 411:92] + node _T_2106 = or(_T_2098, _T_2105) @[lsu_bus_buffer.scala 410:86] + node _T_2107 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2108 = and(_T_2107, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2109 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:64] + node _T_2110 = and(_T_2108, _T_2109) @[lsu_bus_buffer.scala 412:52] + node _T_2111 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:85] + node _T_2112 = and(_T_2110, _T_2111) @[lsu_bus_buffer.scala 412:73] + node _T_2113 = or(_T_2106, _T_2112) @[lsu_bus_buffer.scala 411:114] + node _T_2114 = and(_T_2093, _T_2113) @[lsu_bus_buffer.scala 409:113] + node _T_2115 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 412:109] + node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 412:97] + node _T_2117 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2118 = and(_T_2117, buf_state_en[0]) @[lsu_bus_buffer.scala 409:94] + node _T_2119 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2120 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2121 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2122 = and(_T_2120, _T_2121) @[lsu_bus_buffer.scala 410:57] + node _T_2123 = or(_T_2119, _T_2122) @[lsu_bus_buffer.scala 410:31] + node _T_2124 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2125 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2126 = and(_T_2124, _T_2125) @[lsu_bus_buffer.scala 411:41] + node _T_2127 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2128 = and(_T_2126, _T_2127) @[lsu_bus_buffer.scala 411:71] + node _T_2129 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:104] + node _T_2130 = and(_T_2128, _T_2129) @[lsu_bus_buffer.scala 411:92] + node _T_2131 = or(_T_2123, _T_2130) @[lsu_bus_buffer.scala 410:86] + node _T_2132 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2133 = and(_T_2132, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2134 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:64] + node _T_2135 = and(_T_2133, _T_2134) @[lsu_bus_buffer.scala 412:52] + node _T_2136 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:85] + node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 412:73] + node _T_2138 = or(_T_2131, _T_2137) @[lsu_bus_buffer.scala 411:114] + node _T_2139 = and(_T_2118, _T_2138) @[lsu_bus_buffer.scala 409:113] + node _T_2140 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 412:109] + node _T_2141 = or(_T_2139, _T_2140) @[lsu_bus_buffer.scala 412:97] + node _T_2142 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2143 = and(_T_2142, buf_state_en[0]) @[lsu_bus_buffer.scala 409:94] + node _T_2144 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2145 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2146 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2147 = and(_T_2145, _T_2146) @[lsu_bus_buffer.scala 410:57] + node _T_2148 = or(_T_2144, _T_2147) @[lsu_bus_buffer.scala 410:31] + node _T_2149 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2150 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2151 = and(_T_2149, _T_2150) @[lsu_bus_buffer.scala 411:41] + node _T_2152 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:83] + node _T_2153 = and(_T_2151, _T_2152) @[lsu_bus_buffer.scala 411:71] + node _T_2154 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:104] + node _T_2155 = and(_T_2153, _T_2154) @[lsu_bus_buffer.scala 411:92] + node _T_2156 = or(_T_2148, _T_2155) @[lsu_bus_buffer.scala 410:86] + node _T_2157 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2158 = and(_T_2157, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2159 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:64] + node _T_2160 = and(_T_2158, _T_2159) @[lsu_bus_buffer.scala 412:52] + node _T_2161 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:85] + node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 412:73] + node _T_2163 = or(_T_2156, _T_2162) @[lsu_bus_buffer.scala 411:114] + node _T_2164 = and(_T_2143, _T_2163) @[lsu_bus_buffer.scala 409:113] + node _T_2165 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 412:109] + node _T_2166 = or(_T_2164, _T_2165) @[lsu_bus_buffer.scala 412:97] node _T_2167 = cat(_T_2166, _T_2141) @[Cat.scala 29:58] node _T_2168 = cat(_T_2167, _T_2116) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2168, _T_2091) @[Cat.scala 29:58] - node _T_2169 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2170 = and(_T_2169, buf_state_en[1]) @[lsu_bus_buffer.scala 411:94] - node _T_2171 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2172 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2173 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2174 = and(_T_2172, _T_2173) @[lsu_bus_buffer.scala 412:57] - node _T_2175 = or(_T_2171, _T_2174) @[lsu_bus_buffer.scala 412:31] - node _T_2176 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2177 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2178 = and(_T_2176, _T_2177) @[lsu_bus_buffer.scala 413:41] - node _T_2179 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:83] - node _T_2180 = and(_T_2178, _T_2179) @[lsu_bus_buffer.scala 413:71] - node _T_2181 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:104] - node _T_2182 = and(_T_2180, _T_2181) @[lsu_bus_buffer.scala 413:92] - node _T_2183 = or(_T_2175, _T_2182) @[lsu_bus_buffer.scala 412:86] - node _T_2184 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2185 = and(_T_2184, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2186 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:64] - node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 414:52] - node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:85] - node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 414:73] - node _T_2190 = or(_T_2183, _T_2189) @[lsu_bus_buffer.scala 413:114] - node _T_2191 = and(_T_2170, _T_2190) @[lsu_bus_buffer.scala 411:113] - node _T_2192 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 414:109] - node _T_2193 = or(_T_2191, _T_2192) @[lsu_bus_buffer.scala 414:97] - node _T_2194 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2195 = and(_T_2194, buf_state_en[1]) @[lsu_bus_buffer.scala 411:94] - node _T_2196 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2197 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2198 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2199 = and(_T_2197, _T_2198) @[lsu_bus_buffer.scala 412:57] - node _T_2200 = or(_T_2196, _T_2199) @[lsu_bus_buffer.scala 412:31] - node _T_2201 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2202 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2203 = and(_T_2201, _T_2202) @[lsu_bus_buffer.scala 413:41] - node _T_2204 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:83] - node _T_2205 = and(_T_2203, _T_2204) @[lsu_bus_buffer.scala 413:71] - node _T_2206 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:104] - node _T_2207 = and(_T_2205, _T_2206) @[lsu_bus_buffer.scala 413:92] - node _T_2208 = or(_T_2200, _T_2207) @[lsu_bus_buffer.scala 412:86] - node _T_2209 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2210 = and(_T_2209, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2211 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:64] - node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 414:52] - node _T_2213 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:85] - node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 414:73] - node _T_2215 = or(_T_2208, _T_2214) @[lsu_bus_buffer.scala 413:114] - node _T_2216 = and(_T_2195, _T_2215) @[lsu_bus_buffer.scala 411:113] - node _T_2217 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 414:109] - node _T_2218 = or(_T_2216, _T_2217) @[lsu_bus_buffer.scala 414:97] - node _T_2219 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2220 = and(_T_2219, buf_state_en[1]) @[lsu_bus_buffer.scala 411:94] - node _T_2221 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2222 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2223 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2224 = and(_T_2222, _T_2223) @[lsu_bus_buffer.scala 412:57] - node _T_2225 = or(_T_2221, _T_2224) @[lsu_bus_buffer.scala 412:31] - node _T_2226 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2227 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2228 = and(_T_2226, _T_2227) @[lsu_bus_buffer.scala 413:41] - node _T_2229 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:83] - node _T_2230 = and(_T_2228, _T_2229) @[lsu_bus_buffer.scala 413:71] - node _T_2231 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:104] - node _T_2232 = and(_T_2230, _T_2231) @[lsu_bus_buffer.scala 413:92] - node _T_2233 = or(_T_2225, _T_2232) @[lsu_bus_buffer.scala 412:86] - node _T_2234 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2235 = and(_T_2234, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2236 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:64] - node _T_2237 = and(_T_2235, _T_2236) @[lsu_bus_buffer.scala 414:52] - node _T_2238 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:85] - node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 414:73] - node _T_2240 = or(_T_2233, _T_2239) @[lsu_bus_buffer.scala 413:114] - node _T_2241 = and(_T_2220, _T_2240) @[lsu_bus_buffer.scala 411:113] - node _T_2242 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 414:109] - node _T_2243 = or(_T_2241, _T_2242) @[lsu_bus_buffer.scala 414:97] - node _T_2244 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2245 = and(_T_2244, buf_state_en[1]) @[lsu_bus_buffer.scala 411:94] - node _T_2246 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2247 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2248 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2249 = and(_T_2247, _T_2248) @[lsu_bus_buffer.scala 412:57] - node _T_2250 = or(_T_2246, _T_2249) @[lsu_bus_buffer.scala 412:31] - node _T_2251 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2252 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2253 = and(_T_2251, _T_2252) @[lsu_bus_buffer.scala 413:41] - node _T_2254 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:83] - node _T_2255 = and(_T_2253, _T_2254) @[lsu_bus_buffer.scala 413:71] - node _T_2256 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:104] - node _T_2257 = and(_T_2255, _T_2256) @[lsu_bus_buffer.scala 413:92] - node _T_2258 = or(_T_2250, _T_2257) @[lsu_bus_buffer.scala 412:86] - node _T_2259 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2260 = and(_T_2259, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2261 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:64] - node _T_2262 = and(_T_2260, _T_2261) @[lsu_bus_buffer.scala 414:52] - node _T_2263 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:85] - node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 414:73] - node _T_2265 = or(_T_2258, _T_2264) @[lsu_bus_buffer.scala 413:114] - node _T_2266 = and(_T_2245, _T_2265) @[lsu_bus_buffer.scala 411:113] - node _T_2267 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 414:109] - node _T_2268 = or(_T_2266, _T_2267) @[lsu_bus_buffer.scala 414:97] + node _T_2169 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2170 = and(_T_2169, buf_state_en[1]) @[lsu_bus_buffer.scala 409:94] + node _T_2171 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2172 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2173 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2174 = and(_T_2172, _T_2173) @[lsu_bus_buffer.scala 410:57] + node _T_2175 = or(_T_2171, _T_2174) @[lsu_bus_buffer.scala 410:31] + node _T_2176 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2177 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2178 = and(_T_2176, _T_2177) @[lsu_bus_buffer.scala 411:41] + node _T_2179 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:83] + node _T_2180 = and(_T_2178, _T_2179) @[lsu_bus_buffer.scala 411:71] + node _T_2181 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:104] + node _T_2182 = and(_T_2180, _T_2181) @[lsu_bus_buffer.scala 411:92] + node _T_2183 = or(_T_2175, _T_2182) @[lsu_bus_buffer.scala 410:86] + node _T_2184 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2185 = and(_T_2184, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2186 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:64] + node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 412:52] + node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:85] + node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 412:73] + node _T_2190 = or(_T_2183, _T_2189) @[lsu_bus_buffer.scala 411:114] + node _T_2191 = and(_T_2170, _T_2190) @[lsu_bus_buffer.scala 409:113] + node _T_2192 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 412:109] + node _T_2193 = or(_T_2191, _T_2192) @[lsu_bus_buffer.scala 412:97] + node _T_2194 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2195 = and(_T_2194, buf_state_en[1]) @[lsu_bus_buffer.scala 409:94] + node _T_2196 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2197 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2198 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2199 = and(_T_2197, _T_2198) @[lsu_bus_buffer.scala 410:57] + node _T_2200 = or(_T_2196, _T_2199) @[lsu_bus_buffer.scala 410:31] + node _T_2201 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2202 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2203 = and(_T_2201, _T_2202) @[lsu_bus_buffer.scala 411:41] + node _T_2204 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:83] + node _T_2205 = and(_T_2203, _T_2204) @[lsu_bus_buffer.scala 411:71] + node _T_2206 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:104] + node _T_2207 = and(_T_2205, _T_2206) @[lsu_bus_buffer.scala 411:92] + node _T_2208 = or(_T_2200, _T_2207) @[lsu_bus_buffer.scala 410:86] + node _T_2209 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2210 = and(_T_2209, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2211 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:64] + node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 412:52] + node _T_2213 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:85] + node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 412:73] + node _T_2215 = or(_T_2208, _T_2214) @[lsu_bus_buffer.scala 411:114] + node _T_2216 = and(_T_2195, _T_2215) @[lsu_bus_buffer.scala 409:113] + node _T_2217 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 412:109] + node _T_2218 = or(_T_2216, _T_2217) @[lsu_bus_buffer.scala 412:97] + node _T_2219 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2220 = and(_T_2219, buf_state_en[1]) @[lsu_bus_buffer.scala 409:94] + node _T_2221 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2222 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2223 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2224 = and(_T_2222, _T_2223) @[lsu_bus_buffer.scala 410:57] + node _T_2225 = or(_T_2221, _T_2224) @[lsu_bus_buffer.scala 410:31] + node _T_2226 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2227 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2228 = and(_T_2226, _T_2227) @[lsu_bus_buffer.scala 411:41] + node _T_2229 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:83] + node _T_2230 = and(_T_2228, _T_2229) @[lsu_bus_buffer.scala 411:71] + node _T_2231 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:104] + node _T_2232 = and(_T_2230, _T_2231) @[lsu_bus_buffer.scala 411:92] + node _T_2233 = or(_T_2225, _T_2232) @[lsu_bus_buffer.scala 410:86] + node _T_2234 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2235 = and(_T_2234, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2236 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:64] + node _T_2237 = and(_T_2235, _T_2236) @[lsu_bus_buffer.scala 412:52] + node _T_2238 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:85] + node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 412:73] + node _T_2240 = or(_T_2233, _T_2239) @[lsu_bus_buffer.scala 411:114] + node _T_2241 = and(_T_2220, _T_2240) @[lsu_bus_buffer.scala 409:113] + node _T_2242 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 412:109] + node _T_2243 = or(_T_2241, _T_2242) @[lsu_bus_buffer.scala 412:97] + node _T_2244 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2245 = and(_T_2244, buf_state_en[1]) @[lsu_bus_buffer.scala 409:94] + node _T_2246 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2247 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2248 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2249 = and(_T_2247, _T_2248) @[lsu_bus_buffer.scala 410:57] + node _T_2250 = or(_T_2246, _T_2249) @[lsu_bus_buffer.scala 410:31] + node _T_2251 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2252 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2253 = and(_T_2251, _T_2252) @[lsu_bus_buffer.scala 411:41] + node _T_2254 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:83] + node _T_2255 = and(_T_2253, _T_2254) @[lsu_bus_buffer.scala 411:71] + node _T_2256 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:104] + node _T_2257 = and(_T_2255, _T_2256) @[lsu_bus_buffer.scala 411:92] + node _T_2258 = or(_T_2250, _T_2257) @[lsu_bus_buffer.scala 410:86] + node _T_2259 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2260 = and(_T_2259, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2261 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:64] + node _T_2262 = and(_T_2260, _T_2261) @[lsu_bus_buffer.scala 412:52] + node _T_2263 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:85] + node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 412:73] + node _T_2265 = or(_T_2258, _T_2264) @[lsu_bus_buffer.scala 411:114] + node _T_2266 = and(_T_2245, _T_2265) @[lsu_bus_buffer.scala 409:113] + node _T_2267 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 412:109] + node _T_2268 = or(_T_2266, _T_2267) @[lsu_bus_buffer.scala 412:97] node _T_2269 = cat(_T_2268, _T_2243) @[Cat.scala 29:58] node _T_2270 = cat(_T_2269, _T_2218) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2270, _T_2193) @[Cat.scala 29:58] - node _T_2271 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2272 = and(_T_2271, buf_state_en[2]) @[lsu_bus_buffer.scala 411:94] - node _T_2273 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2274 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2275 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2276 = and(_T_2274, _T_2275) @[lsu_bus_buffer.scala 412:57] - node _T_2277 = or(_T_2273, _T_2276) @[lsu_bus_buffer.scala 412:31] - node _T_2278 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2279 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2280 = and(_T_2278, _T_2279) @[lsu_bus_buffer.scala 413:41] - node _T_2281 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:83] - node _T_2282 = and(_T_2280, _T_2281) @[lsu_bus_buffer.scala 413:71] - node _T_2283 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:104] - node _T_2284 = and(_T_2282, _T_2283) @[lsu_bus_buffer.scala 413:92] - node _T_2285 = or(_T_2277, _T_2284) @[lsu_bus_buffer.scala 412:86] - node _T_2286 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2287 = and(_T_2286, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2288 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:64] - node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 414:52] - node _T_2290 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:85] - node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 414:73] - node _T_2292 = or(_T_2285, _T_2291) @[lsu_bus_buffer.scala 413:114] - node _T_2293 = and(_T_2272, _T_2292) @[lsu_bus_buffer.scala 411:113] - node _T_2294 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 414:109] - node _T_2295 = or(_T_2293, _T_2294) @[lsu_bus_buffer.scala 414:97] - node _T_2296 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2297 = and(_T_2296, buf_state_en[2]) @[lsu_bus_buffer.scala 411:94] - node _T_2298 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2299 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2300 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2301 = and(_T_2299, _T_2300) @[lsu_bus_buffer.scala 412:57] - node _T_2302 = or(_T_2298, _T_2301) @[lsu_bus_buffer.scala 412:31] - node _T_2303 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2304 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2305 = and(_T_2303, _T_2304) @[lsu_bus_buffer.scala 413:41] - node _T_2306 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:83] - node _T_2307 = and(_T_2305, _T_2306) @[lsu_bus_buffer.scala 413:71] - node _T_2308 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:104] - node _T_2309 = and(_T_2307, _T_2308) @[lsu_bus_buffer.scala 413:92] - node _T_2310 = or(_T_2302, _T_2309) @[lsu_bus_buffer.scala 412:86] - node _T_2311 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2312 = and(_T_2311, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2313 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:64] - node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 414:52] - node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:85] - node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 414:73] - node _T_2317 = or(_T_2310, _T_2316) @[lsu_bus_buffer.scala 413:114] - node _T_2318 = and(_T_2297, _T_2317) @[lsu_bus_buffer.scala 411:113] - node _T_2319 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 414:109] - node _T_2320 = or(_T_2318, _T_2319) @[lsu_bus_buffer.scala 414:97] - node _T_2321 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2322 = and(_T_2321, buf_state_en[2]) @[lsu_bus_buffer.scala 411:94] - node _T_2323 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2324 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2325 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2326 = and(_T_2324, _T_2325) @[lsu_bus_buffer.scala 412:57] - node _T_2327 = or(_T_2323, _T_2326) @[lsu_bus_buffer.scala 412:31] - node _T_2328 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2329 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2330 = and(_T_2328, _T_2329) @[lsu_bus_buffer.scala 413:41] - node _T_2331 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:83] - node _T_2332 = and(_T_2330, _T_2331) @[lsu_bus_buffer.scala 413:71] - node _T_2333 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:104] - node _T_2334 = and(_T_2332, _T_2333) @[lsu_bus_buffer.scala 413:92] - node _T_2335 = or(_T_2327, _T_2334) @[lsu_bus_buffer.scala 412:86] - node _T_2336 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2337 = and(_T_2336, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2338 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:64] - node _T_2339 = and(_T_2337, _T_2338) @[lsu_bus_buffer.scala 414:52] - node _T_2340 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:85] - node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 414:73] - node _T_2342 = or(_T_2335, _T_2341) @[lsu_bus_buffer.scala 413:114] - node _T_2343 = and(_T_2322, _T_2342) @[lsu_bus_buffer.scala 411:113] - node _T_2344 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 414:109] - node _T_2345 = or(_T_2343, _T_2344) @[lsu_bus_buffer.scala 414:97] - node _T_2346 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2347 = and(_T_2346, buf_state_en[2]) @[lsu_bus_buffer.scala 411:94] - node _T_2348 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2349 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2350 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2351 = and(_T_2349, _T_2350) @[lsu_bus_buffer.scala 412:57] - node _T_2352 = or(_T_2348, _T_2351) @[lsu_bus_buffer.scala 412:31] - node _T_2353 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2354 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2355 = and(_T_2353, _T_2354) @[lsu_bus_buffer.scala 413:41] - node _T_2356 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:83] - node _T_2357 = and(_T_2355, _T_2356) @[lsu_bus_buffer.scala 413:71] - node _T_2358 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:104] - node _T_2359 = and(_T_2357, _T_2358) @[lsu_bus_buffer.scala 413:92] - node _T_2360 = or(_T_2352, _T_2359) @[lsu_bus_buffer.scala 412:86] - node _T_2361 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2362 = and(_T_2361, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:64] - node _T_2364 = and(_T_2362, _T_2363) @[lsu_bus_buffer.scala 414:52] - node _T_2365 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:85] - node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 414:73] - node _T_2367 = or(_T_2360, _T_2366) @[lsu_bus_buffer.scala 413:114] - node _T_2368 = and(_T_2347, _T_2367) @[lsu_bus_buffer.scala 411:113] - node _T_2369 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 414:109] - node _T_2370 = or(_T_2368, _T_2369) @[lsu_bus_buffer.scala 414:97] + node _T_2271 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2272 = and(_T_2271, buf_state_en[2]) @[lsu_bus_buffer.scala 409:94] + node _T_2273 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2274 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2275 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2276 = and(_T_2274, _T_2275) @[lsu_bus_buffer.scala 410:57] + node _T_2277 = or(_T_2273, _T_2276) @[lsu_bus_buffer.scala 410:31] + node _T_2278 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2279 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2280 = and(_T_2278, _T_2279) @[lsu_bus_buffer.scala 411:41] + node _T_2281 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:83] + node _T_2282 = and(_T_2280, _T_2281) @[lsu_bus_buffer.scala 411:71] + node _T_2283 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:104] + node _T_2284 = and(_T_2282, _T_2283) @[lsu_bus_buffer.scala 411:92] + node _T_2285 = or(_T_2277, _T_2284) @[lsu_bus_buffer.scala 410:86] + node _T_2286 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2287 = and(_T_2286, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2288 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:64] + node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 412:52] + node _T_2290 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:85] + node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 412:73] + node _T_2292 = or(_T_2285, _T_2291) @[lsu_bus_buffer.scala 411:114] + node _T_2293 = and(_T_2272, _T_2292) @[lsu_bus_buffer.scala 409:113] + node _T_2294 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 412:109] + node _T_2295 = or(_T_2293, _T_2294) @[lsu_bus_buffer.scala 412:97] + node _T_2296 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2297 = and(_T_2296, buf_state_en[2]) @[lsu_bus_buffer.scala 409:94] + node _T_2298 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2299 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2300 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2301 = and(_T_2299, _T_2300) @[lsu_bus_buffer.scala 410:57] + node _T_2302 = or(_T_2298, _T_2301) @[lsu_bus_buffer.scala 410:31] + node _T_2303 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2304 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2305 = and(_T_2303, _T_2304) @[lsu_bus_buffer.scala 411:41] + node _T_2306 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:83] + node _T_2307 = and(_T_2305, _T_2306) @[lsu_bus_buffer.scala 411:71] + node _T_2308 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:104] + node _T_2309 = and(_T_2307, _T_2308) @[lsu_bus_buffer.scala 411:92] + node _T_2310 = or(_T_2302, _T_2309) @[lsu_bus_buffer.scala 410:86] + node _T_2311 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2312 = and(_T_2311, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2313 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:64] + node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 412:52] + node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:85] + node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 412:73] + node _T_2317 = or(_T_2310, _T_2316) @[lsu_bus_buffer.scala 411:114] + node _T_2318 = and(_T_2297, _T_2317) @[lsu_bus_buffer.scala 409:113] + node _T_2319 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 412:109] + node _T_2320 = or(_T_2318, _T_2319) @[lsu_bus_buffer.scala 412:97] + node _T_2321 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2322 = and(_T_2321, buf_state_en[2]) @[lsu_bus_buffer.scala 409:94] + node _T_2323 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2324 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2325 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2326 = and(_T_2324, _T_2325) @[lsu_bus_buffer.scala 410:57] + node _T_2327 = or(_T_2323, _T_2326) @[lsu_bus_buffer.scala 410:31] + node _T_2328 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2329 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2330 = and(_T_2328, _T_2329) @[lsu_bus_buffer.scala 411:41] + node _T_2331 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:83] + node _T_2332 = and(_T_2330, _T_2331) @[lsu_bus_buffer.scala 411:71] + node _T_2333 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:104] + node _T_2334 = and(_T_2332, _T_2333) @[lsu_bus_buffer.scala 411:92] + node _T_2335 = or(_T_2327, _T_2334) @[lsu_bus_buffer.scala 410:86] + node _T_2336 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2337 = and(_T_2336, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2338 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:64] + node _T_2339 = and(_T_2337, _T_2338) @[lsu_bus_buffer.scala 412:52] + node _T_2340 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:85] + node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 412:73] + node _T_2342 = or(_T_2335, _T_2341) @[lsu_bus_buffer.scala 411:114] + node _T_2343 = and(_T_2322, _T_2342) @[lsu_bus_buffer.scala 409:113] + node _T_2344 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 412:109] + node _T_2345 = or(_T_2343, _T_2344) @[lsu_bus_buffer.scala 412:97] + node _T_2346 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2347 = and(_T_2346, buf_state_en[2]) @[lsu_bus_buffer.scala 409:94] + node _T_2348 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2349 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2350 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2351 = and(_T_2349, _T_2350) @[lsu_bus_buffer.scala 410:57] + node _T_2352 = or(_T_2348, _T_2351) @[lsu_bus_buffer.scala 410:31] + node _T_2353 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2354 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2355 = and(_T_2353, _T_2354) @[lsu_bus_buffer.scala 411:41] + node _T_2356 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:83] + node _T_2357 = and(_T_2355, _T_2356) @[lsu_bus_buffer.scala 411:71] + node _T_2358 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:104] + node _T_2359 = and(_T_2357, _T_2358) @[lsu_bus_buffer.scala 411:92] + node _T_2360 = or(_T_2352, _T_2359) @[lsu_bus_buffer.scala 410:86] + node _T_2361 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2362 = and(_T_2361, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:64] + node _T_2364 = and(_T_2362, _T_2363) @[lsu_bus_buffer.scala 412:52] + node _T_2365 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:85] + node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 412:73] + node _T_2367 = or(_T_2360, _T_2366) @[lsu_bus_buffer.scala 411:114] + node _T_2368 = and(_T_2347, _T_2367) @[lsu_bus_buffer.scala 409:113] + node _T_2369 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 412:109] + node _T_2370 = or(_T_2368, _T_2369) @[lsu_bus_buffer.scala 412:97] node _T_2371 = cat(_T_2370, _T_2345) @[Cat.scala 29:58] node _T_2372 = cat(_T_2371, _T_2320) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2372, _T_2295) @[Cat.scala 29:58] - node _T_2373 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2374 = and(_T_2373, buf_state_en[3]) @[lsu_bus_buffer.scala 411:94] - node _T_2375 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2376 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2377 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2378 = and(_T_2376, _T_2377) @[lsu_bus_buffer.scala 412:57] - node _T_2379 = or(_T_2375, _T_2378) @[lsu_bus_buffer.scala 412:31] - node _T_2380 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2381 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2382 = and(_T_2380, _T_2381) @[lsu_bus_buffer.scala 413:41] - node _T_2383 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:83] - node _T_2384 = and(_T_2382, _T_2383) @[lsu_bus_buffer.scala 413:71] - node _T_2385 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:104] - node _T_2386 = and(_T_2384, _T_2385) @[lsu_bus_buffer.scala 413:92] - node _T_2387 = or(_T_2379, _T_2386) @[lsu_bus_buffer.scala 412:86] - node _T_2388 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2389 = and(_T_2388, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2390 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:64] - node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 414:52] - node _T_2392 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:85] - node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 414:73] - node _T_2394 = or(_T_2387, _T_2393) @[lsu_bus_buffer.scala 413:114] - node _T_2395 = and(_T_2374, _T_2394) @[lsu_bus_buffer.scala 411:113] - node _T_2396 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 414:109] - node _T_2397 = or(_T_2395, _T_2396) @[lsu_bus_buffer.scala 414:97] - node _T_2398 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2399 = and(_T_2398, buf_state_en[3]) @[lsu_bus_buffer.scala 411:94] - node _T_2400 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2401 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2402 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2403 = and(_T_2401, _T_2402) @[lsu_bus_buffer.scala 412:57] - node _T_2404 = or(_T_2400, _T_2403) @[lsu_bus_buffer.scala 412:31] - node _T_2405 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2406 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2407 = and(_T_2405, _T_2406) @[lsu_bus_buffer.scala 413:41] - node _T_2408 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:83] - node _T_2409 = and(_T_2407, _T_2408) @[lsu_bus_buffer.scala 413:71] - node _T_2410 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 413:104] - node _T_2411 = and(_T_2409, _T_2410) @[lsu_bus_buffer.scala 413:92] - node _T_2412 = or(_T_2404, _T_2411) @[lsu_bus_buffer.scala 412:86] - node _T_2413 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2414 = and(_T_2413, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2415 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:64] - node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 414:52] - node _T_2417 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 414:85] - node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 414:73] - node _T_2419 = or(_T_2412, _T_2418) @[lsu_bus_buffer.scala 413:114] - node _T_2420 = and(_T_2399, _T_2419) @[lsu_bus_buffer.scala 411:113] - node _T_2421 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 414:109] - node _T_2422 = or(_T_2420, _T_2421) @[lsu_bus_buffer.scala 414:97] - node _T_2423 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2424 = and(_T_2423, buf_state_en[3]) @[lsu_bus_buffer.scala 411:94] - node _T_2425 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2426 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2427 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2428 = and(_T_2426, _T_2427) @[lsu_bus_buffer.scala 412:57] - node _T_2429 = or(_T_2425, _T_2428) @[lsu_bus_buffer.scala 412:31] - node _T_2430 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2431 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2432 = and(_T_2430, _T_2431) @[lsu_bus_buffer.scala 413:41] - node _T_2433 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:83] - node _T_2434 = and(_T_2432, _T_2433) @[lsu_bus_buffer.scala 413:71] - node _T_2435 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 413:104] - node _T_2436 = and(_T_2434, _T_2435) @[lsu_bus_buffer.scala 413:92] - node _T_2437 = or(_T_2429, _T_2436) @[lsu_bus_buffer.scala 412:86] - node _T_2438 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2439 = and(_T_2438, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2440 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:64] - node _T_2441 = and(_T_2439, _T_2440) @[lsu_bus_buffer.scala 414:52] - node _T_2442 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 414:85] - node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 414:73] - node _T_2444 = or(_T_2437, _T_2443) @[lsu_bus_buffer.scala 413:114] - node _T_2445 = and(_T_2424, _T_2444) @[lsu_bus_buffer.scala 411:113] - node _T_2446 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 414:109] - node _T_2447 = or(_T_2445, _T_2446) @[lsu_bus_buffer.scala 414:97] - node _T_2448 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 411:83] - node _T_2449 = and(_T_2448, buf_state_en[3]) @[lsu_bus_buffer.scala 411:94] - node _T_2450 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 412:20] - node _T_2451 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:47] - node _T_2452 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 412:59] - node _T_2453 = and(_T_2451, _T_2452) @[lsu_bus_buffer.scala 412:57] - node _T_2454 = or(_T_2450, _T_2453) @[lsu_bus_buffer.scala 412:31] - node _T_2455 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 413:23] - node _T_2456 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 413:53] - node _T_2457 = and(_T_2455, _T_2456) @[lsu_bus_buffer.scala 413:41] - node _T_2458 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:83] - node _T_2459 = and(_T_2457, _T_2458) @[lsu_bus_buffer.scala 413:71] - node _T_2460 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 413:104] - node _T_2461 = and(_T_2459, _T_2460) @[lsu_bus_buffer.scala 413:92] - node _T_2462 = or(_T_2454, _T_2461) @[lsu_bus_buffer.scala 412:86] - node _T_2463 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 414:17] - node _T_2464 = and(_T_2463, io.ldst_dual_r) @[lsu_bus_buffer.scala 414:35] - node _T_2465 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:64] - node _T_2466 = and(_T_2464, _T_2465) @[lsu_bus_buffer.scala 414:52] - node _T_2467 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 414:85] - node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 414:73] - node _T_2469 = or(_T_2462, _T_2468) @[lsu_bus_buffer.scala 413:114] - node _T_2470 = and(_T_2449, _T_2469) @[lsu_bus_buffer.scala 411:113] - node _T_2471 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 414:109] - node _T_2472 = or(_T_2470, _T_2471) @[lsu_bus_buffer.scala 414:97] + node _T_2373 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2374 = and(_T_2373, buf_state_en[3]) @[lsu_bus_buffer.scala 409:94] + node _T_2375 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2376 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2377 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2378 = and(_T_2376, _T_2377) @[lsu_bus_buffer.scala 410:57] + node _T_2379 = or(_T_2375, _T_2378) @[lsu_bus_buffer.scala 410:31] + node _T_2380 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2381 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2382 = and(_T_2380, _T_2381) @[lsu_bus_buffer.scala 411:41] + node _T_2383 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:83] + node _T_2384 = and(_T_2382, _T_2383) @[lsu_bus_buffer.scala 411:71] + node _T_2385 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:104] + node _T_2386 = and(_T_2384, _T_2385) @[lsu_bus_buffer.scala 411:92] + node _T_2387 = or(_T_2379, _T_2386) @[lsu_bus_buffer.scala 410:86] + node _T_2388 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2389 = and(_T_2388, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2390 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:64] + node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 412:52] + node _T_2392 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:85] + node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 412:73] + node _T_2394 = or(_T_2387, _T_2393) @[lsu_bus_buffer.scala 411:114] + node _T_2395 = and(_T_2374, _T_2394) @[lsu_bus_buffer.scala 409:113] + node _T_2396 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 412:109] + node _T_2397 = or(_T_2395, _T_2396) @[lsu_bus_buffer.scala 412:97] + node _T_2398 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2399 = and(_T_2398, buf_state_en[3]) @[lsu_bus_buffer.scala 409:94] + node _T_2400 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2401 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2402 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2403 = and(_T_2401, _T_2402) @[lsu_bus_buffer.scala 410:57] + node _T_2404 = or(_T_2400, _T_2403) @[lsu_bus_buffer.scala 410:31] + node _T_2405 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2406 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2407 = and(_T_2405, _T_2406) @[lsu_bus_buffer.scala 411:41] + node _T_2408 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:83] + node _T_2409 = and(_T_2407, _T_2408) @[lsu_bus_buffer.scala 411:71] + node _T_2410 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:104] + node _T_2411 = and(_T_2409, _T_2410) @[lsu_bus_buffer.scala 411:92] + node _T_2412 = or(_T_2404, _T_2411) @[lsu_bus_buffer.scala 410:86] + node _T_2413 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2414 = and(_T_2413, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2415 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:64] + node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 412:52] + node _T_2417 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 412:85] + node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 412:73] + node _T_2419 = or(_T_2412, _T_2418) @[lsu_bus_buffer.scala 411:114] + node _T_2420 = and(_T_2399, _T_2419) @[lsu_bus_buffer.scala 409:113] + node _T_2421 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 412:109] + node _T_2422 = or(_T_2420, _T_2421) @[lsu_bus_buffer.scala 412:97] + node _T_2423 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2424 = and(_T_2423, buf_state_en[3]) @[lsu_bus_buffer.scala 409:94] + node _T_2425 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2426 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2427 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2428 = and(_T_2426, _T_2427) @[lsu_bus_buffer.scala 410:57] + node _T_2429 = or(_T_2425, _T_2428) @[lsu_bus_buffer.scala 410:31] + node _T_2430 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2431 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2432 = and(_T_2430, _T_2431) @[lsu_bus_buffer.scala 411:41] + node _T_2433 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:83] + node _T_2434 = and(_T_2432, _T_2433) @[lsu_bus_buffer.scala 411:71] + node _T_2435 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:104] + node _T_2436 = and(_T_2434, _T_2435) @[lsu_bus_buffer.scala 411:92] + node _T_2437 = or(_T_2429, _T_2436) @[lsu_bus_buffer.scala 410:86] + node _T_2438 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2439 = and(_T_2438, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2440 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:64] + node _T_2441 = and(_T_2439, _T_2440) @[lsu_bus_buffer.scala 412:52] + node _T_2442 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 412:85] + node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 412:73] + node _T_2444 = or(_T_2437, _T_2443) @[lsu_bus_buffer.scala 411:114] + node _T_2445 = and(_T_2424, _T_2444) @[lsu_bus_buffer.scala 409:113] + node _T_2446 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 412:109] + node _T_2447 = or(_T_2445, _T_2446) @[lsu_bus_buffer.scala 412:97] + node _T_2448 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 409:83] + node _T_2449 = and(_T_2448, buf_state_en[3]) @[lsu_bus_buffer.scala 409:94] + node _T_2450 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 410:20] + node _T_2451 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 410:47] + node _T_2452 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 410:59] + node _T_2453 = and(_T_2451, _T_2452) @[lsu_bus_buffer.scala 410:57] + node _T_2454 = or(_T_2450, _T_2453) @[lsu_bus_buffer.scala 410:31] + node _T_2455 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:23] + node _T_2456 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:53] + node _T_2457 = and(_T_2455, _T_2456) @[lsu_bus_buffer.scala 411:41] + node _T_2458 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:83] + node _T_2459 = and(_T_2457, _T_2458) @[lsu_bus_buffer.scala 411:71] + node _T_2460 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:104] + node _T_2461 = and(_T_2459, _T_2460) @[lsu_bus_buffer.scala 411:92] + node _T_2462 = or(_T_2454, _T_2461) @[lsu_bus_buffer.scala 410:86] + node _T_2463 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 412:17] + node _T_2464 = and(_T_2463, io.ldst_dual_r) @[lsu_bus_buffer.scala 412:35] + node _T_2465 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:64] + node _T_2466 = and(_T_2464, _T_2465) @[lsu_bus_buffer.scala 412:52] + node _T_2467 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 412:85] + node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 412:73] + node _T_2469 = or(_T_2462, _T_2468) @[lsu_bus_buffer.scala 411:114] + node _T_2470 = and(_T_2449, _T_2469) @[lsu_bus_buffer.scala 409:113] + node _T_2471 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 412:109] + node _T_2472 = or(_T_2470, _T_2471) @[lsu_bus_buffer.scala 412:97] node _T_2473 = cat(_T_2472, _T_2447) @[Cat.scala 29:58] node _T_2474 = cat(_T_2473, _T_2422) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2474, _T_2397) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 415:22] - buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 416:12] - buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 416:12] - buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 416:12] - buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 416:12] - node _T_2475 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 417:72] - node _T_2476 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2477 = and(_T_2476, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 417:103] - node _T_2478 = eq(_T_2477, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2479 = and(_T_2475, _T_2478) @[lsu_bus_buffer.scala 417:76] - node _T_2480 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2481 = and(_T_2479, _T_2480) @[lsu_bus_buffer.scala 417:138] - node _T_2482 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 417:72] - node _T_2483 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 417:103] - node _T_2485 = eq(_T_2484, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2486 = and(_T_2482, _T_2485) @[lsu_bus_buffer.scala 417:76] - node _T_2487 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2488 = and(_T_2486, _T_2487) @[lsu_bus_buffer.scala 417:138] - node _T_2489 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 417:72] - node _T_2490 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 417:103] - node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2493 = and(_T_2489, _T_2492) @[lsu_bus_buffer.scala 417:76] - node _T_2494 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 417:138] - node _T_2496 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 417:72] - node _T_2497 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2498 = and(_T_2497, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 417:103] - node _T_2499 = eq(_T_2498, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2500 = and(_T_2496, _T_2499) @[lsu_bus_buffer.scala 417:76] - node _T_2501 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 417:138] + wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 413:22] + buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 414:12] + buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 414:12] + buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 414:12] + buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 414:12] + node _T_2475 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 415:72] + node _T_2476 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2477 = and(_T_2476, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 415:103] + node _T_2478 = eq(_T_2477, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2479 = and(_T_2475, _T_2478) @[lsu_bus_buffer.scala 415:76] + node _T_2480 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2481 = and(_T_2479, _T_2480) @[lsu_bus_buffer.scala 415:130] + node _T_2482 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 415:72] + node _T_2483 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 415:103] + node _T_2485 = eq(_T_2484, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2486 = and(_T_2482, _T_2485) @[lsu_bus_buffer.scala 415:76] + node _T_2487 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2488 = and(_T_2486, _T_2487) @[lsu_bus_buffer.scala 415:130] + node _T_2489 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 415:72] + node _T_2490 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 415:103] + node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2493 = and(_T_2489, _T_2492) @[lsu_bus_buffer.scala 415:76] + node _T_2494 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 415:130] + node _T_2496 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 415:72] + node _T_2497 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2498 = and(_T_2497, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 415:103] + node _T_2499 = eq(_T_2498, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2500 = and(_T_2496, _T_2499) @[lsu_bus_buffer.scala 415:76] + node _T_2501 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 415:130] node _T_2503 = cat(_T_2502, _T_2495) @[Cat.scala 29:58] node _T_2504 = cat(_T_2503, _T_2488) @[Cat.scala 29:58] node _T_2505 = cat(_T_2504, _T_2481) @[Cat.scala 29:58] - node _T_2506 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 417:72] - node _T_2507 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2508 = and(_T_2507, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 417:103] - node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2510 = and(_T_2506, _T_2509) @[lsu_bus_buffer.scala 417:76] - node _T_2511 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2512 = and(_T_2510, _T_2511) @[lsu_bus_buffer.scala 417:138] - node _T_2513 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 417:72] - node _T_2514 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2515 = and(_T_2514, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 417:103] - node _T_2516 = eq(_T_2515, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2517 = and(_T_2513, _T_2516) @[lsu_bus_buffer.scala 417:76] - node _T_2518 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2519 = and(_T_2517, _T_2518) @[lsu_bus_buffer.scala 417:138] - node _T_2520 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 417:72] - node _T_2521 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 417:103] - node _T_2523 = eq(_T_2522, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2524 = and(_T_2520, _T_2523) @[lsu_bus_buffer.scala 417:76] - node _T_2525 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2526 = and(_T_2524, _T_2525) @[lsu_bus_buffer.scala 417:138] - node _T_2527 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 417:72] - node _T_2528 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2529 = and(_T_2528, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 417:103] - node _T_2530 = eq(_T_2529, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2531 = and(_T_2527, _T_2530) @[lsu_bus_buffer.scala 417:76] - node _T_2532 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2533 = and(_T_2531, _T_2532) @[lsu_bus_buffer.scala 417:138] + node _T_2506 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 415:72] + node _T_2507 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2508 = and(_T_2507, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 415:103] + node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2510 = and(_T_2506, _T_2509) @[lsu_bus_buffer.scala 415:76] + node _T_2511 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2512 = and(_T_2510, _T_2511) @[lsu_bus_buffer.scala 415:130] + node _T_2513 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 415:72] + node _T_2514 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2515 = and(_T_2514, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 415:103] + node _T_2516 = eq(_T_2515, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2517 = and(_T_2513, _T_2516) @[lsu_bus_buffer.scala 415:76] + node _T_2518 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2519 = and(_T_2517, _T_2518) @[lsu_bus_buffer.scala 415:130] + node _T_2520 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 415:72] + node _T_2521 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 415:103] + node _T_2523 = eq(_T_2522, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2524 = and(_T_2520, _T_2523) @[lsu_bus_buffer.scala 415:76] + node _T_2525 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2526 = and(_T_2524, _T_2525) @[lsu_bus_buffer.scala 415:130] + node _T_2527 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 415:72] + node _T_2528 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2529 = and(_T_2528, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 415:103] + node _T_2530 = eq(_T_2529, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2531 = and(_T_2527, _T_2530) @[lsu_bus_buffer.scala 415:76] + node _T_2532 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2533 = and(_T_2531, _T_2532) @[lsu_bus_buffer.scala 415:130] node _T_2534 = cat(_T_2533, _T_2526) @[Cat.scala 29:58] node _T_2535 = cat(_T_2534, _T_2519) @[Cat.scala 29:58] node _T_2536 = cat(_T_2535, _T_2512) @[Cat.scala 29:58] - node _T_2537 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 417:72] - node _T_2538 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2539 = and(_T_2538, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 417:103] - node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2541 = and(_T_2537, _T_2540) @[lsu_bus_buffer.scala 417:76] - node _T_2542 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2543 = and(_T_2541, _T_2542) @[lsu_bus_buffer.scala 417:138] - node _T_2544 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 417:72] - node _T_2545 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 417:103] - node _T_2547 = eq(_T_2546, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2548 = and(_T_2544, _T_2547) @[lsu_bus_buffer.scala 417:76] - node _T_2549 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2550 = and(_T_2548, _T_2549) @[lsu_bus_buffer.scala 417:138] - node _T_2551 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 417:72] - node _T_2552 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 417:103] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 417:76] - node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2557 = and(_T_2555, _T_2556) @[lsu_bus_buffer.scala 417:138] - node _T_2558 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 417:72] - node _T_2559 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2560 = and(_T_2559, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 417:103] - node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2562 = and(_T_2558, _T_2561) @[lsu_bus_buffer.scala 417:76] - node _T_2563 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2564 = and(_T_2562, _T_2563) @[lsu_bus_buffer.scala 417:138] + node _T_2537 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 415:72] + node _T_2538 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2539 = and(_T_2538, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 415:103] + node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2541 = and(_T_2537, _T_2540) @[lsu_bus_buffer.scala 415:76] + node _T_2542 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2543 = and(_T_2541, _T_2542) @[lsu_bus_buffer.scala 415:130] + node _T_2544 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 415:72] + node _T_2545 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 415:103] + node _T_2547 = eq(_T_2546, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2548 = and(_T_2544, _T_2547) @[lsu_bus_buffer.scala 415:76] + node _T_2549 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2550 = and(_T_2548, _T_2549) @[lsu_bus_buffer.scala 415:130] + node _T_2551 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 415:72] + node _T_2552 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 415:103] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 415:76] + node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2557 = and(_T_2555, _T_2556) @[lsu_bus_buffer.scala 415:130] + node _T_2558 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 415:72] + node _T_2559 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2560 = and(_T_2559, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 415:103] + node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2562 = and(_T_2558, _T_2561) @[lsu_bus_buffer.scala 415:76] + node _T_2563 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2564 = and(_T_2562, _T_2563) @[lsu_bus_buffer.scala 415:130] node _T_2565 = cat(_T_2564, _T_2557) @[Cat.scala 29:58] node _T_2566 = cat(_T_2565, _T_2550) @[Cat.scala 29:58] node _T_2567 = cat(_T_2566, _T_2543) @[Cat.scala 29:58] - node _T_2568 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 417:72] - node _T_2569 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2570 = and(_T_2569, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 417:103] - node _T_2571 = eq(_T_2570, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2572 = and(_T_2568, _T_2571) @[lsu_bus_buffer.scala 417:76] - node _T_2573 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2574 = and(_T_2572, _T_2573) @[lsu_bus_buffer.scala 417:138] - node _T_2575 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 417:72] - node _T_2576 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 417:103] - node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2579 = and(_T_2575, _T_2578) @[lsu_bus_buffer.scala 417:76] - node _T_2580 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2581 = and(_T_2579, _T_2580) @[lsu_bus_buffer.scala 417:138] - node _T_2582 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 417:72] - node _T_2583 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 417:103] - node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 417:76] - node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2588 = and(_T_2586, _T_2587) @[lsu_bus_buffer.scala 417:138] - node _T_2589 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 417:72] - node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 417:93] - node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 417:103] - node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:78] - node _T_2593 = and(_T_2589, _T_2592) @[lsu_bus_buffer.scala 417:76] - node _T_2594 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:140] - node _T_2595 = and(_T_2593, _T_2594) @[lsu_bus_buffer.scala 417:138] + node _T_2568 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 415:72] + node _T_2569 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2570 = and(_T_2569, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 415:103] + node _T_2571 = eq(_T_2570, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2572 = and(_T_2568, _T_2571) @[lsu_bus_buffer.scala 415:76] + node _T_2573 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2574 = and(_T_2572, _T_2573) @[lsu_bus_buffer.scala 415:130] + node _T_2575 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 415:72] + node _T_2576 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 415:103] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2579 = and(_T_2575, _T_2578) @[lsu_bus_buffer.scala 415:76] + node _T_2580 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2581 = and(_T_2579, _T_2580) @[lsu_bus_buffer.scala 415:130] + node _T_2582 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 415:72] + node _T_2583 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 415:103] + node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 415:76] + node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2588 = and(_T_2586, _T_2587) @[lsu_bus_buffer.scala 415:130] + node _T_2589 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 415:72] + node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 415:93] + node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 415:103] + node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:78] + node _T_2593 = and(_T_2589, _T_2592) @[lsu_bus_buffer.scala 415:76] + node _T_2594 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:132] + node _T_2595 = and(_T_2593, _T_2594) @[lsu_bus_buffer.scala 415:130] node _T_2596 = cat(_T_2595, _T_2588) @[Cat.scala 29:58] node _T_2597 = cat(_T_2596, _T_2581) @[Cat.scala 29:58] node _T_2598 = cat(_T_2597, _T_2574) @[Cat.scala 29:58] - buf_age[0] <= _T_2505 @[lsu_bus_buffer.scala 417:11] - buf_age[1] <= _T_2536 @[lsu_bus_buffer.scala 417:11] - buf_age[2] <= _T_2567 @[lsu_bus_buffer.scala 417:11] - buf_age[3] <= _T_2598 @[lsu_bus_buffer.scala 417:11] - node _T_2599 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 418:76] - node _T_2600 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 418:100] - node _T_2601 = eq(_T_2600, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2602 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2603 = and(_T_2601, _T_2602) @[lsu_bus_buffer.scala 418:104] - node _T_2604 = mux(_T_2599, UInt<1>("h00"), _T_2603) @[lsu_bus_buffer.scala 418:72] - node _T_2605 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 418:76] - node _T_2606 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 418:100] - node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2608 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2609 = and(_T_2607, _T_2608) @[lsu_bus_buffer.scala 418:104] - node _T_2610 = mux(_T_2605, UInt<1>("h00"), _T_2609) @[lsu_bus_buffer.scala 418:72] - node _T_2611 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 418:76] - node _T_2612 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 418:100] - node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2614 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2615 = and(_T_2613, _T_2614) @[lsu_bus_buffer.scala 418:104] - node _T_2616 = mux(_T_2611, UInt<1>("h00"), _T_2615) @[lsu_bus_buffer.scala 418:72] - node _T_2617 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 418:76] - node _T_2618 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 418:100] - node _T_2619 = eq(_T_2618, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2620 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2621 = and(_T_2619, _T_2620) @[lsu_bus_buffer.scala 418:104] - node _T_2622 = mux(_T_2617, UInt<1>("h00"), _T_2621) @[lsu_bus_buffer.scala 418:72] + buf_age[0] <= _T_2505 @[lsu_bus_buffer.scala 415:11] + buf_age[1] <= _T_2536 @[lsu_bus_buffer.scala 415:11] + buf_age[2] <= _T_2567 @[lsu_bus_buffer.scala 415:11] + buf_age[3] <= _T_2598 @[lsu_bus_buffer.scala 415:11] + node _T_2599 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 416:76] + node _T_2600 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 416:100] + node _T_2601 = eq(_T_2600, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2602 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2603 = and(_T_2601, _T_2602) @[lsu_bus_buffer.scala 416:104] + node _T_2604 = mux(_T_2599, UInt<1>("h00"), _T_2603) @[lsu_bus_buffer.scala 416:72] + node _T_2605 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 416:76] + node _T_2606 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 416:100] + node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2608 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2609 = and(_T_2607, _T_2608) @[lsu_bus_buffer.scala 416:104] + node _T_2610 = mux(_T_2605, UInt<1>("h00"), _T_2609) @[lsu_bus_buffer.scala 416:72] + node _T_2611 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 416:76] + node _T_2612 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 416:100] + node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2614 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2615 = and(_T_2613, _T_2614) @[lsu_bus_buffer.scala 416:104] + node _T_2616 = mux(_T_2611, UInt<1>("h00"), _T_2615) @[lsu_bus_buffer.scala 416:72] + node _T_2617 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 416:76] + node _T_2618 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 416:100] + node _T_2619 = eq(_T_2618, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2620 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2621 = and(_T_2619, _T_2620) @[lsu_bus_buffer.scala 416:104] + node _T_2622 = mux(_T_2617, UInt<1>("h00"), _T_2621) @[lsu_bus_buffer.scala 416:72] node _T_2623 = cat(_T_2622, _T_2616) @[Cat.scala 29:58] node _T_2624 = cat(_T_2623, _T_2610) @[Cat.scala 29:58] node _T_2625 = cat(_T_2624, _T_2604) @[Cat.scala 29:58] - node _T_2626 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 418:76] - node _T_2627 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 418:100] - node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2629 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2630 = and(_T_2628, _T_2629) @[lsu_bus_buffer.scala 418:104] - node _T_2631 = mux(_T_2626, UInt<1>("h00"), _T_2630) @[lsu_bus_buffer.scala 418:72] - node _T_2632 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 418:76] - node _T_2633 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 418:100] - node _T_2634 = eq(_T_2633, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2635 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2636 = and(_T_2634, _T_2635) @[lsu_bus_buffer.scala 418:104] - node _T_2637 = mux(_T_2632, UInt<1>("h00"), _T_2636) @[lsu_bus_buffer.scala 418:72] - node _T_2638 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 418:76] - node _T_2639 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 418:100] - node _T_2640 = eq(_T_2639, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2641 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2642 = and(_T_2640, _T_2641) @[lsu_bus_buffer.scala 418:104] - node _T_2643 = mux(_T_2638, UInt<1>("h00"), _T_2642) @[lsu_bus_buffer.scala 418:72] - node _T_2644 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 418:76] - node _T_2645 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 418:100] - node _T_2646 = eq(_T_2645, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2647 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2648 = and(_T_2646, _T_2647) @[lsu_bus_buffer.scala 418:104] - node _T_2649 = mux(_T_2644, UInt<1>("h00"), _T_2648) @[lsu_bus_buffer.scala 418:72] + node _T_2626 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 416:76] + node _T_2627 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 416:100] + node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2629 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2630 = and(_T_2628, _T_2629) @[lsu_bus_buffer.scala 416:104] + node _T_2631 = mux(_T_2626, UInt<1>("h00"), _T_2630) @[lsu_bus_buffer.scala 416:72] + node _T_2632 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 416:76] + node _T_2633 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 416:100] + node _T_2634 = eq(_T_2633, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2635 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2636 = and(_T_2634, _T_2635) @[lsu_bus_buffer.scala 416:104] + node _T_2637 = mux(_T_2632, UInt<1>("h00"), _T_2636) @[lsu_bus_buffer.scala 416:72] + node _T_2638 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 416:76] + node _T_2639 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 416:100] + node _T_2640 = eq(_T_2639, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2641 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2642 = and(_T_2640, _T_2641) @[lsu_bus_buffer.scala 416:104] + node _T_2643 = mux(_T_2638, UInt<1>("h00"), _T_2642) @[lsu_bus_buffer.scala 416:72] + node _T_2644 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 416:76] + node _T_2645 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 416:100] + node _T_2646 = eq(_T_2645, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2647 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2648 = and(_T_2646, _T_2647) @[lsu_bus_buffer.scala 416:104] + node _T_2649 = mux(_T_2644, UInt<1>("h00"), _T_2648) @[lsu_bus_buffer.scala 416:72] node _T_2650 = cat(_T_2649, _T_2643) @[Cat.scala 29:58] node _T_2651 = cat(_T_2650, _T_2637) @[Cat.scala 29:58] node _T_2652 = cat(_T_2651, _T_2631) @[Cat.scala 29:58] - node _T_2653 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 418:76] - node _T_2654 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 418:100] - node _T_2655 = eq(_T_2654, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2656 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2657 = and(_T_2655, _T_2656) @[lsu_bus_buffer.scala 418:104] - node _T_2658 = mux(_T_2653, UInt<1>("h00"), _T_2657) @[lsu_bus_buffer.scala 418:72] - node _T_2659 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 418:76] - node _T_2660 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 418:100] - node _T_2661 = eq(_T_2660, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2662 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2663 = and(_T_2661, _T_2662) @[lsu_bus_buffer.scala 418:104] - node _T_2664 = mux(_T_2659, UInt<1>("h00"), _T_2663) @[lsu_bus_buffer.scala 418:72] - node _T_2665 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 418:76] - node _T_2666 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 418:100] - node _T_2667 = eq(_T_2666, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2668 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2669 = and(_T_2667, _T_2668) @[lsu_bus_buffer.scala 418:104] - node _T_2670 = mux(_T_2665, UInt<1>("h00"), _T_2669) @[lsu_bus_buffer.scala 418:72] - node _T_2671 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 418:76] - node _T_2672 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 418:100] - node _T_2673 = eq(_T_2672, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2674 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2675 = and(_T_2673, _T_2674) @[lsu_bus_buffer.scala 418:104] - node _T_2676 = mux(_T_2671, UInt<1>("h00"), _T_2675) @[lsu_bus_buffer.scala 418:72] + node _T_2653 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 416:76] + node _T_2654 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 416:100] + node _T_2655 = eq(_T_2654, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2656 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2657 = and(_T_2655, _T_2656) @[lsu_bus_buffer.scala 416:104] + node _T_2658 = mux(_T_2653, UInt<1>("h00"), _T_2657) @[lsu_bus_buffer.scala 416:72] + node _T_2659 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 416:76] + node _T_2660 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 416:100] + node _T_2661 = eq(_T_2660, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2662 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2663 = and(_T_2661, _T_2662) @[lsu_bus_buffer.scala 416:104] + node _T_2664 = mux(_T_2659, UInt<1>("h00"), _T_2663) @[lsu_bus_buffer.scala 416:72] + node _T_2665 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 416:76] + node _T_2666 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 416:100] + node _T_2667 = eq(_T_2666, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2668 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2669 = and(_T_2667, _T_2668) @[lsu_bus_buffer.scala 416:104] + node _T_2670 = mux(_T_2665, UInt<1>("h00"), _T_2669) @[lsu_bus_buffer.scala 416:72] + node _T_2671 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 416:76] + node _T_2672 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 416:100] + node _T_2673 = eq(_T_2672, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2674 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2675 = and(_T_2673, _T_2674) @[lsu_bus_buffer.scala 416:104] + node _T_2676 = mux(_T_2671, UInt<1>("h00"), _T_2675) @[lsu_bus_buffer.scala 416:72] node _T_2677 = cat(_T_2676, _T_2670) @[Cat.scala 29:58] node _T_2678 = cat(_T_2677, _T_2664) @[Cat.scala 29:58] node _T_2679 = cat(_T_2678, _T_2658) @[Cat.scala 29:58] - node _T_2680 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 418:76] - node _T_2681 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 418:100] - node _T_2682 = eq(_T_2681, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2683 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2684 = and(_T_2682, _T_2683) @[lsu_bus_buffer.scala 418:104] - node _T_2685 = mux(_T_2680, UInt<1>("h00"), _T_2684) @[lsu_bus_buffer.scala 418:72] - node _T_2686 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 418:76] - node _T_2687 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 418:100] - node _T_2688 = eq(_T_2687, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2689 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2690 = and(_T_2688, _T_2689) @[lsu_bus_buffer.scala 418:104] - node _T_2691 = mux(_T_2686, UInt<1>("h00"), _T_2690) @[lsu_bus_buffer.scala 418:72] - node _T_2692 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 418:76] - node _T_2693 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 418:100] - node _T_2694 = eq(_T_2693, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2695 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2696 = and(_T_2694, _T_2695) @[lsu_bus_buffer.scala 418:104] - node _T_2697 = mux(_T_2692, UInt<1>("h00"), _T_2696) @[lsu_bus_buffer.scala 418:72] - node _T_2698 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 418:76] - node _T_2699 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 418:100] - node _T_2700 = eq(_T_2699, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:89] - node _T_2701 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:119] - node _T_2702 = and(_T_2700, _T_2701) @[lsu_bus_buffer.scala 418:104] - node _T_2703 = mux(_T_2698, UInt<1>("h00"), _T_2702) @[lsu_bus_buffer.scala 418:72] + node _T_2680 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 416:76] + node _T_2681 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 416:100] + node _T_2682 = eq(_T_2681, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2683 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2684 = and(_T_2682, _T_2683) @[lsu_bus_buffer.scala 416:104] + node _T_2685 = mux(_T_2680, UInt<1>("h00"), _T_2684) @[lsu_bus_buffer.scala 416:72] + node _T_2686 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 416:76] + node _T_2687 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 416:100] + node _T_2688 = eq(_T_2687, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2689 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2690 = and(_T_2688, _T_2689) @[lsu_bus_buffer.scala 416:104] + node _T_2691 = mux(_T_2686, UInt<1>("h00"), _T_2690) @[lsu_bus_buffer.scala 416:72] + node _T_2692 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 416:76] + node _T_2693 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 416:100] + node _T_2694 = eq(_T_2693, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2695 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2696 = and(_T_2694, _T_2695) @[lsu_bus_buffer.scala 416:104] + node _T_2697 = mux(_T_2692, UInt<1>("h00"), _T_2696) @[lsu_bus_buffer.scala 416:72] + node _T_2698 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 416:76] + node _T_2699 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 416:100] + node _T_2700 = eq(_T_2699, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:89] + node _T_2701 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:119] + node _T_2702 = and(_T_2700, _T_2701) @[lsu_bus_buffer.scala 416:104] + node _T_2703 = mux(_T_2698, UInt<1>("h00"), _T_2702) @[lsu_bus_buffer.scala 416:72] node _T_2704 = cat(_T_2703, _T_2697) @[Cat.scala 29:58] node _T_2705 = cat(_T_2704, _T_2691) @[Cat.scala 29:58] node _T_2706 = cat(_T_2705, _T_2685) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2625 @[lsu_bus_buffer.scala 418:19] - buf_age_younger[1] <= _T_2652 @[lsu_bus_buffer.scala 418:19] - buf_age_younger[2] <= _T_2679 @[lsu_bus_buffer.scala 418:19] - buf_age_younger[3] <= _T_2706 @[lsu_bus_buffer.scala 418:19] - node _T_2707 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 419:83] - node _T_2708 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2709 = and(_T_2707, _T_2708) @[lsu_bus_buffer.scala 419:87] - node _T_2710 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 419:83] - node _T_2711 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2712 = and(_T_2710, _T_2711) @[lsu_bus_buffer.scala 419:87] - node _T_2713 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 419:83] - node _T_2714 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2715 = and(_T_2713, _T_2714) @[lsu_bus_buffer.scala 419:87] - node _T_2716 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 419:83] - node _T_2717 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2718 = and(_T_2716, _T_2717) @[lsu_bus_buffer.scala 419:87] + buf_age_younger[0] <= _T_2625 @[lsu_bus_buffer.scala 416:19] + buf_age_younger[1] <= _T_2652 @[lsu_bus_buffer.scala 416:19] + buf_age_younger[2] <= _T_2679 @[lsu_bus_buffer.scala 416:19] + buf_age_younger[3] <= _T_2706 @[lsu_bus_buffer.scala 416:19] + node _T_2707 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 417:83] + node _T_2708 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2709 = and(_T_2707, _T_2708) @[lsu_bus_buffer.scala 417:87] + node _T_2710 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 417:83] + node _T_2711 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2712 = and(_T_2710, _T_2711) @[lsu_bus_buffer.scala 417:87] + node _T_2713 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 417:83] + node _T_2714 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2715 = and(_T_2713, _T_2714) @[lsu_bus_buffer.scala 417:87] + node _T_2716 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 417:83] + node _T_2717 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2718 = and(_T_2716, _T_2717) @[lsu_bus_buffer.scala 417:87] node _T_2719 = cat(_T_2718, _T_2715) @[Cat.scala 29:58] node _T_2720 = cat(_T_2719, _T_2712) @[Cat.scala 29:58] node _T_2721 = cat(_T_2720, _T_2709) @[Cat.scala 29:58] - node _T_2722 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 419:83] - node _T_2723 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2724 = and(_T_2722, _T_2723) @[lsu_bus_buffer.scala 419:87] - node _T_2725 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 419:83] - node _T_2726 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2727 = and(_T_2725, _T_2726) @[lsu_bus_buffer.scala 419:87] - node _T_2728 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 419:83] - node _T_2729 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2730 = and(_T_2728, _T_2729) @[lsu_bus_buffer.scala 419:87] - node _T_2731 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 419:83] - node _T_2732 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2733 = and(_T_2731, _T_2732) @[lsu_bus_buffer.scala 419:87] + node _T_2722 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 417:83] + node _T_2723 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2724 = and(_T_2722, _T_2723) @[lsu_bus_buffer.scala 417:87] + node _T_2725 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 417:83] + node _T_2726 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2727 = and(_T_2725, _T_2726) @[lsu_bus_buffer.scala 417:87] + node _T_2728 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 417:83] + node _T_2729 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2730 = and(_T_2728, _T_2729) @[lsu_bus_buffer.scala 417:87] + node _T_2731 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 417:83] + node _T_2732 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2733 = and(_T_2731, _T_2732) @[lsu_bus_buffer.scala 417:87] node _T_2734 = cat(_T_2733, _T_2730) @[Cat.scala 29:58] node _T_2735 = cat(_T_2734, _T_2727) @[Cat.scala 29:58] node _T_2736 = cat(_T_2735, _T_2724) @[Cat.scala 29:58] - node _T_2737 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 419:83] - node _T_2738 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2739 = and(_T_2737, _T_2738) @[lsu_bus_buffer.scala 419:87] - node _T_2740 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 419:83] - node _T_2741 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2742 = and(_T_2740, _T_2741) @[lsu_bus_buffer.scala 419:87] - node _T_2743 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 419:83] - node _T_2744 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2745 = and(_T_2743, _T_2744) @[lsu_bus_buffer.scala 419:87] - node _T_2746 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 419:83] - node _T_2747 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2748 = and(_T_2746, _T_2747) @[lsu_bus_buffer.scala 419:87] + node _T_2737 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 417:83] + node _T_2738 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2739 = and(_T_2737, _T_2738) @[lsu_bus_buffer.scala 417:87] + node _T_2740 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 417:83] + node _T_2741 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2742 = and(_T_2740, _T_2741) @[lsu_bus_buffer.scala 417:87] + node _T_2743 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 417:83] + node _T_2744 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2745 = and(_T_2743, _T_2744) @[lsu_bus_buffer.scala 417:87] + node _T_2746 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 417:83] + node _T_2747 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2748 = and(_T_2746, _T_2747) @[lsu_bus_buffer.scala 417:87] node _T_2749 = cat(_T_2748, _T_2745) @[Cat.scala 29:58] node _T_2750 = cat(_T_2749, _T_2742) @[Cat.scala 29:58] node _T_2751 = cat(_T_2750, _T_2739) @[Cat.scala 29:58] - node _T_2752 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 419:83] - node _T_2753 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2754 = and(_T_2752, _T_2753) @[lsu_bus_buffer.scala 419:87] - node _T_2755 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 419:83] - node _T_2756 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2757 = and(_T_2755, _T_2756) @[lsu_bus_buffer.scala 419:87] - node _T_2758 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 419:83] - node _T_2759 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2760 = and(_T_2758, _T_2759) @[lsu_bus_buffer.scala 419:87] - node _T_2761 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 419:83] - node _T_2762 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 419:102] - node _T_2763 = and(_T_2761, _T_2762) @[lsu_bus_buffer.scala 419:87] + node _T_2752 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 417:83] + node _T_2753 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2754 = and(_T_2752, _T_2753) @[lsu_bus_buffer.scala 417:87] + node _T_2755 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 417:83] + node _T_2756 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2757 = and(_T_2755, _T_2756) @[lsu_bus_buffer.scala 417:87] + node _T_2758 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 417:83] + node _T_2759 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2760 = and(_T_2758, _T_2759) @[lsu_bus_buffer.scala 417:87] + node _T_2761 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 417:83] + node _T_2762 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 417:102] + node _T_2763 = and(_T_2761, _T_2762) @[lsu_bus_buffer.scala 417:87] node _T_2764 = cat(_T_2763, _T_2760) @[Cat.scala 29:58] node _T_2765 = cat(_T_2764, _T_2757) @[Cat.scala 29:58] node _T_2766 = cat(_T_2765, _T_2754) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2721 @[lsu_bus_buffer.scala 419:19] - buf_rsp_pickage[1] <= _T_2736 @[lsu_bus_buffer.scala 419:19] - buf_rsp_pickage[2] <= _T_2751 @[lsu_bus_buffer.scala 419:19] - buf_rsp_pickage[3] <= _T_2766 @[lsu_bus_buffer.scala 419:19] - node _T_2767 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2768 = and(_T_2767, buf_state_en[0]) @[lsu_bus_buffer.scala 421:93] - node _T_2769 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2770 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2771 = or(_T_2769, _T_2770) @[lsu_bus_buffer.scala 422:32] - node _T_2772 = eq(_T_2771, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2773 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2774 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2775 = and(_T_2773, _T_2774) @[lsu_bus_buffer.scala 423:41] - node _T_2776 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] - node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 423:71] - node _T_2778 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:101] - node _T_2779 = and(_T_2777, _T_2778) @[lsu_bus_buffer.scala 423:90] - node _T_2780 = or(_T_2772, _T_2779) @[lsu_bus_buffer.scala 422:59] - node _T_2781 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2782 = and(_T_2781, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2783 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:63] - node _T_2784 = and(_T_2782, _T_2783) @[lsu_bus_buffer.scala 424:52] - node _T_2785 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:82] - node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 424:71] - node _T_2787 = or(_T_2780, _T_2786) @[lsu_bus_buffer.scala 423:110] - node _T_2788 = and(_T_2768, _T_2787) @[lsu_bus_buffer.scala 421:112] - node _T_2789 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2790 = and(_T_2789, buf_state_en[0]) @[lsu_bus_buffer.scala 421:93] - node _T_2791 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2792 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2793 = or(_T_2791, _T_2792) @[lsu_bus_buffer.scala 422:32] - node _T_2794 = eq(_T_2793, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2795 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2796 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2797 = and(_T_2795, _T_2796) @[lsu_bus_buffer.scala 423:41] - node _T_2798 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] - node _T_2799 = and(_T_2797, _T_2798) @[lsu_bus_buffer.scala 423:71] - node _T_2800 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:101] - node _T_2801 = and(_T_2799, _T_2800) @[lsu_bus_buffer.scala 423:90] - node _T_2802 = or(_T_2794, _T_2801) @[lsu_bus_buffer.scala 422:59] - node _T_2803 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2804 = and(_T_2803, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2805 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:63] - node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 424:52] - node _T_2807 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:82] - node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 424:71] - node _T_2809 = or(_T_2802, _T_2808) @[lsu_bus_buffer.scala 423:110] - node _T_2810 = and(_T_2790, _T_2809) @[lsu_bus_buffer.scala 421:112] - node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2812 = and(_T_2811, buf_state_en[0]) @[lsu_bus_buffer.scala 421:93] - node _T_2813 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2814 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2815 = or(_T_2813, _T_2814) @[lsu_bus_buffer.scala 422:32] - node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2819 = and(_T_2817, _T_2818) @[lsu_bus_buffer.scala 423:41] - node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] - node _T_2821 = and(_T_2819, _T_2820) @[lsu_bus_buffer.scala 423:71] - node _T_2822 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:101] - node _T_2823 = and(_T_2821, _T_2822) @[lsu_bus_buffer.scala 423:90] - node _T_2824 = or(_T_2816, _T_2823) @[lsu_bus_buffer.scala 422:59] - node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2826 = and(_T_2825, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:63] - node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 424:52] - node _T_2829 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:82] - node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 424:71] - node _T_2831 = or(_T_2824, _T_2830) @[lsu_bus_buffer.scala 423:110] - node _T_2832 = and(_T_2812, _T_2831) @[lsu_bus_buffer.scala 421:112] - node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2834 = and(_T_2833, buf_state_en[0]) @[lsu_bus_buffer.scala 421:93] - node _T_2835 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2836 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2837 = or(_T_2835, _T_2836) @[lsu_bus_buffer.scala 422:32] - node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2841 = and(_T_2839, _T_2840) @[lsu_bus_buffer.scala 423:41] - node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:82] - node _T_2843 = and(_T_2841, _T_2842) @[lsu_bus_buffer.scala 423:71] - node _T_2844 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:101] - node _T_2845 = and(_T_2843, _T_2844) @[lsu_bus_buffer.scala 423:90] - node _T_2846 = or(_T_2838, _T_2845) @[lsu_bus_buffer.scala 422:59] - node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2848 = and(_T_2847, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:63] - node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 424:52] - node _T_2851 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:82] - node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 424:71] - node _T_2853 = or(_T_2846, _T_2852) @[lsu_bus_buffer.scala 423:110] - node _T_2854 = and(_T_2834, _T_2853) @[lsu_bus_buffer.scala 421:112] + buf_rsp_pickage[0] <= _T_2721 @[lsu_bus_buffer.scala 417:19] + buf_rsp_pickage[1] <= _T_2736 @[lsu_bus_buffer.scala 417:19] + buf_rsp_pickage[2] <= _T_2751 @[lsu_bus_buffer.scala 417:19] + buf_rsp_pickage[3] <= _T_2766 @[lsu_bus_buffer.scala 417:19] + node _T_2767 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2768 = and(_T_2767, buf_state_en[0]) @[lsu_bus_buffer.scala 419:93] + node _T_2769 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_2770 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_2771 = or(_T_2769, _T_2770) @[lsu_bus_buffer.scala 420:32] + node _T_2772 = eq(_T_2771, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_2773 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_2774 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_2775 = and(_T_2773, _T_2774) @[lsu_bus_buffer.scala 421:41] + node _T_2776 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 421:71] + node _T_2778 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:101] + node _T_2779 = and(_T_2777, _T_2778) @[lsu_bus_buffer.scala 421:90] + node _T_2780 = or(_T_2772, _T_2779) @[lsu_bus_buffer.scala 420:59] + node _T_2781 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_2782 = and(_T_2781, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_2783 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:63] + node _T_2784 = and(_T_2782, _T_2783) @[lsu_bus_buffer.scala 422:52] + node _T_2785 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:82] + node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 422:71] + node _T_2787 = or(_T_2780, _T_2786) @[lsu_bus_buffer.scala 421:110] + node _T_2788 = and(_T_2768, _T_2787) @[lsu_bus_buffer.scala 419:112] + node _T_2789 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2790 = and(_T_2789, buf_state_en[0]) @[lsu_bus_buffer.scala 419:93] + node _T_2791 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_2792 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_2793 = or(_T_2791, _T_2792) @[lsu_bus_buffer.scala 420:32] + node _T_2794 = eq(_T_2793, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_2795 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_2796 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_2797 = and(_T_2795, _T_2796) @[lsu_bus_buffer.scala 421:41] + node _T_2798 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2799 = and(_T_2797, _T_2798) @[lsu_bus_buffer.scala 421:71] + node _T_2800 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:101] + node _T_2801 = and(_T_2799, _T_2800) @[lsu_bus_buffer.scala 421:90] + node _T_2802 = or(_T_2794, _T_2801) @[lsu_bus_buffer.scala 420:59] + node _T_2803 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_2804 = and(_T_2803, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_2805 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:63] + node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 422:52] + node _T_2807 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:82] + node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 422:71] + node _T_2809 = or(_T_2802, _T_2808) @[lsu_bus_buffer.scala 421:110] + node _T_2810 = and(_T_2790, _T_2809) @[lsu_bus_buffer.scala 419:112] + node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2812 = and(_T_2811, buf_state_en[0]) @[lsu_bus_buffer.scala 419:93] + node _T_2813 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_2814 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_2815 = or(_T_2813, _T_2814) @[lsu_bus_buffer.scala 420:32] + node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_2819 = and(_T_2817, _T_2818) @[lsu_bus_buffer.scala 421:41] + node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2821 = and(_T_2819, _T_2820) @[lsu_bus_buffer.scala 421:71] + node _T_2822 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:101] + node _T_2823 = and(_T_2821, _T_2822) @[lsu_bus_buffer.scala 421:90] + node _T_2824 = or(_T_2816, _T_2823) @[lsu_bus_buffer.scala 420:59] + node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_2826 = and(_T_2825, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:63] + node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 422:52] + node _T_2829 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:82] + node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 422:71] + node _T_2831 = or(_T_2824, _T_2830) @[lsu_bus_buffer.scala 421:110] + node _T_2832 = and(_T_2812, _T_2831) @[lsu_bus_buffer.scala 419:112] + node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2834 = and(_T_2833, buf_state_en[0]) @[lsu_bus_buffer.scala 419:93] + node _T_2835 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_2836 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_2837 = or(_T_2835, _T_2836) @[lsu_bus_buffer.scala 420:32] + node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_2841 = and(_T_2839, _T_2840) @[lsu_bus_buffer.scala 421:41] + node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2843 = and(_T_2841, _T_2842) @[lsu_bus_buffer.scala 421:71] + node _T_2844 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:101] + node _T_2845 = and(_T_2843, _T_2844) @[lsu_bus_buffer.scala 421:90] + node _T_2846 = or(_T_2838, _T_2845) @[lsu_bus_buffer.scala 420:59] + node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_2848 = and(_T_2847, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:63] + node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 422:52] + node _T_2851 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:82] + node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 422:71] + node _T_2853 = or(_T_2846, _T_2852) @[lsu_bus_buffer.scala 421:110] + node _T_2854 = and(_T_2834, _T_2853) @[lsu_bus_buffer.scala 419:112] node _T_2855 = cat(_T_2854, _T_2832) @[Cat.scala 29:58] node _T_2856 = cat(_T_2855, _T_2810) @[Cat.scala 29:58] node _T_2857 = cat(_T_2856, _T_2788) @[Cat.scala 29:58] - node _T_2858 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2859 = and(_T_2858, buf_state_en[1]) @[lsu_bus_buffer.scala 421:93] - node _T_2860 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2861 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2862 = or(_T_2860, _T_2861) @[lsu_bus_buffer.scala 422:32] - node _T_2863 = eq(_T_2862, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2864 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2865 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2866 = and(_T_2864, _T_2865) @[lsu_bus_buffer.scala 423:41] - node _T_2867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] - node _T_2868 = and(_T_2866, _T_2867) @[lsu_bus_buffer.scala 423:71] - node _T_2869 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:101] - node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 423:90] - node _T_2871 = or(_T_2863, _T_2870) @[lsu_bus_buffer.scala 422:59] - node _T_2872 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2873 = and(_T_2872, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2874 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:63] - node _T_2875 = and(_T_2873, _T_2874) @[lsu_bus_buffer.scala 424:52] - node _T_2876 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:82] - node _T_2877 = and(_T_2875, _T_2876) @[lsu_bus_buffer.scala 424:71] - node _T_2878 = or(_T_2871, _T_2877) @[lsu_bus_buffer.scala 423:110] - node _T_2879 = and(_T_2859, _T_2878) @[lsu_bus_buffer.scala 421:112] - node _T_2880 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2881 = and(_T_2880, buf_state_en[1]) @[lsu_bus_buffer.scala 421:93] - node _T_2882 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2883 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2884 = or(_T_2882, _T_2883) @[lsu_bus_buffer.scala 422:32] - node _T_2885 = eq(_T_2884, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2886 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2887 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2888 = and(_T_2886, _T_2887) @[lsu_bus_buffer.scala 423:41] - node _T_2889 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] - node _T_2890 = and(_T_2888, _T_2889) @[lsu_bus_buffer.scala 423:71] - node _T_2891 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:101] - node _T_2892 = and(_T_2890, _T_2891) @[lsu_bus_buffer.scala 423:90] - node _T_2893 = or(_T_2885, _T_2892) @[lsu_bus_buffer.scala 422:59] - node _T_2894 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2895 = and(_T_2894, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2896 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:63] - node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 424:52] - node _T_2898 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:82] - node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 424:71] - node _T_2900 = or(_T_2893, _T_2899) @[lsu_bus_buffer.scala 423:110] - node _T_2901 = and(_T_2881, _T_2900) @[lsu_bus_buffer.scala 421:112] - node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2903 = and(_T_2902, buf_state_en[1]) @[lsu_bus_buffer.scala 421:93] - node _T_2904 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2905 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2906 = or(_T_2904, _T_2905) @[lsu_bus_buffer.scala 422:32] - node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2910 = and(_T_2908, _T_2909) @[lsu_bus_buffer.scala 423:41] - node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] - node _T_2912 = and(_T_2910, _T_2911) @[lsu_bus_buffer.scala 423:71] - node _T_2913 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:101] - node _T_2914 = and(_T_2912, _T_2913) @[lsu_bus_buffer.scala 423:90] - node _T_2915 = or(_T_2907, _T_2914) @[lsu_bus_buffer.scala 422:59] - node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2917 = and(_T_2916, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:63] - node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 424:52] - node _T_2920 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:82] - node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 424:71] - node _T_2922 = or(_T_2915, _T_2921) @[lsu_bus_buffer.scala 423:110] - node _T_2923 = and(_T_2903, _T_2922) @[lsu_bus_buffer.scala 421:112] - node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2925 = and(_T_2924, buf_state_en[1]) @[lsu_bus_buffer.scala 421:93] - node _T_2926 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2927 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2928 = or(_T_2926, _T_2927) @[lsu_bus_buffer.scala 422:32] - node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2932 = and(_T_2930, _T_2931) @[lsu_bus_buffer.scala 423:41] - node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:82] - node _T_2934 = and(_T_2932, _T_2933) @[lsu_bus_buffer.scala 423:71] - node _T_2935 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:101] - node _T_2936 = and(_T_2934, _T_2935) @[lsu_bus_buffer.scala 423:90] - node _T_2937 = or(_T_2929, _T_2936) @[lsu_bus_buffer.scala 422:59] - node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2939 = and(_T_2938, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:63] - node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 424:52] - node _T_2942 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:82] - node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 424:71] - node _T_2944 = or(_T_2937, _T_2943) @[lsu_bus_buffer.scala 423:110] - node _T_2945 = and(_T_2925, _T_2944) @[lsu_bus_buffer.scala 421:112] + node _T_2858 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2859 = and(_T_2858, buf_state_en[1]) @[lsu_bus_buffer.scala 419:93] + node _T_2860 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_2861 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_2862 = or(_T_2860, _T_2861) @[lsu_bus_buffer.scala 420:32] + node _T_2863 = eq(_T_2862, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_2864 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_2865 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_2866 = and(_T_2864, _T_2865) @[lsu_bus_buffer.scala 421:41] + node _T_2867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2868 = and(_T_2866, _T_2867) @[lsu_bus_buffer.scala 421:71] + node _T_2869 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:101] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 421:90] + node _T_2871 = or(_T_2863, _T_2870) @[lsu_bus_buffer.scala 420:59] + node _T_2872 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_2873 = and(_T_2872, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_2874 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:63] + node _T_2875 = and(_T_2873, _T_2874) @[lsu_bus_buffer.scala 422:52] + node _T_2876 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:82] + node _T_2877 = and(_T_2875, _T_2876) @[lsu_bus_buffer.scala 422:71] + node _T_2878 = or(_T_2871, _T_2877) @[lsu_bus_buffer.scala 421:110] + node _T_2879 = and(_T_2859, _T_2878) @[lsu_bus_buffer.scala 419:112] + node _T_2880 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2881 = and(_T_2880, buf_state_en[1]) @[lsu_bus_buffer.scala 419:93] + node _T_2882 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_2883 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_2884 = or(_T_2882, _T_2883) @[lsu_bus_buffer.scala 420:32] + node _T_2885 = eq(_T_2884, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_2886 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_2887 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_2888 = and(_T_2886, _T_2887) @[lsu_bus_buffer.scala 421:41] + node _T_2889 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2890 = and(_T_2888, _T_2889) @[lsu_bus_buffer.scala 421:71] + node _T_2891 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:101] + node _T_2892 = and(_T_2890, _T_2891) @[lsu_bus_buffer.scala 421:90] + node _T_2893 = or(_T_2885, _T_2892) @[lsu_bus_buffer.scala 420:59] + node _T_2894 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_2895 = and(_T_2894, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_2896 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:63] + node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 422:52] + node _T_2898 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:82] + node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 422:71] + node _T_2900 = or(_T_2893, _T_2899) @[lsu_bus_buffer.scala 421:110] + node _T_2901 = and(_T_2881, _T_2900) @[lsu_bus_buffer.scala 419:112] + node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2903 = and(_T_2902, buf_state_en[1]) @[lsu_bus_buffer.scala 419:93] + node _T_2904 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_2905 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_2906 = or(_T_2904, _T_2905) @[lsu_bus_buffer.scala 420:32] + node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_2910 = and(_T_2908, _T_2909) @[lsu_bus_buffer.scala 421:41] + node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2912 = and(_T_2910, _T_2911) @[lsu_bus_buffer.scala 421:71] + node _T_2913 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:101] + node _T_2914 = and(_T_2912, _T_2913) @[lsu_bus_buffer.scala 421:90] + node _T_2915 = or(_T_2907, _T_2914) @[lsu_bus_buffer.scala 420:59] + node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_2917 = and(_T_2916, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:63] + node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 422:52] + node _T_2920 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:82] + node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 422:71] + node _T_2922 = or(_T_2915, _T_2921) @[lsu_bus_buffer.scala 421:110] + node _T_2923 = and(_T_2903, _T_2922) @[lsu_bus_buffer.scala 419:112] + node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2925 = and(_T_2924, buf_state_en[1]) @[lsu_bus_buffer.scala 419:93] + node _T_2926 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_2927 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_2928 = or(_T_2926, _T_2927) @[lsu_bus_buffer.scala 420:32] + node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_2932 = and(_T_2930, _T_2931) @[lsu_bus_buffer.scala 421:41] + node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2934 = and(_T_2932, _T_2933) @[lsu_bus_buffer.scala 421:71] + node _T_2935 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:101] + node _T_2936 = and(_T_2934, _T_2935) @[lsu_bus_buffer.scala 421:90] + node _T_2937 = or(_T_2929, _T_2936) @[lsu_bus_buffer.scala 420:59] + node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_2939 = and(_T_2938, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:63] + node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 422:52] + node _T_2942 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:82] + node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 422:71] + node _T_2944 = or(_T_2937, _T_2943) @[lsu_bus_buffer.scala 421:110] + node _T_2945 = and(_T_2925, _T_2944) @[lsu_bus_buffer.scala 419:112] node _T_2946 = cat(_T_2945, _T_2923) @[Cat.scala 29:58] node _T_2947 = cat(_T_2946, _T_2901) @[Cat.scala 29:58] node _T_2948 = cat(_T_2947, _T_2879) @[Cat.scala 29:58] - node _T_2949 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2950 = and(_T_2949, buf_state_en[2]) @[lsu_bus_buffer.scala 421:93] - node _T_2951 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2952 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2953 = or(_T_2951, _T_2952) @[lsu_bus_buffer.scala 422:32] - node _T_2954 = eq(_T_2953, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2955 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2956 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2957 = and(_T_2955, _T_2956) @[lsu_bus_buffer.scala 423:41] - node _T_2958 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] - node _T_2959 = and(_T_2957, _T_2958) @[lsu_bus_buffer.scala 423:71] - node _T_2960 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:101] - node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 423:90] - node _T_2962 = or(_T_2954, _T_2961) @[lsu_bus_buffer.scala 422:59] - node _T_2963 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2964 = and(_T_2963, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2965 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:63] - node _T_2966 = and(_T_2964, _T_2965) @[lsu_bus_buffer.scala 424:52] - node _T_2967 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:82] - node _T_2968 = and(_T_2966, _T_2967) @[lsu_bus_buffer.scala 424:71] - node _T_2969 = or(_T_2962, _T_2968) @[lsu_bus_buffer.scala 423:110] - node _T_2970 = and(_T_2950, _T_2969) @[lsu_bus_buffer.scala 421:112] - node _T_2971 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2972 = and(_T_2971, buf_state_en[2]) @[lsu_bus_buffer.scala 421:93] - node _T_2973 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2974 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2975 = or(_T_2973, _T_2974) @[lsu_bus_buffer.scala 422:32] - node _T_2976 = eq(_T_2975, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2977 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_2978 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_2979 = and(_T_2977, _T_2978) @[lsu_bus_buffer.scala 423:41] - node _T_2980 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] - node _T_2981 = and(_T_2979, _T_2980) @[lsu_bus_buffer.scala 423:71] - node _T_2982 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:101] - node _T_2983 = and(_T_2981, _T_2982) @[lsu_bus_buffer.scala 423:90] - node _T_2984 = or(_T_2976, _T_2983) @[lsu_bus_buffer.scala 422:59] - node _T_2985 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_2986 = and(_T_2985, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_2987 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:63] - node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 424:52] - node _T_2989 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:82] - node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 424:71] - node _T_2991 = or(_T_2984, _T_2990) @[lsu_bus_buffer.scala 423:110] - node _T_2992 = and(_T_2972, _T_2991) @[lsu_bus_buffer.scala 421:112] - node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2994 = and(_T_2993, buf_state_en[2]) @[lsu_bus_buffer.scala 421:93] - node _T_2995 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_2996 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_2997 = or(_T_2995, _T_2996) @[lsu_bus_buffer.scala 422:32] - node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_3001 = and(_T_2999, _T_3000) @[lsu_bus_buffer.scala 423:41] - node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] - node _T_3003 = and(_T_3001, _T_3002) @[lsu_bus_buffer.scala 423:71] - node _T_3004 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:101] - node _T_3005 = and(_T_3003, _T_3004) @[lsu_bus_buffer.scala 423:90] - node _T_3006 = or(_T_2998, _T_3005) @[lsu_bus_buffer.scala 422:59] - node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_3008 = and(_T_3007, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:63] - node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 424:52] - node _T_3011 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:82] - node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 424:71] - node _T_3013 = or(_T_3006, _T_3012) @[lsu_bus_buffer.scala 423:110] - node _T_3014 = and(_T_2994, _T_3013) @[lsu_bus_buffer.scala 421:112] - node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_3016 = and(_T_3015, buf_state_en[2]) @[lsu_bus_buffer.scala 421:93] - node _T_3017 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_3018 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_3019 = or(_T_3017, _T_3018) @[lsu_bus_buffer.scala 422:32] - node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_3023 = and(_T_3021, _T_3022) @[lsu_bus_buffer.scala 423:41] - node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:82] - node _T_3025 = and(_T_3023, _T_3024) @[lsu_bus_buffer.scala 423:71] - node _T_3026 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:101] - node _T_3027 = and(_T_3025, _T_3026) @[lsu_bus_buffer.scala 423:90] - node _T_3028 = or(_T_3020, _T_3027) @[lsu_bus_buffer.scala 422:59] - node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_3030 = and(_T_3029, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:63] - node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 424:52] - node _T_3033 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:82] - node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 424:71] - node _T_3035 = or(_T_3028, _T_3034) @[lsu_bus_buffer.scala 423:110] - node _T_3036 = and(_T_3016, _T_3035) @[lsu_bus_buffer.scala 421:112] + node _T_2949 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2950 = and(_T_2949, buf_state_en[2]) @[lsu_bus_buffer.scala 419:93] + node _T_2951 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_2952 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_2953 = or(_T_2951, _T_2952) @[lsu_bus_buffer.scala 420:32] + node _T_2954 = eq(_T_2953, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_2955 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_2956 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_2957 = and(_T_2955, _T_2956) @[lsu_bus_buffer.scala 421:41] + node _T_2958 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_2959 = and(_T_2957, _T_2958) @[lsu_bus_buffer.scala 421:71] + node _T_2960 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:101] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 421:90] + node _T_2962 = or(_T_2954, _T_2961) @[lsu_bus_buffer.scala 420:59] + node _T_2963 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_2964 = and(_T_2963, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_2965 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:63] + node _T_2966 = and(_T_2964, _T_2965) @[lsu_bus_buffer.scala 422:52] + node _T_2967 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:82] + node _T_2968 = and(_T_2966, _T_2967) @[lsu_bus_buffer.scala 422:71] + node _T_2969 = or(_T_2962, _T_2968) @[lsu_bus_buffer.scala 421:110] + node _T_2970 = and(_T_2950, _T_2969) @[lsu_bus_buffer.scala 419:112] + node _T_2971 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2972 = and(_T_2971, buf_state_en[2]) @[lsu_bus_buffer.scala 419:93] + node _T_2973 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_2974 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_2975 = or(_T_2973, _T_2974) @[lsu_bus_buffer.scala 420:32] + node _T_2976 = eq(_T_2975, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_2977 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_2978 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_2979 = and(_T_2977, _T_2978) @[lsu_bus_buffer.scala 421:41] + node _T_2980 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_2981 = and(_T_2979, _T_2980) @[lsu_bus_buffer.scala 421:71] + node _T_2982 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:101] + node _T_2983 = and(_T_2981, _T_2982) @[lsu_bus_buffer.scala 421:90] + node _T_2984 = or(_T_2976, _T_2983) @[lsu_bus_buffer.scala 420:59] + node _T_2985 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_2986 = and(_T_2985, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_2987 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:63] + node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 422:52] + node _T_2989 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:82] + node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 422:71] + node _T_2991 = or(_T_2984, _T_2990) @[lsu_bus_buffer.scala 421:110] + node _T_2992 = and(_T_2972, _T_2991) @[lsu_bus_buffer.scala 419:112] + node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2994 = and(_T_2993, buf_state_en[2]) @[lsu_bus_buffer.scala 419:93] + node _T_2995 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_2996 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_2997 = or(_T_2995, _T_2996) @[lsu_bus_buffer.scala 420:32] + node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_3001 = and(_T_2999, _T_3000) @[lsu_bus_buffer.scala 421:41] + node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_3003 = and(_T_3001, _T_3002) @[lsu_bus_buffer.scala 421:71] + node _T_3004 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:101] + node _T_3005 = and(_T_3003, _T_3004) @[lsu_bus_buffer.scala 421:90] + node _T_3006 = or(_T_2998, _T_3005) @[lsu_bus_buffer.scala 420:59] + node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_3008 = and(_T_3007, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:63] + node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 422:52] + node _T_3011 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:82] + node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 422:71] + node _T_3013 = or(_T_3006, _T_3012) @[lsu_bus_buffer.scala 421:110] + node _T_3014 = and(_T_2994, _T_3013) @[lsu_bus_buffer.scala 419:112] + node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_3016 = and(_T_3015, buf_state_en[2]) @[lsu_bus_buffer.scala 419:93] + node _T_3017 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_3018 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_3019 = or(_T_3017, _T_3018) @[lsu_bus_buffer.scala 420:32] + node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_3023 = and(_T_3021, _T_3022) @[lsu_bus_buffer.scala 421:41] + node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_3025 = and(_T_3023, _T_3024) @[lsu_bus_buffer.scala 421:71] + node _T_3026 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:101] + node _T_3027 = and(_T_3025, _T_3026) @[lsu_bus_buffer.scala 421:90] + node _T_3028 = or(_T_3020, _T_3027) @[lsu_bus_buffer.scala 420:59] + node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_3030 = and(_T_3029, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:63] + node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 422:52] + node _T_3033 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:82] + node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 422:71] + node _T_3035 = or(_T_3028, _T_3034) @[lsu_bus_buffer.scala 421:110] + node _T_3036 = and(_T_3016, _T_3035) @[lsu_bus_buffer.scala 419:112] node _T_3037 = cat(_T_3036, _T_3014) @[Cat.scala 29:58] node _T_3038 = cat(_T_3037, _T_2992) @[Cat.scala 29:58] node _T_3039 = cat(_T_3038, _T_2970) @[Cat.scala 29:58] - node _T_3040 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_3041 = and(_T_3040, buf_state_en[3]) @[lsu_bus_buffer.scala 421:93] - node _T_3042 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_3043 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_3044 = or(_T_3042, _T_3043) @[lsu_bus_buffer.scala 422:32] - node _T_3045 = eq(_T_3044, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_3046 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_3047 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_3048 = and(_T_3046, _T_3047) @[lsu_bus_buffer.scala 423:41] - node _T_3049 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] - node _T_3050 = and(_T_3048, _T_3049) @[lsu_bus_buffer.scala 423:71] - node _T_3051 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:101] - node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 423:90] - node _T_3053 = or(_T_3045, _T_3052) @[lsu_bus_buffer.scala 422:59] - node _T_3054 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_3055 = and(_T_3054, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_3056 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:63] - node _T_3057 = and(_T_3055, _T_3056) @[lsu_bus_buffer.scala 424:52] - node _T_3058 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:82] - node _T_3059 = and(_T_3057, _T_3058) @[lsu_bus_buffer.scala 424:71] - node _T_3060 = or(_T_3053, _T_3059) @[lsu_bus_buffer.scala 423:110] - node _T_3061 = and(_T_3041, _T_3060) @[lsu_bus_buffer.scala 421:112] - node _T_3062 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_3063 = and(_T_3062, buf_state_en[3]) @[lsu_bus_buffer.scala 421:93] - node _T_3064 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_3065 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_3066 = or(_T_3064, _T_3065) @[lsu_bus_buffer.scala 422:32] - node _T_3067 = eq(_T_3066, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_3068 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_3069 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_3070 = and(_T_3068, _T_3069) @[lsu_bus_buffer.scala 423:41] - node _T_3071 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] - node _T_3072 = and(_T_3070, _T_3071) @[lsu_bus_buffer.scala 423:71] - node _T_3073 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 423:101] - node _T_3074 = and(_T_3072, _T_3073) @[lsu_bus_buffer.scala 423:90] - node _T_3075 = or(_T_3067, _T_3074) @[lsu_bus_buffer.scala 422:59] - node _T_3076 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_3077 = and(_T_3076, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_3078 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:63] - node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 424:52] - node _T_3080 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 424:82] - node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 424:71] - node _T_3082 = or(_T_3075, _T_3081) @[lsu_bus_buffer.scala 423:110] - node _T_3083 = and(_T_3063, _T_3082) @[lsu_bus_buffer.scala 421:112] - node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_3085 = and(_T_3084, buf_state_en[3]) @[lsu_bus_buffer.scala 421:93] - node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_3087 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_3088 = or(_T_3086, _T_3087) @[lsu_bus_buffer.scala 422:32] - node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_3092 = and(_T_3090, _T_3091) @[lsu_bus_buffer.scala 423:41] - node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] - node _T_3094 = and(_T_3092, _T_3093) @[lsu_bus_buffer.scala 423:71] - node _T_3095 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 423:101] - node _T_3096 = and(_T_3094, _T_3095) @[lsu_bus_buffer.scala 423:90] - node _T_3097 = or(_T_3089, _T_3096) @[lsu_bus_buffer.scala 422:59] - node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_3099 = and(_T_3098, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:63] - node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 424:52] - node _T_3102 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 424:82] - node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 424:71] - node _T_3104 = or(_T_3097, _T_3103) @[lsu_bus_buffer.scala 423:110] - node _T_3105 = and(_T_3085, _T_3104) @[lsu_bus_buffer.scala 421:112] - node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_3107 = and(_T_3106, buf_state_en[3]) @[lsu_bus_buffer.scala 421:93] - node _T_3108 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 422:21] - node _T_3109 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 422:47] - node _T_3110 = or(_T_3108, _T_3109) @[lsu_bus_buffer.scala 422:32] - node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:6] - node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 423:23] - node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 423:53] - node _T_3114 = and(_T_3112, _T_3113) @[lsu_bus_buffer.scala 423:41] - node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:82] - node _T_3116 = and(_T_3114, _T_3115) @[lsu_bus_buffer.scala 423:71] - node _T_3117 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 423:101] - node _T_3118 = and(_T_3116, _T_3117) @[lsu_bus_buffer.scala 423:90] - node _T_3119 = or(_T_3111, _T_3118) @[lsu_bus_buffer.scala 422:59] - node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 424:17] - node _T_3121 = and(_T_3120, io.ldst_dual_r) @[lsu_bus_buffer.scala 424:35] - node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:63] - node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 424:52] - node _T_3124 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 424:82] - node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 424:71] - node _T_3126 = or(_T_3119, _T_3125) @[lsu_bus_buffer.scala 423:110] - node _T_3127 = and(_T_3107, _T_3126) @[lsu_bus_buffer.scala 421:112] + node _T_3040 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_3041 = and(_T_3040, buf_state_en[3]) @[lsu_bus_buffer.scala 419:93] + node _T_3042 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_3043 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_3044 = or(_T_3042, _T_3043) @[lsu_bus_buffer.scala 420:32] + node _T_3045 = eq(_T_3044, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_3046 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_3047 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_3048 = and(_T_3046, _T_3047) @[lsu_bus_buffer.scala 421:41] + node _T_3049 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_3050 = and(_T_3048, _T_3049) @[lsu_bus_buffer.scala 421:71] + node _T_3051 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:101] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 421:90] + node _T_3053 = or(_T_3045, _T_3052) @[lsu_bus_buffer.scala 420:59] + node _T_3054 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_3055 = and(_T_3054, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_3056 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:63] + node _T_3057 = and(_T_3055, _T_3056) @[lsu_bus_buffer.scala 422:52] + node _T_3058 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 422:82] + node _T_3059 = and(_T_3057, _T_3058) @[lsu_bus_buffer.scala 422:71] + node _T_3060 = or(_T_3053, _T_3059) @[lsu_bus_buffer.scala 421:110] + node _T_3061 = and(_T_3041, _T_3060) @[lsu_bus_buffer.scala 419:112] + node _T_3062 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_3063 = and(_T_3062, buf_state_en[3]) @[lsu_bus_buffer.scala 419:93] + node _T_3064 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_3065 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_3066 = or(_T_3064, _T_3065) @[lsu_bus_buffer.scala 420:32] + node _T_3067 = eq(_T_3066, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_3068 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_3069 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_3070 = and(_T_3068, _T_3069) @[lsu_bus_buffer.scala 421:41] + node _T_3071 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_3072 = and(_T_3070, _T_3071) @[lsu_bus_buffer.scala 421:71] + node _T_3073 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:101] + node _T_3074 = and(_T_3072, _T_3073) @[lsu_bus_buffer.scala 421:90] + node _T_3075 = or(_T_3067, _T_3074) @[lsu_bus_buffer.scala 420:59] + node _T_3076 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_3077 = and(_T_3076, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_3078 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:63] + node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 422:52] + node _T_3080 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 422:82] + node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 422:71] + node _T_3082 = or(_T_3075, _T_3081) @[lsu_bus_buffer.scala 421:110] + node _T_3083 = and(_T_3063, _T_3082) @[lsu_bus_buffer.scala 419:112] + node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_3085 = and(_T_3084, buf_state_en[3]) @[lsu_bus_buffer.scala 419:93] + node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_3087 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_3088 = or(_T_3086, _T_3087) @[lsu_bus_buffer.scala 420:32] + node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_3092 = and(_T_3090, _T_3091) @[lsu_bus_buffer.scala 421:41] + node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_3094 = and(_T_3092, _T_3093) @[lsu_bus_buffer.scala 421:71] + node _T_3095 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:101] + node _T_3096 = and(_T_3094, _T_3095) @[lsu_bus_buffer.scala 421:90] + node _T_3097 = or(_T_3089, _T_3096) @[lsu_bus_buffer.scala 420:59] + node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_3099 = and(_T_3098, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:63] + node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 422:52] + node _T_3102 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 422:82] + node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 422:71] + node _T_3104 = or(_T_3097, _T_3103) @[lsu_bus_buffer.scala 421:110] + node _T_3105 = and(_T_3085, _T_3104) @[lsu_bus_buffer.scala 419:112] + node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_3107 = and(_T_3106, buf_state_en[3]) @[lsu_bus_buffer.scala 419:93] + node _T_3108 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:21] + node _T_3109 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:47] + node _T_3110 = or(_T_3108, _T_3109) @[lsu_bus_buffer.scala 420:32] + node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:6] + node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:23] + node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:53] + node _T_3114 = and(_T_3112, _T_3113) @[lsu_bus_buffer.scala 421:41] + node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_3116 = and(_T_3114, _T_3115) @[lsu_bus_buffer.scala 421:71] + node _T_3117 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:101] + node _T_3118 = and(_T_3116, _T_3117) @[lsu_bus_buffer.scala 421:90] + node _T_3119 = or(_T_3111, _T_3118) @[lsu_bus_buffer.scala 420:59] + node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 422:17] + node _T_3121 = and(_T_3120, io.ldst_dual_r) @[lsu_bus_buffer.scala 422:35] + node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:63] + node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 422:52] + node _T_3124 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 422:82] + node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 422:71] + node _T_3126 = or(_T_3119, _T_3125) @[lsu_bus_buffer.scala 421:110] + node _T_3127 = and(_T_3107, _T_3126) @[lsu_bus_buffer.scala 419:112] node _T_3128 = cat(_T_3127, _T_3105) @[Cat.scala 29:58] node _T_3129 = cat(_T_3128, _T_3083) @[Cat.scala 29:58] node _T_3130 = cat(_T_3129, _T_3061) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2857 @[lsu_bus_buffer.scala 421:18] - buf_rspage_set[1] <= _T_2948 @[lsu_bus_buffer.scala 421:18] - buf_rspage_set[2] <= _T_3039 @[lsu_bus_buffer.scala 421:18] - buf_rspage_set[3] <= _T_3130 @[lsu_bus_buffer.scala 421:18] - node _T_3131 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 425:84] - node _T_3132 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 425:103] - node _T_3133 = or(_T_3131, _T_3132) @[lsu_bus_buffer.scala 425:88] - node _T_3134 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 425:84] - node _T_3135 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 425:103] - node _T_3136 = or(_T_3134, _T_3135) @[lsu_bus_buffer.scala 425:88] - node _T_3137 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 425:84] - node _T_3138 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 425:103] - node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 425:88] - node _T_3140 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 425:84] - node _T_3141 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 425:103] - node _T_3142 = or(_T_3140, _T_3141) @[lsu_bus_buffer.scala 425:88] + buf_rspage_set[0] <= _T_2857 @[lsu_bus_buffer.scala 419:18] + buf_rspage_set[1] <= _T_2948 @[lsu_bus_buffer.scala 419:18] + buf_rspage_set[2] <= _T_3039 @[lsu_bus_buffer.scala 419:18] + buf_rspage_set[3] <= _T_3130 @[lsu_bus_buffer.scala 419:18] + node _T_3131 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 423:84] + node _T_3132 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 423:103] + node _T_3133 = or(_T_3131, _T_3132) @[lsu_bus_buffer.scala 423:88] + node _T_3134 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 423:84] + node _T_3135 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 423:103] + node _T_3136 = or(_T_3134, _T_3135) @[lsu_bus_buffer.scala 423:88] + node _T_3137 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 423:84] + node _T_3138 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 423:103] + node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 423:88] + node _T_3140 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 423:84] + node _T_3141 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 423:103] + node _T_3142 = or(_T_3140, _T_3141) @[lsu_bus_buffer.scala 423:88] node _T_3143 = cat(_T_3142, _T_3139) @[Cat.scala 29:58] node _T_3144 = cat(_T_3143, _T_3136) @[Cat.scala 29:58] node _T_3145 = cat(_T_3144, _T_3133) @[Cat.scala 29:58] - node _T_3146 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 425:84] - node _T_3147 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 425:103] - node _T_3148 = or(_T_3146, _T_3147) @[lsu_bus_buffer.scala 425:88] - node _T_3149 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 425:84] - node _T_3150 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 425:103] - node _T_3151 = or(_T_3149, _T_3150) @[lsu_bus_buffer.scala 425:88] - node _T_3152 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 425:84] - node _T_3153 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 425:103] - node _T_3154 = or(_T_3152, _T_3153) @[lsu_bus_buffer.scala 425:88] - node _T_3155 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 425:84] - node _T_3156 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 425:103] - node _T_3157 = or(_T_3155, _T_3156) @[lsu_bus_buffer.scala 425:88] + node _T_3146 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 423:84] + node _T_3147 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 423:103] + node _T_3148 = or(_T_3146, _T_3147) @[lsu_bus_buffer.scala 423:88] + node _T_3149 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 423:84] + node _T_3150 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 423:103] + node _T_3151 = or(_T_3149, _T_3150) @[lsu_bus_buffer.scala 423:88] + node _T_3152 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 423:84] + node _T_3153 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 423:103] + node _T_3154 = or(_T_3152, _T_3153) @[lsu_bus_buffer.scala 423:88] + node _T_3155 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 423:84] + node _T_3156 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 423:103] + node _T_3157 = or(_T_3155, _T_3156) @[lsu_bus_buffer.scala 423:88] node _T_3158 = cat(_T_3157, _T_3154) @[Cat.scala 29:58] node _T_3159 = cat(_T_3158, _T_3151) @[Cat.scala 29:58] node _T_3160 = cat(_T_3159, _T_3148) @[Cat.scala 29:58] - node _T_3161 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 425:84] - node _T_3162 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 425:103] - node _T_3163 = or(_T_3161, _T_3162) @[lsu_bus_buffer.scala 425:88] - node _T_3164 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 425:84] - node _T_3165 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 425:103] - node _T_3166 = or(_T_3164, _T_3165) @[lsu_bus_buffer.scala 425:88] - node _T_3167 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 425:84] - node _T_3168 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 425:103] - node _T_3169 = or(_T_3167, _T_3168) @[lsu_bus_buffer.scala 425:88] - node _T_3170 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 425:84] - node _T_3171 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 425:103] - node _T_3172 = or(_T_3170, _T_3171) @[lsu_bus_buffer.scala 425:88] + node _T_3161 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 423:84] + node _T_3162 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 423:103] + node _T_3163 = or(_T_3161, _T_3162) @[lsu_bus_buffer.scala 423:88] + node _T_3164 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 423:84] + node _T_3165 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 423:103] + node _T_3166 = or(_T_3164, _T_3165) @[lsu_bus_buffer.scala 423:88] + node _T_3167 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 423:84] + node _T_3168 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 423:103] + node _T_3169 = or(_T_3167, _T_3168) @[lsu_bus_buffer.scala 423:88] + node _T_3170 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 423:84] + node _T_3171 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 423:103] + node _T_3172 = or(_T_3170, _T_3171) @[lsu_bus_buffer.scala 423:88] node _T_3173 = cat(_T_3172, _T_3169) @[Cat.scala 29:58] node _T_3174 = cat(_T_3173, _T_3166) @[Cat.scala 29:58] node _T_3175 = cat(_T_3174, _T_3163) @[Cat.scala 29:58] - node _T_3176 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 425:84] - node _T_3177 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 425:103] - node _T_3178 = or(_T_3176, _T_3177) @[lsu_bus_buffer.scala 425:88] - node _T_3179 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 425:84] - node _T_3180 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 425:103] - node _T_3181 = or(_T_3179, _T_3180) @[lsu_bus_buffer.scala 425:88] - node _T_3182 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 425:84] - node _T_3183 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 425:103] - node _T_3184 = or(_T_3182, _T_3183) @[lsu_bus_buffer.scala 425:88] - node _T_3185 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 425:84] - node _T_3186 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 425:103] - node _T_3187 = or(_T_3185, _T_3186) @[lsu_bus_buffer.scala 425:88] + node _T_3176 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 423:84] + node _T_3177 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 423:103] + node _T_3178 = or(_T_3176, _T_3177) @[lsu_bus_buffer.scala 423:88] + node _T_3179 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 423:84] + node _T_3180 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 423:103] + node _T_3181 = or(_T_3179, _T_3180) @[lsu_bus_buffer.scala 423:88] + node _T_3182 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 423:84] + node _T_3183 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 423:103] + node _T_3184 = or(_T_3182, _T_3183) @[lsu_bus_buffer.scala 423:88] + node _T_3185 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 423:84] + node _T_3186 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 423:103] + node _T_3187 = or(_T_3185, _T_3186) @[lsu_bus_buffer.scala 423:88] node _T_3188 = cat(_T_3187, _T_3184) @[Cat.scala 29:58] node _T_3189 = cat(_T_3188, _T_3181) @[Cat.scala 29:58] node _T_3190 = cat(_T_3189, _T_3178) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3145 @[lsu_bus_buffer.scala 425:17] - buf_rspage_in[1] <= _T_3160 @[lsu_bus_buffer.scala 425:17] - buf_rspage_in[2] <= _T_3175 @[lsu_bus_buffer.scala 425:17] - buf_rspage_in[3] <= _T_3190 @[lsu_bus_buffer.scala 425:17] - node _T_3191 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 426:78] - node _T_3192 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3193 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3194 = or(_T_3192, _T_3193) @[lsu_bus_buffer.scala 426:110] - node _T_3195 = eq(_T_3194, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3196 = and(_T_3191, _T_3195) @[lsu_bus_buffer.scala 426:82] - node _T_3197 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3198 = and(_T_3196, _T_3197) @[lsu_bus_buffer.scala 426:145] - node _T_3199 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 426:78] - node _T_3200 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3201 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3202 = or(_T_3200, _T_3201) @[lsu_bus_buffer.scala 426:110] - node _T_3203 = eq(_T_3202, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3204 = and(_T_3199, _T_3203) @[lsu_bus_buffer.scala 426:82] - node _T_3205 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3206 = and(_T_3204, _T_3205) @[lsu_bus_buffer.scala 426:145] - node _T_3207 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 426:78] - node _T_3208 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3209 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 426:110] - node _T_3211 = eq(_T_3210, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3212 = and(_T_3207, _T_3211) @[lsu_bus_buffer.scala 426:82] - node _T_3213 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3214 = and(_T_3212, _T_3213) @[lsu_bus_buffer.scala 426:145] - node _T_3215 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 426:78] - node _T_3216 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3217 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3218 = or(_T_3216, _T_3217) @[lsu_bus_buffer.scala 426:110] - node _T_3219 = eq(_T_3218, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3220 = and(_T_3215, _T_3219) @[lsu_bus_buffer.scala 426:82] - node _T_3221 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3222 = and(_T_3220, _T_3221) @[lsu_bus_buffer.scala 426:145] + buf_rspage_in[0] <= _T_3145 @[lsu_bus_buffer.scala 423:17] + buf_rspage_in[1] <= _T_3160 @[lsu_bus_buffer.scala 423:17] + buf_rspage_in[2] <= _T_3175 @[lsu_bus_buffer.scala 423:17] + buf_rspage_in[3] <= _T_3190 @[lsu_bus_buffer.scala 423:17] + node _T_3191 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 424:78] + node _T_3192 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3193 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3194 = or(_T_3192, _T_3193) @[lsu_bus_buffer.scala 424:110] + node _T_3195 = eq(_T_3194, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3196 = and(_T_3191, _T_3195) @[lsu_bus_buffer.scala 424:82] + node _T_3197 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3198 = and(_T_3196, _T_3197) @[lsu_bus_buffer.scala 424:136] + node _T_3199 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 424:78] + node _T_3200 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3201 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3202 = or(_T_3200, _T_3201) @[lsu_bus_buffer.scala 424:110] + node _T_3203 = eq(_T_3202, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3204 = and(_T_3199, _T_3203) @[lsu_bus_buffer.scala 424:82] + node _T_3205 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3206 = and(_T_3204, _T_3205) @[lsu_bus_buffer.scala 424:136] + node _T_3207 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 424:78] + node _T_3208 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3209 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 424:110] + node _T_3211 = eq(_T_3210, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3212 = and(_T_3207, _T_3211) @[lsu_bus_buffer.scala 424:82] + node _T_3213 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3214 = and(_T_3212, _T_3213) @[lsu_bus_buffer.scala 424:136] + node _T_3215 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 424:78] + node _T_3216 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3217 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3218 = or(_T_3216, _T_3217) @[lsu_bus_buffer.scala 424:110] + node _T_3219 = eq(_T_3218, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3220 = and(_T_3215, _T_3219) @[lsu_bus_buffer.scala 424:82] + node _T_3221 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3222 = and(_T_3220, _T_3221) @[lsu_bus_buffer.scala 424:136] node _T_3223 = cat(_T_3222, _T_3214) @[Cat.scala 29:58] node _T_3224 = cat(_T_3223, _T_3206) @[Cat.scala 29:58] node _T_3225 = cat(_T_3224, _T_3198) @[Cat.scala 29:58] - node _T_3226 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 426:78] - node _T_3227 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3228 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 426:110] - node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 426:82] - node _T_3232 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3233 = and(_T_3231, _T_3232) @[lsu_bus_buffer.scala 426:145] - node _T_3234 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 426:78] - node _T_3235 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3236 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3237 = or(_T_3235, _T_3236) @[lsu_bus_buffer.scala 426:110] - node _T_3238 = eq(_T_3237, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3239 = and(_T_3234, _T_3238) @[lsu_bus_buffer.scala 426:82] - node _T_3240 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3241 = and(_T_3239, _T_3240) @[lsu_bus_buffer.scala 426:145] - node _T_3242 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 426:78] - node _T_3243 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3244 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3245 = or(_T_3243, _T_3244) @[lsu_bus_buffer.scala 426:110] - node _T_3246 = eq(_T_3245, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3247 = and(_T_3242, _T_3246) @[lsu_bus_buffer.scala 426:82] - node _T_3248 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3249 = and(_T_3247, _T_3248) @[lsu_bus_buffer.scala 426:145] - node _T_3250 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 426:78] - node _T_3251 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3252 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3253 = or(_T_3251, _T_3252) @[lsu_bus_buffer.scala 426:110] - node _T_3254 = eq(_T_3253, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3255 = and(_T_3250, _T_3254) @[lsu_bus_buffer.scala 426:82] - node _T_3256 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3257 = and(_T_3255, _T_3256) @[lsu_bus_buffer.scala 426:145] + node _T_3226 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 424:78] + node _T_3227 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3228 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 424:110] + node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 424:82] + node _T_3232 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3233 = and(_T_3231, _T_3232) @[lsu_bus_buffer.scala 424:136] + node _T_3234 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 424:78] + node _T_3235 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3236 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3237 = or(_T_3235, _T_3236) @[lsu_bus_buffer.scala 424:110] + node _T_3238 = eq(_T_3237, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3239 = and(_T_3234, _T_3238) @[lsu_bus_buffer.scala 424:82] + node _T_3240 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3241 = and(_T_3239, _T_3240) @[lsu_bus_buffer.scala 424:136] + node _T_3242 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 424:78] + node _T_3243 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3244 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3245 = or(_T_3243, _T_3244) @[lsu_bus_buffer.scala 424:110] + node _T_3246 = eq(_T_3245, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3247 = and(_T_3242, _T_3246) @[lsu_bus_buffer.scala 424:82] + node _T_3248 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3249 = and(_T_3247, _T_3248) @[lsu_bus_buffer.scala 424:136] + node _T_3250 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 424:78] + node _T_3251 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3252 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3253 = or(_T_3251, _T_3252) @[lsu_bus_buffer.scala 424:110] + node _T_3254 = eq(_T_3253, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3255 = and(_T_3250, _T_3254) @[lsu_bus_buffer.scala 424:82] + node _T_3256 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3257 = and(_T_3255, _T_3256) @[lsu_bus_buffer.scala 424:136] node _T_3258 = cat(_T_3257, _T_3249) @[Cat.scala 29:58] node _T_3259 = cat(_T_3258, _T_3241) @[Cat.scala 29:58] node _T_3260 = cat(_T_3259, _T_3233) @[Cat.scala 29:58] - node _T_3261 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 426:78] - node _T_3262 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3263 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3264 = or(_T_3262, _T_3263) @[lsu_bus_buffer.scala 426:110] - node _T_3265 = eq(_T_3264, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3266 = and(_T_3261, _T_3265) @[lsu_bus_buffer.scala 426:82] - node _T_3267 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3268 = and(_T_3266, _T_3267) @[lsu_bus_buffer.scala 426:145] - node _T_3269 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 426:78] - node _T_3270 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3271 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3272 = or(_T_3270, _T_3271) @[lsu_bus_buffer.scala 426:110] - node _T_3273 = eq(_T_3272, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3274 = and(_T_3269, _T_3273) @[lsu_bus_buffer.scala 426:82] - node _T_3275 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3276 = and(_T_3274, _T_3275) @[lsu_bus_buffer.scala 426:145] - node _T_3277 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 426:78] - node _T_3278 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3279 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3280 = or(_T_3278, _T_3279) @[lsu_bus_buffer.scala 426:110] - node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3282 = and(_T_3277, _T_3281) @[lsu_bus_buffer.scala 426:82] - node _T_3283 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3284 = and(_T_3282, _T_3283) @[lsu_bus_buffer.scala 426:145] - node _T_3285 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 426:78] - node _T_3286 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3287 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3288 = or(_T_3286, _T_3287) @[lsu_bus_buffer.scala 426:110] - node _T_3289 = eq(_T_3288, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3290 = and(_T_3285, _T_3289) @[lsu_bus_buffer.scala 426:82] - node _T_3291 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3292 = and(_T_3290, _T_3291) @[lsu_bus_buffer.scala 426:145] + node _T_3261 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 424:78] + node _T_3262 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3263 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3264 = or(_T_3262, _T_3263) @[lsu_bus_buffer.scala 424:110] + node _T_3265 = eq(_T_3264, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3266 = and(_T_3261, _T_3265) @[lsu_bus_buffer.scala 424:82] + node _T_3267 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3268 = and(_T_3266, _T_3267) @[lsu_bus_buffer.scala 424:136] + node _T_3269 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 424:78] + node _T_3270 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3271 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3272 = or(_T_3270, _T_3271) @[lsu_bus_buffer.scala 424:110] + node _T_3273 = eq(_T_3272, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3274 = and(_T_3269, _T_3273) @[lsu_bus_buffer.scala 424:82] + node _T_3275 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3276 = and(_T_3274, _T_3275) @[lsu_bus_buffer.scala 424:136] + node _T_3277 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 424:78] + node _T_3278 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3279 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3280 = or(_T_3278, _T_3279) @[lsu_bus_buffer.scala 424:110] + node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3282 = and(_T_3277, _T_3281) @[lsu_bus_buffer.scala 424:82] + node _T_3283 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3284 = and(_T_3282, _T_3283) @[lsu_bus_buffer.scala 424:136] + node _T_3285 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 424:78] + node _T_3286 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3287 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3288 = or(_T_3286, _T_3287) @[lsu_bus_buffer.scala 424:110] + node _T_3289 = eq(_T_3288, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3290 = and(_T_3285, _T_3289) @[lsu_bus_buffer.scala 424:82] + node _T_3291 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3292 = and(_T_3290, _T_3291) @[lsu_bus_buffer.scala 424:136] node _T_3293 = cat(_T_3292, _T_3284) @[Cat.scala 29:58] node _T_3294 = cat(_T_3293, _T_3276) @[Cat.scala 29:58] node _T_3295 = cat(_T_3294, _T_3268) @[Cat.scala 29:58] - node _T_3296 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 426:78] - node _T_3297 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3298 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3299 = or(_T_3297, _T_3298) @[lsu_bus_buffer.scala 426:110] - node _T_3300 = eq(_T_3299, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3301 = and(_T_3296, _T_3300) @[lsu_bus_buffer.scala 426:82] - node _T_3302 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3303 = and(_T_3301, _T_3302) @[lsu_bus_buffer.scala 426:145] - node _T_3304 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 426:78] - node _T_3305 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3306 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3307 = or(_T_3305, _T_3306) @[lsu_bus_buffer.scala 426:110] - node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3309 = and(_T_3304, _T_3308) @[lsu_bus_buffer.scala 426:82] - node _T_3310 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3311 = and(_T_3309, _T_3310) @[lsu_bus_buffer.scala 426:145] - node _T_3312 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 426:78] - node _T_3313 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3314 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3315 = or(_T_3313, _T_3314) @[lsu_bus_buffer.scala 426:110] - node _T_3316 = eq(_T_3315, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3317 = and(_T_3312, _T_3316) @[lsu_bus_buffer.scala 426:82] - node _T_3318 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3319 = and(_T_3317, _T_3318) @[lsu_bus_buffer.scala 426:145] - node _T_3320 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 426:78] - node _T_3321 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 426:99] - node _T_3322 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 426:125] - node _T_3323 = or(_T_3321, _T_3322) @[lsu_bus_buffer.scala 426:110] - node _T_3324 = eq(_T_3323, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:84] - node _T_3325 = and(_T_3320, _T_3324) @[lsu_bus_buffer.scala 426:82] - node _T_3326 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:147] - node _T_3327 = and(_T_3325, _T_3326) @[lsu_bus_buffer.scala 426:145] + node _T_3296 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 424:78] + node _T_3297 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3298 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3299 = or(_T_3297, _T_3298) @[lsu_bus_buffer.scala 424:110] + node _T_3300 = eq(_T_3299, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3301 = and(_T_3296, _T_3300) @[lsu_bus_buffer.scala 424:82] + node _T_3302 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3303 = and(_T_3301, _T_3302) @[lsu_bus_buffer.scala 424:136] + node _T_3304 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 424:78] + node _T_3305 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3306 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3307 = or(_T_3305, _T_3306) @[lsu_bus_buffer.scala 424:110] + node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3309 = and(_T_3304, _T_3308) @[lsu_bus_buffer.scala 424:82] + node _T_3310 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3311 = and(_T_3309, _T_3310) @[lsu_bus_buffer.scala 424:136] + node _T_3312 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 424:78] + node _T_3313 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3314 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3315 = or(_T_3313, _T_3314) @[lsu_bus_buffer.scala 424:110] + node _T_3316 = eq(_T_3315, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3317 = and(_T_3312, _T_3316) @[lsu_bus_buffer.scala 424:82] + node _T_3318 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3319 = and(_T_3317, _T_3318) @[lsu_bus_buffer.scala 424:136] + node _T_3320 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 424:78] + node _T_3321 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 424:99] + node _T_3322 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 424:125] + node _T_3323 = or(_T_3321, _T_3322) @[lsu_bus_buffer.scala 424:110] + node _T_3324 = eq(_T_3323, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:84] + node _T_3325 = and(_T_3320, _T_3324) @[lsu_bus_buffer.scala 424:82] + node _T_3326 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 424:138] + node _T_3327 = and(_T_3325, _T_3326) @[lsu_bus_buffer.scala 424:136] node _T_3328 = cat(_T_3327, _T_3319) @[Cat.scala 29:58] node _T_3329 = cat(_T_3328, _T_3311) @[Cat.scala 29:58] node _T_3330 = cat(_T_3329, _T_3303) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3225 @[lsu_bus_buffer.scala 426:14] - buf_rspage[1] <= _T_3260 @[lsu_bus_buffer.scala 426:14] - buf_rspage[2] <= _T_3295 @[lsu_bus_buffer.scala 426:14] - buf_rspage[3] <= _T_3330 @[lsu_bus_buffer.scala 426:14] - node _T_3331 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 431:75] - node _T_3332 = and(ibuf_drain_vld, _T_3331) @[lsu_bus_buffer.scala 431:63] - node _T_3333 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 431:75] - node _T_3334 = and(ibuf_drain_vld, _T_3333) @[lsu_bus_buffer.scala 431:63] - node _T_3335 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 431:75] - node _T_3336 = and(ibuf_drain_vld, _T_3335) @[lsu_bus_buffer.scala 431:63] - node _T_3337 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 431:75] - node _T_3338 = and(ibuf_drain_vld, _T_3337) @[lsu_bus_buffer.scala 431:63] + buf_rspage[0] <= _T_3225 @[lsu_bus_buffer.scala 424:14] + buf_rspage[1] <= _T_3260 @[lsu_bus_buffer.scala 424:14] + buf_rspage[2] <= _T_3295 @[lsu_bus_buffer.scala 424:14] + buf_rspage[3] <= _T_3330 @[lsu_bus_buffer.scala 424:14] + node _T_3331 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:75] + node _T_3332 = and(ibuf_drain_vld, _T_3331) @[lsu_bus_buffer.scala 425:63] + node _T_3333 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:75] + node _T_3334 = and(ibuf_drain_vld, _T_3333) @[lsu_bus_buffer.scala 425:63] + node _T_3335 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:75] + node _T_3336 = and(ibuf_drain_vld, _T_3335) @[lsu_bus_buffer.scala 425:63] + node _T_3337 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:75] + node _T_3338 = and(ibuf_drain_vld, _T_3337) @[lsu_bus_buffer.scala 425:63] node _T_3339 = cat(_T_3338, _T_3336) @[Cat.scala 29:58] node _T_3340 = cat(_T_3339, _T_3334) @[Cat.scala 29:58] node _T_3341 = cat(_T_3340, _T_3332) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3341 @[lsu_bus_buffer.scala 431:21] - node _T_3342 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:64] - node _T_3343 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 432:84] - node _T_3344 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:18] - node _T_3345 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:46] - node _T_3346 = and(_T_3344, _T_3345) @[lsu_bus_buffer.scala 433:35] - node _T_3347 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 433:71] - node _T_3348 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 433:94] - node _T_3349 = mux(_T_3346, _T_3347, _T_3348) @[lsu_bus_buffer.scala 433:8] - node _T_3350 = mux(_T_3342, _T_3343, _T_3349) @[lsu_bus_buffer.scala 432:46] - node _T_3351 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:64] - node _T_3352 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 432:84] - node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:18] - node _T_3354 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:46] - node _T_3355 = and(_T_3353, _T_3354) @[lsu_bus_buffer.scala 433:35] - node _T_3356 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 433:71] - node _T_3357 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 433:94] - node _T_3358 = mux(_T_3355, _T_3356, _T_3357) @[lsu_bus_buffer.scala 433:8] - node _T_3359 = mux(_T_3351, _T_3352, _T_3358) @[lsu_bus_buffer.scala 432:46] - node _T_3360 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:64] - node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 432:84] - node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:18] - node _T_3363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:46] - node _T_3364 = and(_T_3362, _T_3363) @[lsu_bus_buffer.scala 433:35] - node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 433:71] - node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 433:94] - node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[lsu_bus_buffer.scala 433:8] - node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[lsu_bus_buffer.scala 432:46] - node _T_3369 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:64] - node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 432:84] - node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:18] - node _T_3372 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:46] - node _T_3373 = and(_T_3371, _T_3372) @[lsu_bus_buffer.scala 433:35] - node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 433:71] - node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 433:94] - node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[lsu_bus_buffer.scala 433:8] - node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[lsu_bus_buffer.scala 432:46] - buf_byteen_in[0] <= _T_3350 @[lsu_bus_buffer.scala 432:17] - buf_byteen_in[1] <= _T_3359 @[lsu_bus_buffer.scala 432:17] - buf_byteen_in[2] <= _T_3368 @[lsu_bus_buffer.scala 432:17] - buf_byteen_in[3] <= _T_3377 @[lsu_bus_buffer.scala 432:17] - node _T_3378 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:62] - node _T_3379 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:91] - node _T_3380 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 434:119] - node _T_3381 = and(_T_3379, _T_3380) @[lsu_bus_buffer.scala 434:108] - node _T_3382 = mux(_T_3381, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 434:81] - node _T_3383 = mux(_T_3378, ibuf_addr, _T_3382) @[lsu_bus_buffer.scala 434:44] - node _T_3384 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:62] - node _T_3385 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:91] - node _T_3386 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 434:119] - node _T_3387 = and(_T_3385, _T_3386) @[lsu_bus_buffer.scala 434:108] - node _T_3388 = mux(_T_3387, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 434:81] - node _T_3389 = mux(_T_3384, ibuf_addr, _T_3388) @[lsu_bus_buffer.scala 434:44] - node _T_3390 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:62] - node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:91] - node _T_3392 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 434:119] - node _T_3393 = and(_T_3391, _T_3392) @[lsu_bus_buffer.scala 434:108] - node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 434:81] - node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[lsu_bus_buffer.scala 434:44] - node _T_3396 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:62] - node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:91] - node _T_3398 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 434:119] - node _T_3399 = and(_T_3397, _T_3398) @[lsu_bus_buffer.scala 434:108] - node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 434:81] - node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[lsu_bus_buffer.scala 434:44] - buf_addr_in[0] <= _T_3383 @[lsu_bus_buffer.scala 434:15] - buf_addr_in[1] <= _T_3389 @[lsu_bus_buffer.scala 434:15] - buf_addr_in[2] <= _T_3395 @[lsu_bus_buffer.scala 434:15] - buf_addr_in[3] <= _T_3401 @[lsu_bus_buffer.scala 434:15] - node _T_3402 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:63] - node _T_3403 = mux(_T_3402, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:45] - node _T_3404 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:63] - node _T_3405 = mux(_T_3404, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:45] - node _T_3406 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:63] - node _T_3407 = mux(_T_3406, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:45] - node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:63] - node _T_3409 = mux(_T_3408, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:45] + ibuf_drainvec_vld <= _T_3341 @[lsu_bus_buffer.scala 425:21] + node _T_3342 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 426:64] + node _T_3343 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3344 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3345 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 427:46] + node _T_3346 = and(_T_3344, _T_3345) @[lsu_bus_buffer.scala 427:35] + node _T_3347 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3348 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3349 = mux(_T_3346, _T_3347, _T_3348) @[lsu_bus_buffer.scala 427:8] + node _T_3350 = mux(_T_3342, _T_3343, _T_3349) @[lsu_bus_buffer.scala 426:46] + node _T_3351 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 426:64] + node _T_3352 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3354 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 427:46] + node _T_3355 = and(_T_3353, _T_3354) @[lsu_bus_buffer.scala 427:35] + node _T_3356 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3357 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3358 = mux(_T_3355, _T_3356, _T_3357) @[lsu_bus_buffer.scala 427:8] + node _T_3359 = mux(_T_3351, _T_3352, _T_3358) @[lsu_bus_buffer.scala 426:46] + node _T_3360 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 426:64] + node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 427:46] + node _T_3364 = and(_T_3362, _T_3363) @[lsu_bus_buffer.scala 427:35] + node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[lsu_bus_buffer.scala 427:8] + node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[lsu_bus_buffer.scala 426:46] + node _T_3369 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 426:64] + node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3372 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 427:46] + node _T_3373 = and(_T_3371, _T_3372) @[lsu_bus_buffer.scala 427:35] + node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[lsu_bus_buffer.scala 427:8] + node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[lsu_bus_buffer.scala 426:46] + buf_byteen_in[0] <= _T_3350 @[lsu_bus_buffer.scala 426:17] + buf_byteen_in[1] <= _T_3359 @[lsu_bus_buffer.scala 426:17] + buf_byteen_in[2] <= _T_3368 @[lsu_bus_buffer.scala 426:17] + buf_byteen_in[3] <= _T_3377 @[lsu_bus_buffer.scala 426:17] + node _T_3378 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 428:62] + node _T_3379 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3380 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:119] + node _T_3381 = and(_T_3379, _T_3380) @[lsu_bus_buffer.scala 428:108] + node _T_3382 = mux(_T_3381, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3383 = mux(_T_3378, ibuf_addr, _T_3382) @[lsu_bus_buffer.scala 428:44] + node _T_3384 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 428:62] + node _T_3385 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3386 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:119] + node _T_3387 = and(_T_3385, _T_3386) @[lsu_bus_buffer.scala 428:108] + node _T_3388 = mux(_T_3387, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3389 = mux(_T_3384, ibuf_addr, _T_3388) @[lsu_bus_buffer.scala 428:44] + node _T_3390 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 428:62] + node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3392 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:119] + node _T_3393 = and(_T_3391, _T_3392) @[lsu_bus_buffer.scala 428:108] + node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[lsu_bus_buffer.scala 428:44] + node _T_3396 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 428:62] + node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3398 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:119] + node _T_3399 = and(_T_3397, _T_3398) @[lsu_bus_buffer.scala 428:108] + node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[lsu_bus_buffer.scala 428:44] + buf_addr_in[0] <= _T_3383 @[lsu_bus_buffer.scala 428:15] + buf_addr_in[1] <= _T_3389 @[lsu_bus_buffer.scala 428:15] + buf_addr_in[2] <= _T_3395 @[lsu_bus_buffer.scala 428:15] + buf_addr_in[3] <= _T_3401 @[lsu_bus_buffer.scala 428:15] + node _T_3402 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:63] + node _T_3403 = mux(_T_3402, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3404 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:63] + node _T_3405 = mux(_T_3404, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3406 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:63] + node _T_3407 = mux(_T_3406, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:63] + node _T_3409 = mux(_T_3408, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] node _T_3410 = cat(_T_3409, _T_3407) @[Cat.scala 29:58] node _T_3411 = cat(_T_3410, _T_3405) @[Cat.scala 29:58] node _T_3412 = cat(_T_3411, _T_3403) @[Cat.scala 29:58] - buf_dual_in <= _T_3412 @[lsu_bus_buffer.scala 435:15] - node _T_3413 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:65] - node _T_3414 = mux(_T_3413, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 436:47] - node _T_3415 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:65] - node _T_3416 = mux(_T_3415, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 436:47] - node _T_3417 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:65] - node _T_3418 = mux(_T_3417, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 436:47] - node _T_3419 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:65] - node _T_3420 = mux(_T_3419, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 436:47] + buf_dual_in <= _T_3412 @[lsu_bus_buffer.scala 429:15] + node _T_3413 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 430:65] + node _T_3414 = mux(_T_3413, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3415 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 430:65] + node _T_3416 = mux(_T_3415, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3417 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 430:65] + node _T_3418 = mux(_T_3417, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3419 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 430:65] + node _T_3420 = mux(_T_3419, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] node _T_3421 = cat(_T_3420, _T_3418) @[Cat.scala 29:58] node _T_3422 = cat(_T_3421, _T_3416) @[Cat.scala 29:58] node _T_3423 = cat(_T_3422, _T_3414) @[Cat.scala 29:58] - buf_samedw_in <= _T_3423 @[lsu_bus_buffer.scala 436:17] - node _T_3424 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:66] - node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 437:84] - node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 437:48] - node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:66] - node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 437:84] - node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 437:48] - node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:66] - node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 437:84] - node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 437:48] - node _T_3433 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:66] - node _T_3434 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 437:84] - node _T_3435 = mux(_T_3433, _T_3434, io.no_dword_merge_r) @[lsu_bus_buffer.scala 437:48] + buf_samedw_in <= _T_3423 @[lsu_bus_buffer.scala 430:17] + node _T_3424 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:66] + node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:66] + node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:66] + node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3433 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:66] + node _T_3434 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3435 = mux(_T_3433, _T_3434, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] node _T_3436 = cat(_T_3435, _T_3432) @[Cat.scala 29:58] node _T_3437 = cat(_T_3436, _T_3429) @[Cat.scala 29:58] node _T_3438 = cat(_T_3437, _T_3426) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3438 @[lsu_bus_buffer.scala 437:18] - node _T_3439 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:65] - node _T_3440 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 438:90] - node _T_3441 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 438:118] - node _T_3442 = and(_T_3440, _T_3441) @[lsu_bus_buffer.scala 438:107] - node _T_3443 = mux(_T_3439, ibuf_dual, _T_3442) @[lsu_bus_buffer.scala 438:47] - node _T_3444 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:65] - node _T_3445 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 438:90] - node _T_3446 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 438:118] - node _T_3447 = and(_T_3445, _T_3446) @[lsu_bus_buffer.scala 438:107] - node _T_3448 = mux(_T_3444, ibuf_dual, _T_3447) @[lsu_bus_buffer.scala 438:47] - node _T_3449 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:65] - node _T_3450 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 438:90] - node _T_3451 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 438:118] - node _T_3452 = and(_T_3450, _T_3451) @[lsu_bus_buffer.scala 438:107] - node _T_3453 = mux(_T_3449, ibuf_dual, _T_3452) @[lsu_bus_buffer.scala 438:47] - node _T_3454 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:65] - node _T_3455 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 438:90] - node _T_3456 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 438:118] - node _T_3457 = and(_T_3455, _T_3456) @[lsu_bus_buffer.scala 438:107] - node _T_3458 = mux(_T_3454, ibuf_dual, _T_3457) @[lsu_bus_buffer.scala 438:47] + buf_nomerge_in <= _T_3438 @[lsu_bus_buffer.scala 431:18] + node _T_3439 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:65] + node _T_3440 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3441 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 432:118] + node _T_3442 = and(_T_3440, _T_3441) @[lsu_bus_buffer.scala 432:107] + node _T_3443 = mux(_T_3439, ibuf_dual, _T_3442) @[lsu_bus_buffer.scala 432:47] + node _T_3444 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:65] + node _T_3445 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3446 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 432:118] + node _T_3447 = and(_T_3445, _T_3446) @[lsu_bus_buffer.scala 432:107] + node _T_3448 = mux(_T_3444, ibuf_dual, _T_3447) @[lsu_bus_buffer.scala 432:47] + node _T_3449 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:65] + node _T_3450 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3451 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 432:118] + node _T_3452 = and(_T_3450, _T_3451) @[lsu_bus_buffer.scala 432:107] + node _T_3453 = mux(_T_3449, ibuf_dual, _T_3452) @[lsu_bus_buffer.scala 432:47] + node _T_3454 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:65] + node _T_3455 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3456 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 432:118] + node _T_3457 = and(_T_3455, _T_3456) @[lsu_bus_buffer.scala 432:107] + node _T_3458 = mux(_T_3454, ibuf_dual, _T_3457) @[lsu_bus_buffer.scala 432:47] node _T_3459 = cat(_T_3458, _T_3453) @[Cat.scala 29:58] node _T_3460 = cat(_T_3459, _T_3448) @[Cat.scala 29:58] node _T_3461 = cat(_T_3460, _T_3443) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3461 @[lsu_bus_buffer.scala 438:17] - node _T_3462 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 439:65] - node _T_3463 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 439:97] - node _T_3464 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 439:125] - node _T_3465 = and(_T_3463, _T_3464) @[lsu_bus_buffer.scala 439:114] - node _T_3466 = mux(_T_3465, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 439:87] - node _T_3467 = mux(_T_3462, ibuf_dualtag, _T_3466) @[lsu_bus_buffer.scala 439:47] - node _T_3468 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 439:65] - node _T_3469 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 439:97] - node _T_3470 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 439:125] - node _T_3471 = and(_T_3469, _T_3470) @[lsu_bus_buffer.scala 439:114] - node _T_3472 = mux(_T_3471, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 439:87] - node _T_3473 = mux(_T_3468, ibuf_dualtag, _T_3472) @[lsu_bus_buffer.scala 439:47] - node _T_3474 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 439:65] - node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 439:97] - node _T_3476 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 439:125] - node _T_3477 = and(_T_3475, _T_3476) @[lsu_bus_buffer.scala 439:114] - node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 439:87] - node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[lsu_bus_buffer.scala 439:47] - node _T_3480 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 439:65] - node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 439:97] - node _T_3482 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 439:125] - node _T_3483 = and(_T_3481, _T_3482) @[lsu_bus_buffer.scala 439:114] - node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 439:87] - node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[lsu_bus_buffer.scala 439:47] - buf_dualtag_in[0] <= _T_3467 @[lsu_bus_buffer.scala 439:18] - buf_dualtag_in[1] <= _T_3473 @[lsu_bus_buffer.scala 439:18] - buf_dualtag_in[2] <= _T_3479 @[lsu_bus_buffer.scala 439:18] - buf_dualtag_in[3] <= _T_3485 @[lsu_bus_buffer.scala 439:18] - node _T_3486 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 440:69] - node _T_3487 = mux(_T_3486, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 440:51] - node _T_3488 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 440:69] - node _T_3489 = mux(_T_3488, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 440:51] - node _T_3490 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 440:69] - node _T_3491 = mux(_T_3490, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 440:51] - node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 440:69] - node _T_3493 = mux(_T_3492, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 440:51] + buf_dualhi_in <= _T_3461 @[lsu_bus_buffer.scala 432:17] + node _T_3462 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] + node _T_3463 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3464 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:125] + node _T_3465 = and(_T_3463, _T_3464) @[lsu_bus_buffer.scala 433:114] + node _T_3466 = mux(_T_3465, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3467 = mux(_T_3462, ibuf_dualtag, _T_3466) @[lsu_bus_buffer.scala 433:47] + node _T_3468 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] + node _T_3469 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3470 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:125] + node _T_3471 = and(_T_3469, _T_3470) @[lsu_bus_buffer.scala 433:114] + node _T_3472 = mux(_T_3471, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3473 = mux(_T_3468, ibuf_dualtag, _T_3472) @[lsu_bus_buffer.scala 433:47] + node _T_3474 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] + node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3476 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:125] + node _T_3477 = and(_T_3475, _T_3476) @[lsu_bus_buffer.scala 433:114] + node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[lsu_bus_buffer.scala 433:47] + node _T_3480 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] + node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3482 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:125] + node _T_3483 = and(_T_3481, _T_3482) @[lsu_bus_buffer.scala 433:114] + node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[lsu_bus_buffer.scala 433:47] + buf_dualtag_in[0] <= _T_3467 @[lsu_bus_buffer.scala 433:18] + buf_dualtag_in[1] <= _T_3473 @[lsu_bus_buffer.scala 433:18] + buf_dualtag_in[2] <= _T_3479 @[lsu_bus_buffer.scala 433:18] + buf_dualtag_in[3] <= _T_3485 @[lsu_bus_buffer.scala 433:18] + node _T_3486 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:69] + node _T_3487 = mux(_T_3486, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3488 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:69] + node _T_3489 = mux(_T_3488, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3490 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:69] + node _T_3491 = mux(_T_3490, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:69] + node _T_3493 = mux(_T_3492, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] node _T_3494 = cat(_T_3493, _T_3491) @[Cat.scala 29:58] node _T_3495 = cat(_T_3494, _T_3489) @[Cat.scala 29:58] node _T_3496 = cat(_T_3495, _T_3487) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3496 @[lsu_bus_buffer.scala 440:21] - node _T_3497 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 441:65] - node _T_3498 = mux(_T_3497, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 441:47] - node _T_3499 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 441:65] - node _T_3500 = mux(_T_3499, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 441:47] - node _T_3501 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 441:65] - node _T_3502 = mux(_T_3501, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 441:47] - node _T_3503 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 441:65] - node _T_3504 = mux(_T_3503, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 441:47] + buf_sideeffect_in <= _T_3496 @[lsu_bus_buffer.scala 434:21] + node _T_3497 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65] + node _T_3498 = mux(_T_3497, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3499 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65] + node _T_3500 = mux(_T_3499, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3501 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65] + node _T_3502 = mux(_T_3501, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3503 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65] + node _T_3504 = mux(_T_3503, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] node _T_3505 = cat(_T_3504, _T_3502) @[Cat.scala 29:58] node _T_3506 = cat(_T_3505, _T_3500) @[Cat.scala 29:58] node _T_3507 = cat(_T_3506, _T_3498) @[Cat.scala 29:58] - buf_unsign_in <= _T_3507 @[lsu_bus_buffer.scala 441:17] - node _T_3508 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 442:60] + buf_unsign_in <= _T_3507 @[lsu_bus_buffer.scala 435:17] + node _T_3508 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:60] node _T_3509 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 442:42] - node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 442:60] + node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 436:42] + node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:60] node _T_3512 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 442:42] - node _T_3514 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 442:60] + node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 436:42] + node _T_3514 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:60] node _T_3515 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 442:42] - node _T_3517 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 442:60] + node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 436:42] + node _T_3517 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:60] node _T_3518 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3519 = mux(_T_3517, ibuf_sz, _T_3518) @[lsu_bus_buffer.scala 442:42] - buf_sz_in[0] <= _T_3510 @[lsu_bus_buffer.scala 442:13] - buf_sz_in[1] <= _T_3513 @[lsu_bus_buffer.scala 442:13] - buf_sz_in[2] <= _T_3516 @[lsu_bus_buffer.scala 442:13] - buf_sz_in[3] <= _T_3519 @[lsu_bus_buffer.scala 442:13] - node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 443:64] - node _T_3521 = mux(_T_3520, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 443:46] - node _T_3522 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 443:64] - node _T_3523 = mux(_T_3522, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 443:46] - node _T_3524 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 443:64] - node _T_3525 = mux(_T_3524, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 443:46] - node _T_3526 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 443:64] - node _T_3527 = mux(_T_3526, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 443:46] + node _T_3519 = mux(_T_3517, ibuf_sz, _T_3518) @[lsu_bus_buffer.scala 436:42] + buf_sz_in[0] <= _T_3510 @[lsu_bus_buffer.scala 436:13] + buf_sz_in[1] <= _T_3513 @[lsu_bus_buffer.scala 436:13] + buf_sz_in[2] <= _T_3516 @[lsu_bus_buffer.scala 436:13] + buf_sz_in[3] <= _T_3519 @[lsu_bus_buffer.scala 436:13] + node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:64] + node _T_3521 = mux(_T_3520, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3522 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:64] + node _T_3523 = mux(_T_3522, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3524 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:64] + node _T_3525 = mux(_T_3524, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3526 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:64] + node _T_3527 = mux(_T_3526, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] node _T_3528 = cat(_T_3527, _T_3525) @[Cat.scala 29:58] node _T_3529 = cat(_T_3528, _T_3523) @[Cat.scala 29:58] node _T_3530 = cat(_T_3529, _T_3521) @[Cat.scala 29:58] - buf_write_in <= _T_3530 @[lsu_bus_buffer.scala 443:16] + buf_write_in <= _T_3530 @[lsu_bus_buffer.scala 437:16] node _T_3531 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3531 : @[Conditional.scala 40:58] - node _T_3532 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 448:56] - node _T_3533 = mux(_T_3532, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 448:31] - buf_nxtstate[0] <= _T_3533 @[lsu_bus_buffer.scala 448:25] - node _T_3534 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 449:45] - node _T_3535 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:77] - node _T_3536 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 449:97] - node _T_3537 = and(_T_3535, _T_3536) @[lsu_bus_buffer.scala 449:95] - node _T_3538 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 449:117] - node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 449:112] - node _T_3540 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:144] - node _T_3541 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 449:166] - node _T_3542 = and(_T_3540, _T_3541) @[lsu_bus_buffer.scala 449:161] - node _T_3543 = or(_T_3539, _T_3542) @[lsu_bus_buffer.scala 449:132] - node _T_3544 = and(_T_3534, _T_3543) @[lsu_bus_buffer.scala 449:63] - node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 449:206] - node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 449:201] - node _T_3547 = or(_T_3544, _T_3546) @[lsu_bus_buffer.scala 449:183] - buf_state_en[0] <= _T_3547 @[lsu_bus_buffer.scala 449:25] - buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 450:22] - buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 451:24] - node _T_3548 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 452:52] - node _T_3549 = and(ibuf_drain_vld, _T_3548) @[lsu_bus_buffer.scala 452:47] - node _T_3550 = bits(_T_3549, 0, 0) @[lsu_bus_buffer.scala 452:73] - node _T_3551 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 452:90] - node _T_3552 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 452:114] - node _T_3553 = mux(_T_3550, _T_3551, _T_3552) @[lsu_bus_buffer.scala 452:30] - buf_data_in[0] <= _T_3553 @[lsu_bus_buffer.scala 452:24] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] + node _T_3532 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_3533 = mux(_T_3532, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[0] <= _T_3533 @[lsu_bus_buffer.scala 442:25] + node _T_3534 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_3535 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_3536 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_3537 = and(_T_3535, _T_3536) @[lsu_bus_buffer.scala 443:95] + node _T_3538 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 443:112] + node _T_3540 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_3541 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_3542 = and(_T_3540, _T_3541) @[lsu_bus_buffer.scala 443:161] + node _T_3543 = or(_T_3539, _T_3542) @[lsu_bus_buffer.scala 443:132] + node _T_3544 = and(_T_3534, _T_3543) @[lsu_bus_buffer.scala 443:63] + node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 443:201] + node _T_3547 = or(_T_3544, _T_3546) @[lsu_bus_buffer.scala 443:183] + buf_state_en[0] <= _T_3547 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 444:22] + buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 445:24] + node _T_3548 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_3549 = and(ibuf_drain_vld, _T_3548) @[lsu_bus_buffer.scala 446:47] + node _T_3550 = bits(_T_3549, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_3551 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_3552 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_3553 = mux(_T_3550, _T_3551, _T_3552) @[lsu_bus_buffer.scala 446:30] + buf_data_in[0] <= _T_3553 @[lsu_bus_buffer.scala 446:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 447:34] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3554 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3554 : @[Conditional.scala 39:67] - node _T_3555 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 456:60] - node _T_3556 = mux(_T_3555, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 456:31] - buf_nxtstate[0] <= _T_3556 @[lsu_bus_buffer.scala 456:25] - node _T_3557 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:46] - buf_state_en[0] <= _T_3557 @[lsu_bus_buffer.scala 457:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 458:34] + node _T_3555 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_3556 = mux(_T_3555, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[0] <= _T_3556 @[lsu_bus_buffer.scala 450:25] + node _T_3557 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[0] <= _T_3557 @[lsu_bus_buffer.scala 451:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3558 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3558 : @[Conditional.scala 39:67] - node _T_3559 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 461:60] - node _T_3560 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 461:89] - node _T_3561 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 461:124] - node _T_3562 = and(_T_3560, _T_3561) @[lsu_bus_buffer.scala 461:104] - node _T_3563 = mux(_T_3562, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 461:75] - node _T_3564 = mux(_T_3559, UInt<3>("h00"), _T_3563) @[lsu_bus_buffer.scala 461:31] - buf_nxtstate[0] <= _T_3564 @[lsu_bus_buffer.scala 461:25] - node _T_3565 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 462:48] - node _T_3566 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 462:104] - node _T_3567 = and(obuf_merge, _T_3566) @[lsu_bus_buffer.scala 462:91] - node _T_3568 = or(_T_3565, _T_3567) @[lsu_bus_buffer.scala 462:77] - node _T_3569 = and(_T_3568, obuf_valid) @[lsu_bus_buffer.scala 462:135] - node _T_3570 = and(_T_3569, obuf_wr_enQ) @[lsu_bus_buffer.scala 462:148] - buf_cmd_state_bus_en[0] <= _T_3570 @[lsu_bus_buffer.scala 462:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 463:29] - node _T_3571 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:49] - node _T_3572 = or(_T_3571, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 464:70] - buf_state_en[0] <= _T_3572 @[lsu_bus_buffer.scala 464:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 465:25] - node _T_3573 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 466:56] - node _T_3574 = eq(_T_3573, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:46] - node _T_3575 = and(buf_state_en[0], _T_3574) @[lsu_bus_buffer.scala 466:44] - node _T_3576 = and(_T_3575, obuf_nosend) @[lsu_bus_buffer.scala 466:60] - node _T_3577 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:76] - node _T_3578 = and(_T_3576, _T_3577) @[lsu_bus_buffer.scala 466:74] - buf_ldfwd_en[0] <= _T_3578 @[lsu_bus_buffer.scala 466:25] - node _T_3579 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 467:46] - buf_ldfwdtag_in[0] <= _T_3579 @[lsu_bus_buffer.scala 467:28] - node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:47] - node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 468:67] - node _T_3582 = and(_T_3581, bus_rsp_read) @[lsu_bus_buffer.scala 468:81] - buf_data_en[0] <= _T_3582 @[lsu_bus_buffer.scala 468:24] - node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 469:48] - node _T_3584 = and(_T_3583, obuf_nosend) @[lsu_bus_buffer.scala 469:68] - node _T_3585 = and(_T_3584, bus_rsp_read_error) @[lsu_bus_buffer.scala 469:82] - buf_error_en[0] <= _T_3585 @[lsu_bus_buffer.scala 469:25] - node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:61] - node _T_3587 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 470:85] - node _T_3588 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 470:103] - node _T_3589 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:126] - node _T_3590 = mux(_T_3587, _T_3588, _T_3589) @[lsu_bus_buffer.scala 470:73] - node _T_3591 = mux(buf_error_en[0], _T_3586, _T_3590) @[lsu_bus_buffer.scala 470:30] - buf_data_in[0] <= _T_3591 @[lsu_bus_buffer.scala 470:24] + node _T_3559 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] + node _T_3560 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 455:89] + node _T_3561 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 455:124] + node _T_3562 = and(_T_3560, _T_3561) @[lsu_bus_buffer.scala 455:104] + node _T_3563 = mux(_T_3562, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 455:75] + node _T_3564 = mux(_T_3559, UInt<3>("h00"), _T_3563) @[lsu_bus_buffer.scala 455:31] + buf_nxtstate[0] <= _T_3564 @[lsu_bus_buffer.scala 455:25] + node _T_3565 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 456:48] + node _T_3566 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 456:104] + node _T_3567 = and(obuf_merge, _T_3566) @[lsu_bus_buffer.scala 456:91] + node _T_3568 = or(_T_3565, _T_3567) @[lsu_bus_buffer.scala 456:77] + node _T_3569 = and(_T_3568, obuf_valid) @[lsu_bus_buffer.scala 456:135] + node _T_3570 = and(_T_3569, obuf_wr_enQ) @[lsu_bus_buffer.scala 456:148] + buf_cmd_state_bus_en[0] <= _T_3570 @[lsu_bus_buffer.scala 456:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 457:29] + node _T_3571 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 458:49] + node _T_3572 = or(_T_3571, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 458:70] + buf_state_en[0] <= _T_3572 @[lsu_bus_buffer.scala 458:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 459:25] + node _T_3573 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 460:56] + node _T_3574 = eq(_T_3573, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:46] + node _T_3575 = and(buf_state_en[0], _T_3574) @[lsu_bus_buffer.scala 460:44] + node _T_3576 = and(_T_3575, obuf_nosend) @[lsu_bus_buffer.scala 460:60] + node _T_3577 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:76] + node _T_3578 = and(_T_3576, _T_3577) @[lsu_bus_buffer.scala 460:74] + buf_ldfwd_en[0] <= _T_3578 @[lsu_bus_buffer.scala 460:25] + node _T_3579 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 461:46] + buf_ldfwdtag_in[0] <= _T_3579 @[lsu_bus_buffer.scala 461:28] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:47] + node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 462:67] + node _T_3582 = and(_T_3581, bus_rsp_read) @[lsu_bus_buffer.scala 462:81] + buf_data_en[0] <= _T_3582 @[lsu_bus_buffer.scala 462:24] + node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:48] + node _T_3584 = and(_T_3583, obuf_nosend) @[lsu_bus_buffer.scala 463:68] + node _T_3585 = and(_T_3584, bus_rsp_read_error) @[lsu_bus_buffer.scala 463:82] + buf_error_en[0] <= _T_3585 @[lsu_bus_buffer.scala 463:25] + node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:61] + node _T_3587 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 464:85] + node _T_3588 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 464:103] + node _T_3589 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:126] + node _T_3590 = mux(_T_3587, _T_3588, _T_3589) @[lsu_bus_buffer.scala 464:73] + node _T_3591 = mux(buf_error_en[0], _T_3586, _T_3590) @[lsu_bus_buffer.scala 464:30] + buf_data_in[0] <= _T_3591 @[lsu_bus_buffer.scala 464:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3592 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3592 : @[Conditional.scala 39:67] - node _T_3593 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 473:69] - node _T_3594 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:75] - node _T_3595 = and(_T_3593, _T_3594) @[lsu_bus_buffer.scala 473:73] - node _T_3596 = or(io.dec_tlu_force_halt, _T_3595) @[lsu_bus_buffer.scala 473:57] - node _T_3597 = bits(_T_3596, 0, 0) @[lsu_bus_buffer.scala 473:104] - node _T_3598 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:30] - node _T_3599 = and(buf_dual[0], _T_3598) @[lsu_bus_buffer.scala 474:28] - node _T_3600 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 474:57] - node _T_3601 = eq(_T_3600, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:47] - node _T_3602 = and(_T_3599, _T_3601) @[lsu_bus_buffer.scala 474:45] - node _T_3603 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:90] - node _T_3604 = and(_T_3602, _T_3603) @[lsu_bus_buffer.scala 474:61] - node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 475:27] - node _T_3606 = or(_T_3605, any_done_wait_state) @[lsu_bus_buffer.scala 475:31] - node _T_3607 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 475:70] - node _T_3608 = and(buf_dual[0], _T_3607) @[lsu_bus_buffer.scala 475:68] - node _T_3609 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 475:97] - node _T_3610 = eq(_T_3609, UInt<1>("h00")) @[lsu_bus_buffer.scala 475:87] - node _T_3611 = and(_T_3608, _T_3610) @[lsu_bus_buffer.scala 475:85] + node _T_3593 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:69] + node _T_3594 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:75] + node _T_3595 = and(_T_3593, _T_3594) @[lsu_bus_buffer.scala 467:73] + node _T_3596 = or(io.dec_tlu_force_halt, _T_3595) @[lsu_bus_buffer.scala 467:57] + node _T_3597 = bits(_T_3596, 0, 0) @[lsu_bus_buffer.scala 467:104] + node _T_3598 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:30] + node _T_3599 = and(buf_dual[0], _T_3598) @[lsu_bus_buffer.scala 468:28] + node _T_3600 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 468:57] + node _T_3601 = eq(_T_3600, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:47] + node _T_3602 = and(_T_3599, _T_3601) @[lsu_bus_buffer.scala 468:45] + node _T_3603 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:90] + node _T_3604 = and(_T_3602, _T_3603) @[lsu_bus_buffer.scala 468:61] + node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 469:27] + node _T_3606 = or(_T_3605, any_done_wait_state) @[lsu_bus_buffer.scala 469:31] + node _T_3607 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 469:70] + node _T_3608 = and(buf_dual[0], _T_3607) @[lsu_bus_buffer.scala 469:68] + node _T_3609 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 469:97] + node _T_3610 = eq(_T_3609, UInt<1>("h00")) @[lsu_bus_buffer.scala 469:87] + node _T_3611 = and(_T_3608, _T_3610) @[lsu_bus_buffer.scala 469:85] node _T_3612 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_3613 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_3614 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -4590,269 +4590,269 @@ circuit lsu_bus_buffer : node _T_3626 = or(_T_3625, _T_3623) @[Mux.scala 27:72] wire _T_3627 : UInt<1> @[Mux.scala 27:72] _T_3627 <= _T_3626 @[Mux.scala 27:72] - node _T_3628 = and(_T_3611, _T_3627) @[lsu_bus_buffer.scala 475:101] - node _T_3629 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 475:167] - node _T_3630 = and(_T_3628, _T_3629) @[lsu_bus_buffer.scala 475:138] - node _T_3631 = and(_T_3630, any_done_wait_state) @[lsu_bus_buffer.scala 475:187] - node _T_3632 = or(_T_3606, _T_3631) @[lsu_bus_buffer.scala 475:53] - node _T_3633 = mux(_T_3632, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 475:16] - node _T_3634 = mux(_T_3604, UInt<3>("h04"), _T_3633) @[lsu_bus_buffer.scala 474:14] - node _T_3635 = mux(_T_3597, UInt<3>("h00"), _T_3634) @[lsu_bus_buffer.scala 473:33] - buf_nxtstate[0] <= _T_3635 @[lsu_bus_buffer.scala 473:27] - node _T_3636 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 476:73] - node _T_3637 = and(bus_rsp_write, _T_3636) @[lsu_bus_buffer.scala 476:52] - node _T_3638 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 477:46] - node _T_3639 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 478:23] - node _T_3640 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 478:47] - node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 478:27] - node _T_3642 = or(_T_3638, _T_3641) @[lsu_bus_buffer.scala 477:77] - node _T_3643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 479:26] - node _T_3644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 479:54] - node _T_3645 = not(_T_3644) @[lsu_bus_buffer.scala 479:44] - node _T_3646 = and(_T_3643, _T_3645) @[lsu_bus_buffer.scala 479:42] - node _T_3647 = and(_T_3646, buf_samedw[0]) @[lsu_bus_buffer.scala 479:58] - node _T_3648 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 479:94] - node _T_3649 = and(_T_3647, _T_3648) @[lsu_bus_buffer.scala 479:74] - node _T_3650 = or(_T_3642, _T_3649) @[lsu_bus_buffer.scala 478:71] - node _T_3651 = and(bus_rsp_read, _T_3650) @[lsu_bus_buffer.scala 477:25] - node _T_3652 = or(_T_3637, _T_3651) @[lsu_bus_buffer.scala 476:105] - buf_resp_state_bus_en[0] <= _T_3652 @[lsu_bus_buffer.scala 476:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 480:29] - node _T_3653 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:49] - node _T_3654 = or(_T_3653, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 481:70] - buf_state_en[0] <= _T_3654 @[lsu_bus_buffer.scala 481:25] - node _T_3655 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 482:47] - node _T_3656 = and(_T_3655, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:62] - buf_data_en[0] <= _T_3656 @[lsu_bus_buffer.scala 482:24] - node _T_3657 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:48] - node _T_3658 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 483:111] - node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 483:91] - node _T_3660 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 484:42] - node _T_3661 = and(bus_rsp_read_error, _T_3660) @[lsu_bus_buffer.scala 484:31] - node _T_3662 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 484:66] - node _T_3663 = and(_T_3661, _T_3662) @[lsu_bus_buffer.scala 484:46] - node _T_3664 = or(_T_3659, _T_3663) @[lsu_bus_buffer.scala 483:143] - node _T_3665 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 485:54] - node _T_3666 = and(bus_rsp_write_error, _T_3665) @[lsu_bus_buffer.scala 485:33] - node _T_3667 = or(_T_3664, _T_3666) @[lsu_bus_buffer.scala 484:88] - node _T_3668 = and(_T_3657, _T_3667) @[lsu_bus_buffer.scala 483:68] - buf_error_en[0] <= _T_3668 @[lsu_bus_buffer.scala 483:25] - node _T_3669 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 486:50] - node _T_3670 = and(buf_state_en[0], _T_3669) @[lsu_bus_buffer.scala 486:48] - node _T_3671 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 486:84] - node _T_3672 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 486:102] - node _T_3673 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:125] - node _T_3674 = mux(_T_3671, _T_3672, _T_3673) @[lsu_bus_buffer.scala 486:72] - node _T_3675 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:148] - node _T_3676 = mux(_T_3670, _T_3674, _T_3675) @[lsu_bus_buffer.scala 486:30] - buf_data_in[0] <= _T_3676 @[lsu_bus_buffer.scala 486:24] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 487:34] + node _T_3628 = and(_T_3611, _T_3627) @[lsu_bus_buffer.scala 469:101] + node _T_3629 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 469:167] + node _T_3630 = and(_T_3628, _T_3629) @[lsu_bus_buffer.scala 469:138] + node _T_3631 = and(_T_3630, any_done_wait_state) @[lsu_bus_buffer.scala 469:187] + node _T_3632 = or(_T_3606, _T_3631) @[lsu_bus_buffer.scala 469:53] + node _T_3633 = mux(_T_3632, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 469:16] + node _T_3634 = mux(_T_3604, UInt<3>("h04"), _T_3633) @[lsu_bus_buffer.scala 468:14] + node _T_3635 = mux(_T_3597, UInt<3>("h00"), _T_3634) @[lsu_bus_buffer.scala 467:33] + buf_nxtstate[0] <= _T_3635 @[lsu_bus_buffer.scala 467:27] + node _T_3636 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 470:73] + node _T_3637 = and(bus_rsp_write, _T_3636) @[lsu_bus_buffer.scala 470:52] + node _T_3638 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 471:46] + node _T_3639 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 472:23] + node _T_3640 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 472:47] + node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 472:27] + node _T_3642 = or(_T_3638, _T_3641) @[lsu_bus_buffer.scala 471:77] + node _T_3643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 473:26] + node _T_3644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 473:54] + node _T_3645 = not(_T_3644) @[lsu_bus_buffer.scala 473:44] + node _T_3646 = and(_T_3643, _T_3645) @[lsu_bus_buffer.scala 473:42] + node _T_3647 = and(_T_3646, buf_samedw[0]) @[lsu_bus_buffer.scala 473:58] + node _T_3648 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 473:94] + node _T_3649 = and(_T_3647, _T_3648) @[lsu_bus_buffer.scala 473:74] + node _T_3650 = or(_T_3642, _T_3649) @[lsu_bus_buffer.scala 472:71] + node _T_3651 = and(bus_rsp_read, _T_3650) @[lsu_bus_buffer.scala 471:25] + node _T_3652 = or(_T_3637, _T_3651) @[lsu_bus_buffer.scala 470:105] + buf_resp_state_bus_en[0] <= _T_3652 @[lsu_bus_buffer.scala 470:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 474:29] + node _T_3653 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:49] + node _T_3654 = or(_T_3653, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 475:70] + buf_state_en[0] <= _T_3654 @[lsu_bus_buffer.scala 475:25] + node _T_3655 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 476:47] + node _T_3656 = and(_T_3655, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:62] + buf_data_en[0] <= _T_3656 @[lsu_bus_buffer.scala 476:24] + node _T_3657 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 477:48] + node _T_3658 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 477:111] + node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 477:91] + node _T_3660 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 478:42] + node _T_3661 = and(bus_rsp_read_error, _T_3660) @[lsu_bus_buffer.scala 478:31] + node _T_3662 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 478:66] + node _T_3663 = and(_T_3661, _T_3662) @[lsu_bus_buffer.scala 478:46] + node _T_3664 = or(_T_3659, _T_3663) @[lsu_bus_buffer.scala 477:143] + node _T_3665 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 479:54] + node _T_3666 = and(bus_rsp_write_error, _T_3665) @[lsu_bus_buffer.scala 479:33] + node _T_3667 = or(_T_3664, _T_3666) @[lsu_bus_buffer.scala 478:88] + node _T_3668 = and(_T_3657, _T_3667) @[lsu_bus_buffer.scala 477:68] + buf_error_en[0] <= _T_3668 @[lsu_bus_buffer.scala 477:25] + node _T_3669 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 480:50] + node _T_3670 = and(buf_state_en[0], _T_3669) @[lsu_bus_buffer.scala 480:48] + node _T_3671 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 480:84] + node _T_3672 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 480:102] + node _T_3673 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:125] + node _T_3674 = mux(_T_3671, _T_3672, _T_3673) @[lsu_bus_buffer.scala 480:72] + node _T_3675 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:148] + node _T_3676 = mux(_T_3670, _T_3674, _T_3675) @[lsu_bus_buffer.scala 480:30] + buf_data_in[0] <= _T_3676 @[lsu_bus_buffer.scala 480:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 481:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3677 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3677 : @[Conditional.scala 39:67] - node _T_3678 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 490:60] - node _T_3679 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 490:86] - node _T_3680 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 490:101] - node _T_3681 = bits(_T_3680, 0, 0) @[lsu_bus_buffer.scala 490:101] - node _T_3682 = or(_T_3679, _T_3681) @[lsu_bus_buffer.scala 490:90] - node _T_3683 = or(_T_3682, any_done_wait_state) @[lsu_bus_buffer.scala 490:118] - node _T_3684 = mux(_T_3683, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 490:75] - node _T_3685 = mux(_T_3678, UInt<3>("h00"), _T_3684) @[lsu_bus_buffer.scala 490:31] - buf_nxtstate[0] <= _T_3685 @[lsu_bus_buffer.scala 490:25] - node _T_3686 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 491:66] - node _T_3687 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 492:21] - node _T_3688 = bits(_T_3687, 0, 0) @[lsu_bus_buffer.scala 492:21] - node _T_3689 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 492:58] - node _T_3690 = and(_T_3688, _T_3689) @[lsu_bus_buffer.scala 492:38] - node _T_3691 = or(_T_3686, _T_3690) @[lsu_bus_buffer.scala 491:95] - node _T_3692 = and(bus_rsp_read, _T_3691) @[lsu_bus_buffer.scala 491:45] - buf_state_bus_en[0] <= _T_3692 @[lsu_bus_buffer.scala 491:29] - node _T_3693 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 493:49] - node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 493:70] - buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 493:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:34] + node _T_3678 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 484:60] + node _T_3679 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 484:86] + node _T_3680 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 484:101] + node _T_3681 = bits(_T_3680, 0, 0) @[lsu_bus_buffer.scala 484:101] + node _T_3682 = or(_T_3679, _T_3681) @[lsu_bus_buffer.scala 484:90] + node _T_3683 = or(_T_3682, any_done_wait_state) @[lsu_bus_buffer.scala 484:118] + node _T_3684 = mux(_T_3683, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 484:75] + node _T_3685 = mux(_T_3678, UInt<3>("h00"), _T_3684) @[lsu_bus_buffer.scala 484:31] + buf_nxtstate[0] <= _T_3685 @[lsu_bus_buffer.scala 484:25] + node _T_3686 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 485:66] + node _T_3687 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 486:21] + node _T_3688 = bits(_T_3687, 0, 0) @[lsu_bus_buffer.scala 486:21] + node _T_3689 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 486:58] + node _T_3690 = and(_T_3688, _T_3689) @[lsu_bus_buffer.scala 486:38] + node _T_3691 = or(_T_3686, _T_3690) @[lsu_bus_buffer.scala 485:95] + node _T_3692 = and(bus_rsp_read, _T_3691) @[lsu_bus_buffer.scala 485:45] + buf_state_bus_en[0] <= _T_3692 @[lsu_bus_buffer.scala 485:29] + node _T_3693 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 487:49] + node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 487:70] + buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 487:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3695 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3695 : @[Conditional.scala 39:67] - node _T_3696 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 497:60] - node _T_3697 = mux(_T_3696, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 497:31] - buf_nxtstate[0] <= _T_3697 @[lsu_bus_buffer.scala 497:25] - node _T_3698 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 498:37] - node _T_3699 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 498:98] - node _T_3700 = and(buf_dual[0], _T_3699) @[lsu_bus_buffer.scala 498:80] - node _T_3701 = or(_T_3698, _T_3700) @[lsu_bus_buffer.scala 498:65] - node _T_3702 = or(_T_3701, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 498:112] - buf_state_en[0] <= _T_3702 @[lsu_bus_buffer.scala 498:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:34] + node _T_3696 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 491:60] + node _T_3697 = mux(_T_3696, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 491:31] + buf_nxtstate[0] <= _T_3697 @[lsu_bus_buffer.scala 491:25] + node _T_3698 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 492:37] + node _T_3699 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 492:98] + node _T_3700 = and(buf_dual[0], _T_3699) @[lsu_bus_buffer.scala 492:80] + node _T_3701 = or(_T_3698, _T_3700) @[lsu_bus_buffer.scala 492:65] + node _T_3702 = or(_T_3701, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:112] + buf_state_en[0] <= _T_3702 @[lsu_bus_buffer.scala 492:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3703 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3703 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] - buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] - buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 506:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 496:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 497:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 498:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 500:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 501:34] skip @[Conditional.scala 39:67] - node _T_3704 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 510:108] + node _T_3704 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 504:108] reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3704 : @[Reg.scala 28:19] _T_3705 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3705 @[lsu_bus_buffer.scala 510:18] - reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] - _T_3706 <= buf_age_in_0 @[lsu_bus_buffer.scala 511:60] - buf_ageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 511:17] - reg _T_3707 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] - _T_3707 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 512:63] - buf_rspageQ[0] <= _T_3707 @[lsu_bus_buffer.scala 512:20] - node _T_3708 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:109] + buf_state[0] <= _T_3705 @[lsu_bus_buffer.scala 504:18] + reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 505:60] + _T_3706 <= buf_age_in_0 @[lsu_bus_buffer.scala 505:60] + buf_ageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 505:17] + reg _T_3707 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 506:63] + _T_3707 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 506:63] + buf_rspageQ[0] <= _T_3707 @[lsu_bus_buffer.scala 506:20] + node _T_3708 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 507:109] reg _T_3709 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3708 : @[Reg.scala 28:19] _T_3709 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3709 @[lsu_bus_buffer.scala 513:20] - node _T_3710 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 514:74] - node _T_3711 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:107] + buf_dualtag[0] <= _T_3709 @[lsu_bus_buffer.scala 507:20] + node _T_3710 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 508:74] + node _T_3711 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 508:107] reg _T_3712 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3711 : @[Reg.scala 28:19] _T_3712 <= _T_3710 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3712 @[lsu_bus_buffer.scala 514:17] - node _T_3713 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 515:78] - node _T_3714 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:111] + buf_dual[0] <= _T_3712 @[lsu_bus_buffer.scala 508:17] + node _T_3713 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 509:78] + node _T_3714 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 509:111] reg _T_3715 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3714 : @[Reg.scala 28:19] _T_3715 <= _T_3713 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3715 @[lsu_bus_buffer.scala 515:19] - node _T_3716 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 516:80] - node _T_3717 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:113] + buf_samedw[0] <= _T_3715 @[lsu_bus_buffer.scala 509:19] + node _T_3716 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 510:80] + node _T_3717 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:113] reg _T_3718 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3717 : @[Reg.scala 28:19] _T_3718 <= _T_3716 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3718 @[lsu_bus_buffer.scala 516:20] - node _T_3719 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 517:78] - node _T_3720 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 517:111] + buf_nomerge[0] <= _T_3718 @[lsu_bus_buffer.scala 510:20] + node _T_3719 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 511:78] + node _T_3720 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:111] reg _T_3721 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3720 : @[Reg.scala 28:19] _T_3721 <= _T_3719 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3721 @[lsu_bus_buffer.scala 517:19] + buf_dualhi[0] <= _T_3721 @[lsu_bus_buffer.scala 511:19] node _T_3722 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3722 : @[Conditional.scala 40:58] - node _T_3723 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 448:56] - node _T_3724 = mux(_T_3723, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 448:31] - buf_nxtstate[1] <= _T_3724 @[lsu_bus_buffer.scala 448:25] - node _T_3725 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 449:45] - node _T_3726 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:77] - node _T_3727 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 449:97] - node _T_3728 = and(_T_3726, _T_3727) @[lsu_bus_buffer.scala 449:95] - node _T_3729 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 449:117] - node _T_3730 = and(_T_3728, _T_3729) @[lsu_bus_buffer.scala 449:112] - node _T_3731 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:144] - node _T_3732 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 449:166] - node _T_3733 = and(_T_3731, _T_3732) @[lsu_bus_buffer.scala 449:161] - node _T_3734 = or(_T_3730, _T_3733) @[lsu_bus_buffer.scala 449:132] - node _T_3735 = and(_T_3725, _T_3734) @[lsu_bus_buffer.scala 449:63] - node _T_3736 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 449:206] - node _T_3737 = and(ibuf_drain_vld, _T_3736) @[lsu_bus_buffer.scala 449:201] - node _T_3738 = or(_T_3735, _T_3737) @[lsu_bus_buffer.scala 449:183] - buf_state_en[1] <= _T_3738 @[lsu_bus_buffer.scala 449:25] - buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 450:22] - buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 451:24] - node _T_3739 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 452:52] - node _T_3740 = and(ibuf_drain_vld, _T_3739) @[lsu_bus_buffer.scala 452:47] - node _T_3741 = bits(_T_3740, 0, 0) @[lsu_bus_buffer.scala 452:73] - node _T_3742 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 452:90] - node _T_3743 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 452:114] - node _T_3744 = mux(_T_3741, _T_3742, _T_3743) @[lsu_bus_buffer.scala 452:30] - buf_data_in[1] <= _T_3744 @[lsu_bus_buffer.scala 452:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] + node _T_3723 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_3724 = mux(_T_3723, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[1] <= _T_3724 @[lsu_bus_buffer.scala 442:25] + node _T_3725 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_3726 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_3727 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_3728 = and(_T_3726, _T_3727) @[lsu_bus_buffer.scala 443:95] + node _T_3729 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_3730 = and(_T_3728, _T_3729) @[lsu_bus_buffer.scala 443:112] + node _T_3731 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_3732 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_3733 = and(_T_3731, _T_3732) @[lsu_bus_buffer.scala 443:161] + node _T_3734 = or(_T_3730, _T_3733) @[lsu_bus_buffer.scala 443:132] + node _T_3735 = and(_T_3725, _T_3734) @[lsu_bus_buffer.scala 443:63] + node _T_3736 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_3737 = and(ibuf_drain_vld, _T_3736) @[lsu_bus_buffer.scala 443:201] + node _T_3738 = or(_T_3735, _T_3737) @[lsu_bus_buffer.scala 443:183] + buf_state_en[1] <= _T_3738 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 444:22] + buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 445:24] + node _T_3739 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_3740 = and(ibuf_drain_vld, _T_3739) @[lsu_bus_buffer.scala 446:47] + node _T_3741 = bits(_T_3740, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_3742 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_3743 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_3744 = mux(_T_3741, _T_3742, _T_3743) @[lsu_bus_buffer.scala 446:30] + buf_data_in[1] <= _T_3744 @[lsu_bus_buffer.scala 446:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 447:34] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3745 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3745 : @[Conditional.scala 39:67] - node _T_3746 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 456:60] - node _T_3747 = mux(_T_3746, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 456:31] - buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 456:25] - node _T_3748 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:46] - buf_state_en[1] <= _T_3748 @[lsu_bus_buffer.scala 457:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 458:34] + node _T_3746 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_3747 = mux(_T_3746, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 450:25] + node _T_3748 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[1] <= _T_3748 @[lsu_bus_buffer.scala 451:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3749 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3749 : @[Conditional.scala 39:67] - node _T_3750 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 461:60] - node _T_3751 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 461:89] - node _T_3752 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 461:124] - node _T_3753 = and(_T_3751, _T_3752) @[lsu_bus_buffer.scala 461:104] - node _T_3754 = mux(_T_3753, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 461:75] - node _T_3755 = mux(_T_3750, UInt<3>("h00"), _T_3754) @[lsu_bus_buffer.scala 461:31] - buf_nxtstate[1] <= _T_3755 @[lsu_bus_buffer.scala 461:25] - node _T_3756 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 462:48] - node _T_3757 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 462:104] - node _T_3758 = and(obuf_merge, _T_3757) @[lsu_bus_buffer.scala 462:91] - node _T_3759 = or(_T_3756, _T_3758) @[lsu_bus_buffer.scala 462:77] - node _T_3760 = and(_T_3759, obuf_valid) @[lsu_bus_buffer.scala 462:135] - node _T_3761 = and(_T_3760, obuf_wr_enQ) @[lsu_bus_buffer.scala 462:148] - buf_cmd_state_bus_en[1] <= _T_3761 @[lsu_bus_buffer.scala 462:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 463:29] - node _T_3762 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:49] - node _T_3763 = or(_T_3762, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 464:70] - buf_state_en[1] <= _T_3763 @[lsu_bus_buffer.scala 464:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 465:25] - node _T_3764 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 466:56] - node _T_3765 = eq(_T_3764, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:46] - node _T_3766 = and(buf_state_en[1], _T_3765) @[lsu_bus_buffer.scala 466:44] - node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 466:60] - node _T_3768 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:76] - node _T_3769 = and(_T_3767, _T_3768) @[lsu_bus_buffer.scala 466:74] - buf_ldfwd_en[1] <= _T_3769 @[lsu_bus_buffer.scala 466:25] - node _T_3770 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 467:46] - buf_ldfwdtag_in[1] <= _T_3770 @[lsu_bus_buffer.scala 467:28] - node _T_3771 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:47] - node _T_3772 = and(_T_3771, obuf_nosend) @[lsu_bus_buffer.scala 468:67] - node _T_3773 = and(_T_3772, bus_rsp_read) @[lsu_bus_buffer.scala 468:81] - buf_data_en[1] <= _T_3773 @[lsu_bus_buffer.scala 468:24] - node _T_3774 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 469:48] - node _T_3775 = and(_T_3774, obuf_nosend) @[lsu_bus_buffer.scala 469:68] - node _T_3776 = and(_T_3775, bus_rsp_read_error) @[lsu_bus_buffer.scala 469:82] - buf_error_en[1] <= _T_3776 @[lsu_bus_buffer.scala 469:25] - node _T_3777 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:61] - node _T_3778 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 470:85] - node _T_3779 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 470:103] - node _T_3780 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:126] - node _T_3781 = mux(_T_3778, _T_3779, _T_3780) @[lsu_bus_buffer.scala 470:73] - node _T_3782 = mux(buf_error_en[1], _T_3777, _T_3781) @[lsu_bus_buffer.scala 470:30] - buf_data_in[1] <= _T_3782 @[lsu_bus_buffer.scala 470:24] + node _T_3750 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] + node _T_3751 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 455:89] + node _T_3752 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 455:124] + node _T_3753 = and(_T_3751, _T_3752) @[lsu_bus_buffer.scala 455:104] + node _T_3754 = mux(_T_3753, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 455:75] + node _T_3755 = mux(_T_3750, UInt<3>("h00"), _T_3754) @[lsu_bus_buffer.scala 455:31] + buf_nxtstate[1] <= _T_3755 @[lsu_bus_buffer.scala 455:25] + node _T_3756 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 456:48] + node _T_3757 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 456:104] + node _T_3758 = and(obuf_merge, _T_3757) @[lsu_bus_buffer.scala 456:91] + node _T_3759 = or(_T_3756, _T_3758) @[lsu_bus_buffer.scala 456:77] + node _T_3760 = and(_T_3759, obuf_valid) @[lsu_bus_buffer.scala 456:135] + node _T_3761 = and(_T_3760, obuf_wr_enQ) @[lsu_bus_buffer.scala 456:148] + buf_cmd_state_bus_en[1] <= _T_3761 @[lsu_bus_buffer.scala 456:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 457:29] + node _T_3762 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 458:49] + node _T_3763 = or(_T_3762, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 458:70] + buf_state_en[1] <= _T_3763 @[lsu_bus_buffer.scala 458:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 459:25] + node _T_3764 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 460:56] + node _T_3765 = eq(_T_3764, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:46] + node _T_3766 = and(buf_state_en[1], _T_3765) @[lsu_bus_buffer.scala 460:44] + node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 460:60] + node _T_3768 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:76] + node _T_3769 = and(_T_3767, _T_3768) @[lsu_bus_buffer.scala 460:74] + buf_ldfwd_en[1] <= _T_3769 @[lsu_bus_buffer.scala 460:25] + node _T_3770 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 461:46] + buf_ldfwdtag_in[1] <= _T_3770 @[lsu_bus_buffer.scala 461:28] + node _T_3771 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:47] + node _T_3772 = and(_T_3771, obuf_nosend) @[lsu_bus_buffer.scala 462:67] + node _T_3773 = and(_T_3772, bus_rsp_read) @[lsu_bus_buffer.scala 462:81] + buf_data_en[1] <= _T_3773 @[lsu_bus_buffer.scala 462:24] + node _T_3774 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:48] + node _T_3775 = and(_T_3774, obuf_nosend) @[lsu_bus_buffer.scala 463:68] + node _T_3776 = and(_T_3775, bus_rsp_read_error) @[lsu_bus_buffer.scala 463:82] + buf_error_en[1] <= _T_3776 @[lsu_bus_buffer.scala 463:25] + node _T_3777 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:61] + node _T_3778 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 464:85] + node _T_3779 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 464:103] + node _T_3780 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:126] + node _T_3781 = mux(_T_3778, _T_3779, _T_3780) @[lsu_bus_buffer.scala 464:73] + node _T_3782 = mux(buf_error_en[1], _T_3777, _T_3781) @[lsu_bus_buffer.scala 464:30] + buf_data_in[1] <= _T_3782 @[lsu_bus_buffer.scala 464:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3783 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3783 : @[Conditional.scala 39:67] - node _T_3784 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 473:69] - node _T_3785 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:75] - node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 473:73] - node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 473:57] - node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 473:104] - node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:30] - node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 474:28] - node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 474:57] - node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:47] - node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 474:45] - node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:90] - node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 474:61] - node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 475:27] - node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 475:31] - node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 475:70] - node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 475:68] - node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 475:97] - node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 475:87] - node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 475:85] + node _T_3784 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:69] + node _T_3785 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:75] + node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 467:73] + node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 467:57] + node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 467:104] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:30] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 468:28] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 468:57] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:47] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 468:45] + node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:90] + node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 468:61] + node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 469:27] + node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 469:31] + node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 469:70] + node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 469:68] + node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 469:97] + node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 469:87] + node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 469:85] node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -4870,269 +4870,269 @@ circuit lsu_bus_buffer : node _T_3817 = or(_T_3816, _T_3814) @[Mux.scala 27:72] wire _T_3818 : UInt<1> @[Mux.scala 27:72] _T_3818 <= _T_3817 @[Mux.scala 27:72] - node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 475:101] - node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 475:167] - node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 475:138] - node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 475:187] - node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 475:53] - node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 475:16] - node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 474:14] - node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 473:33] - buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 473:27] - node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 476:73] - node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 476:52] - node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 477:46] - node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 478:23] - node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 478:47] - node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 478:27] - node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 477:77] - node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 479:26] - node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 479:54] - node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 479:44] - node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 479:42] - node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 479:58] - node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 479:94] - node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 479:74] - node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 478:71] - node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 477:25] - node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 476:105] - buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 476:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 480:29] - node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:49] - node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 481:70] - buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 481:25] - node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 482:47] - node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:62] - buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 482:24] - node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:48] - node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 483:111] - node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 483:91] - node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 484:42] - node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 484:31] - node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 484:66] - node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 484:46] - node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 483:143] - node _T_3856 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 485:54] - node _T_3857 = and(bus_rsp_write_error, _T_3856) @[lsu_bus_buffer.scala 485:33] - node _T_3858 = or(_T_3855, _T_3857) @[lsu_bus_buffer.scala 484:88] - node _T_3859 = and(_T_3848, _T_3858) @[lsu_bus_buffer.scala 483:68] - buf_error_en[1] <= _T_3859 @[lsu_bus_buffer.scala 483:25] - node _T_3860 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 486:50] - node _T_3861 = and(buf_state_en[1], _T_3860) @[lsu_bus_buffer.scala 486:48] - node _T_3862 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 486:84] - node _T_3863 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 486:102] - node _T_3864 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:125] - node _T_3865 = mux(_T_3862, _T_3863, _T_3864) @[lsu_bus_buffer.scala 486:72] - node _T_3866 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:148] - node _T_3867 = mux(_T_3861, _T_3865, _T_3866) @[lsu_bus_buffer.scala 486:30] - buf_data_in[1] <= _T_3867 @[lsu_bus_buffer.scala 486:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 487:34] + node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 469:101] + node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 469:167] + node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 469:138] + node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 469:187] + node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 469:53] + node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 469:16] + node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 468:14] + node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 467:33] + buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 467:27] + node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 470:73] + node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 470:52] + node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 471:46] + node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 472:23] + node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 472:47] + node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 472:27] + node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 471:77] + node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 473:26] + node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 473:54] + node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 473:44] + node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 473:42] + node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 473:58] + node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 473:94] + node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 473:74] + node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 472:71] + node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 471:25] + node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 470:105] + buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 470:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 474:29] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:49] + node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 475:70] + buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 475:25] + node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 476:47] + node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:62] + buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 476:24] + node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 477:48] + node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 477:111] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 477:91] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 478:42] + node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 478:31] + node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 478:66] + node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 478:46] + node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 477:143] + node _T_3856 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 479:54] + node _T_3857 = and(bus_rsp_write_error, _T_3856) @[lsu_bus_buffer.scala 479:33] + node _T_3858 = or(_T_3855, _T_3857) @[lsu_bus_buffer.scala 478:88] + node _T_3859 = and(_T_3848, _T_3858) @[lsu_bus_buffer.scala 477:68] + buf_error_en[1] <= _T_3859 @[lsu_bus_buffer.scala 477:25] + node _T_3860 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 480:50] + node _T_3861 = and(buf_state_en[1], _T_3860) @[lsu_bus_buffer.scala 480:48] + node _T_3862 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 480:84] + node _T_3863 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 480:102] + node _T_3864 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:125] + node _T_3865 = mux(_T_3862, _T_3863, _T_3864) @[lsu_bus_buffer.scala 480:72] + node _T_3866 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:148] + node _T_3867 = mux(_T_3861, _T_3865, _T_3866) @[lsu_bus_buffer.scala 480:30] + buf_data_in[1] <= _T_3867 @[lsu_bus_buffer.scala 480:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 481:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3868 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3868 : @[Conditional.scala 39:67] - node _T_3869 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 490:60] - node _T_3870 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 490:86] - node _T_3871 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 490:101] - node _T_3872 = bits(_T_3871, 0, 0) @[lsu_bus_buffer.scala 490:101] - node _T_3873 = or(_T_3870, _T_3872) @[lsu_bus_buffer.scala 490:90] - node _T_3874 = or(_T_3873, any_done_wait_state) @[lsu_bus_buffer.scala 490:118] - node _T_3875 = mux(_T_3874, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 490:75] - node _T_3876 = mux(_T_3869, UInt<3>("h00"), _T_3875) @[lsu_bus_buffer.scala 490:31] - buf_nxtstate[1] <= _T_3876 @[lsu_bus_buffer.scala 490:25] - node _T_3877 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 491:66] - node _T_3878 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 492:21] - node _T_3879 = bits(_T_3878, 0, 0) @[lsu_bus_buffer.scala 492:21] - node _T_3880 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 492:58] - node _T_3881 = and(_T_3879, _T_3880) @[lsu_bus_buffer.scala 492:38] - node _T_3882 = or(_T_3877, _T_3881) @[lsu_bus_buffer.scala 491:95] - node _T_3883 = and(bus_rsp_read, _T_3882) @[lsu_bus_buffer.scala 491:45] - buf_state_bus_en[1] <= _T_3883 @[lsu_bus_buffer.scala 491:29] - node _T_3884 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 493:49] - node _T_3885 = or(_T_3884, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 493:70] - buf_state_en[1] <= _T_3885 @[lsu_bus_buffer.scala 493:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:34] + node _T_3869 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 484:60] + node _T_3870 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 484:86] + node _T_3871 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 484:101] + node _T_3872 = bits(_T_3871, 0, 0) @[lsu_bus_buffer.scala 484:101] + node _T_3873 = or(_T_3870, _T_3872) @[lsu_bus_buffer.scala 484:90] + node _T_3874 = or(_T_3873, any_done_wait_state) @[lsu_bus_buffer.scala 484:118] + node _T_3875 = mux(_T_3874, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 484:75] + node _T_3876 = mux(_T_3869, UInt<3>("h00"), _T_3875) @[lsu_bus_buffer.scala 484:31] + buf_nxtstate[1] <= _T_3876 @[lsu_bus_buffer.scala 484:25] + node _T_3877 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 485:66] + node _T_3878 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 486:21] + node _T_3879 = bits(_T_3878, 0, 0) @[lsu_bus_buffer.scala 486:21] + node _T_3880 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 486:58] + node _T_3881 = and(_T_3879, _T_3880) @[lsu_bus_buffer.scala 486:38] + node _T_3882 = or(_T_3877, _T_3881) @[lsu_bus_buffer.scala 485:95] + node _T_3883 = and(bus_rsp_read, _T_3882) @[lsu_bus_buffer.scala 485:45] + buf_state_bus_en[1] <= _T_3883 @[lsu_bus_buffer.scala 485:29] + node _T_3884 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 487:49] + node _T_3885 = or(_T_3884, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 487:70] + buf_state_en[1] <= _T_3885 @[lsu_bus_buffer.scala 487:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3886 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3886 : @[Conditional.scala 39:67] - node _T_3887 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 497:60] - node _T_3888 = mux(_T_3887, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 497:31] - buf_nxtstate[1] <= _T_3888 @[lsu_bus_buffer.scala 497:25] - node _T_3889 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 498:37] - node _T_3890 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 498:98] - node _T_3891 = and(buf_dual[1], _T_3890) @[lsu_bus_buffer.scala 498:80] - node _T_3892 = or(_T_3889, _T_3891) @[lsu_bus_buffer.scala 498:65] - node _T_3893 = or(_T_3892, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 498:112] - buf_state_en[1] <= _T_3893 @[lsu_bus_buffer.scala 498:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:34] + node _T_3887 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 491:60] + node _T_3888 = mux(_T_3887, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 491:31] + buf_nxtstate[1] <= _T_3888 @[lsu_bus_buffer.scala 491:25] + node _T_3889 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 492:37] + node _T_3890 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 492:98] + node _T_3891 = and(buf_dual[1], _T_3890) @[lsu_bus_buffer.scala 492:80] + node _T_3892 = or(_T_3889, _T_3891) @[lsu_bus_buffer.scala 492:65] + node _T_3893 = or(_T_3892, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:112] + buf_state_en[1] <= _T_3893 @[lsu_bus_buffer.scala 492:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3894 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3894 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] - buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] - buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 506:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 496:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 497:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 498:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 500:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 501:34] skip @[Conditional.scala 39:67] - node _T_3895 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 510:108] + node _T_3895 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 504:108] reg _T_3896 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3895 : @[Reg.scala 28:19] _T_3896 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3896 @[lsu_bus_buffer.scala 510:18] - reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] - _T_3897 <= buf_age_in_1 @[lsu_bus_buffer.scala 511:60] - buf_ageQ[1] <= _T_3897 @[lsu_bus_buffer.scala 511:17] - reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] - _T_3898 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 512:63] - buf_rspageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 512:20] - node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:109] + buf_state[1] <= _T_3896 @[lsu_bus_buffer.scala 504:18] + reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 505:60] + _T_3897 <= buf_age_in_1 @[lsu_bus_buffer.scala 505:60] + buf_ageQ[1] <= _T_3897 @[lsu_bus_buffer.scala 505:17] + reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 506:63] + _T_3898 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 506:63] + buf_rspageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 506:20] + node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 507:109] reg _T_3900 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3899 : @[Reg.scala 28:19] _T_3900 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3900 @[lsu_bus_buffer.scala 513:20] - node _T_3901 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 514:74] - node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:107] + buf_dualtag[1] <= _T_3900 @[lsu_bus_buffer.scala 507:20] + node _T_3901 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 508:74] + node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 508:107] reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3902 : @[Reg.scala 28:19] _T_3903 <= _T_3901 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3903 @[lsu_bus_buffer.scala 514:17] - node _T_3904 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 515:78] - node _T_3905 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:111] + buf_dual[1] <= _T_3903 @[lsu_bus_buffer.scala 508:17] + node _T_3904 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 509:78] + node _T_3905 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 509:111] reg _T_3906 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3905 : @[Reg.scala 28:19] _T_3906 <= _T_3904 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3906 @[lsu_bus_buffer.scala 515:19] - node _T_3907 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 516:80] - node _T_3908 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:113] + buf_samedw[1] <= _T_3906 @[lsu_bus_buffer.scala 509:19] + node _T_3907 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 510:80] + node _T_3908 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:113] reg _T_3909 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3908 : @[Reg.scala 28:19] _T_3909 <= _T_3907 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3909 @[lsu_bus_buffer.scala 516:20] - node _T_3910 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 517:78] - node _T_3911 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 517:111] + buf_nomerge[1] <= _T_3909 @[lsu_bus_buffer.scala 510:20] + node _T_3910 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 511:78] + node _T_3911 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:111] reg _T_3912 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3911 : @[Reg.scala 28:19] _T_3912 <= _T_3910 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3912 @[lsu_bus_buffer.scala 517:19] + buf_dualhi[1] <= _T_3912 @[lsu_bus_buffer.scala 511:19] node _T_3913 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3913 : @[Conditional.scala 40:58] - node _T_3914 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 448:56] - node _T_3915 = mux(_T_3914, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 448:31] - buf_nxtstate[2] <= _T_3915 @[lsu_bus_buffer.scala 448:25] - node _T_3916 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 449:45] - node _T_3917 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:77] - node _T_3918 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 449:97] - node _T_3919 = and(_T_3917, _T_3918) @[lsu_bus_buffer.scala 449:95] - node _T_3920 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 449:117] - node _T_3921 = and(_T_3919, _T_3920) @[lsu_bus_buffer.scala 449:112] - node _T_3922 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:144] - node _T_3923 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 449:166] - node _T_3924 = and(_T_3922, _T_3923) @[lsu_bus_buffer.scala 449:161] - node _T_3925 = or(_T_3921, _T_3924) @[lsu_bus_buffer.scala 449:132] - node _T_3926 = and(_T_3916, _T_3925) @[lsu_bus_buffer.scala 449:63] - node _T_3927 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 449:206] - node _T_3928 = and(ibuf_drain_vld, _T_3927) @[lsu_bus_buffer.scala 449:201] - node _T_3929 = or(_T_3926, _T_3928) @[lsu_bus_buffer.scala 449:183] - buf_state_en[2] <= _T_3929 @[lsu_bus_buffer.scala 449:25] - buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 450:22] - buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 451:24] - node _T_3930 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 452:52] - node _T_3931 = and(ibuf_drain_vld, _T_3930) @[lsu_bus_buffer.scala 452:47] - node _T_3932 = bits(_T_3931, 0, 0) @[lsu_bus_buffer.scala 452:73] - node _T_3933 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 452:90] - node _T_3934 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 452:114] - node _T_3935 = mux(_T_3932, _T_3933, _T_3934) @[lsu_bus_buffer.scala 452:30] - buf_data_in[2] <= _T_3935 @[lsu_bus_buffer.scala 452:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] + node _T_3914 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_3915 = mux(_T_3914, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[2] <= _T_3915 @[lsu_bus_buffer.scala 442:25] + node _T_3916 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_3917 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_3918 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_3919 = and(_T_3917, _T_3918) @[lsu_bus_buffer.scala 443:95] + node _T_3920 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_3921 = and(_T_3919, _T_3920) @[lsu_bus_buffer.scala 443:112] + node _T_3922 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_3923 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_3924 = and(_T_3922, _T_3923) @[lsu_bus_buffer.scala 443:161] + node _T_3925 = or(_T_3921, _T_3924) @[lsu_bus_buffer.scala 443:132] + node _T_3926 = and(_T_3916, _T_3925) @[lsu_bus_buffer.scala 443:63] + node _T_3927 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_3928 = and(ibuf_drain_vld, _T_3927) @[lsu_bus_buffer.scala 443:201] + node _T_3929 = or(_T_3926, _T_3928) @[lsu_bus_buffer.scala 443:183] + buf_state_en[2] <= _T_3929 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 444:22] + buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 445:24] + node _T_3930 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_3931 = and(ibuf_drain_vld, _T_3930) @[lsu_bus_buffer.scala 446:47] + node _T_3932 = bits(_T_3931, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_3933 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_3934 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_3935 = mux(_T_3932, _T_3933, _T_3934) @[lsu_bus_buffer.scala 446:30] + buf_data_in[2] <= _T_3935 @[lsu_bus_buffer.scala 446:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 447:34] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3936 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3936 : @[Conditional.scala 39:67] - node _T_3937 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 456:60] - node _T_3938 = mux(_T_3937, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 456:31] - buf_nxtstate[2] <= _T_3938 @[lsu_bus_buffer.scala 456:25] - node _T_3939 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:46] - buf_state_en[2] <= _T_3939 @[lsu_bus_buffer.scala 457:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 458:34] + node _T_3937 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_3938 = mux(_T_3937, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[2] <= _T_3938 @[lsu_bus_buffer.scala 450:25] + node _T_3939 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[2] <= _T_3939 @[lsu_bus_buffer.scala 451:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3940 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3940 : @[Conditional.scala 39:67] - node _T_3941 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 461:60] - node _T_3942 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 461:89] - node _T_3943 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 461:124] - node _T_3944 = and(_T_3942, _T_3943) @[lsu_bus_buffer.scala 461:104] - node _T_3945 = mux(_T_3944, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 461:75] - node _T_3946 = mux(_T_3941, UInt<3>("h00"), _T_3945) @[lsu_bus_buffer.scala 461:31] - buf_nxtstate[2] <= _T_3946 @[lsu_bus_buffer.scala 461:25] - node _T_3947 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 462:48] - node _T_3948 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 462:104] - node _T_3949 = and(obuf_merge, _T_3948) @[lsu_bus_buffer.scala 462:91] - node _T_3950 = or(_T_3947, _T_3949) @[lsu_bus_buffer.scala 462:77] - node _T_3951 = and(_T_3950, obuf_valid) @[lsu_bus_buffer.scala 462:135] - node _T_3952 = and(_T_3951, obuf_wr_enQ) @[lsu_bus_buffer.scala 462:148] - buf_cmd_state_bus_en[2] <= _T_3952 @[lsu_bus_buffer.scala 462:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 463:29] - node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:49] - node _T_3954 = or(_T_3953, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 464:70] - buf_state_en[2] <= _T_3954 @[lsu_bus_buffer.scala 464:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 465:25] - node _T_3955 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 466:56] - node _T_3956 = eq(_T_3955, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:46] - node _T_3957 = and(buf_state_en[2], _T_3956) @[lsu_bus_buffer.scala 466:44] - node _T_3958 = and(_T_3957, obuf_nosend) @[lsu_bus_buffer.scala 466:60] - node _T_3959 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:76] - node _T_3960 = and(_T_3958, _T_3959) @[lsu_bus_buffer.scala 466:74] - buf_ldfwd_en[2] <= _T_3960 @[lsu_bus_buffer.scala 466:25] - node _T_3961 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 467:46] - buf_ldfwdtag_in[2] <= _T_3961 @[lsu_bus_buffer.scala 467:28] - node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:47] - node _T_3963 = and(_T_3962, obuf_nosend) @[lsu_bus_buffer.scala 468:67] - node _T_3964 = and(_T_3963, bus_rsp_read) @[lsu_bus_buffer.scala 468:81] - buf_data_en[2] <= _T_3964 @[lsu_bus_buffer.scala 468:24] - node _T_3965 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 469:48] - node _T_3966 = and(_T_3965, obuf_nosend) @[lsu_bus_buffer.scala 469:68] - node _T_3967 = and(_T_3966, bus_rsp_read_error) @[lsu_bus_buffer.scala 469:82] - buf_error_en[2] <= _T_3967 @[lsu_bus_buffer.scala 469:25] - node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:61] - node _T_3969 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 470:85] - node _T_3970 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 470:103] - node _T_3971 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:126] - node _T_3972 = mux(_T_3969, _T_3970, _T_3971) @[lsu_bus_buffer.scala 470:73] - node _T_3973 = mux(buf_error_en[2], _T_3968, _T_3972) @[lsu_bus_buffer.scala 470:30] - buf_data_in[2] <= _T_3973 @[lsu_bus_buffer.scala 470:24] + node _T_3941 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] + node _T_3942 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 455:89] + node _T_3943 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 455:124] + node _T_3944 = and(_T_3942, _T_3943) @[lsu_bus_buffer.scala 455:104] + node _T_3945 = mux(_T_3944, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 455:75] + node _T_3946 = mux(_T_3941, UInt<3>("h00"), _T_3945) @[lsu_bus_buffer.scala 455:31] + buf_nxtstate[2] <= _T_3946 @[lsu_bus_buffer.scala 455:25] + node _T_3947 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 456:48] + node _T_3948 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 456:104] + node _T_3949 = and(obuf_merge, _T_3948) @[lsu_bus_buffer.scala 456:91] + node _T_3950 = or(_T_3947, _T_3949) @[lsu_bus_buffer.scala 456:77] + node _T_3951 = and(_T_3950, obuf_valid) @[lsu_bus_buffer.scala 456:135] + node _T_3952 = and(_T_3951, obuf_wr_enQ) @[lsu_bus_buffer.scala 456:148] + buf_cmd_state_bus_en[2] <= _T_3952 @[lsu_bus_buffer.scala 456:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 457:29] + node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 458:49] + node _T_3954 = or(_T_3953, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 458:70] + buf_state_en[2] <= _T_3954 @[lsu_bus_buffer.scala 458:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 459:25] + node _T_3955 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 460:56] + node _T_3956 = eq(_T_3955, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:46] + node _T_3957 = and(buf_state_en[2], _T_3956) @[lsu_bus_buffer.scala 460:44] + node _T_3958 = and(_T_3957, obuf_nosend) @[lsu_bus_buffer.scala 460:60] + node _T_3959 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:76] + node _T_3960 = and(_T_3958, _T_3959) @[lsu_bus_buffer.scala 460:74] + buf_ldfwd_en[2] <= _T_3960 @[lsu_bus_buffer.scala 460:25] + node _T_3961 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 461:46] + buf_ldfwdtag_in[2] <= _T_3961 @[lsu_bus_buffer.scala 461:28] + node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:47] + node _T_3963 = and(_T_3962, obuf_nosend) @[lsu_bus_buffer.scala 462:67] + node _T_3964 = and(_T_3963, bus_rsp_read) @[lsu_bus_buffer.scala 462:81] + buf_data_en[2] <= _T_3964 @[lsu_bus_buffer.scala 462:24] + node _T_3965 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:48] + node _T_3966 = and(_T_3965, obuf_nosend) @[lsu_bus_buffer.scala 463:68] + node _T_3967 = and(_T_3966, bus_rsp_read_error) @[lsu_bus_buffer.scala 463:82] + buf_error_en[2] <= _T_3967 @[lsu_bus_buffer.scala 463:25] + node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:61] + node _T_3969 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 464:85] + node _T_3970 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 464:103] + node _T_3971 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:126] + node _T_3972 = mux(_T_3969, _T_3970, _T_3971) @[lsu_bus_buffer.scala 464:73] + node _T_3973 = mux(buf_error_en[2], _T_3968, _T_3972) @[lsu_bus_buffer.scala 464:30] + buf_data_in[2] <= _T_3973 @[lsu_bus_buffer.scala 464:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3974 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3974 : @[Conditional.scala 39:67] - node _T_3975 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 473:69] - node _T_3976 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:75] - node _T_3977 = and(_T_3975, _T_3976) @[lsu_bus_buffer.scala 473:73] - node _T_3978 = or(io.dec_tlu_force_halt, _T_3977) @[lsu_bus_buffer.scala 473:57] - node _T_3979 = bits(_T_3978, 0, 0) @[lsu_bus_buffer.scala 473:104] - node _T_3980 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:30] - node _T_3981 = and(buf_dual[2], _T_3980) @[lsu_bus_buffer.scala 474:28] - node _T_3982 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 474:57] - node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:47] - node _T_3984 = and(_T_3981, _T_3983) @[lsu_bus_buffer.scala 474:45] - node _T_3985 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:90] - node _T_3986 = and(_T_3984, _T_3985) @[lsu_bus_buffer.scala 474:61] - node _T_3987 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 475:27] - node _T_3988 = or(_T_3987, any_done_wait_state) @[lsu_bus_buffer.scala 475:31] - node _T_3989 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 475:70] - node _T_3990 = and(buf_dual[2], _T_3989) @[lsu_bus_buffer.scala 475:68] - node _T_3991 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 475:97] - node _T_3992 = eq(_T_3991, UInt<1>("h00")) @[lsu_bus_buffer.scala 475:87] - node _T_3993 = and(_T_3990, _T_3992) @[lsu_bus_buffer.scala 475:85] + node _T_3975 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:69] + node _T_3976 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:75] + node _T_3977 = and(_T_3975, _T_3976) @[lsu_bus_buffer.scala 467:73] + node _T_3978 = or(io.dec_tlu_force_halt, _T_3977) @[lsu_bus_buffer.scala 467:57] + node _T_3979 = bits(_T_3978, 0, 0) @[lsu_bus_buffer.scala 467:104] + node _T_3980 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:30] + node _T_3981 = and(buf_dual[2], _T_3980) @[lsu_bus_buffer.scala 468:28] + node _T_3982 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 468:57] + node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:47] + node _T_3984 = and(_T_3981, _T_3983) @[lsu_bus_buffer.scala 468:45] + node _T_3985 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:90] + node _T_3986 = and(_T_3984, _T_3985) @[lsu_bus_buffer.scala 468:61] + node _T_3987 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 469:27] + node _T_3988 = or(_T_3987, any_done_wait_state) @[lsu_bus_buffer.scala 469:31] + node _T_3989 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 469:70] + node _T_3990 = and(buf_dual[2], _T_3989) @[lsu_bus_buffer.scala 469:68] + node _T_3991 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 469:97] + node _T_3992 = eq(_T_3991, UInt<1>("h00")) @[lsu_bus_buffer.scala 469:87] + node _T_3993 = and(_T_3990, _T_3992) @[lsu_bus_buffer.scala 469:85] node _T_3994 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_3995 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_3996 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -5150,269 +5150,269 @@ circuit lsu_bus_buffer : node _T_4008 = or(_T_4007, _T_4005) @[Mux.scala 27:72] wire _T_4009 : UInt<1> @[Mux.scala 27:72] _T_4009 <= _T_4008 @[Mux.scala 27:72] - node _T_4010 = and(_T_3993, _T_4009) @[lsu_bus_buffer.scala 475:101] - node _T_4011 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 475:167] - node _T_4012 = and(_T_4010, _T_4011) @[lsu_bus_buffer.scala 475:138] - node _T_4013 = and(_T_4012, any_done_wait_state) @[lsu_bus_buffer.scala 475:187] - node _T_4014 = or(_T_3988, _T_4013) @[lsu_bus_buffer.scala 475:53] - node _T_4015 = mux(_T_4014, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 475:16] - node _T_4016 = mux(_T_3986, UInt<3>("h04"), _T_4015) @[lsu_bus_buffer.scala 474:14] - node _T_4017 = mux(_T_3979, UInt<3>("h00"), _T_4016) @[lsu_bus_buffer.scala 473:33] - buf_nxtstate[2] <= _T_4017 @[lsu_bus_buffer.scala 473:27] - node _T_4018 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 476:73] - node _T_4019 = and(bus_rsp_write, _T_4018) @[lsu_bus_buffer.scala 476:52] - node _T_4020 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 477:46] - node _T_4021 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 478:23] - node _T_4022 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 478:47] - node _T_4023 = and(_T_4021, _T_4022) @[lsu_bus_buffer.scala 478:27] - node _T_4024 = or(_T_4020, _T_4023) @[lsu_bus_buffer.scala 477:77] - node _T_4025 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 479:26] - node _T_4026 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 479:54] - node _T_4027 = not(_T_4026) @[lsu_bus_buffer.scala 479:44] - node _T_4028 = and(_T_4025, _T_4027) @[lsu_bus_buffer.scala 479:42] - node _T_4029 = and(_T_4028, buf_samedw[2]) @[lsu_bus_buffer.scala 479:58] - node _T_4030 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 479:94] - node _T_4031 = and(_T_4029, _T_4030) @[lsu_bus_buffer.scala 479:74] - node _T_4032 = or(_T_4024, _T_4031) @[lsu_bus_buffer.scala 478:71] - node _T_4033 = and(bus_rsp_read, _T_4032) @[lsu_bus_buffer.scala 477:25] - node _T_4034 = or(_T_4019, _T_4033) @[lsu_bus_buffer.scala 476:105] - buf_resp_state_bus_en[2] <= _T_4034 @[lsu_bus_buffer.scala 476:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 480:29] - node _T_4035 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:49] - node _T_4036 = or(_T_4035, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 481:70] - buf_state_en[2] <= _T_4036 @[lsu_bus_buffer.scala 481:25] - node _T_4037 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 482:47] - node _T_4038 = and(_T_4037, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:62] - buf_data_en[2] <= _T_4038 @[lsu_bus_buffer.scala 482:24] - node _T_4039 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:48] - node _T_4040 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 483:111] - node _T_4041 = and(bus_rsp_read_error, _T_4040) @[lsu_bus_buffer.scala 483:91] - node _T_4042 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 484:42] - node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 484:31] - node _T_4044 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 484:66] - node _T_4045 = and(_T_4043, _T_4044) @[lsu_bus_buffer.scala 484:46] - node _T_4046 = or(_T_4041, _T_4045) @[lsu_bus_buffer.scala 483:143] - node _T_4047 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 485:54] - node _T_4048 = and(bus_rsp_write_error, _T_4047) @[lsu_bus_buffer.scala 485:33] - node _T_4049 = or(_T_4046, _T_4048) @[lsu_bus_buffer.scala 484:88] - node _T_4050 = and(_T_4039, _T_4049) @[lsu_bus_buffer.scala 483:68] - buf_error_en[2] <= _T_4050 @[lsu_bus_buffer.scala 483:25] - node _T_4051 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 486:50] - node _T_4052 = and(buf_state_en[2], _T_4051) @[lsu_bus_buffer.scala 486:48] - node _T_4053 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 486:84] - node _T_4054 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 486:102] - node _T_4055 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:125] - node _T_4056 = mux(_T_4053, _T_4054, _T_4055) @[lsu_bus_buffer.scala 486:72] - node _T_4057 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:148] - node _T_4058 = mux(_T_4052, _T_4056, _T_4057) @[lsu_bus_buffer.scala 486:30] - buf_data_in[2] <= _T_4058 @[lsu_bus_buffer.scala 486:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 487:34] + node _T_4010 = and(_T_3993, _T_4009) @[lsu_bus_buffer.scala 469:101] + node _T_4011 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 469:167] + node _T_4012 = and(_T_4010, _T_4011) @[lsu_bus_buffer.scala 469:138] + node _T_4013 = and(_T_4012, any_done_wait_state) @[lsu_bus_buffer.scala 469:187] + node _T_4014 = or(_T_3988, _T_4013) @[lsu_bus_buffer.scala 469:53] + node _T_4015 = mux(_T_4014, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 469:16] + node _T_4016 = mux(_T_3986, UInt<3>("h04"), _T_4015) @[lsu_bus_buffer.scala 468:14] + node _T_4017 = mux(_T_3979, UInt<3>("h00"), _T_4016) @[lsu_bus_buffer.scala 467:33] + buf_nxtstate[2] <= _T_4017 @[lsu_bus_buffer.scala 467:27] + node _T_4018 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 470:73] + node _T_4019 = and(bus_rsp_write, _T_4018) @[lsu_bus_buffer.scala 470:52] + node _T_4020 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 471:46] + node _T_4021 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 472:23] + node _T_4022 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 472:47] + node _T_4023 = and(_T_4021, _T_4022) @[lsu_bus_buffer.scala 472:27] + node _T_4024 = or(_T_4020, _T_4023) @[lsu_bus_buffer.scala 471:77] + node _T_4025 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 473:26] + node _T_4026 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 473:54] + node _T_4027 = not(_T_4026) @[lsu_bus_buffer.scala 473:44] + node _T_4028 = and(_T_4025, _T_4027) @[lsu_bus_buffer.scala 473:42] + node _T_4029 = and(_T_4028, buf_samedw[2]) @[lsu_bus_buffer.scala 473:58] + node _T_4030 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 473:94] + node _T_4031 = and(_T_4029, _T_4030) @[lsu_bus_buffer.scala 473:74] + node _T_4032 = or(_T_4024, _T_4031) @[lsu_bus_buffer.scala 472:71] + node _T_4033 = and(bus_rsp_read, _T_4032) @[lsu_bus_buffer.scala 471:25] + node _T_4034 = or(_T_4019, _T_4033) @[lsu_bus_buffer.scala 470:105] + buf_resp_state_bus_en[2] <= _T_4034 @[lsu_bus_buffer.scala 470:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 474:29] + node _T_4035 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:49] + node _T_4036 = or(_T_4035, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 475:70] + buf_state_en[2] <= _T_4036 @[lsu_bus_buffer.scala 475:25] + node _T_4037 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 476:47] + node _T_4038 = and(_T_4037, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:62] + buf_data_en[2] <= _T_4038 @[lsu_bus_buffer.scala 476:24] + node _T_4039 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 477:48] + node _T_4040 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 477:111] + node _T_4041 = and(bus_rsp_read_error, _T_4040) @[lsu_bus_buffer.scala 477:91] + node _T_4042 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 478:42] + node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 478:31] + node _T_4044 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 478:66] + node _T_4045 = and(_T_4043, _T_4044) @[lsu_bus_buffer.scala 478:46] + node _T_4046 = or(_T_4041, _T_4045) @[lsu_bus_buffer.scala 477:143] + node _T_4047 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 479:54] + node _T_4048 = and(bus_rsp_write_error, _T_4047) @[lsu_bus_buffer.scala 479:33] + node _T_4049 = or(_T_4046, _T_4048) @[lsu_bus_buffer.scala 478:88] + node _T_4050 = and(_T_4039, _T_4049) @[lsu_bus_buffer.scala 477:68] + buf_error_en[2] <= _T_4050 @[lsu_bus_buffer.scala 477:25] + node _T_4051 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 480:50] + node _T_4052 = and(buf_state_en[2], _T_4051) @[lsu_bus_buffer.scala 480:48] + node _T_4053 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 480:84] + node _T_4054 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 480:102] + node _T_4055 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:125] + node _T_4056 = mux(_T_4053, _T_4054, _T_4055) @[lsu_bus_buffer.scala 480:72] + node _T_4057 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:148] + node _T_4058 = mux(_T_4052, _T_4056, _T_4057) @[lsu_bus_buffer.scala 480:30] + buf_data_in[2] <= _T_4058 @[lsu_bus_buffer.scala 480:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 481:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4059 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_4059 : @[Conditional.scala 39:67] - node _T_4060 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 490:60] - node _T_4061 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 490:86] - node _T_4062 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 490:101] - node _T_4063 = bits(_T_4062, 0, 0) @[lsu_bus_buffer.scala 490:101] - node _T_4064 = or(_T_4061, _T_4063) @[lsu_bus_buffer.scala 490:90] - node _T_4065 = or(_T_4064, any_done_wait_state) @[lsu_bus_buffer.scala 490:118] - node _T_4066 = mux(_T_4065, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 490:75] - node _T_4067 = mux(_T_4060, UInt<3>("h00"), _T_4066) @[lsu_bus_buffer.scala 490:31] - buf_nxtstate[2] <= _T_4067 @[lsu_bus_buffer.scala 490:25] - node _T_4068 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 491:66] - node _T_4069 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 492:21] - node _T_4070 = bits(_T_4069, 0, 0) @[lsu_bus_buffer.scala 492:21] - node _T_4071 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 492:58] - node _T_4072 = and(_T_4070, _T_4071) @[lsu_bus_buffer.scala 492:38] - node _T_4073 = or(_T_4068, _T_4072) @[lsu_bus_buffer.scala 491:95] - node _T_4074 = and(bus_rsp_read, _T_4073) @[lsu_bus_buffer.scala 491:45] - buf_state_bus_en[2] <= _T_4074 @[lsu_bus_buffer.scala 491:29] - node _T_4075 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 493:49] - node _T_4076 = or(_T_4075, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 493:70] - buf_state_en[2] <= _T_4076 @[lsu_bus_buffer.scala 493:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:34] + node _T_4060 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 484:60] + node _T_4061 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 484:86] + node _T_4062 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 484:101] + node _T_4063 = bits(_T_4062, 0, 0) @[lsu_bus_buffer.scala 484:101] + node _T_4064 = or(_T_4061, _T_4063) @[lsu_bus_buffer.scala 484:90] + node _T_4065 = or(_T_4064, any_done_wait_state) @[lsu_bus_buffer.scala 484:118] + node _T_4066 = mux(_T_4065, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 484:75] + node _T_4067 = mux(_T_4060, UInt<3>("h00"), _T_4066) @[lsu_bus_buffer.scala 484:31] + buf_nxtstate[2] <= _T_4067 @[lsu_bus_buffer.scala 484:25] + node _T_4068 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 485:66] + node _T_4069 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 486:21] + node _T_4070 = bits(_T_4069, 0, 0) @[lsu_bus_buffer.scala 486:21] + node _T_4071 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 486:58] + node _T_4072 = and(_T_4070, _T_4071) @[lsu_bus_buffer.scala 486:38] + node _T_4073 = or(_T_4068, _T_4072) @[lsu_bus_buffer.scala 485:95] + node _T_4074 = and(bus_rsp_read, _T_4073) @[lsu_bus_buffer.scala 485:45] + buf_state_bus_en[2] <= _T_4074 @[lsu_bus_buffer.scala 485:29] + node _T_4075 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 487:49] + node _T_4076 = or(_T_4075, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 487:70] + buf_state_en[2] <= _T_4076 @[lsu_bus_buffer.scala 487:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4077 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 497:60] - node _T_4079 = mux(_T_4078, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 497:31] - buf_nxtstate[2] <= _T_4079 @[lsu_bus_buffer.scala 497:25] - node _T_4080 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 498:37] - node _T_4081 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 498:98] - node _T_4082 = and(buf_dual[2], _T_4081) @[lsu_bus_buffer.scala 498:80] - node _T_4083 = or(_T_4080, _T_4082) @[lsu_bus_buffer.scala 498:65] - node _T_4084 = or(_T_4083, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 498:112] - buf_state_en[2] <= _T_4084 @[lsu_bus_buffer.scala 498:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:34] + node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 491:60] + node _T_4079 = mux(_T_4078, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 491:31] + buf_nxtstate[2] <= _T_4079 @[lsu_bus_buffer.scala 491:25] + node _T_4080 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 492:37] + node _T_4081 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 492:98] + node _T_4082 = and(buf_dual[2], _T_4081) @[lsu_bus_buffer.scala 492:80] + node _T_4083 = or(_T_4080, _T_4082) @[lsu_bus_buffer.scala 492:65] + node _T_4084 = or(_T_4083, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:112] + buf_state_en[2] <= _T_4084 @[lsu_bus_buffer.scala 492:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4085 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_4085 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] - buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] - buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 506:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 496:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 497:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 498:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 500:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 501:34] skip @[Conditional.scala 39:67] - node _T_4086 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 510:108] + node _T_4086 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 504:108] reg _T_4087 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4086 : @[Reg.scala 28:19] _T_4087 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4087 @[lsu_bus_buffer.scala 510:18] - reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] - _T_4088 <= buf_age_in_2 @[lsu_bus_buffer.scala 511:60] - buf_ageQ[2] <= _T_4088 @[lsu_bus_buffer.scala 511:17] - reg _T_4089 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] - _T_4089 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 512:63] - buf_rspageQ[2] <= _T_4089 @[lsu_bus_buffer.scala 512:20] - node _T_4090 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:109] + buf_state[2] <= _T_4087 @[lsu_bus_buffer.scala 504:18] + reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 505:60] + _T_4088 <= buf_age_in_2 @[lsu_bus_buffer.scala 505:60] + buf_ageQ[2] <= _T_4088 @[lsu_bus_buffer.scala 505:17] + reg _T_4089 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 506:63] + _T_4089 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 506:63] + buf_rspageQ[2] <= _T_4089 @[lsu_bus_buffer.scala 506:20] + node _T_4090 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 507:109] reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4090 : @[Reg.scala 28:19] _T_4091 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4091 @[lsu_bus_buffer.scala 513:20] - node _T_4092 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 514:74] - node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:107] + buf_dualtag[2] <= _T_4091 @[lsu_bus_buffer.scala 507:20] + node _T_4092 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 508:74] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 508:107] reg _T_4094 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4093 : @[Reg.scala 28:19] _T_4094 <= _T_4092 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4094 @[lsu_bus_buffer.scala 514:17] - node _T_4095 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 515:78] - node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:111] + buf_dual[2] <= _T_4094 @[lsu_bus_buffer.scala 508:17] + node _T_4095 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 509:78] + node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 509:111] reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4096 : @[Reg.scala 28:19] _T_4097 <= _T_4095 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4097 @[lsu_bus_buffer.scala 515:19] - node _T_4098 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 516:80] - node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:113] + buf_samedw[2] <= _T_4097 @[lsu_bus_buffer.scala 509:19] + node _T_4098 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 510:80] + node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:113] reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4099 : @[Reg.scala 28:19] _T_4100 <= _T_4098 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4100 @[lsu_bus_buffer.scala 516:20] - node _T_4101 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 517:78] - node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 517:111] + buf_nomerge[2] <= _T_4100 @[lsu_bus_buffer.scala 510:20] + node _T_4101 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 511:78] + node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:111] reg _T_4103 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4102 : @[Reg.scala 28:19] _T_4103 <= _T_4101 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4103 @[lsu_bus_buffer.scala 517:19] + buf_dualhi[2] <= _T_4103 @[lsu_bus_buffer.scala 511:19] node _T_4104 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4104 : @[Conditional.scala 40:58] - node _T_4105 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 448:56] - node _T_4106 = mux(_T_4105, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 448:31] - buf_nxtstate[3] <= _T_4106 @[lsu_bus_buffer.scala 448:25] - node _T_4107 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 449:45] - node _T_4108 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:77] - node _T_4109 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 449:97] - node _T_4110 = and(_T_4108, _T_4109) @[lsu_bus_buffer.scala 449:95] - node _T_4111 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 449:117] - node _T_4112 = and(_T_4110, _T_4111) @[lsu_bus_buffer.scala 449:112] - node _T_4113 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 449:144] - node _T_4114 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 449:166] - node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 449:161] - node _T_4116 = or(_T_4112, _T_4115) @[lsu_bus_buffer.scala 449:132] - node _T_4117 = and(_T_4107, _T_4116) @[lsu_bus_buffer.scala 449:63] - node _T_4118 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 449:206] - node _T_4119 = and(ibuf_drain_vld, _T_4118) @[lsu_bus_buffer.scala 449:201] - node _T_4120 = or(_T_4117, _T_4119) @[lsu_bus_buffer.scala 449:183] - buf_state_en[3] <= _T_4120 @[lsu_bus_buffer.scala 449:25] - buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 450:22] - buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 451:24] - node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 452:52] - node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 452:47] - node _T_4123 = bits(_T_4122, 0, 0) @[lsu_bus_buffer.scala 452:73] - node _T_4124 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 452:90] - node _T_4125 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 452:114] - node _T_4126 = mux(_T_4123, _T_4124, _T_4125) @[lsu_bus_buffer.scala 452:30] - buf_data_in[3] <= _T_4126 @[lsu_bus_buffer.scala 452:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] + node _T_4105 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_4106 = mux(_T_4105, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[3] <= _T_4106 @[lsu_bus_buffer.scala 442:25] + node _T_4107 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_4108 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_4109 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_4110 = and(_T_4108, _T_4109) @[lsu_bus_buffer.scala 443:95] + node _T_4111 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_4112 = and(_T_4110, _T_4111) @[lsu_bus_buffer.scala 443:112] + node _T_4113 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_4114 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 443:161] + node _T_4116 = or(_T_4112, _T_4115) @[lsu_bus_buffer.scala 443:132] + node _T_4117 = and(_T_4107, _T_4116) @[lsu_bus_buffer.scala 443:63] + node _T_4118 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_4119 = and(ibuf_drain_vld, _T_4118) @[lsu_bus_buffer.scala 443:201] + node _T_4120 = or(_T_4117, _T_4119) @[lsu_bus_buffer.scala 443:183] + buf_state_en[3] <= _T_4120 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 444:22] + buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 445:24] + node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 446:47] + node _T_4123 = bits(_T_4122, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_4124 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_4125 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_4126 = mux(_T_4123, _T_4124, _T_4125) @[lsu_bus_buffer.scala 446:30] + buf_data_in[3] <= _T_4126 @[lsu_bus_buffer.scala 446:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 447:34] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4127 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4127 : @[Conditional.scala 39:67] - node _T_4128 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 456:60] - node _T_4129 = mux(_T_4128, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 456:31] - buf_nxtstate[3] <= _T_4129 @[lsu_bus_buffer.scala 456:25] - node _T_4130 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:46] - buf_state_en[3] <= _T_4130 @[lsu_bus_buffer.scala 457:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 458:34] + node _T_4128 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_4129 = mux(_T_4128, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[3] <= _T_4129 @[lsu_bus_buffer.scala 450:25] + node _T_4130 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[3] <= _T_4130 @[lsu_bus_buffer.scala 451:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4131 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4131 : @[Conditional.scala 39:67] - node _T_4132 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 461:60] - node _T_4133 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 461:89] - node _T_4134 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 461:124] - node _T_4135 = and(_T_4133, _T_4134) @[lsu_bus_buffer.scala 461:104] - node _T_4136 = mux(_T_4135, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 461:75] - node _T_4137 = mux(_T_4132, UInt<3>("h00"), _T_4136) @[lsu_bus_buffer.scala 461:31] - buf_nxtstate[3] <= _T_4137 @[lsu_bus_buffer.scala 461:25] - node _T_4138 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 462:48] - node _T_4139 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 462:104] - node _T_4140 = and(obuf_merge, _T_4139) @[lsu_bus_buffer.scala 462:91] - node _T_4141 = or(_T_4138, _T_4140) @[lsu_bus_buffer.scala 462:77] - node _T_4142 = and(_T_4141, obuf_valid) @[lsu_bus_buffer.scala 462:135] - node _T_4143 = and(_T_4142, obuf_wr_enQ) @[lsu_bus_buffer.scala 462:148] - buf_cmd_state_bus_en[3] <= _T_4143 @[lsu_bus_buffer.scala 462:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 463:29] - node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:49] - node _T_4145 = or(_T_4144, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 464:70] - buf_state_en[3] <= _T_4145 @[lsu_bus_buffer.scala 464:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 465:25] - node _T_4146 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 466:56] - node _T_4147 = eq(_T_4146, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:46] - node _T_4148 = and(buf_state_en[3], _T_4147) @[lsu_bus_buffer.scala 466:44] - node _T_4149 = and(_T_4148, obuf_nosend) @[lsu_bus_buffer.scala 466:60] - node _T_4150 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:76] - node _T_4151 = and(_T_4149, _T_4150) @[lsu_bus_buffer.scala 466:74] - buf_ldfwd_en[3] <= _T_4151 @[lsu_bus_buffer.scala 466:25] - node _T_4152 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 467:46] - buf_ldfwdtag_in[3] <= _T_4152 @[lsu_bus_buffer.scala 467:28] - node _T_4153 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 468:47] - node _T_4154 = and(_T_4153, obuf_nosend) @[lsu_bus_buffer.scala 468:67] - node _T_4155 = and(_T_4154, bus_rsp_read) @[lsu_bus_buffer.scala 468:81] - buf_data_en[3] <= _T_4155 @[lsu_bus_buffer.scala 468:24] - node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 469:48] - node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 469:68] - node _T_4158 = and(_T_4157, bus_rsp_read_error) @[lsu_bus_buffer.scala 469:82] - buf_error_en[3] <= _T_4158 @[lsu_bus_buffer.scala 469:25] - node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:61] - node _T_4160 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 470:85] - node _T_4161 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 470:103] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 470:126] - node _T_4163 = mux(_T_4160, _T_4161, _T_4162) @[lsu_bus_buffer.scala 470:73] - node _T_4164 = mux(buf_error_en[3], _T_4159, _T_4163) @[lsu_bus_buffer.scala 470:30] - buf_data_in[3] <= _T_4164 @[lsu_bus_buffer.scala 470:24] + node _T_4132 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] + node _T_4133 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 455:89] + node _T_4134 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 455:124] + node _T_4135 = and(_T_4133, _T_4134) @[lsu_bus_buffer.scala 455:104] + node _T_4136 = mux(_T_4135, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 455:75] + node _T_4137 = mux(_T_4132, UInt<3>("h00"), _T_4136) @[lsu_bus_buffer.scala 455:31] + buf_nxtstate[3] <= _T_4137 @[lsu_bus_buffer.scala 455:25] + node _T_4138 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 456:48] + node _T_4139 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 456:104] + node _T_4140 = and(obuf_merge, _T_4139) @[lsu_bus_buffer.scala 456:91] + node _T_4141 = or(_T_4138, _T_4140) @[lsu_bus_buffer.scala 456:77] + node _T_4142 = and(_T_4141, obuf_valid) @[lsu_bus_buffer.scala 456:135] + node _T_4143 = and(_T_4142, obuf_wr_enQ) @[lsu_bus_buffer.scala 456:148] + buf_cmd_state_bus_en[3] <= _T_4143 @[lsu_bus_buffer.scala 456:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 457:29] + node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 458:49] + node _T_4145 = or(_T_4144, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 458:70] + buf_state_en[3] <= _T_4145 @[lsu_bus_buffer.scala 458:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 459:25] + node _T_4146 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 460:56] + node _T_4147 = eq(_T_4146, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:46] + node _T_4148 = and(buf_state_en[3], _T_4147) @[lsu_bus_buffer.scala 460:44] + node _T_4149 = and(_T_4148, obuf_nosend) @[lsu_bus_buffer.scala 460:60] + node _T_4150 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:76] + node _T_4151 = and(_T_4149, _T_4150) @[lsu_bus_buffer.scala 460:74] + buf_ldfwd_en[3] <= _T_4151 @[lsu_bus_buffer.scala 460:25] + node _T_4152 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 461:46] + buf_ldfwdtag_in[3] <= _T_4152 @[lsu_bus_buffer.scala 461:28] + node _T_4153 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:47] + node _T_4154 = and(_T_4153, obuf_nosend) @[lsu_bus_buffer.scala 462:67] + node _T_4155 = and(_T_4154, bus_rsp_read) @[lsu_bus_buffer.scala 462:81] + buf_data_en[3] <= _T_4155 @[lsu_bus_buffer.scala 462:24] + node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:48] + node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 463:68] + node _T_4158 = and(_T_4157, bus_rsp_read_error) @[lsu_bus_buffer.scala 463:82] + buf_error_en[3] <= _T_4158 @[lsu_bus_buffer.scala 463:25] + node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:61] + node _T_4160 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 464:85] + node _T_4161 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 464:103] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:126] + node _T_4163 = mux(_T_4160, _T_4161, _T_4162) @[lsu_bus_buffer.scala 464:73] + node _T_4164 = mux(buf_error_en[3], _T_4159, _T_4163) @[lsu_bus_buffer.scala 464:30] + buf_data_in[3] <= _T_4164 @[lsu_bus_buffer.scala 464:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4165 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4165 : @[Conditional.scala 39:67] - node _T_4166 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 473:69] - node _T_4167 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 473:75] - node _T_4168 = and(_T_4166, _T_4167) @[lsu_bus_buffer.scala 473:73] - node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[lsu_bus_buffer.scala 473:57] - node _T_4170 = bits(_T_4169, 0, 0) @[lsu_bus_buffer.scala 473:104] - node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 474:30] - node _T_4172 = and(buf_dual[3], _T_4171) @[lsu_bus_buffer.scala 474:28] - node _T_4173 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 474:57] - node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[lsu_bus_buffer.scala 474:47] - node _T_4175 = and(_T_4172, _T_4174) @[lsu_bus_buffer.scala 474:45] - node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 474:90] - node _T_4177 = and(_T_4175, _T_4176) @[lsu_bus_buffer.scala 474:61] - node _T_4178 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 475:27] - node _T_4179 = or(_T_4178, any_done_wait_state) @[lsu_bus_buffer.scala 475:31] - node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 475:70] - node _T_4181 = and(buf_dual[3], _T_4180) @[lsu_bus_buffer.scala 475:68] - node _T_4182 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 475:97] - node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[lsu_bus_buffer.scala 475:87] - node _T_4184 = and(_T_4181, _T_4183) @[lsu_bus_buffer.scala 475:85] + node _T_4166 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:69] + node _T_4167 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:75] + node _T_4168 = and(_T_4166, _T_4167) @[lsu_bus_buffer.scala 467:73] + node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[lsu_bus_buffer.scala 467:57] + node _T_4170 = bits(_T_4169, 0, 0) @[lsu_bus_buffer.scala 467:104] + node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:30] + node _T_4172 = and(buf_dual[3], _T_4171) @[lsu_bus_buffer.scala 468:28] + node _T_4173 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 468:57] + node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:47] + node _T_4175 = and(_T_4172, _T_4174) @[lsu_bus_buffer.scala 468:45] + node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:90] + node _T_4177 = and(_T_4175, _T_4176) @[lsu_bus_buffer.scala 468:61] + node _T_4178 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 469:27] + node _T_4179 = or(_T_4178, any_done_wait_state) @[lsu_bus_buffer.scala 469:31] + node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 469:70] + node _T_4181 = and(buf_dual[3], _T_4180) @[lsu_bus_buffer.scala 469:68] + node _T_4182 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 469:97] + node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[lsu_bus_buffer.scala 469:87] + node _T_4184 = and(_T_4181, _T_4183) @[lsu_bus_buffer.scala 469:85] node _T_4185 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_4186 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_4187 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -5430,175 +5430,175 @@ circuit lsu_bus_buffer : node _T_4199 = or(_T_4198, _T_4196) @[Mux.scala 27:72] wire _T_4200 : UInt<1> @[Mux.scala 27:72] _T_4200 <= _T_4199 @[Mux.scala 27:72] - node _T_4201 = and(_T_4184, _T_4200) @[lsu_bus_buffer.scala 475:101] - node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 475:167] - node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 475:138] - node _T_4204 = and(_T_4203, any_done_wait_state) @[lsu_bus_buffer.scala 475:187] - node _T_4205 = or(_T_4179, _T_4204) @[lsu_bus_buffer.scala 475:53] - node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 475:16] - node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[lsu_bus_buffer.scala 474:14] - node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[lsu_bus_buffer.scala 473:33] - buf_nxtstate[3] <= _T_4208 @[lsu_bus_buffer.scala 473:27] - node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 476:73] - node _T_4210 = and(bus_rsp_write, _T_4209) @[lsu_bus_buffer.scala 476:52] - node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 477:46] - node _T_4212 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 478:23] - node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 478:47] - node _T_4214 = and(_T_4212, _T_4213) @[lsu_bus_buffer.scala 478:27] - node _T_4215 = or(_T_4211, _T_4214) @[lsu_bus_buffer.scala 477:77] - node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 479:26] - node _T_4217 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 479:54] - node _T_4218 = not(_T_4217) @[lsu_bus_buffer.scala 479:44] - node _T_4219 = and(_T_4216, _T_4218) @[lsu_bus_buffer.scala 479:42] - node _T_4220 = and(_T_4219, buf_samedw[3]) @[lsu_bus_buffer.scala 479:58] - node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 479:94] - node _T_4222 = and(_T_4220, _T_4221) @[lsu_bus_buffer.scala 479:74] - node _T_4223 = or(_T_4215, _T_4222) @[lsu_bus_buffer.scala 478:71] - node _T_4224 = and(bus_rsp_read, _T_4223) @[lsu_bus_buffer.scala 477:25] - node _T_4225 = or(_T_4210, _T_4224) @[lsu_bus_buffer.scala 476:105] - buf_resp_state_bus_en[3] <= _T_4225 @[lsu_bus_buffer.scala 476:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 480:29] - node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 481:49] - node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 481:70] - buf_state_en[3] <= _T_4227 @[lsu_bus_buffer.scala 481:25] - node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 482:47] - node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:62] - buf_data_en[3] <= _T_4229 @[lsu_bus_buffer.scala 482:24] - node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:48] - node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 483:111] - node _T_4232 = and(bus_rsp_read_error, _T_4231) @[lsu_bus_buffer.scala 483:91] - node _T_4233 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 484:42] - node _T_4234 = and(bus_rsp_read_error, _T_4233) @[lsu_bus_buffer.scala 484:31] - node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 484:66] - node _T_4236 = and(_T_4234, _T_4235) @[lsu_bus_buffer.scala 484:46] - node _T_4237 = or(_T_4232, _T_4236) @[lsu_bus_buffer.scala 483:143] - node _T_4238 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 485:54] - node _T_4239 = and(bus_rsp_write_error, _T_4238) @[lsu_bus_buffer.scala 485:33] - node _T_4240 = or(_T_4237, _T_4239) @[lsu_bus_buffer.scala 484:88] - node _T_4241 = and(_T_4230, _T_4240) @[lsu_bus_buffer.scala 483:68] - buf_error_en[3] <= _T_4241 @[lsu_bus_buffer.scala 483:25] - node _T_4242 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 486:50] - node _T_4243 = and(buf_state_en[3], _T_4242) @[lsu_bus_buffer.scala 486:48] - node _T_4244 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 486:84] - node _T_4245 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 486:102] - node _T_4246 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:125] - node _T_4247 = mux(_T_4244, _T_4245, _T_4246) @[lsu_bus_buffer.scala 486:72] - node _T_4248 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 486:148] - node _T_4249 = mux(_T_4243, _T_4247, _T_4248) @[lsu_bus_buffer.scala 486:30] - buf_data_in[3] <= _T_4249 @[lsu_bus_buffer.scala 486:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 487:34] + node _T_4201 = and(_T_4184, _T_4200) @[lsu_bus_buffer.scala 469:101] + node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 469:167] + node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 469:138] + node _T_4204 = and(_T_4203, any_done_wait_state) @[lsu_bus_buffer.scala 469:187] + node _T_4205 = or(_T_4179, _T_4204) @[lsu_bus_buffer.scala 469:53] + node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 469:16] + node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[lsu_bus_buffer.scala 468:14] + node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[lsu_bus_buffer.scala 467:33] + buf_nxtstate[3] <= _T_4208 @[lsu_bus_buffer.scala 467:27] + node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 470:73] + node _T_4210 = and(bus_rsp_write, _T_4209) @[lsu_bus_buffer.scala 470:52] + node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 471:46] + node _T_4212 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 472:23] + node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 472:47] + node _T_4214 = and(_T_4212, _T_4213) @[lsu_bus_buffer.scala 472:27] + node _T_4215 = or(_T_4211, _T_4214) @[lsu_bus_buffer.scala 471:77] + node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 473:26] + node _T_4217 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 473:54] + node _T_4218 = not(_T_4217) @[lsu_bus_buffer.scala 473:44] + node _T_4219 = and(_T_4216, _T_4218) @[lsu_bus_buffer.scala 473:42] + node _T_4220 = and(_T_4219, buf_samedw[3]) @[lsu_bus_buffer.scala 473:58] + node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 473:94] + node _T_4222 = and(_T_4220, _T_4221) @[lsu_bus_buffer.scala 473:74] + node _T_4223 = or(_T_4215, _T_4222) @[lsu_bus_buffer.scala 472:71] + node _T_4224 = and(bus_rsp_read, _T_4223) @[lsu_bus_buffer.scala 471:25] + node _T_4225 = or(_T_4210, _T_4224) @[lsu_bus_buffer.scala 470:105] + buf_resp_state_bus_en[3] <= _T_4225 @[lsu_bus_buffer.scala 470:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 474:29] + node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:49] + node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 475:70] + buf_state_en[3] <= _T_4227 @[lsu_bus_buffer.scala 475:25] + node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 476:47] + node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:62] + buf_data_en[3] <= _T_4229 @[lsu_bus_buffer.scala 476:24] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 477:48] + node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 477:111] + node _T_4232 = and(bus_rsp_read_error, _T_4231) @[lsu_bus_buffer.scala 477:91] + node _T_4233 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 478:42] + node _T_4234 = and(bus_rsp_read_error, _T_4233) @[lsu_bus_buffer.scala 478:31] + node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 478:66] + node _T_4236 = and(_T_4234, _T_4235) @[lsu_bus_buffer.scala 478:46] + node _T_4237 = or(_T_4232, _T_4236) @[lsu_bus_buffer.scala 477:143] + node _T_4238 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 479:54] + node _T_4239 = and(bus_rsp_write_error, _T_4238) @[lsu_bus_buffer.scala 479:33] + node _T_4240 = or(_T_4237, _T_4239) @[lsu_bus_buffer.scala 478:88] + node _T_4241 = and(_T_4230, _T_4240) @[lsu_bus_buffer.scala 477:68] + buf_error_en[3] <= _T_4241 @[lsu_bus_buffer.scala 477:25] + node _T_4242 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 480:50] + node _T_4243 = and(buf_state_en[3], _T_4242) @[lsu_bus_buffer.scala 480:48] + node _T_4244 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 480:84] + node _T_4245 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 480:102] + node _T_4246 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:125] + node _T_4247 = mux(_T_4244, _T_4245, _T_4246) @[lsu_bus_buffer.scala 480:72] + node _T_4248 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:148] + node _T_4249 = mux(_T_4243, _T_4247, _T_4248) @[lsu_bus_buffer.scala 480:30] + buf_data_in[3] <= _T_4249 @[lsu_bus_buffer.scala 480:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 481:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4250 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4250 : @[Conditional.scala 39:67] - node _T_4251 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 490:60] - node _T_4252 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 490:86] - node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 490:101] - node _T_4254 = bits(_T_4253, 0, 0) @[lsu_bus_buffer.scala 490:101] - node _T_4255 = or(_T_4252, _T_4254) @[lsu_bus_buffer.scala 490:90] - node _T_4256 = or(_T_4255, any_done_wait_state) @[lsu_bus_buffer.scala 490:118] - node _T_4257 = mux(_T_4256, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 490:75] - node _T_4258 = mux(_T_4251, UInt<3>("h00"), _T_4257) @[lsu_bus_buffer.scala 490:31] - buf_nxtstate[3] <= _T_4258 @[lsu_bus_buffer.scala 490:25] - node _T_4259 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 491:66] - node _T_4260 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 492:21] - node _T_4261 = bits(_T_4260, 0, 0) @[lsu_bus_buffer.scala 492:21] - node _T_4262 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 492:58] - node _T_4263 = and(_T_4261, _T_4262) @[lsu_bus_buffer.scala 492:38] - node _T_4264 = or(_T_4259, _T_4263) @[lsu_bus_buffer.scala 491:95] - node _T_4265 = and(bus_rsp_read, _T_4264) @[lsu_bus_buffer.scala 491:45] - buf_state_bus_en[3] <= _T_4265 @[lsu_bus_buffer.scala 491:29] - node _T_4266 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 493:49] - node _T_4267 = or(_T_4266, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 493:70] - buf_state_en[3] <= _T_4267 @[lsu_bus_buffer.scala 493:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:34] + node _T_4251 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 484:60] + node _T_4252 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 484:86] + node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 484:101] + node _T_4254 = bits(_T_4253, 0, 0) @[lsu_bus_buffer.scala 484:101] + node _T_4255 = or(_T_4252, _T_4254) @[lsu_bus_buffer.scala 484:90] + node _T_4256 = or(_T_4255, any_done_wait_state) @[lsu_bus_buffer.scala 484:118] + node _T_4257 = mux(_T_4256, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 484:75] + node _T_4258 = mux(_T_4251, UInt<3>("h00"), _T_4257) @[lsu_bus_buffer.scala 484:31] + buf_nxtstate[3] <= _T_4258 @[lsu_bus_buffer.scala 484:25] + node _T_4259 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 485:66] + node _T_4260 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 486:21] + node _T_4261 = bits(_T_4260, 0, 0) @[lsu_bus_buffer.scala 486:21] + node _T_4262 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 486:58] + node _T_4263 = and(_T_4261, _T_4262) @[lsu_bus_buffer.scala 486:38] + node _T_4264 = or(_T_4259, _T_4263) @[lsu_bus_buffer.scala 485:95] + node _T_4265 = and(bus_rsp_read, _T_4264) @[lsu_bus_buffer.scala 485:45] + buf_state_bus_en[3] <= _T_4265 @[lsu_bus_buffer.scala 485:29] + node _T_4266 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 487:49] + node _T_4267 = or(_T_4266, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 487:70] + buf_state_en[3] <= _T_4267 @[lsu_bus_buffer.scala 487:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4268 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4268 : @[Conditional.scala 39:67] - node _T_4269 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 497:60] - node _T_4270 = mux(_T_4269, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 497:31] - buf_nxtstate[3] <= _T_4270 @[lsu_bus_buffer.scala 497:25] - node _T_4271 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 498:37] - node _T_4272 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 498:98] - node _T_4273 = and(buf_dual[3], _T_4272) @[lsu_bus_buffer.scala 498:80] - node _T_4274 = or(_T_4271, _T_4273) @[lsu_bus_buffer.scala 498:65] - node _T_4275 = or(_T_4274, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 498:112] - buf_state_en[3] <= _T_4275 @[lsu_bus_buffer.scala 498:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:34] + node _T_4269 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 491:60] + node _T_4270 = mux(_T_4269, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 491:31] + buf_nxtstate[3] <= _T_4270 @[lsu_bus_buffer.scala 491:25] + node _T_4271 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 492:37] + node _T_4272 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 492:98] + node _T_4273 = and(buf_dual[3], _T_4272) @[lsu_bus_buffer.scala 492:80] + node _T_4274 = or(_T_4271, _T_4273) @[lsu_bus_buffer.scala 492:65] + node _T_4275 = or(_T_4274, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:112] + buf_state_en[3] <= _T_4275 @[lsu_bus_buffer.scala 492:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4276 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4276 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] - buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] - buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 506:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 496:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 497:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 498:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 500:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 501:34] skip @[Conditional.scala 39:67] - node _T_4277 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 510:108] + node _T_4277 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 504:108] reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4278 @[lsu_bus_buffer.scala 510:18] - reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] - _T_4279 <= buf_age_in_3 @[lsu_bus_buffer.scala 511:60] - buf_ageQ[3] <= _T_4279 @[lsu_bus_buffer.scala 511:17] - reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] - _T_4280 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 512:63] - buf_rspageQ[3] <= _T_4280 @[lsu_bus_buffer.scala 512:20] - node _T_4281 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:109] + buf_state[3] <= _T_4278 @[lsu_bus_buffer.scala 504:18] + reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 505:60] + _T_4279 <= buf_age_in_3 @[lsu_bus_buffer.scala 505:60] + buf_ageQ[3] <= _T_4279 @[lsu_bus_buffer.scala 505:17] + reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 506:63] + _T_4280 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 506:63] + buf_rspageQ[3] <= _T_4280 @[lsu_bus_buffer.scala 506:20] + node _T_4281 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 507:109] reg _T_4282 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4281 : @[Reg.scala 28:19] _T_4282 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4282 @[lsu_bus_buffer.scala 513:20] - node _T_4283 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 514:74] - node _T_4284 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:107] + buf_dualtag[3] <= _T_4282 @[lsu_bus_buffer.scala 507:20] + node _T_4283 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 508:74] + node _T_4284 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 508:107] reg _T_4285 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4284 : @[Reg.scala 28:19] _T_4285 <= _T_4283 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4285 @[lsu_bus_buffer.scala 514:17] - node _T_4286 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 515:78] - node _T_4287 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:111] + buf_dual[3] <= _T_4285 @[lsu_bus_buffer.scala 508:17] + node _T_4286 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 509:78] + node _T_4287 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 509:111] reg _T_4288 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4287 : @[Reg.scala 28:19] _T_4288 <= _T_4286 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4288 @[lsu_bus_buffer.scala 515:19] - node _T_4289 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 516:80] - node _T_4290 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:113] + buf_samedw[3] <= _T_4288 @[lsu_bus_buffer.scala 509:19] + node _T_4289 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 510:80] + node _T_4290 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:113] reg _T_4291 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4290 : @[Reg.scala 28:19] _T_4291 <= _T_4289 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4291 @[lsu_bus_buffer.scala 516:20] - node _T_4292 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 517:78] - node _T_4293 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 517:111] + buf_nomerge[3] <= _T_4291 @[lsu_bus_buffer.scala 510:20] + node _T_4292 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 511:78] + node _T_4293 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:111] reg _T_4294 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4293 : @[Reg.scala 28:19] _T_4294 <= _T_4292 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4294 @[lsu_bus_buffer.scala 517:19] - node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 520:131] + buf_dualhi[3] <= _T_4294 @[lsu_bus_buffer.scala 511:19] + node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 514:131] reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 520:131] + node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 514:131] reg _T_4298 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4297 : @[Reg.scala 28:19] _T_4298 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 520:131] + node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 514:131] reg _T_4300 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4299 : @[Reg.scala 28:19] _T_4300 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 520:131] + node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 514:131] reg _T_4302 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4301 : @[Reg.scala 28:19] _T_4302 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5606,51 +5606,51 @@ circuit lsu_bus_buffer : node _T_4303 = cat(_T_4302, _T_4300) @[Cat.scala 29:58] node _T_4304 = cat(_T_4303, _T_4298) @[Cat.scala 29:58] node _T_4305 = cat(_T_4304, _T_4296) @[Cat.scala 29:58] - buf_ldfwd <= _T_4305 @[lsu_bus_buffer.scala 520:13] - node _T_4306 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 521:132] + buf_ldfwd <= _T_4305 @[lsu_bus_buffer.scala 514:13] + node _T_4306 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 515:132] reg _T_4307 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4306 : @[Reg.scala 28:19] _T_4307 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4308 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 521:132] + node _T_4308 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 515:132] reg _T_4309 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4308 : @[Reg.scala 28:19] _T_4309 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4310 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 521:132] + node _T_4310 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 515:132] reg _T_4311 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4310 : @[Reg.scala 28:19] _T_4311 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4312 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 521:132] + node _T_4312 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 515:132] reg _T_4313 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4312 : @[Reg.scala 28:19] _T_4313 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4307 @[lsu_bus_buffer.scala 521:16] - buf_ldfwdtag[1] <= _T_4309 @[lsu_bus_buffer.scala 521:16] - buf_ldfwdtag[2] <= _T_4311 @[lsu_bus_buffer.scala 521:16] - buf_ldfwdtag[3] <= _T_4313 @[lsu_bus_buffer.scala 521:16] - node _T_4314 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 522:105] - node _T_4315 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 522:138] + buf_ldfwdtag[0] <= _T_4307 @[lsu_bus_buffer.scala 515:16] + buf_ldfwdtag[1] <= _T_4309 @[lsu_bus_buffer.scala 515:16] + buf_ldfwdtag[2] <= _T_4311 @[lsu_bus_buffer.scala 515:16] + buf_ldfwdtag[3] <= _T_4313 @[lsu_bus_buffer.scala 515:16] + node _T_4314 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 516:105] + node _T_4315 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:138] reg _T_4316 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4315 : @[Reg.scala 28:19] _T_4316 <= _T_4314 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4317 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 522:105] - node _T_4318 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 522:138] + node _T_4317 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 516:105] + node _T_4318 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:138] reg _T_4319 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4318 : @[Reg.scala 28:19] _T_4319 <= _T_4317 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4320 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 522:105] - node _T_4321 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 522:138] + node _T_4320 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 516:105] + node _T_4321 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:138] reg _T_4322 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4321 : @[Reg.scala 28:19] _T_4322 <= _T_4320 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4323 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 522:105] - node _T_4324 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 522:138] + node _T_4323 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 516:105] + node _T_4324 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:138] reg _T_4325 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4324 : @[Reg.scala 28:19] _T_4325 <= _T_4323 @[Reg.scala 28:23] @@ -5658,27 +5658,27 @@ circuit lsu_bus_buffer : node _T_4326 = cat(_T_4325, _T_4322) @[Cat.scala 29:58] node _T_4327 = cat(_T_4326, _T_4319) @[Cat.scala 29:58] node _T_4328 = cat(_T_4327, _T_4316) @[Cat.scala 29:58] - buf_sideeffect <= _T_4328 @[lsu_bus_buffer.scala 522:18] - node _T_4329 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 523:97] - node _T_4330 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 523:130] + buf_sideeffect <= _T_4328 @[lsu_bus_buffer.scala 516:18] + node _T_4329 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 517:97] + node _T_4330 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 517:130] reg _T_4331 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4330 : @[Reg.scala 28:19] _T_4331 <= _T_4329 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4332 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 523:97] - node _T_4333 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 523:130] + node _T_4332 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 517:97] + node _T_4333 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 517:130] reg _T_4334 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4333 : @[Reg.scala 28:19] _T_4334 <= _T_4332 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4335 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 523:97] - node _T_4336 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 523:130] + node _T_4335 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 517:97] + node _T_4336 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 517:130] reg _T_4337 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4336 : @[Reg.scala 28:19] _T_4337 <= _T_4335 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4338 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 523:97] - node _T_4339 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 523:130] + node _T_4338 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 517:97] + node _T_4339 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 517:130] reg _T_4340 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4339 : @[Reg.scala 28:19] _T_4340 <= _T_4338 @[Reg.scala 28:23] @@ -5686,27 +5686,27 @@ circuit lsu_bus_buffer : node _T_4341 = cat(_T_4340, _T_4337) @[Cat.scala 29:58] node _T_4342 = cat(_T_4341, _T_4334) @[Cat.scala 29:58] node _T_4343 = cat(_T_4342, _T_4331) @[Cat.scala 29:58] - buf_unsign <= _T_4343 @[lsu_bus_buffer.scala 523:14] - node _T_4344 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 524:95] - node _T_4345 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 524:128] + buf_unsign <= _T_4343 @[lsu_bus_buffer.scala 517:14] + node _T_4344 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 518:95] + node _T_4345 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 518:128] reg _T_4346 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4345 : @[Reg.scala 28:19] _T_4346 <= _T_4344 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4347 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 524:95] - node _T_4348 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 524:128] + node _T_4347 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 518:95] + node _T_4348 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 518:128] reg _T_4349 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4348 : @[Reg.scala 28:19] _T_4349 <= _T_4347 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4350 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 524:95] - node _T_4351 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 524:128] + node _T_4350 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 518:95] + node _T_4351 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 518:128] reg _T_4352 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4351 : @[Reg.scala 28:19] _T_4352 <= _T_4350 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4353 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 524:95] - node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 524:128] + node _T_4353 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 518:95] + node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 518:128] reg _T_4355 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4354 : @[Reg.scala 28:19] _T_4355 <= _T_4353 @[Reg.scala 28:23] @@ -5714,32 +5714,32 @@ circuit lsu_bus_buffer : node _T_4356 = cat(_T_4355, _T_4352) @[Cat.scala 29:58] node _T_4357 = cat(_T_4356, _T_4349) @[Cat.scala 29:58] node _T_4358 = cat(_T_4357, _T_4346) @[Cat.scala 29:58] - buf_write <= _T_4358 @[lsu_bus_buffer.scala 524:13] - node _T_4359 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 525:117] + buf_write <= _T_4358 @[lsu_bus_buffer.scala 518:13] + node _T_4359 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 519:117] reg _T_4360 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4359 : @[Reg.scala 28:19] _T_4360 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4361 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 525:117] + node _T_4361 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 519:117] reg _T_4362 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4361 : @[Reg.scala 28:19] _T_4362 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4363 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 525:117] + node _T_4363 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 519:117] reg _T_4364 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4363 : @[Reg.scala 28:19] _T_4364 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4365 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 525:117] + node _T_4365 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 519:117] reg _T_4366 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4360 @[lsu_bus_buffer.scala 525:10] - buf_sz[1] <= _T_4362 @[lsu_bus_buffer.scala 525:10] - buf_sz[2] <= _T_4364 @[lsu_bus_buffer.scala 525:10] - buf_sz[3] <= _T_4366 @[lsu_bus_buffer.scala 525:10] - node _T_4367 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 526:80] + buf_sz[0] <= _T_4360 @[lsu_bus_buffer.scala 519:10] + buf_sz[1] <= _T_4362 @[lsu_bus_buffer.scala 519:10] + buf_sz[2] <= _T_4364 @[lsu_bus_buffer.scala 519:10] + buf_sz[3] <= _T_4366 @[lsu_bus_buffer.scala 519:10] + node _T_4367 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 520:80] inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5750,7 +5750,7 @@ circuit lsu_bus_buffer : when _T_4367 : @[Reg.scala 28:19] _T_4368 <= buf_addr_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4369 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 526:80] + node _T_4369 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 520:80] inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5761,7 +5761,7 @@ circuit lsu_bus_buffer : when _T_4369 : @[Reg.scala 28:19] _T_4370 <= buf_addr_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4371 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 526:80] + node _T_4371 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 520:80] inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5772,7 +5772,7 @@ circuit lsu_bus_buffer : when _T_4371 : @[Reg.scala 28:19] _T_4372 <= buf_addr_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4373 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 526:80] + node _T_4373 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 520:80] inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5783,34 +5783,34 @@ circuit lsu_bus_buffer : when _T_4373 : @[Reg.scala 28:19] _T_4374 <= buf_addr_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_addr[0] <= _T_4368 @[lsu_bus_buffer.scala 526:12] - buf_addr[1] <= _T_4370 @[lsu_bus_buffer.scala 526:12] - buf_addr[2] <= _T_4372 @[lsu_bus_buffer.scala 526:12] - buf_addr[3] <= _T_4374 @[lsu_bus_buffer.scala 526:12] - node _T_4375 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 527:125] + buf_addr[0] <= _T_4368 @[lsu_bus_buffer.scala 520:12] + buf_addr[1] <= _T_4370 @[lsu_bus_buffer.scala 520:12] + buf_addr[2] <= _T_4372 @[lsu_bus_buffer.scala 520:12] + buf_addr[3] <= _T_4374 @[lsu_bus_buffer.scala 520:12] + node _T_4375 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 521:125] reg _T_4376 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4375 : @[Reg.scala 28:19] _T_4376 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4377 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 527:125] + node _T_4377 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 521:125] reg _T_4378 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4377 : @[Reg.scala 28:19] _T_4378 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4379 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 527:125] + node _T_4379 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 521:125] reg _T_4380 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4379 : @[Reg.scala 28:19] _T_4380 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4381 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 527:125] + node _T_4381 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 521:125] reg _T_4382 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4381 : @[Reg.scala 28:19] _T_4382 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4376 @[lsu_bus_buffer.scala 527:14] - buf_byteen[1] <= _T_4378 @[lsu_bus_buffer.scala 527:14] - buf_byteen[2] <= _T_4380 @[lsu_bus_buffer.scala 527:14] - buf_byteen[3] <= _T_4382 @[lsu_bus_buffer.scala 527:14] + buf_byteen[0] <= _T_4376 @[lsu_bus_buffer.scala 521:14] + buf_byteen[1] <= _T_4378 @[lsu_bus_buffer.scala 521:14] + buf_byteen[2] <= _T_4380 @[lsu_bus_buffer.scala 521:14] + buf_byteen[3] <= _T_4382 @[lsu_bus_buffer.scala 521:14] inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5851,674 +5851,678 @@ circuit lsu_bus_buffer : when buf_data_en[3] : @[Reg.scala 28:19] _T_4386 <= buf_data_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_data[0] <= _T_4383 @[lsu_bus_buffer.scala 528:12] - buf_data[1] <= _T_4384 @[lsu_bus_buffer.scala 528:12] - buf_data[2] <= _T_4385 @[lsu_bus_buffer.scala 528:12] - buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 528:12] - node _T_4387 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 529:119] - node _T_4388 = mux(buf_error_en[0], UInt<1>("h01"), _T_4387) @[lsu_bus_buffer.scala 529:84] - node _T_4389 = and(_T_4388, buf_rst[0]) @[lsu_bus_buffer.scala 529:124] - reg _T_4390 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] - _T_4390 <= _T_4389 @[lsu_bus_buffer.scala 529:80] - node _T_4391 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 529:119] - node _T_4392 = mux(buf_error_en[1], UInt<1>("h01"), _T_4391) @[lsu_bus_buffer.scala 529:84] - node _T_4393 = and(_T_4392, buf_rst[1]) @[lsu_bus_buffer.scala 529:124] - reg _T_4394 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] - _T_4394 <= _T_4393 @[lsu_bus_buffer.scala 529:80] - node _T_4395 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 529:119] - node _T_4396 = mux(buf_error_en[2], UInt<1>("h01"), _T_4395) @[lsu_bus_buffer.scala 529:84] - node _T_4397 = and(_T_4396, buf_rst[2]) @[lsu_bus_buffer.scala 529:124] - reg _T_4398 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] - _T_4398 <= _T_4397 @[lsu_bus_buffer.scala 529:80] - node _T_4399 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 529:119] - node _T_4400 = mux(buf_error_en[3], UInt<1>("h01"), _T_4399) @[lsu_bus_buffer.scala 529:84] - node _T_4401 = and(_T_4400, buf_rst[3]) @[lsu_bus_buffer.scala 529:124] - reg _T_4402 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] - _T_4402 <= _T_4401 @[lsu_bus_buffer.scala 529:80] - node _T_4403 = cat(_T_4402, _T_4398) @[Cat.scala 29:58] - node _T_4404 = cat(_T_4403, _T_4394) @[Cat.scala 29:58] - node _T_4405 = cat(_T_4404, _T_4390) @[Cat.scala 29:58] - buf_error <= _T_4405 @[lsu_bus_buffer.scala 529:13] - node _T_4406 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4407 = mux(io.ldst_dual_m, _T_4406, io.lsu_busreq_m) @[lsu_bus_buffer.scala 530:28] - node _T_4408 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4409 = mux(io.ldst_dual_r, _T_4408, io.lsu_busreq_r) @[lsu_bus_buffer.scala 530:94] - node _T_4410 = add(_T_4407, _T_4409) @[lsu_bus_buffer.scala 530:88] - node _T_4411 = add(_T_4410, ibuf_valid) @[lsu_bus_buffer.scala 530:154] - node _T_4412 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] - node _T_4413 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] - node _T_4414 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] - node _T_4415 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] - node _T_4416 = add(_T_4412, _T_4413) @[lsu_bus_buffer.scala 530:217] - node _T_4417 = add(_T_4416, _T_4414) @[lsu_bus_buffer.scala 530:217] - node _T_4418 = add(_T_4417, _T_4415) @[lsu_bus_buffer.scala 530:217] - node _T_4419 = add(_T_4411, _T_4418) @[lsu_bus_buffer.scala 530:169] - node buf_numvld_any = tail(_T_4419, 1) @[lsu_bus_buffer.scala 530:169] - node _T_4420 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 531:60] - node _T_4421 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] - node _T_4422 = and(_T_4420, _T_4421) @[lsu_bus_buffer.scala 531:64] - node _T_4423 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] - node _T_4424 = and(_T_4422, _T_4423) @[lsu_bus_buffer.scala 531:89] - node _T_4425 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 531:60] - node _T_4426 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] - node _T_4427 = and(_T_4425, _T_4426) @[lsu_bus_buffer.scala 531:64] - node _T_4428 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] - node _T_4429 = and(_T_4427, _T_4428) @[lsu_bus_buffer.scala 531:89] - node _T_4430 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 531:60] - node _T_4431 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] - node _T_4432 = and(_T_4430, _T_4431) @[lsu_bus_buffer.scala 531:64] - node _T_4433 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] - node _T_4434 = and(_T_4432, _T_4433) @[lsu_bus_buffer.scala 531:89] - node _T_4435 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 531:60] - node _T_4436 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] - node _T_4437 = and(_T_4435, _T_4436) @[lsu_bus_buffer.scala 531:64] - node _T_4438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] - node _T_4439 = and(_T_4437, _T_4438) @[lsu_bus_buffer.scala 531:89] - node _T_4440 = add(_T_4439, _T_4434) @[lsu_bus_buffer.scala 531:142] - node _T_4441 = add(_T_4440, _T_4429) @[lsu_bus_buffer.scala 531:142] - node _T_4442 = add(_T_4441, _T_4424) @[lsu_bus_buffer.scala 531:142] - buf_numvld_wrcmd_any <= _T_4442 @[lsu_bus_buffer.scala 531:24] - node _T_4443 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] - node _T_4444 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] - node _T_4445 = and(_T_4443, _T_4444) @[lsu_bus_buffer.scala 532:73] - node _T_4446 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] - node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] - node _T_4448 = and(_T_4446, _T_4447) @[lsu_bus_buffer.scala 532:73] - node _T_4449 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] - node _T_4450 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] - node _T_4451 = and(_T_4449, _T_4450) @[lsu_bus_buffer.scala 532:73] - node _T_4452 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] - node _T_4453 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] - node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 532:73] - node _T_4455 = add(_T_4454, _T_4451) @[lsu_bus_buffer.scala 532:126] - node _T_4456 = add(_T_4455, _T_4448) @[lsu_bus_buffer.scala 532:126] - node _T_4457 = add(_T_4456, _T_4445) @[lsu_bus_buffer.scala 532:126] - buf_numvld_cmd_any <= _T_4457 @[lsu_bus_buffer.scala 532:22] - node _T_4458 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] - node _T_4459 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] - node _T_4460 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] - node _T_4461 = and(_T_4459, _T_4460) @[lsu_bus_buffer.scala 533:100] - node _T_4462 = or(_T_4458, _T_4461) @[lsu_bus_buffer.scala 533:74] - node _T_4463 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] - node _T_4464 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] - node _T_4465 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] - node _T_4466 = and(_T_4464, _T_4465) @[lsu_bus_buffer.scala 533:100] - node _T_4467 = or(_T_4463, _T_4466) @[lsu_bus_buffer.scala 533:74] - node _T_4468 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] - node _T_4469 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] - node _T_4470 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] - node _T_4471 = and(_T_4469, _T_4470) @[lsu_bus_buffer.scala 533:100] - node _T_4472 = or(_T_4468, _T_4471) @[lsu_bus_buffer.scala 533:74] - node _T_4473 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] - node _T_4474 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] - node _T_4475 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] - node _T_4476 = and(_T_4474, _T_4475) @[lsu_bus_buffer.scala 533:100] - node _T_4477 = or(_T_4473, _T_4476) @[lsu_bus_buffer.scala 533:74] - node _T_4478 = add(_T_4477, _T_4472) @[lsu_bus_buffer.scala 533:154] - node _T_4479 = add(_T_4478, _T_4467) @[lsu_bus_buffer.scala 533:154] - node _T_4480 = add(_T_4479, _T_4462) @[lsu_bus_buffer.scala 533:154] - buf_numvld_pend_any <= _T_4480 @[lsu_bus_buffer.scala 533:23] - node _T_4481 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] - node _T_4482 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] - node _T_4483 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] - node _T_4484 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] - node _T_4485 = or(_T_4484, _T_4483) @[lsu_bus_buffer.scala 534:93] - node _T_4486 = or(_T_4485, _T_4482) @[lsu_bus_buffer.scala 534:93] - node _T_4487 = or(_T_4486, _T_4481) @[lsu_bus_buffer.scala 534:93] - any_done_wait_state <= _T_4487 @[lsu_bus_buffer.scala 534:23] - node _T_4488 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 535:53] - io.lsu_bus_buffer_pend_any <= _T_4488 @[lsu_bus_buffer.scala 535:30] - node _T_4489 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 536:52] - node _T_4490 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 536:92] - node _T_4491 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 536:121] - node _T_4492 = mux(_T_4489, _T_4490, _T_4491) @[lsu_bus_buffer.scala 536:36] - io.lsu_bus_buffer_full_any <= _T_4492 @[lsu_bus_buffer.scala 536:30] - node _T_4493 = orr(buf_state[0]) @[lsu_bus_buffer.scala 537:52] - node _T_4494 = orr(buf_state[1]) @[lsu_bus_buffer.scala 537:52] - node _T_4495 = orr(buf_state[2]) @[lsu_bus_buffer.scala 537:52] - node _T_4496 = orr(buf_state[3]) @[lsu_bus_buffer.scala 537:52] - node _T_4497 = or(_T_4493, _T_4494) @[lsu_bus_buffer.scala 537:65] - node _T_4498 = or(_T_4497, _T_4495) @[lsu_bus_buffer.scala 537:65] - node _T_4499 = or(_T_4498, _T_4496) @[lsu_bus_buffer.scala 537:65] - node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:34] - node _T_4501 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:72] - node _T_4502 = and(_T_4500, _T_4501) @[lsu_bus_buffer.scala 537:70] - node _T_4503 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:86] - node _T_4504 = and(_T_4502, _T_4503) @[lsu_bus_buffer.scala 537:84] - io.lsu_bus_buffer_empty_any <= _T_4504 @[lsu_bus_buffer.scala 537:31] - node _T_4505 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 539:64] - node _T_4506 = and(_T_4505, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 539:85] - node _T_4507 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:112] - node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 539:110] - node _T_4509 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:129] - node _T_4510 = and(_T_4508, _T_4509) @[lsu_bus_buffer.scala 539:127] - io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4510 @[lsu_bus_buffer.scala 539:45] - io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 540:43] + buf_data[0] <= _T_4383 @[lsu_bus_buffer.scala 522:12] + buf_data[1] <= _T_4384 @[lsu_bus_buffer.scala 522:12] + buf_data[2] <= _T_4385 @[lsu_bus_buffer.scala 522:12] + buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 522:12] + node _T_4387 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 523:119] + node _T_4388 = mux(buf_error_en[0], UInt<1>("h01"), _T_4387) @[lsu_bus_buffer.scala 523:84] + node _T_4389 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:126] + node _T_4390 = and(_T_4388, _T_4389) @[lsu_bus_buffer.scala 523:124] + reg _T_4391 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 523:80] + _T_4391 <= _T_4390 @[lsu_bus_buffer.scala 523:80] + node _T_4392 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 523:119] + node _T_4393 = mux(buf_error_en[1], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 523:84] + node _T_4394 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:126] + node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 523:124] + reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 523:80] + _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 523:80] + node _T_4397 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 523:119] + node _T_4398 = mux(buf_error_en[2], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 523:84] + node _T_4399 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:126] + node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 523:124] + reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 523:80] + _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 523:80] + node _T_4402 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 523:119] + node _T_4403 = mux(buf_error_en[3], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 523:84] + node _T_4404 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:126] + node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 523:124] + reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 523:80] + _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 523:80] + node _T_4407 = cat(_T_4406, _T_4401) @[Cat.scala 29:58] + node _T_4408 = cat(_T_4407, _T_4396) @[Cat.scala 29:58] + node _T_4409 = cat(_T_4408, _T_4391) @[Cat.scala 29:58] + buf_error <= _T_4409 @[lsu_bus_buffer.scala 523:13] + node _T_4410 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4411 = mux(io.ldst_dual_m, _T_4410, io.lsu_busreq_m) @[lsu_bus_buffer.scala 524:28] + node _T_4412 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4413 = mux(io.ldst_dual_r, _T_4412, io.lsu_busreq_r) @[lsu_bus_buffer.scala 524:94] + node _T_4414 = add(_T_4411, _T_4413) @[lsu_bus_buffer.scala 524:88] + node _T_4415 = add(_T_4414, ibuf_valid) @[lsu_bus_buffer.scala 524:154] + node _T_4416 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] + node _T_4417 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] + node _T_4418 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] + node _T_4419 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] + node _T_4420 = add(_T_4416, _T_4417) @[lsu_bus_buffer.scala 524:217] + node _T_4421 = add(_T_4420, _T_4418) @[lsu_bus_buffer.scala 524:217] + node _T_4422 = add(_T_4421, _T_4419) @[lsu_bus_buffer.scala 524:217] + node _T_4423 = add(_T_4415, _T_4422) @[lsu_bus_buffer.scala 524:169] + node buf_numvld_any = tail(_T_4423, 1) @[lsu_bus_buffer.scala 524:169] + node _T_4424 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 525:60] + node _T_4425 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] + node _T_4426 = and(_T_4424, _T_4425) @[lsu_bus_buffer.scala 525:64] + node _T_4427 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] + node _T_4428 = and(_T_4426, _T_4427) @[lsu_bus_buffer.scala 525:89] + node _T_4429 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 525:60] + node _T_4430 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] + node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 525:64] + node _T_4432 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] + node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 525:89] + node _T_4434 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 525:60] + node _T_4435 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] + node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 525:64] + node _T_4437 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 525:89] + node _T_4439 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 525:60] + node _T_4440 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 525:64] + node _T_4442 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] + node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 525:89] + node _T_4444 = add(_T_4443, _T_4438) @[lsu_bus_buffer.scala 525:142] + node _T_4445 = add(_T_4444, _T_4433) @[lsu_bus_buffer.scala 525:142] + node _T_4446 = add(_T_4445, _T_4428) @[lsu_bus_buffer.scala 525:142] + buf_numvld_wrcmd_any <= _T_4446 @[lsu_bus_buffer.scala 525:24] + node _T_4447 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] + node _T_4448 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] + node _T_4449 = and(_T_4447, _T_4448) @[lsu_bus_buffer.scala 526:73] + node _T_4450 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] + node _T_4451 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] + node _T_4452 = and(_T_4450, _T_4451) @[lsu_bus_buffer.scala 526:73] + node _T_4453 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] + node _T_4454 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] + node _T_4455 = and(_T_4453, _T_4454) @[lsu_bus_buffer.scala 526:73] + node _T_4456 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] + node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] + node _T_4458 = and(_T_4456, _T_4457) @[lsu_bus_buffer.scala 526:73] + node _T_4459 = add(_T_4458, _T_4455) @[lsu_bus_buffer.scala 526:126] + node _T_4460 = add(_T_4459, _T_4452) @[lsu_bus_buffer.scala 526:126] + node _T_4461 = add(_T_4460, _T_4449) @[lsu_bus_buffer.scala 526:126] + buf_numvld_cmd_any <= _T_4461 @[lsu_bus_buffer.scala 526:22] + node _T_4462 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] + node _T_4463 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] + node _T_4464 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] + node _T_4465 = and(_T_4463, _T_4464) @[lsu_bus_buffer.scala 527:100] + node _T_4466 = or(_T_4462, _T_4465) @[lsu_bus_buffer.scala 527:74] + node _T_4467 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] + node _T_4468 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] + node _T_4469 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] + node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 527:100] + node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 527:74] + node _T_4472 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] + node _T_4473 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] + node _T_4474 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] + node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 527:100] + node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 527:74] + node _T_4477 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] + node _T_4478 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] + node _T_4479 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] + node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 527:100] + node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 527:74] + node _T_4482 = add(_T_4481, _T_4476) @[lsu_bus_buffer.scala 527:154] + node _T_4483 = add(_T_4482, _T_4471) @[lsu_bus_buffer.scala 527:154] + node _T_4484 = add(_T_4483, _T_4466) @[lsu_bus_buffer.scala 527:154] + buf_numvld_pend_any <= _T_4484 @[lsu_bus_buffer.scala 527:23] + node _T_4485 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] + node _T_4486 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] + node _T_4487 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] + node _T_4488 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] + node _T_4489 = or(_T_4488, _T_4487) @[lsu_bus_buffer.scala 528:93] + node _T_4490 = or(_T_4489, _T_4486) @[lsu_bus_buffer.scala 528:93] + node _T_4491 = or(_T_4490, _T_4485) @[lsu_bus_buffer.scala 528:93] + any_done_wait_state <= _T_4491 @[lsu_bus_buffer.scala 528:23] + node _T_4492 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 529:53] + io.lsu_bus_buffer_pend_any <= _T_4492 @[lsu_bus_buffer.scala 529:30] + node _T_4493 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 530:52] + node _T_4494 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 530:92] + node _T_4495 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 530:121] + node _T_4496 = mux(_T_4493, _T_4494, _T_4495) @[lsu_bus_buffer.scala 530:36] + io.lsu_bus_buffer_full_any <= _T_4496 @[lsu_bus_buffer.scala 530:30] + node _T_4497 = orr(buf_state[0]) @[lsu_bus_buffer.scala 531:52] + node _T_4498 = orr(buf_state[1]) @[lsu_bus_buffer.scala 531:52] + node _T_4499 = orr(buf_state[2]) @[lsu_bus_buffer.scala 531:52] + node _T_4500 = orr(buf_state[3]) @[lsu_bus_buffer.scala 531:52] + node _T_4501 = or(_T_4497, _T_4498) @[lsu_bus_buffer.scala 531:65] + node _T_4502 = or(_T_4501, _T_4499) @[lsu_bus_buffer.scala 531:65] + node _T_4503 = or(_T_4502, _T_4500) @[lsu_bus_buffer.scala 531:65] + node _T_4504 = eq(_T_4503, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:34] + node _T_4505 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:72] + node _T_4506 = and(_T_4504, _T_4505) @[lsu_bus_buffer.scala 531:70] + node _T_4507 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:86] + node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 531:84] + io.lsu_bus_buffer_empty_any <= _T_4508 @[lsu_bus_buffer.scala 531:31] + node _T_4509 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 533:64] + node _T_4510 = and(_T_4509, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 533:85] + node _T_4511 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:112] + node _T_4512 = and(_T_4510, _T_4511) @[lsu_bus_buffer.scala 533:110] + node _T_4513 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:129] + node _T_4514 = and(_T_4512, _T_4513) @[lsu_bus_buffer.scala 533:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4514 @[lsu_bus_buffer.scala 533:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 534:43] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4511 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:74] - node _T_4512 = and(lsu_nonblock_load_valid_r, _T_4511) @[lsu_bus_buffer.scala 542:72] - io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4512 @[lsu_bus_buffer.scala 542:43] - io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 543:47] - node _T_4513 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] - node _T_4514 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 544:106] - node _T_4515 = eq(_T_4514, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] - node _T_4516 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] - node _T_4517 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 544:106] - node _T_4518 = eq(_T_4517, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] - node _T_4519 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] - node _T_4520 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 544:106] - node _T_4521 = eq(_T_4520, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] - node _T_4522 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] - node _T_4523 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 544:106] - node _T_4524 = eq(_T_4523, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] - node _T_4525 = mux(_T_4513, _T_4515, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4526 = mux(_T_4516, _T_4518, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4527 = mux(_T_4519, _T_4521, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4528 = mux(_T_4522, _T_4524, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4529 = or(_T_4525, _T_4526) @[Mux.scala 27:72] - node _T_4530 = or(_T_4529, _T_4527) @[Mux.scala 27:72] - node _T_4531 = or(_T_4530, _T_4528) @[Mux.scala 27:72] + node _T_4515 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:74] + node _T_4516 = and(lsu_nonblock_load_valid_r, _T_4515) @[lsu_bus_buffer.scala 536:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4516 @[lsu_bus_buffer.scala 536:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 537:47] + node _T_4517 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] + node _T_4518 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:106] + node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] + node _T_4520 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] + node _T_4521 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:106] + node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] + node _T_4523 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] + node _T_4524 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:106] + node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] + node _T_4526 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] + node _T_4527 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:106] + node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] + node _T_4529 = mux(_T_4517, _T_4519, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4530 = mux(_T_4520, _T_4522, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4531 = mux(_T_4523, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4532 = mux(_T_4526, _T_4528, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4533 = or(_T_4529, _T_4530) @[Mux.scala 27:72] + node _T_4534 = or(_T_4533, _T_4531) @[Mux.scala 27:72] + node _T_4535 = or(_T_4534, _T_4532) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4531 @[Mux.scala 27:72] - node _T_4532 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] - node _T_4533 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 545:117] - node _T_4534 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 545:133] - node _T_4535 = eq(_T_4534, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4536 = and(_T_4533, _T_4535) @[lsu_bus_buffer.scala 545:121] - node _T_4537 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] - node _T_4538 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 545:117] - node _T_4539 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 545:133] - node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4541 = and(_T_4538, _T_4540) @[lsu_bus_buffer.scala 545:121] - node _T_4542 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] - node _T_4543 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 545:117] - node _T_4544 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 545:133] - node _T_4545 = eq(_T_4544, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4546 = and(_T_4543, _T_4545) @[lsu_bus_buffer.scala 545:121] - node _T_4547 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] - node _T_4548 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 545:117] - node _T_4549 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 545:133] - node _T_4550 = eq(_T_4549, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4551 = and(_T_4548, _T_4550) @[lsu_bus_buffer.scala 545:121] - node _T_4552 = mux(_T_4532, _T_4536, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4553 = mux(_T_4537, _T_4541, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4554 = mux(_T_4542, _T_4546, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4555 = mux(_T_4547, _T_4551, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4556 = or(_T_4552, _T_4553) @[Mux.scala 27:72] - node _T_4557 = or(_T_4556, _T_4554) @[Mux.scala 27:72] - node _T_4558 = or(_T_4557, _T_4555) @[Mux.scala 27:72] - wire _T_4559 : UInt<1> @[Mux.scala 27:72] - _T_4559 <= _T_4558 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4559 @[lsu_bus_buffer.scala 545:48] - node _T_4560 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] - node _T_4561 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:115] - node _T_4562 = eq(_T_4561, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] - node _T_4563 = and(_T_4560, _T_4562) @[lsu_bus_buffer.scala 546:103] - node _T_4564 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] - node _T_4565 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] - node _T_4566 = or(_T_4564, _T_4565) @[lsu_bus_buffer.scala 546:135] - node _T_4567 = and(_T_4563, _T_4566) @[lsu_bus_buffer.scala 546:119] - node _T_4568 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] - node _T_4569 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:115] - node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] - node _T_4571 = and(_T_4568, _T_4570) @[lsu_bus_buffer.scala 546:103] - node _T_4572 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] - node _T_4573 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] - node _T_4574 = or(_T_4572, _T_4573) @[lsu_bus_buffer.scala 546:135] - node _T_4575 = and(_T_4571, _T_4574) @[lsu_bus_buffer.scala 546:119] - node _T_4576 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] - node _T_4577 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:115] - node _T_4578 = eq(_T_4577, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] - node _T_4579 = and(_T_4576, _T_4578) @[lsu_bus_buffer.scala 546:103] - node _T_4580 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] - node _T_4581 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] - node _T_4582 = or(_T_4580, _T_4581) @[lsu_bus_buffer.scala 546:135] - node _T_4583 = and(_T_4579, _T_4582) @[lsu_bus_buffer.scala 546:119] - node _T_4584 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] - node _T_4585 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:115] - node _T_4586 = eq(_T_4585, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] - node _T_4587 = and(_T_4584, _T_4586) @[lsu_bus_buffer.scala 546:103] - node _T_4588 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] - node _T_4589 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] - node _T_4590 = or(_T_4588, _T_4589) @[lsu_bus_buffer.scala 546:135] - node _T_4591 = and(_T_4587, _T_4590) @[lsu_bus_buffer.scala 546:119] - node _T_4592 = mux(_T_4567, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4593 = mux(_T_4575, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4594 = mux(_T_4583, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4595 = mux(_T_4591, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4596 = or(_T_4592, _T_4593) @[Mux.scala 27:72] - node _T_4597 = or(_T_4596, _T_4594) @[Mux.scala 27:72] - node _T_4598 = or(_T_4597, _T_4595) @[Mux.scala 27:72] - wire _T_4599 : UInt<2> @[Mux.scala 27:72] - _T_4599 <= _T_4598 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4599 @[lsu_bus_buffer.scala 546:46] - node _T_4600 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] - node _T_4601 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 547:101] - node _T_4602 = eq(_T_4601, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] - node _T_4603 = and(_T_4600, _T_4602) @[lsu_bus_buffer.scala 547:89] - node _T_4604 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] - node _T_4605 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4606 = or(_T_4604, _T_4605) @[lsu_bus_buffer.scala 547:121] - node _T_4607 = and(_T_4603, _T_4606) @[lsu_bus_buffer.scala 547:105] - node _T_4608 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] - node _T_4609 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 547:101] - node _T_4610 = eq(_T_4609, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] - node _T_4611 = and(_T_4608, _T_4610) @[lsu_bus_buffer.scala 547:89] - node _T_4612 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] - node _T_4613 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4614 = or(_T_4612, _T_4613) @[lsu_bus_buffer.scala 547:121] - node _T_4615 = and(_T_4611, _T_4614) @[lsu_bus_buffer.scala 547:105] - node _T_4616 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] - node _T_4617 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 547:101] - node _T_4618 = eq(_T_4617, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] - node _T_4619 = and(_T_4616, _T_4618) @[lsu_bus_buffer.scala 547:89] - node _T_4620 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] - node _T_4621 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4622 = or(_T_4620, _T_4621) @[lsu_bus_buffer.scala 547:121] - node _T_4623 = and(_T_4619, _T_4622) @[lsu_bus_buffer.scala 547:105] - node _T_4624 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] - node _T_4625 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 547:101] - node _T_4626 = eq(_T_4625, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] - node _T_4627 = and(_T_4624, _T_4626) @[lsu_bus_buffer.scala 547:89] - node _T_4628 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] - node _T_4629 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4630 = or(_T_4628, _T_4629) @[lsu_bus_buffer.scala 547:121] - node _T_4631 = and(_T_4627, _T_4630) @[lsu_bus_buffer.scala 547:105] - node _T_4632 = mux(_T_4607, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4633 = mux(_T_4615, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4634 = mux(_T_4623, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4635 = mux(_T_4631, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4636 = or(_T_4632, _T_4633) @[Mux.scala 27:72] - node _T_4637 = or(_T_4636, _T_4634) @[Mux.scala 27:72] - node _T_4638 = or(_T_4637, _T_4635) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4535 @[Mux.scala 27:72] + node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] + node _T_4537 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 539:117] + node _T_4538 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 539:133] + node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] + node _T_4540 = and(_T_4537, _T_4539) @[lsu_bus_buffer.scala 539:121] + node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] + node _T_4542 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 539:117] + node _T_4543 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 539:133] + node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] + node _T_4545 = and(_T_4542, _T_4544) @[lsu_bus_buffer.scala 539:121] + node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] + node _T_4547 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 539:117] + node _T_4548 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 539:133] + node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] + node _T_4550 = and(_T_4547, _T_4549) @[lsu_bus_buffer.scala 539:121] + node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] + node _T_4552 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 539:117] + node _T_4553 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 539:133] + node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] + node _T_4555 = and(_T_4552, _T_4554) @[lsu_bus_buffer.scala 539:121] + node _T_4556 = mux(_T_4536, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4557 = mux(_T_4541, _T_4545, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4558 = mux(_T_4546, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4559 = mux(_T_4551, _T_4555, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4560 = or(_T_4556, _T_4557) @[Mux.scala 27:72] + node _T_4561 = or(_T_4560, _T_4558) @[Mux.scala 27:72] + node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] + wire _T_4563 : UInt<1> @[Mux.scala 27:72] + _T_4563 <= _T_4562 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4563 @[lsu_bus_buffer.scala 539:48] + node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:92] + node _T_4565 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 540:115] + node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:105] + node _T_4567 = and(_T_4564, _T_4566) @[lsu_bus_buffer.scala 540:103] + node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:122] + node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:137] + node _T_4570 = or(_T_4568, _T_4569) @[lsu_bus_buffer.scala 540:135] + node _T_4571 = and(_T_4567, _T_4570) @[lsu_bus_buffer.scala 540:119] + node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:92] + node _T_4573 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 540:115] + node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:105] + node _T_4575 = and(_T_4572, _T_4574) @[lsu_bus_buffer.scala 540:103] + node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:122] + node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:137] + node _T_4578 = or(_T_4576, _T_4577) @[lsu_bus_buffer.scala 540:135] + node _T_4579 = and(_T_4575, _T_4578) @[lsu_bus_buffer.scala 540:119] + node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:92] + node _T_4581 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 540:115] + node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:105] + node _T_4583 = and(_T_4580, _T_4582) @[lsu_bus_buffer.scala 540:103] + node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:122] + node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:137] + node _T_4586 = or(_T_4584, _T_4585) @[lsu_bus_buffer.scala 540:135] + node _T_4587 = and(_T_4583, _T_4586) @[lsu_bus_buffer.scala 540:119] + node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:92] + node _T_4589 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 540:115] + node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:105] + node _T_4591 = and(_T_4588, _T_4590) @[lsu_bus_buffer.scala 540:103] + node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:122] + node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:137] + node _T_4594 = or(_T_4592, _T_4593) @[lsu_bus_buffer.scala 540:135] + node _T_4595 = and(_T_4591, _T_4594) @[lsu_bus_buffer.scala 540:119] + node _T_4596 = mux(_T_4571, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4597 = mux(_T_4579, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4598 = mux(_T_4587, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4599 = mux(_T_4595, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4600 = or(_T_4596, _T_4597) @[Mux.scala 27:72] + node _T_4601 = or(_T_4600, _T_4598) @[Mux.scala 27:72] + node _T_4602 = or(_T_4601, _T_4599) @[Mux.scala 27:72] + wire _T_4603 : UInt<2> @[Mux.scala 27:72] + _T_4603 <= _T_4602 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4603 @[lsu_bus_buffer.scala 540:46] + node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] + node _T_4605 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 541:101] + node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] + node _T_4607 = and(_T_4604, _T_4606) @[lsu_bus_buffer.scala 541:89] + node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] + node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] + node _T_4610 = or(_T_4608, _T_4609) @[lsu_bus_buffer.scala 541:121] + node _T_4611 = and(_T_4607, _T_4610) @[lsu_bus_buffer.scala 541:105] + node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] + node _T_4613 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 541:101] + node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] + node _T_4615 = and(_T_4612, _T_4614) @[lsu_bus_buffer.scala 541:89] + node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] + node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] + node _T_4618 = or(_T_4616, _T_4617) @[lsu_bus_buffer.scala 541:121] + node _T_4619 = and(_T_4615, _T_4618) @[lsu_bus_buffer.scala 541:105] + node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] + node _T_4621 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 541:101] + node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] + node _T_4623 = and(_T_4620, _T_4622) @[lsu_bus_buffer.scala 541:89] + node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] + node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] + node _T_4626 = or(_T_4624, _T_4625) @[lsu_bus_buffer.scala 541:121] + node _T_4627 = and(_T_4623, _T_4626) @[lsu_bus_buffer.scala 541:105] + node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] + node _T_4629 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 541:101] + node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] + node _T_4631 = and(_T_4628, _T_4630) @[lsu_bus_buffer.scala 541:89] + node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] + node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] + node _T_4634 = or(_T_4632, _T_4633) @[lsu_bus_buffer.scala 541:121] + node _T_4635 = and(_T_4631, _T_4634) @[lsu_bus_buffer.scala 541:105] + node _T_4636 = mux(_T_4611, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4637 = mux(_T_4619, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4638 = mux(_T_4627, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4639 = mux(_T_4635, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4640 = or(_T_4636, _T_4637) @[Mux.scala 27:72] + node _T_4641 = or(_T_4640, _T_4638) @[Mux.scala 27:72] + node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4638 @[Mux.scala 27:72] - node _T_4639 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] - node _T_4640 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 548:101] - node _T_4641 = eq(_T_4640, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] - node _T_4642 = and(_T_4639, _T_4641) @[lsu_bus_buffer.scala 548:89] - node _T_4643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 548:120] - node _T_4644 = and(_T_4642, _T_4643) @[lsu_bus_buffer.scala 548:105] - node _T_4645 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] - node _T_4646 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 548:101] - node _T_4647 = eq(_T_4646, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] - node _T_4648 = and(_T_4645, _T_4647) @[lsu_bus_buffer.scala 548:89] - node _T_4649 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 548:120] - node _T_4650 = and(_T_4648, _T_4649) @[lsu_bus_buffer.scala 548:105] - node _T_4651 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] - node _T_4652 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 548:101] - node _T_4653 = eq(_T_4652, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] - node _T_4654 = and(_T_4651, _T_4653) @[lsu_bus_buffer.scala 548:89] - node _T_4655 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 548:120] - node _T_4656 = and(_T_4654, _T_4655) @[lsu_bus_buffer.scala 548:105] - node _T_4657 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] - node _T_4658 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 548:101] - node _T_4659 = eq(_T_4658, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] - node _T_4660 = and(_T_4657, _T_4659) @[lsu_bus_buffer.scala 548:89] - node _T_4661 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 548:120] - node _T_4662 = and(_T_4660, _T_4661) @[lsu_bus_buffer.scala 548:105] - node _T_4663 = mux(_T_4644, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4664 = mux(_T_4650, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4665 = mux(_T_4656, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4666 = mux(_T_4662, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4667 = or(_T_4663, _T_4664) @[Mux.scala 27:72] - node _T_4668 = or(_T_4667, _T_4665) @[Mux.scala 27:72] - node _T_4669 = or(_T_4668, _T_4666) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4642 @[Mux.scala 27:72] + node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] + node _T_4644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 542:101] + node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] + node _T_4646 = and(_T_4643, _T_4645) @[lsu_bus_buffer.scala 542:89] + node _T_4647 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 542:120] + node _T_4648 = and(_T_4646, _T_4647) @[lsu_bus_buffer.scala 542:105] + node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] + node _T_4650 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 542:101] + node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] + node _T_4652 = and(_T_4649, _T_4651) @[lsu_bus_buffer.scala 542:89] + node _T_4653 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 542:120] + node _T_4654 = and(_T_4652, _T_4653) @[lsu_bus_buffer.scala 542:105] + node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] + node _T_4656 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 542:101] + node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] + node _T_4658 = and(_T_4655, _T_4657) @[lsu_bus_buffer.scala 542:89] + node _T_4659 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 542:120] + node _T_4660 = and(_T_4658, _T_4659) @[lsu_bus_buffer.scala 542:105] + node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] + node _T_4662 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 542:101] + node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] + node _T_4664 = and(_T_4661, _T_4663) @[lsu_bus_buffer.scala 542:89] + node _T_4665 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 542:120] + node _T_4666 = and(_T_4664, _T_4665) @[lsu_bus_buffer.scala 542:105] + node _T_4667 = mux(_T_4648, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4668 = mux(_T_4654, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4669 = mux(_T_4660, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4670 = mux(_T_4666, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4671 = or(_T_4667, _T_4668) @[Mux.scala 27:72] + node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] + node _T_4673 = or(_T_4672, _T_4670) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4669 @[Mux.scala 27:72] - node _T_4670 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] - node _T_4671 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] - node _T_4672 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] - node _T_4673 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] - node _T_4674 = mux(_T_4670, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4675 = mux(_T_4671, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4676 = mux(_T_4672, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4677 = mux(_T_4673, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4678 = or(_T_4674, _T_4675) @[Mux.scala 27:72] - node _T_4679 = or(_T_4678, _T_4676) @[Mux.scala 27:72] - node _T_4680 = or(_T_4679, _T_4677) @[Mux.scala 27:72] - wire _T_4681 : UInt<32> @[Mux.scala 27:72] - _T_4681 <= _T_4680 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4681, 1, 0) @[lsu_bus_buffer.scala 549:96] - node _T_4682 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] - node _T_4683 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] - node _T_4684 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] - node _T_4685 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] - node _T_4686 = mux(_T_4682, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4687 = mux(_T_4683, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4688 = mux(_T_4684, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4689 = mux(_T_4685, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4690 = or(_T_4686, _T_4687) @[Mux.scala 27:72] - node _T_4691 = or(_T_4690, _T_4688) @[Mux.scala 27:72] - node _T_4692 = or(_T_4691, _T_4689) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4673 @[Mux.scala 27:72] + node _T_4674 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4675 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4676 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4677 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4678 = mux(_T_4674, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4679 = mux(_T_4675, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = mux(_T_4676, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4681 = mux(_T_4677, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4682 = or(_T_4678, _T_4679) @[Mux.scala 27:72] + node _T_4683 = or(_T_4682, _T_4680) @[Mux.scala 27:72] + node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] + wire _T_4685 : UInt<32> @[Mux.scala 27:72] + _T_4685 <= _T_4684 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[lsu_bus_buffer.scala 543:96] + node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4687 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4688 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4689 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4690 = mux(_T_4686, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4691 = mux(_T_4687, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4692 = mux(_T_4688, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4693 = mux(_T_4689, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4694 = or(_T_4690, _T_4691) @[Mux.scala 27:72] + node _T_4695 = or(_T_4694, _T_4692) @[Mux.scala 27:72] + node _T_4696 = or(_T_4695, _T_4693) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4692 @[Mux.scala 27:72] - node _T_4693 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] - node _T_4694 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] - node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] - node _T_4696 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] - node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] - node _T_4698 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] - node _T_4699 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] - node _T_4700 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] - node _T_4701 = mux(_T_4693, _T_4694, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4702 = mux(_T_4695, _T_4696, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4703 = mux(_T_4697, _T_4698, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4704 = mux(_T_4699, _T_4700, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4705 = or(_T_4701, _T_4702) @[Mux.scala 27:72] - node _T_4706 = or(_T_4705, _T_4703) @[Mux.scala 27:72] - node _T_4707 = or(_T_4706, _T_4704) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4696 @[Mux.scala 27:72] + node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_4698 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_4699 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_4700 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_4701 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_4702 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_4703 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_4704 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_4705 = mux(_T_4697, _T_4698, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4706 = mux(_T_4699, _T_4700, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4707 = mux(_T_4701, _T_4702, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4708 = mux(_T_4703, _T_4704, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4709 = or(_T_4705, _T_4706) @[Mux.scala 27:72] + node _T_4710 = or(_T_4709, _T_4707) @[Mux.scala 27:72] + node _T_4711 = or(_T_4710, _T_4708) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4707 @[Mux.scala 27:72] - node _T_4708 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4709 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 553:121] - node lsu_nonblock_data_unalgn = dshr(_T_4708, _T_4709) @[lsu_bus_buffer.scala 553:92] - node _T_4710 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 555:82] - node _T_4711 = and(lsu_nonblock_load_data_ready, _T_4710) @[lsu_bus_buffer.scala 555:80] - io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4711 @[lsu_bus_buffer.scala 555:48] - node _T_4712 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:94] - node _T_4713 = and(lsu_nonblock_unsign, _T_4712) @[lsu_bus_buffer.scala 556:76] - node _T_4714 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 556:144] - node _T_4715 = cat(UInt<24>("h00"), _T_4714) @[Cat.scala 29:58] - node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:45] - node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 557:26] - node _T_4718 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 557:95] - node _T_4719 = cat(UInt<16>("h00"), _T_4718) @[Cat.scala 29:58] - node _T_4720 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:6] - node _T_4721 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:45] - node _T_4722 = and(_T_4720, _T_4721) @[lsu_bus_buffer.scala 558:27] - node _T_4723 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 558:93] - node _T_4724 = bits(_T_4723, 0, 0) @[Bitwise.scala 72:15] - node _T_4725 = mux(_T_4724, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4726 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 558:123] - node _T_4727 = cat(_T_4725, _T_4726) @[Cat.scala 29:58] - node _T_4728 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 559:6] - node _T_4729 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 559:45] - node _T_4730 = and(_T_4728, _T_4729) @[lsu_bus_buffer.scala 559:27] - node _T_4731 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 559:93] - node _T_4732 = bits(_T_4731, 0, 0) @[Bitwise.scala 72:15] - node _T_4733 = mux(_T_4732, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4734 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 559:124] - node _T_4735 = cat(_T_4733, _T_4734) @[Cat.scala 29:58] - node _T_4736 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 560:21] - node _T_4737 = mux(_T_4713, _T_4715, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4738 = mux(_T_4717, _T_4719, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4739 = mux(_T_4722, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4740 = mux(_T_4730, _T_4735, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4741 = mux(_T_4736, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4742 = or(_T_4737, _T_4738) @[Mux.scala 27:72] - node _T_4743 = or(_T_4742, _T_4739) @[Mux.scala 27:72] - node _T_4744 = or(_T_4743, _T_4740) @[Mux.scala 27:72] - node _T_4745 = or(_T_4744, _T_4741) @[Mux.scala 27:72] - wire _T_4746 : UInt<64> @[Mux.scala 27:72] - _T_4746 <= _T_4745 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data <= _T_4746 @[lsu_bus_buffer.scala 556:42] - node _T_4747 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] - node _T_4748 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 561:89] - node _T_4749 = and(_T_4747, _T_4748) @[lsu_bus_buffer.scala 561:73] - node _T_4750 = and(_T_4749, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] - node _T_4751 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] - node _T_4752 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 561:89] - node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 561:73] - node _T_4754 = and(_T_4753, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] - node _T_4755 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] - node _T_4756 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 561:89] - node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 561:73] - node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] - node _T_4759 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] - node _T_4760 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 561:89] - node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 561:73] - node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] - node _T_4763 = or(_T_4750, _T_4754) @[lsu_bus_buffer.scala 561:153] - node _T_4764 = or(_T_4763, _T_4758) @[lsu_bus_buffer.scala 561:153] - node _T_4765 = or(_T_4764, _T_4762) @[lsu_bus_buffer.scala 561:153] - node _T_4766 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 561:171] - node _T_4767 = and(_T_4766, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:189] - node _T_4768 = or(_T_4765, _T_4767) @[lsu_bus_buffer.scala 561:157] - bus_sideeffect_pend <= _T_4768 @[lsu_bus_buffer.scala 561:23] - node _T_4769 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] - node _T_4770 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] - node _T_4771 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 563:51] - node _T_4772 = eq(_T_4770, _T_4771) @[lsu_bus_buffer.scala 563:37] - node _T_4773 = and(obuf_valid, _T_4772) @[lsu_bus_buffer.scala 563:19] - node _T_4774 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:73] - node _T_4775 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:107] - node _T_4776 = and(obuf_merge, _T_4775) @[lsu_bus_buffer.scala 563:95] - node _T_4777 = or(_T_4774, _T_4776) @[lsu_bus_buffer.scala 563:81] - node _T_4778 = eq(_T_4777, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] - node _T_4779 = and(_T_4773, _T_4778) @[lsu_bus_buffer.scala 563:59] - node _T_4780 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] - node _T_4781 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] - node _T_4782 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 563:51] - node _T_4783 = eq(_T_4781, _T_4782) @[lsu_bus_buffer.scala 563:37] - node _T_4784 = and(obuf_valid, _T_4783) @[lsu_bus_buffer.scala 563:19] - node _T_4785 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:73] - node _T_4786 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:107] - node _T_4787 = and(obuf_merge, _T_4786) @[lsu_bus_buffer.scala 563:95] - node _T_4788 = or(_T_4785, _T_4787) @[lsu_bus_buffer.scala 563:81] - node _T_4789 = eq(_T_4788, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] - node _T_4790 = and(_T_4784, _T_4789) @[lsu_bus_buffer.scala 563:59] - node _T_4791 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] - node _T_4792 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] - node _T_4793 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 563:51] - node _T_4794 = eq(_T_4792, _T_4793) @[lsu_bus_buffer.scala 563:37] - node _T_4795 = and(obuf_valid, _T_4794) @[lsu_bus_buffer.scala 563:19] - node _T_4796 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 563:73] - node _T_4797 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 563:107] - node _T_4798 = and(obuf_merge, _T_4797) @[lsu_bus_buffer.scala 563:95] - node _T_4799 = or(_T_4796, _T_4798) @[lsu_bus_buffer.scala 563:81] - node _T_4800 = eq(_T_4799, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] - node _T_4801 = and(_T_4795, _T_4800) @[lsu_bus_buffer.scala 563:59] - node _T_4802 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] - node _T_4803 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] - node _T_4804 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 563:51] - node _T_4805 = eq(_T_4803, _T_4804) @[lsu_bus_buffer.scala 563:37] - node _T_4806 = and(obuf_valid, _T_4805) @[lsu_bus_buffer.scala 563:19] - node _T_4807 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 563:73] - node _T_4808 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 563:107] - node _T_4809 = and(obuf_merge, _T_4808) @[lsu_bus_buffer.scala 563:95] - node _T_4810 = or(_T_4807, _T_4809) @[lsu_bus_buffer.scala 563:81] - node _T_4811 = eq(_T_4810, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] - node _T_4812 = and(_T_4806, _T_4811) @[lsu_bus_buffer.scala 563:59] - node _T_4813 = mux(_T_4769, _T_4779, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4814 = mux(_T_4780, _T_4790, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4815 = mux(_T_4791, _T_4801, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4816 = mux(_T_4802, _T_4812, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4817 = or(_T_4813, _T_4814) @[Mux.scala 27:72] - node _T_4818 = or(_T_4817, _T_4815) @[Mux.scala 27:72] - node _T_4819 = or(_T_4818, _T_4816) @[Mux.scala 27:72] - wire _T_4820 : UInt<1> @[Mux.scala 27:72] - _T_4820 <= _T_4819 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4820 @[lsu_bus_buffer.scala 562:26] - node _T_4821 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 565:54] - node _T_4822 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 565:75] - node _T_4823 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 565:153] - node _T_4824 = mux(_T_4821, _T_4822, _T_4823) @[lsu_bus_buffer.scala 565:39] - node _T_4825 = mux(obuf_write, _T_4824, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 565:23] - bus_cmd_ready <= _T_4825 @[lsu_bus_buffer.scala 565:17] - node _T_4826 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 566:40] - bus_wcmd_sent <= _T_4826 @[lsu_bus_buffer.scala 566:17] - node _T_4827 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 567:40] - bus_wdata_sent <= _T_4827 @[lsu_bus_buffer.scala 567:18] - node _T_4828 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 568:35] - node _T_4829 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 568:70] - node _T_4830 = and(_T_4828, _T_4829) @[lsu_bus_buffer.scala 568:52] - node _T_4831 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 568:112] - node _T_4832 = or(_T_4830, _T_4831) @[lsu_bus_buffer.scala 568:89] - bus_cmd_sent <= _T_4832 @[lsu_bus_buffer.scala 568:16] - node _T_4833 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 569:38] - bus_rsp_read <= _T_4833 @[lsu_bus_buffer.scala 569:16] - node _T_4834 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 570:39] - bus_rsp_write <= _T_4834 @[lsu_bus_buffer.scala 570:17] - bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 571:20] - bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 572:21] - node _T_4835 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 573:66] - node _T_4836 = and(bus_rsp_write, _T_4835) @[lsu_bus_buffer.scala 573:40] - bus_rsp_write_error <= _T_4836 @[lsu_bus_buffer.scala 573:23] - node _T_4837 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 574:64] - node _T_4838 = and(bus_rsp_read, _T_4837) @[lsu_bus_buffer.scala 574:38] - bus_rsp_read_error <= _T_4838 @[lsu_bus_buffer.scala 574:22] - bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 575:17] - node _T_4839 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 578:37] - node _T_4840 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:52] - node _T_4841 = and(_T_4839, _T_4840) @[lsu_bus_buffer.scala 578:50] - node _T_4842 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:69] - node _T_4843 = and(_T_4841, _T_4842) @[lsu_bus_buffer.scala 578:67] - io.lsu_axi.aw.valid <= _T_4843 @[lsu_bus_buffer.scala 578:23] - io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 579:25] - node _T_4844 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 580:75] - node _T_4845 = cat(_T_4844, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4846 = mux(obuf_sideeffect, obuf_addr, _T_4845) @[lsu_bus_buffer.scala 580:33] - io.lsu_axi.aw.bits.addr <= _T_4846 @[lsu_bus_buffer.scala 580:27] - node _T_4847 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4848 = mux(obuf_sideeffect, _T_4847, UInt<3>("h03")) @[lsu_bus_buffer.scala 581:33] - io.lsu_axi.aw.bits.size <= _T_4848 @[lsu_bus_buffer.scala 581:27] - io.lsu_axi.aw.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 582:27] - node _T_4849 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 583:34] - io.lsu_axi.aw.bits.cache <= _T_4849 @[lsu_bus_buffer.scala 583:28] - node _T_4850 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 584:41] - io.lsu_axi.aw.bits.region <= _T_4850 @[lsu_bus_buffer.scala 584:29] - io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 585:26] - io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 586:28] - io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 587:26] - io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 588:27] - node _T_4851 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 590:36] - node _T_4852 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 590:51] - node _T_4853 = and(_T_4851, _T_4852) @[lsu_bus_buffer.scala 590:49] - node _T_4854 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 590:69] - node _T_4855 = and(_T_4853, _T_4854) @[lsu_bus_buffer.scala 590:67] - io.lsu_axi.w.valid <= _T_4855 @[lsu_bus_buffer.scala 590:22] - node _T_4856 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4857 = mux(_T_4856, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4858 = and(obuf_byteen, _T_4857) @[lsu_bus_buffer.scala 591:41] - io.lsu_axi.w.bits.strb <= _T_4858 @[lsu_bus_buffer.scala 591:26] - io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 592:26] - io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 593:26] - node _T_4859 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:39] - node _T_4860 = and(obuf_valid, _T_4859) @[lsu_bus_buffer.scala 595:37] - node _T_4861 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:53] - node _T_4862 = and(_T_4860, _T_4861) @[lsu_bus_buffer.scala 595:51] - node _T_4863 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:68] - node _T_4864 = and(_T_4862, _T_4863) @[lsu_bus_buffer.scala 595:66] - io.lsu_axi.ar.valid <= _T_4864 @[lsu_bus_buffer.scala 595:23] - io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 596:25] - node _T_4865 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 597:75] - node _T_4866 = cat(_T_4865, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4867 = mux(obuf_sideeffect, obuf_addr, _T_4866) @[lsu_bus_buffer.scala 597:33] - io.lsu_axi.ar.bits.addr <= _T_4867 @[lsu_bus_buffer.scala 597:27] - node _T_4868 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4869 = mux(obuf_sideeffect, _T_4868, UInt<3>("h03")) @[lsu_bus_buffer.scala 598:33] - io.lsu_axi.ar.bits.size <= _T_4869 @[lsu_bus_buffer.scala 598:27] - io.lsu_axi.ar.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 599:27] - node _T_4870 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 600:34] - io.lsu_axi.ar.bits.cache <= _T_4870 @[lsu_bus_buffer.scala 600:28] - node _T_4871 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 601:41] - io.lsu_axi.ar.bits.region <= _T_4871 @[lsu_bus_buffer.scala 601:29] - io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 602:26] - io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 603:28] - io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 604:26] - io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 605:27] - io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 606:22] - io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 607:22] - node _T_4872 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] - node _T_4873 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 608:137] - node _T_4874 = and(io.lsu_bus_clk_en_q, _T_4873) @[lsu_bus_buffer.scala 608:126] - node _T_4875 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 608:152] - node _T_4876 = and(_T_4874, _T_4875) @[lsu_bus_buffer.scala 608:141] - node _T_4877 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] - node _T_4878 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 608:137] - node _T_4879 = and(io.lsu_bus_clk_en_q, _T_4878) @[lsu_bus_buffer.scala 608:126] - node _T_4880 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 608:152] - node _T_4881 = and(_T_4879, _T_4880) @[lsu_bus_buffer.scala 608:141] - node _T_4882 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] - node _T_4883 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 608:137] - node _T_4884 = and(io.lsu_bus_clk_en_q, _T_4883) @[lsu_bus_buffer.scala 608:126] - node _T_4885 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 608:152] - node _T_4886 = and(_T_4884, _T_4885) @[lsu_bus_buffer.scala 608:141] - node _T_4887 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] - node _T_4888 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 608:137] - node _T_4889 = and(io.lsu_bus_clk_en_q, _T_4888) @[lsu_bus_buffer.scala 608:126] - node _T_4890 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 608:152] - node _T_4891 = and(_T_4889, _T_4890) @[lsu_bus_buffer.scala 608:141] - node _T_4892 = mux(_T_4872, _T_4876, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4893 = mux(_T_4877, _T_4881, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4894 = mux(_T_4882, _T_4886, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4895 = mux(_T_4887, _T_4891, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4896 = or(_T_4892, _T_4893) @[Mux.scala 27:72] - node _T_4897 = or(_T_4896, _T_4894) @[Mux.scala 27:72] - node _T_4898 = or(_T_4897, _T_4895) @[Mux.scala 27:72] - wire _T_4899 : UInt<1> @[Mux.scala 27:72] - _T_4899 <= _T_4898 @[Mux.scala 27:72] - io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4899 @[lsu_bus_buffer.scala 608:48] - node _T_4900 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] - node _T_4901 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 609:104] - node _T_4902 = and(_T_4900, _T_4901) @[lsu_bus_buffer.scala 609:93] - node _T_4903 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 609:119] - node _T_4904 = and(_T_4902, _T_4903) @[lsu_bus_buffer.scala 609:108] - node _T_4905 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] - node _T_4906 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 609:104] - node _T_4907 = and(_T_4905, _T_4906) @[lsu_bus_buffer.scala 609:93] - node _T_4908 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 609:119] - node _T_4909 = and(_T_4907, _T_4908) @[lsu_bus_buffer.scala 609:108] - node _T_4910 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] - node _T_4911 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 609:104] - node _T_4912 = and(_T_4910, _T_4911) @[lsu_bus_buffer.scala 609:93] - node _T_4913 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 609:119] - node _T_4914 = and(_T_4912, _T_4913) @[lsu_bus_buffer.scala 609:108] - node _T_4915 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] - node _T_4916 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 609:104] - node _T_4917 = and(_T_4915, _T_4916) @[lsu_bus_buffer.scala 609:93] - node _T_4918 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 609:119] - node _T_4919 = and(_T_4917, _T_4918) @[lsu_bus_buffer.scala 609:108] - node _T_4920 = mux(_T_4904, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4921 = mux(_T_4909, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4922 = mux(_T_4914, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4923 = mux(_T_4919, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4924 = or(_T_4920, _T_4921) @[Mux.scala 27:72] - node _T_4925 = or(_T_4924, _T_4922) @[Mux.scala 27:72] - node _T_4926 = or(_T_4925, _T_4923) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4711 @[Mux.scala 27:72] + node _T_4712 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4713 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 547:121] + node lsu_nonblock_data_unalgn = dshr(_T_4712, _T_4713) @[lsu_bus_buffer.scala 547:92] + node _T_4714 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:82] + node _T_4715 = and(lsu_nonblock_load_data_ready, _T_4714) @[lsu_bus_buffer.scala 549:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4715 @[lsu_bus_buffer.scala 549:48] + node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:94] + node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 550:76] + node _T_4718 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 550:144] + node _T_4719 = cat(UInt<24>("h00"), _T_4718) @[Cat.scala 29:58] + node _T_4720 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 551:45] + node _T_4721 = and(lsu_nonblock_unsign, _T_4720) @[lsu_bus_buffer.scala 551:26] + node _T_4722 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 551:95] + node _T_4723 = cat(UInt<16>("h00"), _T_4722) @[Cat.scala 29:58] + node _T_4724 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:6] + node _T_4725 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:45] + node _T_4726 = and(_T_4724, _T_4725) @[lsu_bus_buffer.scala 552:27] + node _T_4727 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 552:93] + node _T_4728 = bits(_T_4727, 0, 0) @[Bitwise.scala 72:15] + node _T_4729 = mux(_T_4728, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4730 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 552:123] + node _T_4731 = cat(_T_4729, _T_4730) @[Cat.scala 29:58] + node _T_4732 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:6] + node _T_4733 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:45] + node _T_4734 = and(_T_4732, _T_4733) @[lsu_bus_buffer.scala 553:27] + node _T_4735 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 553:93] + node _T_4736 = bits(_T_4735, 0, 0) @[Bitwise.scala 72:15] + node _T_4737 = mux(_T_4736, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4738 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 553:124] + node _T_4739 = cat(_T_4737, _T_4738) @[Cat.scala 29:58] + node _T_4740 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:21] + node _T_4741 = mux(_T_4717, _T_4719, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4742 = mux(_T_4721, _T_4723, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4743 = mux(_T_4726, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4744 = mux(_T_4734, _T_4739, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4745 = mux(_T_4740, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4746 = or(_T_4741, _T_4742) @[Mux.scala 27:72] + node _T_4747 = or(_T_4746, _T_4743) @[Mux.scala 27:72] + node _T_4748 = or(_T_4747, _T_4744) @[Mux.scala 27:72] + node _T_4749 = or(_T_4748, _T_4745) @[Mux.scala 27:72] + wire _T_4750 : UInt<64> @[Mux.scala 27:72] + _T_4750 <= _T_4749 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4750 @[lsu_bus_buffer.scala 550:42] + node _T_4751 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] + node _T_4752 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 555:89] + node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 555:73] + node _T_4754 = and(_T_4753, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] + node _T_4755 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] + node _T_4756 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 555:89] + node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 555:73] + node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] + node _T_4759 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] + node _T_4760 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 555:89] + node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 555:73] + node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] + node _T_4763 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] + node _T_4764 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 555:89] + node _T_4765 = and(_T_4763, _T_4764) @[lsu_bus_buffer.scala 555:73] + node _T_4766 = and(_T_4765, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] + node _T_4767 = or(_T_4754, _T_4758) @[lsu_bus_buffer.scala 555:153] + node _T_4768 = or(_T_4767, _T_4762) @[lsu_bus_buffer.scala 555:153] + node _T_4769 = or(_T_4768, _T_4766) @[lsu_bus_buffer.scala 555:153] + node _T_4770 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 555:171] + node _T_4771 = and(_T_4770, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:189] + node _T_4772 = or(_T_4769, _T_4771) @[lsu_bus_buffer.scala 555:157] + bus_sideeffect_pend <= _T_4772 @[lsu_bus_buffer.scala 555:23] + node _T_4773 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] + node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] + node _T_4775 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 557:51] + node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 557:37] + node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 557:19] + node _T_4778 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:73] + node _T_4779 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:107] + node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 557:95] + node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 557:81] + node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] + node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 557:59] + node _T_4784 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] + node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] + node _T_4786 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 557:51] + node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 557:37] + node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 557:19] + node _T_4789 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:73] + node _T_4790 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:107] + node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 557:95] + node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 557:81] + node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] + node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 557:59] + node _T_4795 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] + node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] + node _T_4797 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 557:51] + node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 557:37] + node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 557:19] + node _T_4800 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 557:73] + node _T_4801 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 557:107] + node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 557:95] + node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 557:81] + node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] + node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 557:59] + node _T_4806 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] + node _T_4807 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] + node _T_4808 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 557:51] + node _T_4809 = eq(_T_4807, _T_4808) @[lsu_bus_buffer.scala 557:37] + node _T_4810 = and(obuf_valid, _T_4809) @[lsu_bus_buffer.scala 557:19] + node _T_4811 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 557:73] + node _T_4812 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 557:107] + node _T_4813 = and(obuf_merge, _T_4812) @[lsu_bus_buffer.scala 557:95] + node _T_4814 = or(_T_4811, _T_4813) @[lsu_bus_buffer.scala 557:81] + node _T_4815 = eq(_T_4814, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] + node _T_4816 = and(_T_4810, _T_4815) @[lsu_bus_buffer.scala 557:59] + node _T_4817 = mux(_T_4773, _T_4783, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4818 = mux(_T_4784, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4819 = mux(_T_4795, _T_4805, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4820 = mux(_T_4806, _T_4816, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4821 = or(_T_4817, _T_4818) @[Mux.scala 27:72] + node _T_4822 = or(_T_4821, _T_4819) @[Mux.scala 27:72] + node _T_4823 = or(_T_4822, _T_4820) @[Mux.scala 27:72] + wire _T_4824 : UInt<1> @[Mux.scala 27:72] + _T_4824 <= _T_4823 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4824 @[lsu_bus_buffer.scala 556:26] + node _T_4825 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 559:54] + node _T_4826 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 559:75] + node _T_4827 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 559:153] + node _T_4828 = mux(_T_4825, _T_4826, _T_4827) @[lsu_bus_buffer.scala 559:39] + node _T_4829 = mux(obuf_write, _T_4828, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 559:23] + bus_cmd_ready <= _T_4829 @[lsu_bus_buffer.scala 559:17] + node _T_4830 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 560:40] + bus_wcmd_sent <= _T_4830 @[lsu_bus_buffer.scala 560:17] + node _T_4831 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 561:40] + bus_wdata_sent <= _T_4831 @[lsu_bus_buffer.scala 561:18] + node _T_4832 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 562:35] + node _T_4833 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 562:70] + node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 562:52] + node _T_4835 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 562:112] + node _T_4836 = or(_T_4834, _T_4835) @[lsu_bus_buffer.scala 562:89] + bus_cmd_sent <= _T_4836 @[lsu_bus_buffer.scala 562:16] + node _T_4837 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 563:38] + bus_rsp_read <= _T_4837 @[lsu_bus_buffer.scala 563:16] + node _T_4838 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 564:39] + bus_rsp_write <= _T_4838 @[lsu_bus_buffer.scala 564:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 565:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 566:21] + node _T_4839 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 567:66] + node _T_4840 = and(bus_rsp_write, _T_4839) @[lsu_bus_buffer.scala 567:40] + bus_rsp_write_error <= _T_4840 @[lsu_bus_buffer.scala 567:23] + node _T_4841 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:64] + node _T_4842 = and(bus_rsp_read, _T_4841) @[lsu_bus_buffer.scala 568:38] + bus_rsp_read_error <= _T_4842 @[lsu_bus_buffer.scala 568:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 569:17] + node _T_4843 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 572:37] + node _T_4844 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:52] + node _T_4845 = and(_T_4843, _T_4844) @[lsu_bus_buffer.scala 572:50] + node _T_4846 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:69] + node _T_4847 = and(_T_4845, _T_4846) @[lsu_bus_buffer.scala 572:67] + io.lsu_axi.aw.valid <= _T_4847 @[lsu_bus_buffer.scala 572:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 573:25] + node _T_4848 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 574:75] + node _T_4849 = cat(_T_4848, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4850 = mux(obuf_sideeffect, obuf_addr, _T_4849) @[lsu_bus_buffer.scala 574:33] + io.lsu_axi.aw.bits.addr <= _T_4850 @[lsu_bus_buffer.scala 574:27] + node _T_4851 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4852 = mux(obuf_sideeffect, _T_4851, UInt<3>("h03")) @[lsu_bus_buffer.scala 575:33] + io.lsu_axi.aw.bits.size <= _T_4852 @[lsu_bus_buffer.scala 575:27] + io.lsu_axi.aw.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 576:27] + node _T_4853 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 577:34] + io.lsu_axi.aw.bits.cache <= _T_4853 @[lsu_bus_buffer.scala 577:28] + node _T_4854 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 578:41] + io.lsu_axi.aw.bits.region <= _T_4854 @[lsu_bus_buffer.scala 578:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 579:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 580:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 581:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 582:27] + node _T_4855 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 584:36] + node _T_4856 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 584:51] + node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 584:49] + node _T_4858 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 584:69] + node _T_4859 = and(_T_4857, _T_4858) @[lsu_bus_buffer.scala 584:67] + io.lsu_axi.w.valid <= _T_4859 @[lsu_bus_buffer.scala 584:22] + node _T_4860 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4861 = mux(_T_4860, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4862 = and(obuf_byteen, _T_4861) @[lsu_bus_buffer.scala 585:41] + io.lsu_axi.w.bits.strb <= _T_4862 @[lsu_bus_buffer.scala 585:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 586:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 587:26] + node _T_4863 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:39] + node _T_4864 = and(obuf_valid, _T_4863) @[lsu_bus_buffer.scala 589:37] + node _T_4865 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:53] + node _T_4866 = and(_T_4864, _T_4865) @[lsu_bus_buffer.scala 589:51] + node _T_4867 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:68] + node _T_4868 = and(_T_4866, _T_4867) @[lsu_bus_buffer.scala 589:66] + io.lsu_axi.ar.valid <= _T_4868 @[lsu_bus_buffer.scala 589:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 590:25] + node _T_4869 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 591:75] + node _T_4870 = cat(_T_4869, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4871 = mux(obuf_sideeffect, obuf_addr, _T_4870) @[lsu_bus_buffer.scala 591:33] + io.lsu_axi.ar.bits.addr <= _T_4871 @[lsu_bus_buffer.scala 591:27] + node _T_4872 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4873 = mux(obuf_sideeffect, _T_4872, UInt<3>("h03")) @[lsu_bus_buffer.scala 592:33] + io.lsu_axi.ar.bits.size <= _T_4873 @[lsu_bus_buffer.scala 592:27] + io.lsu_axi.ar.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 593:27] + node _T_4874 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 594:34] + io.lsu_axi.ar.bits.cache <= _T_4874 @[lsu_bus_buffer.scala 594:28] + node _T_4875 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 595:41] + io.lsu_axi.ar.bits.region <= _T_4875 @[lsu_bus_buffer.scala 595:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 596:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 597:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 598:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 599:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 600:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 601:22] + node _T_4876 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] + node _T_4877 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 602:137] + node _T_4878 = and(io.lsu_bus_clk_en_q, _T_4877) @[lsu_bus_buffer.scala 602:126] + node _T_4879 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 602:152] + node _T_4880 = and(_T_4878, _T_4879) @[lsu_bus_buffer.scala 602:141] + node _T_4881 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] + node _T_4882 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 602:137] + node _T_4883 = and(io.lsu_bus_clk_en_q, _T_4882) @[lsu_bus_buffer.scala 602:126] + node _T_4884 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 602:152] + node _T_4885 = and(_T_4883, _T_4884) @[lsu_bus_buffer.scala 602:141] + node _T_4886 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] + node _T_4887 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 602:137] + node _T_4888 = and(io.lsu_bus_clk_en_q, _T_4887) @[lsu_bus_buffer.scala 602:126] + node _T_4889 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 602:152] + node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 602:141] + node _T_4891 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] + node _T_4892 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 602:137] + node _T_4893 = and(io.lsu_bus_clk_en_q, _T_4892) @[lsu_bus_buffer.scala 602:126] + node _T_4894 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 602:152] + node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 602:141] + node _T_4896 = mux(_T_4876, _T_4880, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4897 = mux(_T_4881, _T_4885, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4898 = mux(_T_4886, _T_4890, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4899 = mux(_T_4891, _T_4895, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4900 = or(_T_4896, _T_4897) @[Mux.scala 27:72] + node _T_4901 = or(_T_4900, _T_4898) @[Mux.scala 27:72] + node _T_4902 = or(_T_4901, _T_4899) @[Mux.scala 27:72] + wire _T_4903 : UInt<1> @[Mux.scala 27:72] + _T_4903 <= _T_4902 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4903 @[lsu_bus_buffer.scala 602:48] + node _T_4904 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] + node _T_4905 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 603:104] + node _T_4906 = and(_T_4904, _T_4905) @[lsu_bus_buffer.scala 603:93] + node _T_4907 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 603:119] + node _T_4908 = and(_T_4906, _T_4907) @[lsu_bus_buffer.scala 603:108] + node _T_4909 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] + node _T_4910 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 603:104] + node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 603:93] + node _T_4912 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 603:119] + node _T_4913 = and(_T_4911, _T_4912) @[lsu_bus_buffer.scala 603:108] + node _T_4914 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] + node _T_4915 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 603:104] + node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 603:93] + node _T_4917 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 603:119] + node _T_4918 = and(_T_4916, _T_4917) @[lsu_bus_buffer.scala 603:108] + node _T_4919 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] + node _T_4920 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 603:104] + node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 603:93] + node _T_4922 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 603:119] + node _T_4923 = and(_T_4921, _T_4922) @[lsu_bus_buffer.scala 603:108] + node _T_4924 = mux(_T_4908, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4925 = mux(_T_4913, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4926 = mux(_T_4918, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4927 = mux(_T_4923, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4928 = or(_T_4924, _T_4925) @[Mux.scala 27:72] + node _T_4929 = or(_T_4928, _T_4926) @[Mux.scala 27:72] + node _T_4930 = or(_T_4929, _T_4927) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4926 @[Mux.scala 27:72] - node _T_4927 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 611:97] - node _T_4928 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4927) @[lsu_bus_buffer.scala 611:95] - io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4928 @[lsu_bus_buffer.scala 611:47] - node _T_4929 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 612:53] - io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4929 @[lsu_bus_buffer.scala 612:47] - node _T_4930 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 618:59] - node _T_4931 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 618:104] - node _T_4932 = or(_T_4930, _T_4931) @[lsu_bus_buffer.scala 618:82] - node _T_4933 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 618:149] - node _T_4934 = or(_T_4932, _T_4933) @[lsu_bus_buffer.scala 618:126] - io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4934 @[lsu_bus_buffer.scala 618:35] - node _T_4935 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 619:60] - node _T_4936 = and(_T_4935, io.lsu_commit_r) @[lsu_bus_buffer.scala 619:77] - io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4936 @[lsu_bus_buffer.scala 619:41] - node _T_4937 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 620:83] - io.tlu_busbuff.lsu_pmu_bus_error <= _T_4937 @[lsu_bus_buffer.scala 620:36] - node _T_4938 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:61] - node _T_4939 = and(io.lsu_axi.aw.valid, _T_4938) @[lsu_bus_buffer.scala 622:59] - node _T_4940 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:107] - node _T_4941 = and(io.lsu_axi.w.valid, _T_4940) @[lsu_bus_buffer.scala 622:105] - node _T_4942 = or(_T_4939, _T_4941) @[lsu_bus_buffer.scala 622:83] - node _T_4943 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:153] - node _T_4944 = and(io.lsu_axi.ar.valid, _T_4943) @[lsu_bus_buffer.scala 622:151] - node _T_4945 = or(_T_4942, _T_4944) @[lsu_bus_buffer.scala 622:128] - io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4945 @[lsu_bus_buffer.scala 622:35] - reg _T_4946 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 624:49] - _T_4946 <= WrPtr0_m @[lsu_bus_buffer.scala 624:49] - WrPtr0_r <= _T_4946 @[lsu_bus_buffer.scala 624:12] - reg _T_4947 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 625:49] - _T_4947 <= WrPtr1_m @[lsu_bus_buffer.scala 625:49] - WrPtr1_r <= _T_4947 @[lsu_bus_buffer.scala 625:12] - node _T_4948 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:75] - node _T_4949 = and(io.lsu_busreq_m, _T_4948) @[lsu_bus_buffer.scala 626:73] - node _T_4950 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:89] - node _T_4951 = and(_T_4949, _T_4950) @[lsu_bus_buffer.scala 626:87] - reg _T_4952 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 626:56] - _T_4952 <= _T_4951 @[lsu_bus_buffer.scala 626:56] - io.lsu_busreq_r <= _T_4952 @[lsu_bus_buffer.scala 626:19] - reg _T_4953 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 627:66] - _T_4953 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 627:66] - lsu_nonblock_load_valid_r <= _T_4953 @[lsu_bus_buffer.scala 627:29] + lsu_imprecise_error_store_tag <= _T_4930 @[Mux.scala 27:72] + node _T_4931 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 605:97] + node _T_4932 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4931) @[lsu_bus_buffer.scala 605:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4932 @[lsu_bus_buffer.scala 605:47] + node _T_4933 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 606:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4933 @[lsu_bus_buffer.scala 606:47] + node _T_4934 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 612:59] + node _T_4935 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 612:104] + node _T_4936 = or(_T_4934, _T_4935) @[lsu_bus_buffer.scala 612:82] + node _T_4937 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 612:149] + node _T_4938 = or(_T_4936, _T_4937) @[lsu_bus_buffer.scala 612:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4938 @[lsu_bus_buffer.scala 612:35] + node _T_4939 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 613:60] + node _T_4940 = and(_T_4939, io.lsu_commit_r) @[lsu_bus_buffer.scala 613:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4940 @[lsu_bus_buffer.scala 613:41] + node _T_4941 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 614:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4941 @[lsu_bus_buffer.scala 614:36] + node _T_4942 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:61] + node _T_4943 = and(io.lsu_axi.aw.valid, _T_4942) @[lsu_bus_buffer.scala 616:59] + node _T_4944 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:107] + node _T_4945 = and(io.lsu_axi.w.valid, _T_4944) @[lsu_bus_buffer.scala 616:105] + node _T_4946 = or(_T_4943, _T_4945) @[lsu_bus_buffer.scala 616:83] + node _T_4947 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:153] + node _T_4948 = and(io.lsu_axi.ar.valid, _T_4947) @[lsu_bus_buffer.scala 616:151] + node _T_4949 = or(_T_4946, _T_4948) @[lsu_bus_buffer.scala 616:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4949 @[lsu_bus_buffer.scala 616:35] + reg _T_4950 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 618:49] + _T_4950 <= WrPtr0_m @[lsu_bus_buffer.scala 618:49] + WrPtr0_r <= _T_4950 @[lsu_bus_buffer.scala 618:12] + reg _T_4951 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 619:49] + _T_4951 <= WrPtr1_m @[lsu_bus_buffer.scala 619:49] + WrPtr1_r <= _T_4951 @[lsu_bus_buffer.scala 619:12] + node _T_4952 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:75] + node _T_4953 = and(io.lsu_busreq_m, _T_4952) @[lsu_bus_buffer.scala 620:73] + node _T_4954 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:89] + node _T_4955 = and(_T_4953, _T_4954) @[lsu_bus_buffer.scala 620:87] + reg _T_4956 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 620:56] + _T_4956 <= _T_4955 @[lsu_bus_buffer.scala 620:56] + io.lsu_busreq_r <= _T_4956 @[lsu_bus_buffer.scala 620:19] + reg _T_4957 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 621:66] + _T_4957 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 621:66] + lsu_nonblock_load_valid_r <= _T_4957 @[lsu_bus_buffer.scala 621:29] diff --git a/lsu_bus_buffer.v b/lsu_bus_buffer.v index 6183abee..0d02b7ab 100644 --- a/lsu_bus_buffer.v +++ b/lsu_bus_buffer.v @@ -341,24 +341,24 @@ module lsu_bus_buffer( wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 144:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 511:60] - wire _T_2590 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 417:93] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 505:60] + wire _T_2590 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 415:93] wire _T_4104 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4127 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4131 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1781; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 357:13] - wire _T_4138 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 462:48] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 355:13] + wire _T_4138 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 456:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_376 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 462:104] - wire _T_4139 = _GEN_376 == 3'h3; // @[lsu_bus_buffer.scala 462:104] - wire _T_4140 = obuf_merge & _T_4139; // @[lsu_bus_buffer.scala 462:91] - wire _T_4141 = _T_4138 | _T_4140; // @[lsu_bus_buffer.scala 462:77] - reg obuf_valid; // @[lsu_bus_buffer.scala 350:54] - wire _T_4142 = _T_4141 & obuf_valid; // @[lsu_bus_buffer.scala 462:135] + wire [2:0] _GEN_376 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 456:104] + wire _T_4139 = _GEN_376 == 3'h3; // @[lsu_bus_buffer.scala 456:104] + wire _T_4140 = obuf_merge & _T_4139; // @[lsu_bus_buffer.scala 456:91] + wire _T_4141 = _T_4138 | _T_4140; // @[lsu_bus_buffer.scala 456:77] + reg obuf_valid; // @[lsu_bus_buffer.scala 348:54] + wire _T_4142 = _T_4141 & obuf_valid; // @[lsu_bus_buffer.scala 456:135] reg obuf_wr_enQ; // @[Reg.scala 27:20] - wire _T_4143 = _T_4142 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 462:148] + wire _T_4143 = _T_4142 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 456:148] wire _T_4165 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4250 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4268 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] @@ -366,21 +366,21 @@ module lsu_bus_buffer( wire _GEN_290 = _T_4131 & _T_4143; // @[Conditional.scala 39:67] wire _GEN_303 = _T_4127 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_303; // @[Conditional.scala 40:58] - wire _T_2591 = _T_2590 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 417:103] - wire _T_2592 = ~_T_2591; // @[lsu_bus_buffer.scala 417:78] - wire _T_2593 = buf_ageQ_3[3] & _T_2592; // @[lsu_bus_buffer.scala 417:76] - wire _T_2594 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 417:140] - wire _T_2595 = _T_2593 & _T_2594; // @[lsu_bus_buffer.scala 417:138] - wire _T_2583 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 417:93] + wire _T_2591 = _T_2590 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 415:103] + wire _T_2592 = ~_T_2591; // @[lsu_bus_buffer.scala 415:78] + wire _T_2593 = buf_ageQ_3[3] & _T_2592; // @[lsu_bus_buffer.scala 415:76] + wire _T_2594 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 415:132] + wire _T_2595 = _T_2593 & _T_2594; // @[lsu_bus_buffer.scala 415:130] + wire _T_2583 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 415:93] wire _T_3913 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3936 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3940 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3947 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 462:48] - wire _T_3948 = _GEN_376 == 3'h2; // @[lsu_bus_buffer.scala 462:104] - wire _T_3949 = obuf_merge & _T_3948; // @[lsu_bus_buffer.scala 462:91] - wire _T_3950 = _T_3947 | _T_3949; // @[lsu_bus_buffer.scala 462:77] - wire _T_3951 = _T_3950 & obuf_valid; // @[lsu_bus_buffer.scala 462:135] - wire _T_3952 = _T_3951 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 462:148] + wire _T_3947 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 456:48] + wire _T_3948 = _GEN_376 == 3'h2; // @[lsu_bus_buffer.scala 456:104] + wire _T_3949 = obuf_merge & _T_3948; // @[lsu_bus_buffer.scala 456:91] + wire _T_3950 = _T_3947 | _T_3949; // @[lsu_bus_buffer.scala 456:77] + wire _T_3951 = _T_3950 & obuf_valid; // @[lsu_bus_buffer.scala 456:135] + wire _T_3952 = _T_3951 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 456:148] wire _T_3974 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] wire _T_4059 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] wire _T_4077 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] @@ -388,20 +388,20 @@ module lsu_bus_buffer( wire _GEN_214 = _T_3940 & _T_3952; // @[Conditional.scala 39:67] wire _GEN_227 = _T_3936 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_227; // @[Conditional.scala 40:58] - wire _T_2584 = _T_2583 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 417:103] - wire _T_2585 = ~_T_2584; // @[lsu_bus_buffer.scala 417:78] - wire _T_2586 = buf_ageQ_3[2] & _T_2585; // @[lsu_bus_buffer.scala 417:76] - wire _T_2588 = _T_2586 & _T_2594; // @[lsu_bus_buffer.scala 417:138] - wire _T_2576 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 417:93] + wire _T_2584 = _T_2583 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 415:103] + wire _T_2585 = ~_T_2584; // @[lsu_bus_buffer.scala 415:78] + wire _T_2586 = buf_ageQ_3[2] & _T_2585; // @[lsu_bus_buffer.scala 415:76] + wire _T_2588 = _T_2586 & _T_2594; // @[lsu_bus_buffer.scala 415:130] + wire _T_2576 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 415:93] wire _T_3722 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3745 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3749 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3756 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 462:48] - wire _T_3757 = _GEN_376 == 3'h1; // @[lsu_bus_buffer.scala 462:104] - wire _T_3758 = obuf_merge & _T_3757; // @[lsu_bus_buffer.scala 462:91] - wire _T_3759 = _T_3756 | _T_3758; // @[lsu_bus_buffer.scala 462:77] - wire _T_3760 = _T_3759 & obuf_valid; // @[lsu_bus_buffer.scala 462:135] - wire _T_3761 = _T_3760 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 462:148] + wire _T_3756 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 456:48] + wire _T_3757 = _GEN_376 == 3'h1; // @[lsu_bus_buffer.scala 456:104] + wire _T_3758 = obuf_merge & _T_3757; // @[lsu_bus_buffer.scala 456:91] + wire _T_3759 = _T_3756 | _T_3758; // @[lsu_bus_buffer.scala 456:77] + wire _T_3760 = _T_3759 & obuf_valid; // @[lsu_bus_buffer.scala 456:135] + wire _T_3761 = _T_3760 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 456:148] wire _T_3783 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3868 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3886 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] @@ -409,20 +409,20 @@ module lsu_bus_buffer( wire _GEN_138 = _T_3749 & _T_3761; // @[Conditional.scala 39:67] wire _GEN_151 = _T_3745 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_151; // @[Conditional.scala 40:58] - wire _T_2577 = _T_2576 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 417:103] - wire _T_2578 = ~_T_2577; // @[lsu_bus_buffer.scala 417:78] - wire _T_2579 = buf_ageQ_3[1] & _T_2578; // @[lsu_bus_buffer.scala 417:76] - wire _T_2581 = _T_2579 & _T_2594; // @[lsu_bus_buffer.scala 417:138] - wire _T_2569 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 417:93] + wire _T_2577 = _T_2576 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 415:103] + wire _T_2578 = ~_T_2577; // @[lsu_bus_buffer.scala 415:78] + wire _T_2579 = buf_ageQ_3[1] & _T_2578; // @[lsu_bus_buffer.scala 415:76] + wire _T_2581 = _T_2579 & _T_2594; // @[lsu_bus_buffer.scala 415:130] + wire _T_2569 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 415:93] wire _T_3531 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3554 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3558 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3565 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 462:48] - wire _T_3566 = _GEN_376 == 3'h0; // @[lsu_bus_buffer.scala 462:104] - wire _T_3567 = obuf_merge & _T_3566; // @[lsu_bus_buffer.scala 462:91] - wire _T_3568 = _T_3565 | _T_3567; // @[lsu_bus_buffer.scala 462:77] - wire _T_3569 = _T_3568 & obuf_valid; // @[lsu_bus_buffer.scala 462:135] - wire _T_3570 = _T_3569 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 462:148] + wire _T_3565 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 456:48] + wire _T_3566 = _GEN_376 == 3'h0; // @[lsu_bus_buffer.scala 456:104] + wire _T_3567 = obuf_merge & _T_3566; // @[lsu_bus_buffer.scala 456:91] + wire _T_3568 = _T_3565 | _T_3567; // @[lsu_bus_buffer.scala 456:77] + wire _T_3569 = _T_3568 & obuf_valid; // @[lsu_bus_buffer.scala 456:135] + wire _T_3570 = _T_3569 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 456:148] wire _T_3592 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3677 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3695 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] @@ -430,17 +430,17 @@ module lsu_bus_buffer( wire _GEN_62 = _T_3558 & _T_3570; // @[Conditional.scala 39:67] wire _GEN_75 = _T_3554 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_75; // @[Conditional.scala 40:58] - wire _T_2570 = _T_2569 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 417:103] - wire _T_2571 = ~_T_2570; // @[lsu_bus_buffer.scala 417:78] - wire _T_2572 = buf_ageQ_3[0] & _T_2571; // @[lsu_bus_buffer.scala 417:76] - wire _T_2574 = _T_2572 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + wire _T_2570 = _T_2569 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 415:103] + wire _T_2571 = ~_T_2570; // @[lsu_bus_buffer.scala 415:78] + wire _T_2572 = buf_ageQ_3[0] & _T_2571; // @[lsu_bus_buffer.scala 415:76] + wire _T_2574 = _T_2572 & _T_2594; // @[lsu_bus_buffer.scala 415:130] wire [3:0] buf_age_3 = {_T_2595,_T_2588,_T_2581,_T_2574}; // @[Cat.scala 29:58] - wire _T_2694 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2696 = _T_2694 & _T_19; // @[lsu_bus_buffer.scala 418:104] - wire _T_2688 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2690 = _T_2688 & _T_12; // @[lsu_bus_buffer.scala 418:104] - wire _T_2682 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2684 = _T_2682 & _T_5; // @[lsu_bus_buffer.scala 418:104] + wire _T_2694 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 416:89] + wire _T_2696 = _T_2694 & _T_19; // @[lsu_bus_buffer.scala 416:104] + wire _T_2688 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 416:89] + wire _T_2690 = _T_2688 & _T_12; // @[lsu_bus_buffer.scala 416:104] + wire _T_2682 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 416:89] + wire _T_2684 = _T_2682 & _T_5; // @[lsu_bus_buffer.scala 416:104] wire [3:0] buf_age_younger_3 = {1'h0,_T_2696,_T_2690,_T_2684}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 149:144] @@ -459,66 +459,66 @@ module lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 160:69] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 149:150] wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 149:148] - reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 511:60] - wire _T_2562 = buf_ageQ_2[3] & _T_2592; // @[lsu_bus_buffer.scala 417:76] - wire _T_2564 = _T_2562 & _T_2594; // @[lsu_bus_buffer.scala 417:138] - wire _T_2555 = buf_ageQ_2[2] & _T_2585; // @[lsu_bus_buffer.scala 417:76] - wire _T_2557 = _T_2555 & _T_2594; // @[lsu_bus_buffer.scala 417:138] - wire _T_2548 = buf_ageQ_2[1] & _T_2578; // @[lsu_bus_buffer.scala 417:76] - wire _T_2550 = _T_2548 & _T_2594; // @[lsu_bus_buffer.scala 417:138] - wire _T_2541 = buf_ageQ_2[0] & _T_2571; // @[lsu_bus_buffer.scala 417:76] - wire _T_2543 = _T_2541 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 505:60] + wire _T_2562 = buf_ageQ_2[3] & _T_2592; // @[lsu_bus_buffer.scala 415:76] + wire _T_2564 = _T_2562 & _T_2594; // @[lsu_bus_buffer.scala 415:130] + wire _T_2555 = buf_ageQ_2[2] & _T_2585; // @[lsu_bus_buffer.scala 415:76] + wire _T_2557 = _T_2555 & _T_2594; // @[lsu_bus_buffer.scala 415:130] + wire _T_2548 = buf_ageQ_2[1] & _T_2578; // @[lsu_bus_buffer.scala 415:76] + wire _T_2550 = _T_2548 & _T_2594; // @[lsu_bus_buffer.scala 415:130] + wire _T_2541 = buf_ageQ_2[0] & _T_2571; // @[lsu_bus_buffer.scala 415:76] + wire _T_2543 = _T_2541 & _T_2594; // @[lsu_bus_buffer.scala 415:130] wire [3:0] buf_age_2 = {_T_2564,_T_2557,_T_2550,_T_2543}; // @[Cat.scala 29:58] - wire _T_2673 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2675 = _T_2673 & _T_26; // @[lsu_bus_buffer.scala 418:104] - wire _T_2661 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2663 = _T_2661 & _T_12; // @[lsu_bus_buffer.scala 418:104] - wire _T_2655 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2657 = _T_2655 & _T_5; // @[lsu_bus_buffer.scala 418:104] + wire _T_2673 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 416:89] + wire _T_2675 = _T_2673 & _T_26; // @[lsu_bus_buffer.scala 416:104] + wire _T_2661 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 416:89] + wire _T_2663 = _T_2661 & _T_12; // @[lsu_bus_buffer.scala 416:104] + wire _T_2655 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 416:89] + wire _T_2657 = _T_2655 & _T_5; // @[lsu_bus_buffer.scala 416:104] wire [3:0] buf_age_younger_2 = {_T_2675,1'h0,_T_2663,_T_2657}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 149:144] wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 149:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 149:97] wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 149:148] - reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 511:60] - wire _T_2531 = buf_ageQ_1[3] & _T_2592; // @[lsu_bus_buffer.scala 417:76] - wire _T_2533 = _T_2531 & _T_2594; // @[lsu_bus_buffer.scala 417:138] - wire _T_2524 = buf_ageQ_1[2] & _T_2585; // @[lsu_bus_buffer.scala 417:76] - wire _T_2526 = _T_2524 & _T_2594; // @[lsu_bus_buffer.scala 417:138] - wire _T_2517 = buf_ageQ_1[1] & _T_2578; // @[lsu_bus_buffer.scala 417:76] - wire _T_2519 = _T_2517 & _T_2594; // @[lsu_bus_buffer.scala 417:138] - wire _T_2510 = buf_ageQ_1[0] & _T_2571; // @[lsu_bus_buffer.scala 417:76] - wire _T_2512 = _T_2510 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 505:60] + wire _T_2531 = buf_ageQ_1[3] & _T_2592; // @[lsu_bus_buffer.scala 415:76] + wire _T_2533 = _T_2531 & _T_2594; // @[lsu_bus_buffer.scala 415:130] + wire _T_2524 = buf_ageQ_1[2] & _T_2585; // @[lsu_bus_buffer.scala 415:76] + wire _T_2526 = _T_2524 & _T_2594; // @[lsu_bus_buffer.scala 415:130] + wire _T_2517 = buf_ageQ_1[1] & _T_2578; // @[lsu_bus_buffer.scala 415:76] + wire _T_2519 = _T_2517 & _T_2594; // @[lsu_bus_buffer.scala 415:130] + wire _T_2510 = buf_ageQ_1[0] & _T_2571; // @[lsu_bus_buffer.scala 415:76] + wire _T_2512 = _T_2510 & _T_2594; // @[lsu_bus_buffer.scala 415:130] wire [3:0] buf_age_1 = {_T_2533,_T_2526,_T_2519,_T_2512}; // @[Cat.scala 29:58] - wire _T_2646 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2648 = _T_2646 & _T_26; // @[lsu_bus_buffer.scala 418:104] - wire _T_2640 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2642 = _T_2640 & _T_19; // @[lsu_bus_buffer.scala 418:104] - wire _T_2628 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2630 = _T_2628 & _T_5; // @[lsu_bus_buffer.scala 418:104] + wire _T_2646 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 416:89] + wire _T_2648 = _T_2646 & _T_26; // @[lsu_bus_buffer.scala 416:104] + wire _T_2640 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 416:89] + wire _T_2642 = _T_2640 & _T_19; // @[lsu_bus_buffer.scala 416:104] + wire _T_2628 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 416:89] + wire _T_2630 = _T_2628 & _T_5; // @[lsu_bus_buffer.scala 416:104] wire [3:0] buf_age_younger_1 = {_T_2648,_T_2642,1'h0,_T_2630}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 149:144] wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 149:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 149:97] wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 149:148] - reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 511:60] - wire _T_2500 = buf_ageQ_0[3] & _T_2592; // @[lsu_bus_buffer.scala 417:76] - wire _T_2502 = _T_2500 & _T_2594; // @[lsu_bus_buffer.scala 417:138] - wire _T_2493 = buf_ageQ_0[2] & _T_2585; // @[lsu_bus_buffer.scala 417:76] - wire _T_2495 = _T_2493 & _T_2594; // @[lsu_bus_buffer.scala 417:138] - wire _T_2486 = buf_ageQ_0[1] & _T_2578; // @[lsu_bus_buffer.scala 417:76] - wire _T_2488 = _T_2486 & _T_2594; // @[lsu_bus_buffer.scala 417:138] - wire _T_2479 = buf_ageQ_0[0] & _T_2571; // @[lsu_bus_buffer.scala 417:76] - wire _T_2481 = _T_2479 & _T_2594; // @[lsu_bus_buffer.scala 417:138] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 505:60] + wire _T_2500 = buf_ageQ_0[3] & _T_2592; // @[lsu_bus_buffer.scala 415:76] + wire _T_2502 = _T_2500 & _T_2594; // @[lsu_bus_buffer.scala 415:130] + wire _T_2493 = buf_ageQ_0[2] & _T_2585; // @[lsu_bus_buffer.scala 415:76] + wire _T_2495 = _T_2493 & _T_2594; // @[lsu_bus_buffer.scala 415:130] + wire _T_2486 = buf_ageQ_0[1] & _T_2578; // @[lsu_bus_buffer.scala 415:76] + wire _T_2488 = _T_2486 & _T_2594; // @[lsu_bus_buffer.scala 415:130] + wire _T_2479 = buf_ageQ_0[0] & _T_2571; // @[lsu_bus_buffer.scala 415:76] + wire _T_2481 = _T_2479 & _T_2594; // @[lsu_bus_buffer.scala 415:130] wire [3:0] buf_age_0 = {_T_2502,_T_2495,_T_2488,_T_2481}; // @[Cat.scala 29:58] - wire _T_2619 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2621 = _T_2619 & _T_26; // @[lsu_bus_buffer.scala 418:104] - wire _T_2613 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2615 = _T_2613 & _T_19; // @[lsu_bus_buffer.scala 418:104] - wire _T_2607 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 418:89] - wire _T_2609 = _T_2607 & _T_12; // @[lsu_bus_buffer.scala 418:104] + wire _T_2619 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 416:89] + wire _T_2621 = _T_2619 & _T_26; // @[lsu_bus_buffer.scala 416:104] + wire _T_2613 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 416:89] + wire _T_2615 = _T_2613 & _T_19; // @[lsu_bus_buffer.scala 416:104] + wire _T_2607 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 416:89] + wire _T_2609 = _T_2607 & _T_12; // @[lsu_bus_buffer.scala 416:104] wire [3:0] buf_age_younger_0 = {_T_2621,_T_2615,_T_2609,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 149:144] @@ -966,8 +966,8 @@ module lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 219:32] wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 213:34] wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 213:49] - reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 625:49] - reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 624:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 619:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 618:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 229:77] @@ -984,8 +984,8 @@ module lsu_bus_buffer( wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 235:8] wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 233:46] wire [31:0] ibuf_data_in = {_T_920,_T_911,_T_902,_T_893}; // @[Cat.scala 29:58] - wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 236:59] - wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 236:93] + wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 236:60] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 236:95] wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 240:65] wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 240:63] wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 240:96] @@ -1010,69 +1010,69 @@ module lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4437 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 531:64] - wire _T_4438 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 531:91] - wire _T_4439 = _T_4437 & _T_4438; // @[lsu_bus_buffer.scala 531:89] - wire _T_4432 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 531:64] - wire _T_4433 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 531:91] - wire _T_4434 = _T_4432 & _T_4433; // @[lsu_bus_buffer.scala 531:89] - wire [1:0] _T_4440 = _T_4439 + _T_4434; // @[lsu_bus_buffer.scala 531:142] - wire _T_4427 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 531:64] - wire _T_4428 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 531:91] - wire _T_4429 = _T_4427 & _T_4428; // @[lsu_bus_buffer.scala 531:89] - wire [1:0] _GEN_380 = {{1'd0}, _T_4429}; // @[lsu_bus_buffer.scala 531:142] - wire [2:0] _T_4441 = _T_4440 + _GEN_380; // @[lsu_bus_buffer.scala 531:142] - wire _T_4422 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 531:64] - wire _T_4423 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 531:91] - wire _T_4424 = _T_4422 & _T_4423; // @[lsu_bus_buffer.scala 531:89] - wire [2:0] _GEN_381 = {{2'd0}, _T_4424}; // @[lsu_bus_buffer.scala 531:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4441 + _GEN_381; // @[lsu_bus_buffer.scala 531:142] + wire _T_4441 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 525:64] + wire _T_4442 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 525:91] + wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 525:89] + wire _T_4436 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 525:64] + wire _T_4437 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 525:91] + wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 525:89] + wire [1:0] _T_4444 = _T_4443 + _T_4438; // @[lsu_bus_buffer.scala 525:142] + wire _T_4431 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 525:64] + wire _T_4432 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 525:91] + wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 525:89] + wire [1:0] _GEN_380 = {{1'd0}, _T_4433}; // @[lsu_bus_buffer.scala 525:142] + wire [2:0] _T_4445 = _T_4444 + _GEN_380; // @[lsu_bus_buffer.scala 525:142] + wire _T_4426 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 525:64] + wire _T_4427 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 525:91] + wire _T_4428 = _T_4426 & _T_4427; // @[lsu_bus_buffer.scala 525:89] + wire [2:0] _GEN_381 = {{2'd0}, _T_4428}; // @[lsu_bus_buffer.scala 525:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4445 + _GEN_381; // @[lsu_bus_buffer.scala 525:142] wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:43] - wire _T_4454 = _T_2590 & _T_4438; // @[lsu_bus_buffer.scala 532:73] - wire _T_4451 = _T_2583 & _T_4433; // @[lsu_bus_buffer.scala 532:73] - wire [1:0] _T_4455 = _T_4454 + _T_4451; // @[lsu_bus_buffer.scala 532:126] - wire _T_4448 = _T_2576 & _T_4428; // @[lsu_bus_buffer.scala 532:73] - wire [1:0] _GEN_382 = {{1'd0}, _T_4448}; // @[lsu_bus_buffer.scala 532:126] - wire [2:0] _T_4456 = _T_4455 + _GEN_382; // @[lsu_bus_buffer.scala 532:126] - wire _T_4445 = _T_2569 & _T_4423; // @[lsu_bus_buffer.scala 532:73] - wire [2:0] _GEN_383 = {{2'd0}, _T_4445}; // @[lsu_bus_buffer.scala 532:126] - wire [3:0] buf_numvld_cmd_any = _T_4456 + _GEN_383; // @[lsu_bus_buffer.scala 532:126] + wire _T_4458 = _T_2590 & _T_4442; // @[lsu_bus_buffer.scala 526:73] + wire _T_4455 = _T_2583 & _T_4437; // @[lsu_bus_buffer.scala 526:73] + wire [1:0] _T_4459 = _T_4458 + _T_4455; // @[lsu_bus_buffer.scala 526:126] + wire _T_4452 = _T_2576 & _T_4432; // @[lsu_bus_buffer.scala 526:73] + wire [1:0] _GEN_382 = {{1'd0}, _T_4452}; // @[lsu_bus_buffer.scala 526:126] + wire [2:0] _T_4460 = _T_4459 + _GEN_382; // @[lsu_bus_buffer.scala 526:126] + wire _T_4449 = _T_2569 & _T_4427; // @[lsu_bus_buffer.scala 526:73] + wire [2:0] _GEN_383 = {{2'd0}, _T_4449}; // @[lsu_bus_buffer.scala 526:126] + wire [3:0] buf_numvld_cmd_any = _T_4460 + _GEN_383; // @[lsu_bus_buffer.scala 526:126] wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:72] wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 266:51] reg _T_1791; // @[Reg.scala 27:20] - wire [2:0] obuf_wr_timer = {{2'd0}, _T_1791}; // @[lsu_bus_buffer.scala 366:17] + wire [2:0] obuf_wr_timer = {{2'd0}, _T_1791}; // @[lsu_bus_buffer.scala 364:17] wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 266:97] wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 266:80] wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 266:114] - wire _T_1918 = |buf_age_3; // @[lsu_bus_buffer.scala 383:58] - wire _T_1919 = ~_T_1918; // @[lsu_bus_buffer.scala 383:45] - wire _T_1921 = _T_1919 & _T_2590; // @[lsu_bus_buffer.scala 383:63] - wire _T_1923 = _T_1921 & _T_4438; // @[lsu_bus_buffer.scala 383:88] - wire _T_1912 = |buf_age_2; // @[lsu_bus_buffer.scala 383:58] - wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 383:45] - wire _T_1915 = _T_1913 & _T_2583; // @[lsu_bus_buffer.scala 383:63] - wire _T_1917 = _T_1915 & _T_4433; // @[lsu_bus_buffer.scala 383:88] - wire _T_1906 = |buf_age_1; // @[lsu_bus_buffer.scala 383:58] - wire _T_1907 = ~_T_1906; // @[lsu_bus_buffer.scala 383:45] - wire _T_1909 = _T_1907 & _T_2576; // @[lsu_bus_buffer.scala 383:63] - wire _T_1911 = _T_1909 & _T_4428; // @[lsu_bus_buffer.scala 383:88] - wire _T_1900 = |buf_age_0; // @[lsu_bus_buffer.scala 383:58] - wire _T_1901 = ~_T_1900; // @[lsu_bus_buffer.scala 383:45] - wire _T_1903 = _T_1901 & _T_2569; // @[lsu_bus_buffer.scala 383:63] - wire _T_1905 = _T_1903 & _T_4423; // @[lsu_bus_buffer.scala 383:88] + wire _T_1918 = |buf_age_3; // @[lsu_bus_buffer.scala 381:58] + wire _T_1919 = ~_T_1918; // @[lsu_bus_buffer.scala 381:45] + wire _T_1921 = _T_1919 & _T_2590; // @[lsu_bus_buffer.scala 381:63] + wire _T_1923 = _T_1921 & _T_4442; // @[lsu_bus_buffer.scala 381:88] + wire _T_1912 = |buf_age_2; // @[lsu_bus_buffer.scala 381:58] + wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 381:45] + wire _T_1915 = _T_1913 & _T_2583; // @[lsu_bus_buffer.scala 381:63] + wire _T_1917 = _T_1915 & _T_4437; // @[lsu_bus_buffer.scala 381:88] + wire _T_1906 = |buf_age_1; // @[lsu_bus_buffer.scala 381:58] + wire _T_1907 = ~_T_1906; // @[lsu_bus_buffer.scala 381:45] + wire _T_1909 = _T_1907 & _T_2576; // @[lsu_bus_buffer.scala 381:63] + wire _T_1911 = _T_1909 & _T_4432; // @[lsu_bus_buffer.scala 381:88] + wire _T_1900 = |buf_age_0; // @[lsu_bus_buffer.scala 381:58] + wire _T_1901 = ~_T_1900; // @[lsu_bus_buffer.scala 381:45] + wire _T_1903 = _T_1901 & _T_2569; // @[lsu_bus_buffer.scala 381:63] + wire _T_1905 = _T_1903 & _T_4427; // @[lsu_bus_buffer.scala 381:88] wire [3:0] CmdPtr0Dec = {_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] wire [7:0] _T_1993 = {4'h0,_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] - wire _T_1996 = _T_1993[4] | _T_1993[5]; // @[lsu_bus_buffer.scala 391:42] - wire _T_1998 = _T_1996 | _T_1993[6]; // @[lsu_bus_buffer.scala 391:48] - wire _T_2000 = _T_1998 | _T_1993[7]; // @[lsu_bus_buffer.scala 391:54] - wire _T_2003 = _T_1993[2] | _T_1993[3]; // @[lsu_bus_buffer.scala 391:67] - wire _T_2005 = _T_2003 | _T_1993[6]; // @[lsu_bus_buffer.scala 391:73] - wire _T_2007 = _T_2005 | _T_1993[7]; // @[lsu_bus_buffer.scala 391:79] - wire _T_2010 = _T_1993[1] | _T_1993[3]; // @[lsu_bus_buffer.scala 391:92] - wire _T_2012 = _T_2010 | _T_1993[5]; // @[lsu_bus_buffer.scala 391:98] - wire _T_2014 = _T_2012 | _T_1993[7]; // @[lsu_bus_buffer.scala 391:104] + wire _T_1996 = _T_1993[4] | _T_1993[5]; // @[lsu_bus_buffer.scala 389:42] + wire _T_1998 = _T_1996 | _T_1993[6]; // @[lsu_bus_buffer.scala 389:48] + wire _T_2000 = _T_1998 | _T_1993[7]; // @[lsu_bus_buffer.scala 389:54] + wire _T_2003 = _T_1993[2] | _T_1993[3]; // @[lsu_bus_buffer.scala 389:67] + wire _T_2005 = _T_2003 | _T_1993[6]; // @[lsu_bus_buffer.scala 389:73] + wire _T_2007 = _T_2005 | _T_1993[7]; // @[lsu_bus_buffer.scala 389:79] + wire _T_2010 = _T_1993[1] | _T_1993[3]; // @[lsu_bus_buffer.scala 389:92] + wire _T_2012 = _T_2010 | _T_1993[5]; // @[lsu_bus_buffer.scala 389:98] + wire _T_2014 = _T_2012 | _T_1993[7]; // @[lsu_bus_buffer.scala 389:104] wire [2:0] _T_2016 = {_T_2000,_T_2007,_T_2014}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2016[1:0]; // @[lsu_bus_buffer.scala 396:11] + wire [1:0] CmdPtr0 = _T_2016[1:0]; // @[lsu_bus_buffer.scala 394:11] wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 267:114] wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 267:114] wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 267:114] @@ -1117,44 +1117,44 @@ module lsu_bus_buffer( wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 270:101] wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 268:119] wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 268:117] - wire _T_4473 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 533:63] - wire _T_4477 = _T_4473 | _T_4454; // @[lsu_bus_buffer.scala 533:74] - wire _T_4468 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 533:63] - wire _T_4472 = _T_4468 | _T_4451; // @[lsu_bus_buffer.scala 533:74] - wire [1:0] _T_4478 = _T_4477 + _T_4472; // @[lsu_bus_buffer.scala 533:154] - wire _T_4463 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 533:63] - wire _T_4467 = _T_4463 | _T_4448; // @[lsu_bus_buffer.scala 533:74] - wire [1:0] _GEN_384 = {{1'd0}, _T_4467}; // @[lsu_bus_buffer.scala 533:154] - wire [2:0] _T_4479 = _T_4478 + _GEN_384; // @[lsu_bus_buffer.scala 533:154] - wire _T_4458 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 533:63] - wire _T_4462 = _T_4458 | _T_4445; // @[lsu_bus_buffer.scala 533:74] - wire [2:0] _GEN_385 = {{2'd0}, _T_4462}; // @[lsu_bus_buffer.scala 533:154] - wire [3:0] buf_numvld_pend_any = _T_4479 + _GEN_385; // @[lsu_bus_buffer.scala 533:154] + wire _T_4477 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 527:63] + wire _T_4481 = _T_4477 | _T_4458; // @[lsu_bus_buffer.scala 527:74] + wire _T_4472 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 527:63] + wire _T_4476 = _T_4472 | _T_4455; // @[lsu_bus_buffer.scala 527:74] + wire [1:0] _T_4482 = _T_4481 + _T_4476; // @[lsu_bus_buffer.scala 527:154] + wire _T_4467 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 527:63] + wire _T_4471 = _T_4467 | _T_4452; // @[lsu_bus_buffer.scala 527:74] + wire [1:0] _GEN_384 = {{1'd0}, _T_4471}; // @[lsu_bus_buffer.scala 527:154] + wire [2:0] _T_4483 = _T_4482 + _GEN_384; // @[lsu_bus_buffer.scala 527:154] + wire _T_4462 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 527:63] + wire _T_4466 = _T_4462 | _T_4449; // @[lsu_bus_buffer.scala 527:74] + wire [2:0] _GEN_385 = {{2'd0}, _T_4466}; // @[lsu_bus_buffer.scala 527:154] + wire [3:0] buf_numvld_pend_any = _T_4483 + _GEN_385; // @[lsu_bus_buffer.scala 527:154] wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 272:53] wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 272:31] wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 272:64] wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 272:89] wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 272:61] wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 288:32] - wire _T_4747 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 561:62] - wire _T_4749 = _T_4747 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 561:73] - wire _T_4750 = _T_4749 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] - wire _T_4751 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 561:62] - wire _T_4753 = _T_4751 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 561:73] - wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] - wire _T_4763 = _T_4750 | _T_4754; // @[lsu_bus_buffer.scala 561:153] - wire _T_4755 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 561:62] - wire _T_4757 = _T_4755 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 561:73] - wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] - wire _T_4764 = _T_4763 | _T_4758; // @[lsu_bus_buffer.scala 561:153] - wire _T_4759 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 561:62] - wire _T_4761 = _T_4759 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 561:73] - wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] - wire _T_4765 = _T_4764 | _T_4762; // @[lsu_bus_buffer.scala 561:153] + wire _T_4751 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 555:62] + wire _T_4753 = _T_4751 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 555:73] + wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] + wire _T_4755 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 555:62] + wire _T_4757 = _T_4755 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 555:73] + wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] + wire _T_4767 = _T_4754 | _T_4758; // @[lsu_bus_buffer.scala 555:153] + wire _T_4759 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 555:62] + wire _T_4761 = _T_4759 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 555:73] + wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] + wire _T_4768 = _T_4767 | _T_4762; // @[lsu_bus_buffer.scala 555:153] + wire _T_4763 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 555:62] + wire _T_4765 = _T_4763 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 555:73] + wire _T_4766 = _T_4765 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] + wire _T_4769 = _T_4768 | _T_4766; // @[lsu_bus_buffer.scala 555:153] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_4766 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 561:171] - wire _T_4767 = _T_4766 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:189] - wire bus_sideeffect_pend = _T_4765 | _T_4767; // @[lsu_bus_buffer.scala 561:157] + wire _T_4770 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 555:171] + wire _T_4771 = _T_4770 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:189] + wire bus_sideeffect_pend = _T_4769 | _T_4771; // @[lsu_bus_buffer.scala 555:157] wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 288:74] wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 288:52] wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 288:50] @@ -1166,7 +1166,7 @@ module lsu_bus_buffer( wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 289:36] - wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 388:31] + wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 386:31] wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 289:47] wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] @@ -1216,37 +1216,37 @@ module lsu_bus_buffer( wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 291:150] wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 291:148] wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 291:8] - wire [3:0] _T_1959 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 384:62] - wire [3:0] _T_1960 = buf_age_3 & _T_1959; // @[lsu_bus_buffer.scala 384:59] - wire _T_1961 = |_T_1960; // @[lsu_bus_buffer.scala 384:76] - wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 384:45] - wire _T_1964 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 384:83] - wire _T_1965 = _T_1962 & _T_1964; // @[lsu_bus_buffer.scala 384:81] - wire _T_1967 = _T_1965 & _T_2590; // @[lsu_bus_buffer.scala 384:98] - wire _T_1969 = _T_1967 & _T_4438; // @[lsu_bus_buffer.scala 384:123] - wire [3:0] _T_1949 = buf_age_2 & _T_1959; // @[lsu_bus_buffer.scala 384:59] - wire _T_1950 = |_T_1949; // @[lsu_bus_buffer.scala 384:76] - wire _T_1951 = ~_T_1950; // @[lsu_bus_buffer.scala 384:45] - wire _T_1953 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 384:83] - wire _T_1954 = _T_1951 & _T_1953; // @[lsu_bus_buffer.scala 384:81] - wire _T_1956 = _T_1954 & _T_2583; // @[lsu_bus_buffer.scala 384:98] - wire _T_1958 = _T_1956 & _T_4433; // @[lsu_bus_buffer.scala 384:123] - wire [3:0] _T_1938 = buf_age_1 & _T_1959; // @[lsu_bus_buffer.scala 384:59] - wire _T_1939 = |_T_1938; // @[lsu_bus_buffer.scala 384:76] - wire _T_1940 = ~_T_1939; // @[lsu_bus_buffer.scala 384:45] - wire _T_1942 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 384:83] - wire _T_1943 = _T_1940 & _T_1942; // @[lsu_bus_buffer.scala 384:81] - wire _T_1945 = _T_1943 & _T_2576; // @[lsu_bus_buffer.scala 384:98] - wire _T_1947 = _T_1945 & _T_4428; // @[lsu_bus_buffer.scala 384:123] - wire [3:0] _T_1927 = buf_age_0 & _T_1959; // @[lsu_bus_buffer.scala 384:59] - wire _T_1928 = |_T_1927; // @[lsu_bus_buffer.scala 384:76] - wire _T_1929 = ~_T_1928; // @[lsu_bus_buffer.scala 384:45] - wire _T_1931 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 384:83] - wire _T_1932 = _T_1929 & _T_1931; // @[lsu_bus_buffer.scala 384:81] - wire _T_1934 = _T_1932 & _T_2569; // @[lsu_bus_buffer.scala 384:98] - wire _T_1936 = _T_1934 & _T_4423; // @[lsu_bus_buffer.scala 384:123] + wire [3:0] _T_1959 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 382:62] + wire [3:0] _T_1960 = buf_age_3 & _T_1959; // @[lsu_bus_buffer.scala 382:59] + wire _T_1961 = |_T_1960; // @[lsu_bus_buffer.scala 382:76] + wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 382:45] + wire _T_1964 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 382:83] + wire _T_1965 = _T_1962 & _T_1964; // @[lsu_bus_buffer.scala 382:81] + wire _T_1967 = _T_1965 & _T_2590; // @[lsu_bus_buffer.scala 382:98] + wire _T_1969 = _T_1967 & _T_4442; // @[lsu_bus_buffer.scala 382:123] + wire [3:0] _T_1949 = buf_age_2 & _T_1959; // @[lsu_bus_buffer.scala 382:59] + wire _T_1950 = |_T_1949; // @[lsu_bus_buffer.scala 382:76] + wire _T_1951 = ~_T_1950; // @[lsu_bus_buffer.scala 382:45] + wire _T_1953 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 382:83] + wire _T_1954 = _T_1951 & _T_1953; // @[lsu_bus_buffer.scala 382:81] + wire _T_1956 = _T_1954 & _T_2583; // @[lsu_bus_buffer.scala 382:98] + wire _T_1958 = _T_1956 & _T_4437; // @[lsu_bus_buffer.scala 382:123] + wire [3:0] _T_1938 = buf_age_1 & _T_1959; // @[lsu_bus_buffer.scala 382:59] + wire _T_1939 = |_T_1938; // @[lsu_bus_buffer.scala 382:76] + wire _T_1940 = ~_T_1939; // @[lsu_bus_buffer.scala 382:45] + wire _T_1942 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 382:83] + wire _T_1943 = _T_1940 & _T_1942; // @[lsu_bus_buffer.scala 382:81] + wire _T_1945 = _T_1943 & _T_2576; // @[lsu_bus_buffer.scala 382:98] + wire _T_1947 = _T_1945 & _T_4432; // @[lsu_bus_buffer.scala 382:123] + wire [3:0] _T_1927 = buf_age_0 & _T_1959; // @[lsu_bus_buffer.scala 382:59] + wire _T_1928 = |_T_1927; // @[lsu_bus_buffer.scala 382:76] + wire _T_1929 = ~_T_1928; // @[lsu_bus_buffer.scala 382:45] + wire _T_1931 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 382:83] + wire _T_1932 = _T_1929 & _T_1931; // @[lsu_bus_buffer.scala 382:81] + wire _T_1934 = _T_1932 & _T_2569; // @[lsu_bus_buffer.scala 382:98] + wire _T_1936 = _T_1934 & _T_4427; // @[lsu_bus_buffer.scala 382:123] wire [3:0] CmdPtr1Dec = {_T_1969,_T_1958,_T_1947,_T_1936}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 389:31] + wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 387:31] wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 291:181] wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] @@ -1263,11 +1263,11 @@ module lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[Reg.scala 27:20] reg obuf_data_done; // @[Reg.scala 27:20] - wire _T_4821 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 565:54] - wire _T_4822 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 565:75] - wire _T_4823 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 565:153] - wire _T_4824 = _T_4821 ? _T_4822 : _T_4823; // @[lsu_bus_buffer.scala 565:39] - wire bus_cmd_ready = obuf_write ? _T_4824 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 565:23] + wire _T_4825 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 559:54] + wire _T_4826 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 559:75] + wire _T_4827 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 559:153] + wire _T_4828 = _T_4825 ? _T_4826 : _T_4827; // @[lsu_bus_buffer.scala 559:39] + wire bus_cmd_ready = obuf_write ? _T_4828 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 559:23] wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 292:48] wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 292:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1276,52 +1276,52 @@ module lsu_bus_buffer( wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 292:77] wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 292:75] reg [31:0] obuf_addr; // @[Reg.scala 27:20] - wire _T_4772 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 563:37] - wire _T_4773 = obuf_valid & _T_4772; // @[lsu_bus_buffer.scala 563:19] - wire _T_4775 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 563:107] - wire _T_4776 = obuf_merge & _T_4775; // @[lsu_bus_buffer.scala 563:95] - wire _T_4777 = _T_3565 | _T_4776; // @[lsu_bus_buffer.scala 563:81] - wire _T_4778 = ~_T_4777; // @[lsu_bus_buffer.scala 563:61] - wire _T_4779 = _T_4773 & _T_4778; // @[lsu_bus_buffer.scala 563:59] - wire _T_4813 = _T_4747 & _T_4779; // @[Mux.scala 27:72] - wire _T_4783 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 563:37] - wire _T_4784 = obuf_valid & _T_4783; // @[lsu_bus_buffer.scala 563:19] - wire _T_4786 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 563:107] - wire _T_4787 = obuf_merge & _T_4786; // @[lsu_bus_buffer.scala 563:95] - wire _T_4788 = _T_3756 | _T_4787; // @[lsu_bus_buffer.scala 563:81] - wire _T_4789 = ~_T_4788; // @[lsu_bus_buffer.scala 563:61] - wire _T_4790 = _T_4784 & _T_4789; // @[lsu_bus_buffer.scala 563:59] - wire _T_4814 = _T_4751 & _T_4790; // @[Mux.scala 27:72] - wire _T_4817 = _T_4813 | _T_4814; // @[Mux.scala 27:72] - wire _T_4794 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 563:37] - wire _T_4795 = obuf_valid & _T_4794; // @[lsu_bus_buffer.scala 563:19] - wire _T_4797 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 563:107] - wire _T_4798 = obuf_merge & _T_4797; // @[lsu_bus_buffer.scala 563:95] - wire _T_4799 = _T_3947 | _T_4798; // @[lsu_bus_buffer.scala 563:81] - wire _T_4800 = ~_T_4799; // @[lsu_bus_buffer.scala 563:61] - wire _T_4801 = _T_4795 & _T_4800; // @[lsu_bus_buffer.scala 563:59] - wire _T_4815 = _T_4755 & _T_4801; // @[Mux.scala 27:72] - wire _T_4818 = _T_4817 | _T_4815; // @[Mux.scala 27:72] - wire _T_4805 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 563:37] - wire _T_4806 = obuf_valid & _T_4805; // @[lsu_bus_buffer.scala 563:19] - wire _T_4808 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 563:107] - wire _T_4809 = obuf_merge & _T_4808; // @[lsu_bus_buffer.scala 563:95] - wire _T_4810 = _T_4138 | _T_4809; // @[lsu_bus_buffer.scala 563:81] - wire _T_4811 = ~_T_4810; // @[lsu_bus_buffer.scala 563:61] - wire _T_4812 = _T_4806 & _T_4811; // @[lsu_bus_buffer.scala 563:59] - wire _T_4816 = _T_4759 & _T_4812; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4818 | _T_4816; // @[Mux.scala 27:72] + wire _T_4776 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 557:37] + wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 557:19] + wire _T_4779 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 557:107] + wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 557:95] + wire _T_4781 = _T_3565 | _T_4780; // @[lsu_bus_buffer.scala 557:81] + wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 557:61] + wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 557:59] + wire _T_4817 = _T_4751 & _T_4783; // @[Mux.scala 27:72] + wire _T_4787 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 557:37] + wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 557:19] + wire _T_4790 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 557:107] + wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 557:95] + wire _T_4792 = _T_3756 | _T_4791; // @[lsu_bus_buffer.scala 557:81] + wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 557:61] + wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 557:59] + wire _T_4818 = _T_4755 & _T_4794; // @[Mux.scala 27:72] + wire _T_4821 = _T_4817 | _T_4818; // @[Mux.scala 27:72] + wire _T_4798 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 557:37] + wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 557:19] + wire _T_4801 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 557:107] + wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 557:95] + wire _T_4803 = _T_3947 | _T_4802; // @[lsu_bus_buffer.scala 557:81] + wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 557:61] + wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 557:59] + wire _T_4819 = _T_4759 & _T_4805; // @[Mux.scala 27:72] + wire _T_4822 = _T_4821 | _T_4819; // @[Mux.scala 27:72] + wire _T_4809 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 557:37] + wire _T_4810 = obuf_valid & _T_4809; // @[lsu_bus_buffer.scala 557:19] + wire _T_4812 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 557:107] + wire _T_4813 = obuf_merge & _T_4812; // @[lsu_bus_buffer.scala 557:95] + wire _T_4814 = _T_4138 | _T_4813; // @[lsu_bus_buffer.scala 557:81] + wire _T_4815 = ~_T_4814; // @[lsu_bus_buffer.scala 557:61] + wire _T_4816 = _T_4810 & _T_4815; // @[lsu_bus_buffer.scala 557:59] + wire _T_4820 = _T_4763 & _T_4816; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4822 | _T_4820; // @[Mux.scala 27:72] wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 292:94] wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 292:92] wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 292:118] wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 295:47] - wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 566:40] - wire _T_4828 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 568:35] - wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 567:40] - wire _T_4829 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 568:70] - wire _T_4830 = _T_4828 & _T_4829; // @[lsu_bus_buffer.scala 568:52] - wire _T_4831 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 568:112] - wire bus_cmd_sent = _T_4830 | _T_4831; // @[lsu_bus_buffer.scala 568:89] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 560:40] + wire _T_4832 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 562:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 561:40] + wire _T_4833 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 562:70] + wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 562:52] + wire _T_4835 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 562:112] + wire bus_cmd_sent = _T_4834 | _T_4835; // @[lsu_bus_buffer.scala 562:89] wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 295:33] wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 295:65] wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 295:63] @@ -1349,21 +1349,21 @@ module lsu_bus_buffer( wire [1:0] _T_1300 = _T_1299 | _T_1297; // @[Mux.scala 27:72] wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1300; // @[lsu_bus_buffer.scala 301:23] wire [7:0] _T_2018 = {4'h0,_T_1969,_T_1958,_T_1947,_T_1936}; // @[Cat.scala 29:58] - wire _T_2021 = _T_2018[4] | _T_2018[5]; // @[lsu_bus_buffer.scala 391:42] - wire _T_2023 = _T_2021 | _T_2018[6]; // @[lsu_bus_buffer.scala 391:48] - wire _T_2025 = _T_2023 | _T_2018[7]; // @[lsu_bus_buffer.scala 391:54] - wire _T_2028 = _T_2018[2] | _T_2018[3]; // @[lsu_bus_buffer.scala 391:67] - wire _T_2030 = _T_2028 | _T_2018[6]; // @[lsu_bus_buffer.scala 391:73] - wire _T_2032 = _T_2030 | _T_2018[7]; // @[lsu_bus_buffer.scala 391:79] - wire _T_2035 = _T_2018[1] | _T_2018[3]; // @[lsu_bus_buffer.scala 391:92] - wire _T_2037 = _T_2035 | _T_2018[5]; // @[lsu_bus_buffer.scala 391:98] - wire _T_2039 = _T_2037 | _T_2018[7]; // @[lsu_bus_buffer.scala 391:104] + wire _T_2021 = _T_2018[4] | _T_2018[5]; // @[lsu_bus_buffer.scala 389:42] + wire _T_2023 = _T_2021 | _T_2018[6]; // @[lsu_bus_buffer.scala 389:48] + wire _T_2025 = _T_2023 | _T_2018[7]; // @[lsu_bus_buffer.scala 389:54] + wire _T_2028 = _T_2018[2] | _T_2018[3]; // @[lsu_bus_buffer.scala 389:67] + wire _T_2030 = _T_2028 | _T_2018[6]; // @[lsu_bus_buffer.scala 389:73] + wire _T_2032 = _T_2030 | _T_2018[7]; // @[lsu_bus_buffer.scala 389:79] + wire _T_2035 = _T_2018[1] | _T_2018[3]; // @[lsu_bus_buffer.scala 389:92] + wire _T_2037 = _T_2035 | _T_2018[5]; // @[lsu_bus_buffer.scala 389:98] + wire _T_2039 = _T_2037 | _T_2018[7]; // @[lsu_bus_buffer.scala 389:104] wire [2:0] _T_2041 = {_T_2025,_T_2032,_T_2039}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr1 = _T_2041[1:0]; // @[lsu_bus_buffer.scala 398:11] + wire [1:0] CmdPtr1 = _T_2041[1:0]; // @[lsu_bus_buffer.scala 396:11] wire _T_1302 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 309:39] wire _T_1303 = ~_T_1302; // @[lsu_bus_buffer.scala 309:26] - wire obuf_cmd_done_in = _T_1303 & _T_4828; // @[lsu_bus_buffer.scala 309:51] - wire obuf_data_done_in = _T_1303 & _T_4829; // @[lsu_bus_buffer.scala 312:52] + wire obuf_cmd_done_in = _T_1303 & _T_4832; // @[lsu_bus_buffer.scala 309:51] + wire obuf_data_done_in = _T_1303 & _T_4833; // @[lsu_bus_buffer.scala 312:52] wire _T_1309 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 313:72] wire _T_1312 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 313:98] wire _T_1313 = obuf_sz_in[0] & _T_1312; // @[lsu_bus_buffer.scala 313:96] @@ -1373,39 +1373,39 @@ module lsu_bus_buffer( wire _T_1319 = obuf_sz_in[1] & _T_1318; // @[lsu_bus_buffer.scala 313:132] wire _T_1320 = _T_1314 | _T_1319; // @[lsu_bus_buffer.scala 313:116] wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1320; // @[lsu_bus_buffer.scala 313:28] - wire _T_1337 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 328:40] - wire _T_1338 = _T_1337 & obuf_aligned_in; // @[lsu_bus_buffer.scala 328:60] - wire _T_1339 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 328:80] - wire _T_1340 = _T_1338 & _T_1339; // @[lsu_bus_buffer.scala 328:78] - wire _T_1341 = ~obuf_write; // @[lsu_bus_buffer.scala 328:99] - wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 328:97] - wire _T_1343 = ~obuf_write_in; // @[lsu_bus_buffer.scala 328:113] - wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 328:111] - wire _T_1345 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 328:130] - wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 328:128] - wire _T_1347 = ~obuf_nosend; // @[lsu_bus_buffer.scala 329:20] - wire _T_1348 = obuf_valid & _T_1347; // @[lsu_bus_buffer.scala 329:18] + wire _T_1337 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 327:40] + wire _T_1338 = _T_1337 & obuf_aligned_in; // @[lsu_bus_buffer.scala 327:60] + wire _T_1339 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 327:80] + wire _T_1340 = _T_1338 & _T_1339; // @[lsu_bus_buffer.scala 327:78] + wire _T_1341 = ~obuf_write; // @[lsu_bus_buffer.scala 327:99] + wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 327:97] + wire _T_1343 = ~obuf_write_in; // @[lsu_bus_buffer.scala 327:113] + wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 327:111] + wire _T_1345 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 327:130] + wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 327:128] + wire _T_1347 = ~obuf_nosend; // @[lsu_bus_buffer.scala 328:20] + wire _T_1348 = obuf_valid & _T_1347; // @[lsu_bus_buffer.scala 328:18] reg obuf_rdrsp_pend; // @[Reg.scala 27:20] - wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 569:38] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 563:38] reg [2:0] obuf_rdrsp_tag; // @[Reg.scala 27:20] - wire _T_1349 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 329:90] - wire _T_1350 = bus_rsp_read & _T_1349; // @[lsu_bus_buffer.scala 329:70] - wire _T_1351 = ~_T_1350; // @[lsu_bus_buffer.scala 329:55] - wire _T_1352 = obuf_rdrsp_pend & _T_1351; // @[lsu_bus_buffer.scala 329:53] - wire _T_1353 = _T_1348 | _T_1352; // @[lsu_bus_buffer.scala 329:34] - wire obuf_nosend_in = _T_1346 & _T_1353; // @[lsu_bus_buffer.scala 328:177] + wire _T_1349 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 328:90] + wire _T_1350 = bus_rsp_read & _T_1349; // @[lsu_bus_buffer.scala 328:70] + wire _T_1351 = ~_T_1350; // @[lsu_bus_buffer.scala 328:55] + wire _T_1352 = obuf_rdrsp_pend & _T_1351; // @[lsu_bus_buffer.scala 328:53] + wire _T_1353 = _T_1348 | _T_1352; // @[lsu_bus_buffer.scala 328:34] + wire obuf_nosend_in = _T_1346 & _T_1353; // @[lsu_bus_buffer.scala 327:177] wire _T_1321 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 321:45] wire _T_1322 = obuf_wr_en & _T_1321; // @[lsu_bus_buffer.scala 321:43] wire _T_1323 = ~_T_1322; // @[lsu_bus_buffer.scala 321:30] wire _T_1324 = _T_1323 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 321:62] wire _T_1328 = _T_1324 & _T_1351; // @[lsu_bus_buffer.scala 321:80] - wire _T_1330 = bus_cmd_sent & _T_1341; // @[lsu_bus_buffer.scala 322:19] + wire _T_1330 = bus_cmd_sent & _T_1341; // @[lsu_bus_buffer.scala 321:155] wire _T_1331 = _T_1328 | _T_1330; // @[lsu_bus_buffer.scala 321:139] - wire obuf_rdrsp_pend_in = _T_1331 & _T_2594; // @[lsu_bus_buffer.scala 322:35] - wire obuf_rdrsp_pend_en = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 323:47] + wire obuf_rdrsp_pend_in = _T_1331 & _T_2594; // @[lsu_bus_buffer.scala 321:171] + wire obuf_rdrsp_pend_en = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 322:47] wire [7:0] _T_1356 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1357 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1358 = io_lsu_addr_r[2] ? _T_1356 : _T_1357; // @[lsu_bus_buffer.scala 330:46] + wire [7:0] _T_1358 = io_lsu_addr_r[2] ? _T_1356 : _T_1357; // @[lsu_bus_buffer.scala 329:46] wire [3:0] _T_1377 = _T_1023 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_1378 = _T_1024 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_1379 = _T_1025 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] @@ -1415,11 +1415,11 @@ module lsu_bus_buffer( wire [3:0] _T_1383 = _T_1382 | _T_1380; // @[Mux.scala 27:72] wire [7:0] _T_1385 = {_T_1383,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1398 = {4'h0,_T_1383}; // @[Cat.scala 29:58] - wire [7:0] _T_1399 = _T_1287[2] ? _T_1385 : _T_1398; // @[lsu_bus_buffer.scala 331:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1358 : _T_1399; // @[lsu_bus_buffer.scala 330:28] + wire [7:0] _T_1399 = _T_1287[2] ? _T_1385 : _T_1398; // @[lsu_bus_buffer.scala 330:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1358 : _T_1399; // @[lsu_bus_buffer.scala 329:28] wire [7:0] _T_1401 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1402 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1403 = io_end_addr_r[2] ? _T_1401 : _T_1402; // @[lsu_bus_buffer.scala 332:46] + wire [7:0] _T_1403 = io_end_addr_r[2] ? _T_1401 : _T_1402; // @[lsu_bus_buffer.scala 331:46] wire _T_1404 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 61:123] wire _T_1405 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 61:123] wire _T_1406 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 61:123] @@ -1440,11 +1440,11 @@ module lsu_bus_buffer( wire [3:0] _T_1428 = _T_1427 | _T_1425; // @[Mux.scala 27:72] wire [7:0] _T_1430 = {_T_1428,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1443 = {4'h0,_T_1428}; // @[Cat.scala 29:58] - wire [7:0] _T_1444 = _T_1414[2] ? _T_1430 : _T_1443; // @[lsu_bus_buffer.scala 333:8] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1403 : _T_1444; // @[lsu_bus_buffer.scala 332:28] + wire [7:0] _T_1444 = _T_1414[2] ? _T_1430 : _T_1443; // @[lsu_bus_buffer.scala 332:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1403 : _T_1444; // @[lsu_bus_buffer.scala 331:28] wire [63:0] _T_1446 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1447 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1448 = io_lsu_addr_r[2] ? _T_1446 : _T_1447; // @[lsu_bus_buffer.scala 335:44] + wire [63:0] _T_1448 = io_lsu_addr_r[2] ? _T_1446 : _T_1447; // @[lsu_bus_buffer.scala 334:44] wire [31:0] _T_1467 = _T_1023 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1468 = _T_1024 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1469 = _T_1025 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -1454,11 +1454,11 @@ module lsu_bus_buffer( wire [31:0] _T_1473 = _T_1472 | _T_1470; // @[Mux.scala 27:72] wire [63:0] _T_1475 = {_T_1473,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1488 = {32'h0,_T_1473}; // @[Cat.scala 29:58] - wire [63:0] _T_1489 = _T_1287[2] ? _T_1475 : _T_1488; // @[lsu_bus_buffer.scala 336:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1448 : _T_1489; // @[lsu_bus_buffer.scala 335:26] + wire [63:0] _T_1489 = _T_1287[2] ? _T_1475 : _T_1488; // @[lsu_bus_buffer.scala 335:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1448 : _T_1489; // @[lsu_bus_buffer.scala 334:26] wire [63:0] _T_1491 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1492 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1493 = io_end_addr_r[2] ? _T_1491 : _T_1492; // @[lsu_bus_buffer.scala 337:44] + wire [63:0] _T_1493 = io_end_addr_r[2] ? _T_1491 : _T_1492; // @[lsu_bus_buffer.scala 336:44] wire [31:0] _T_1512 = _T_1404 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1513 = _T_1405 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1514 = _T_1406 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -1468,12 +1468,12 @@ module lsu_bus_buffer( wire [31:0] _T_1518 = _T_1517 | _T_1515; // @[Mux.scala 27:72] wire [63:0] _T_1520 = {_T_1518,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1533 = {32'h0,_T_1518}; // @[Cat.scala 29:58] - wire [63:0] _T_1534 = _T_1414[2] ? _T_1520 : _T_1533; // @[lsu_bus_buffer.scala 338:8] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1493 : _T_1534; // @[lsu_bus_buffer.scala 337:26] - wire _T_1619 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 344:30] - wire _T_1620 = _T_1619 & found_cmdptr0; // @[lsu_bus_buffer.scala 344:43] - wire _T_1621 = _T_1620 & found_cmdptr1; // @[lsu_bus_buffer.scala 344:59] - wire _T_1635 = _T_1621 & _T_1107; // @[lsu_bus_buffer.scala 344:75] + wire [63:0] _T_1534 = _T_1414[2] ? _T_1520 : _T_1533; // @[lsu_bus_buffer.scala 337:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1493 : _T_1534; // @[lsu_bus_buffer.scala 336:26] + wire _T_1619 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 343:30] + wire _T_1620 = _T_1619 & found_cmdptr0; // @[lsu_bus_buffer.scala 343:43] + wire _T_1621 = _T_1620 & found_cmdptr1; // @[lsu_bus_buffer.scala 343:59] + wire _T_1635 = _T_1621 & _T_1107; // @[lsu_bus_buffer.scala 343:75] wire [2:0] _T_1640 = _T_1404 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1641 = _T_1405 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1644 = _T_1640 | _T_1641; // @[Mux.scala 27:72] @@ -1481,11 +1481,11 @@ module lsu_bus_buffer( wire [2:0] _T_1645 = _T_1644 | _T_1642; // @[Mux.scala 27:72] wire [2:0] _T_1643 = _T_1407 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1646 = _T_1645 | _T_1643; // @[Mux.scala 27:72] - wire _T_1648 = _T_1646 == 3'h2; // @[lsu_bus_buffer.scala 344:150] - wire _T_1649 = _T_1635 & _T_1648; // @[lsu_bus_buffer.scala 344:118] - wire _T_1670 = _T_1649 & _T_1128; // @[lsu_bus_buffer.scala 344:161] - wire _T_1688 = _T_1670 & _T_1053; // @[lsu_bus_buffer.scala 345:85] - wire _T_1725 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 346:36] + wire _T_1648 = _T_1646 == 3'h2; // @[lsu_bus_buffer.scala 343:150] + wire _T_1649 = _T_1635 & _T_1648; // @[lsu_bus_buffer.scala 343:118] + wire _T_1670 = _T_1649 & _T_1128; // @[lsu_bus_buffer.scala 343:161] + wire _T_1688 = _T_1670 & _T_1053; // @[lsu_bus_buffer.scala 344:85] + wire _T_1725 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 345:36] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] @@ -1498,728 +1498,728 @@ module lsu_bus_buffer( wire _T_1742 = _T_1741 | _T_1739; // @[Mux.scala 27:72] wire _T_1740 = _T_1026 & _T_1728[3]; // @[Mux.scala 27:72] wire _T_1743 = _T_1742 | _T_1740; // @[Mux.scala 27:72] - wire _T_1745 = ~_T_1743; // @[lsu_bus_buffer.scala 346:107] - wire _T_1746 = _T_1725 & _T_1745; // @[lsu_bus_buffer.scala 346:105] - wire _T_1766 = _T_1746 & _T_1185; // @[lsu_bus_buffer.scala 346:177] - wire _T_1767 = _T_1688 & _T_1766; // @[lsu_bus_buffer.scala 345:122] - wire _T_1768 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 347:19] - wire _T_1769 = _T_1768 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 347:35] - wire obuf_merge_en = _T_1767 | _T_1769; // @[lsu_bus_buffer.scala 346:250] - wire _T_1537 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1538 = obuf_byteen0_in[0] | _T_1537; // @[lsu_bus_buffer.scala 339:63] - wire _T_1541 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1542 = obuf_byteen0_in[1] | _T_1541; // @[lsu_bus_buffer.scala 339:63] - wire _T_1545 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1546 = obuf_byteen0_in[2] | _T_1545; // @[lsu_bus_buffer.scala 339:63] - wire _T_1549 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1550 = obuf_byteen0_in[3] | _T_1549; // @[lsu_bus_buffer.scala 339:63] - wire _T_1553 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1554 = obuf_byteen0_in[4] | _T_1553; // @[lsu_bus_buffer.scala 339:63] - wire _T_1557 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1558 = obuf_byteen0_in[5] | _T_1557; // @[lsu_bus_buffer.scala 339:63] - wire _T_1561 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1562 = obuf_byteen0_in[6] | _T_1561; // @[lsu_bus_buffer.scala 339:63] - wire _T_1565 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 339:80] - wire _T_1566 = obuf_byteen0_in[7] | _T_1565; // @[lsu_bus_buffer.scala 339:63] + wire _T_1745 = ~_T_1743; // @[lsu_bus_buffer.scala 345:107] + wire _T_1746 = _T_1725 & _T_1745; // @[lsu_bus_buffer.scala 345:105] + wire _T_1766 = _T_1746 & _T_1185; // @[lsu_bus_buffer.scala 345:177] + wire _T_1767 = _T_1688 & _T_1766; // @[lsu_bus_buffer.scala 344:122] + wire _T_1768 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 346:19] + wire _T_1769 = _T_1768 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 346:35] + wire obuf_merge_en = _T_1767 | _T_1769; // @[lsu_bus_buffer.scala 345:250] + wire _T_1537 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 338:80] + wire _T_1538 = obuf_byteen0_in[0] | _T_1537; // @[lsu_bus_buffer.scala 338:63] + wire _T_1541 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 338:80] + wire _T_1542 = obuf_byteen0_in[1] | _T_1541; // @[lsu_bus_buffer.scala 338:63] + wire _T_1545 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 338:80] + wire _T_1546 = obuf_byteen0_in[2] | _T_1545; // @[lsu_bus_buffer.scala 338:63] + wire _T_1549 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 338:80] + wire _T_1550 = obuf_byteen0_in[3] | _T_1549; // @[lsu_bus_buffer.scala 338:63] + wire _T_1553 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 338:80] + wire _T_1554 = obuf_byteen0_in[4] | _T_1553; // @[lsu_bus_buffer.scala 338:63] + wire _T_1557 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 338:80] + wire _T_1558 = obuf_byteen0_in[5] | _T_1557; // @[lsu_bus_buffer.scala 338:63] + wire _T_1561 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 338:80] + wire _T_1562 = obuf_byteen0_in[6] | _T_1561; // @[lsu_bus_buffer.scala 338:63] + wire _T_1565 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 338:80] + wire _T_1566 = obuf_byteen0_in[7] | _T_1565; // @[lsu_bus_buffer.scala 338:63] wire [7:0] obuf_byteen_in = {_T_1566,_T_1562,_T_1558,_T_1554,_T_1550,_T_1546,_T_1542,_T_1538}; // @[Cat.scala 29:58] - wire [7:0] _T_1577 = _T_1537 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1582 = _T_1541 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1587 = _T_1545 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1592 = _T_1549 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1597 = _T_1553 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1602 = _T_1557 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1607 = _T_1561 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 340:44] - wire [7:0] _T_1612 = _T_1565 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 340:44] + wire [7:0] _T_1577 = _T_1537 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 339:44] + wire [7:0] _T_1582 = _T_1541 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 339:44] + wire [7:0] _T_1587 = _T_1545 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 339:44] + wire [7:0] _T_1592 = _T_1549 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 339:44] + wire [7:0] _T_1597 = _T_1553 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 339:44] + wire [7:0] _T_1602 = _T_1557 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 339:44] + wire [7:0] _T_1607 = _T_1561 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 339:44] + wire [7:0] _T_1612 = _T_1565 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 339:44] wire [63:0] obuf_data_in = {_T_1612,_T_1607,_T_1602,_T_1597,_T_1592,_T_1587,_T_1582,_T_1577}; // @[Cat.scala 29:58] - wire _T_1771 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 350:58] - wire _T_1772 = ~obuf_rst; // @[lsu_bus_buffer.scala 350:93] + wire _T_1771 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 348:58] + wire _T_1772 = ~obuf_rst; // @[lsu_bus_buffer.scala 348:93] wire _T_1780 = io_lsu_bus_obuf_c1_clken & obuf_wr_en; // @[lib.scala 383:57] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[Reg.scala 27:20] - wire _T_1792 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 369:65] - wire _T_1793 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 370:30] - wire _T_1794 = ibuf_valid & _T_1793; // @[lsu_bus_buffer.scala 370:19] - wire _T_1795 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 371:18] - wire _T_1796 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 371:57] - wire _T_1797 = io_ldst_dual_r & _T_1796; // @[lsu_bus_buffer.scala 371:45] - wire _T_1798 = _T_1795 | _T_1797; // @[lsu_bus_buffer.scala 371:27] - wire _T_1799 = io_lsu_busreq_r & _T_1798; // @[lsu_bus_buffer.scala 370:58] - wire _T_1800 = _T_1794 | _T_1799; // @[lsu_bus_buffer.scala 370:39] - wire _T_1801 = ~_T_1800; // @[lsu_bus_buffer.scala 370:5] - wire _T_1802 = _T_1792 & _T_1801; // @[lsu_bus_buffer.scala 369:76] - wire _T_1803 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 369:65] - wire _T_1804 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 370:30] - wire _T_1805 = ibuf_valid & _T_1804; // @[lsu_bus_buffer.scala 370:19] - wire _T_1806 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 371:18] - wire _T_1807 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 371:57] - wire _T_1808 = io_ldst_dual_r & _T_1807; // @[lsu_bus_buffer.scala 371:45] - wire _T_1809 = _T_1806 | _T_1808; // @[lsu_bus_buffer.scala 371:27] - wire _T_1810 = io_lsu_busreq_r & _T_1809; // @[lsu_bus_buffer.scala 370:58] - wire _T_1811 = _T_1805 | _T_1810; // @[lsu_bus_buffer.scala 370:39] - wire _T_1812 = ~_T_1811; // @[lsu_bus_buffer.scala 370:5] - wire _T_1813 = _T_1803 & _T_1812; // @[lsu_bus_buffer.scala 369:76] - wire _T_1814 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 369:65] - wire _T_1815 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 370:30] - wire _T_1816 = ibuf_valid & _T_1815; // @[lsu_bus_buffer.scala 370:19] - wire _T_1817 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 371:18] - wire _T_1818 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 371:57] - wire _T_1819 = io_ldst_dual_r & _T_1818; // @[lsu_bus_buffer.scala 371:45] - wire _T_1820 = _T_1817 | _T_1819; // @[lsu_bus_buffer.scala 371:27] - wire _T_1821 = io_lsu_busreq_r & _T_1820; // @[lsu_bus_buffer.scala 370:58] - wire _T_1822 = _T_1816 | _T_1821; // @[lsu_bus_buffer.scala 370:39] - wire _T_1823 = ~_T_1822; // @[lsu_bus_buffer.scala 370:5] - wire _T_1824 = _T_1814 & _T_1823; // @[lsu_bus_buffer.scala 369:76] - wire _T_1825 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 369:65] - wire _T_1826 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 370:30] - wire _T_1828 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 371:18] - wire _T_1829 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 371:57] + wire _T_1792 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 367:65] + wire _T_1793 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 368:30] + wire _T_1794 = ibuf_valid & _T_1793; // @[lsu_bus_buffer.scala 368:19] + wire _T_1795 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 369:18] + wire _T_1796 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 369:57] + wire _T_1797 = io_ldst_dual_r & _T_1796; // @[lsu_bus_buffer.scala 369:45] + wire _T_1798 = _T_1795 | _T_1797; // @[lsu_bus_buffer.scala 369:27] + wire _T_1799 = io_lsu_busreq_r & _T_1798; // @[lsu_bus_buffer.scala 368:58] + wire _T_1800 = _T_1794 | _T_1799; // @[lsu_bus_buffer.scala 368:39] + wire _T_1801 = ~_T_1800; // @[lsu_bus_buffer.scala 368:5] + wire _T_1802 = _T_1792 & _T_1801; // @[lsu_bus_buffer.scala 367:76] + wire _T_1803 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 367:65] + wire _T_1804 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 368:30] + wire _T_1805 = ibuf_valid & _T_1804; // @[lsu_bus_buffer.scala 368:19] + wire _T_1806 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 369:18] + wire _T_1807 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 369:57] + wire _T_1808 = io_ldst_dual_r & _T_1807; // @[lsu_bus_buffer.scala 369:45] + wire _T_1809 = _T_1806 | _T_1808; // @[lsu_bus_buffer.scala 369:27] + wire _T_1810 = io_lsu_busreq_r & _T_1809; // @[lsu_bus_buffer.scala 368:58] + wire _T_1811 = _T_1805 | _T_1810; // @[lsu_bus_buffer.scala 368:39] + wire _T_1812 = ~_T_1811; // @[lsu_bus_buffer.scala 368:5] + wire _T_1813 = _T_1803 & _T_1812; // @[lsu_bus_buffer.scala 367:76] + wire _T_1814 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 367:65] + wire _T_1815 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 368:30] + wire _T_1816 = ibuf_valid & _T_1815; // @[lsu_bus_buffer.scala 368:19] + wire _T_1817 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 369:18] + wire _T_1818 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 369:57] + wire _T_1819 = io_ldst_dual_r & _T_1818; // @[lsu_bus_buffer.scala 369:45] + wire _T_1820 = _T_1817 | _T_1819; // @[lsu_bus_buffer.scala 369:27] + wire _T_1821 = io_lsu_busreq_r & _T_1820; // @[lsu_bus_buffer.scala 368:58] + wire _T_1822 = _T_1816 | _T_1821; // @[lsu_bus_buffer.scala 368:39] + wire _T_1823 = ~_T_1822; // @[lsu_bus_buffer.scala 368:5] + wire _T_1824 = _T_1814 & _T_1823; // @[lsu_bus_buffer.scala 367:76] + wire _T_1825 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 367:65] + wire _T_1826 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 368:30] + wire _T_1828 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 369:18] + wire _T_1829 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 369:57] wire [1:0] _T_1837 = _T_1824 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] wire [1:0] _T_1838 = _T_1813 ? 2'h1 : _T_1837; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1802 ? 2'h0 : _T_1838; // @[Mux.scala 98:16] - wire _T_1843 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 376:33] - wire _T_1844 = io_lsu_busreq_m & _T_1843; // @[lsu_bus_buffer.scala 376:22] - wire _T_1845 = _T_1794 | _T_1844; // @[lsu_bus_buffer.scala 375:112] - wire _T_1851 = _T_1845 | _T_1799; // @[lsu_bus_buffer.scala 376:42] - wire _T_1852 = ~_T_1851; // @[lsu_bus_buffer.scala 375:78] - wire _T_1853 = _T_1792 & _T_1852; // @[lsu_bus_buffer.scala 375:76] - wire _T_1857 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 376:33] - wire _T_1858 = io_lsu_busreq_m & _T_1857; // @[lsu_bus_buffer.scala 376:22] - wire _T_1859 = _T_1805 | _T_1858; // @[lsu_bus_buffer.scala 375:112] - wire _T_1865 = _T_1859 | _T_1810; // @[lsu_bus_buffer.scala 376:42] - wire _T_1866 = ~_T_1865; // @[lsu_bus_buffer.scala 375:78] - wire _T_1867 = _T_1803 & _T_1866; // @[lsu_bus_buffer.scala 375:76] - wire _T_1871 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 376:33] - wire _T_1872 = io_lsu_busreq_m & _T_1871; // @[lsu_bus_buffer.scala 376:22] - wire _T_1873 = _T_1816 | _T_1872; // @[lsu_bus_buffer.scala 375:112] - wire _T_1879 = _T_1873 | _T_1821; // @[lsu_bus_buffer.scala 376:42] - wire _T_1880 = ~_T_1879; // @[lsu_bus_buffer.scala 375:78] - wire _T_1881 = _T_1814 & _T_1880; // @[lsu_bus_buffer.scala 375:76] - reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 512:63] - wire _T_2717 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 419:102] - wire _T_2718 = buf_rspageQ_0[3] & _T_2717; // @[lsu_bus_buffer.scala 419:87] - wire _T_2714 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 419:102] - wire _T_2715 = buf_rspageQ_0[2] & _T_2714; // @[lsu_bus_buffer.scala 419:87] - wire _T_2711 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 419:102] - wire _T_2712 = buf_rspageQ_0[1] & _T_2711; // @[lsu_bus_buffer.scala 419:87] - wire _T_2708 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 419:102] - wire _T_2709 = buf_rspageQ_0[0] & _T_2708; // @[lsu_bus_buffer.scala 419:87] + wire _T_1843 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 374:33] + wire _T_1844 = io_lsu_busreq_m & _T_1843; // @[lsu_bus_buffer.scala 374:22] + wire _T_1845 = _T_1794 | _T_1844; // @[lsu_bus_buffer.scala 373:112] + wire _T_1851 = _T_1845 | _T_1799; // @[lsu_bus_buffer.scala 374:42] + wire _T_1852 = ~_T_1851; // @[lsu_bus_buffer.scala 373:78] + wire _T_1853 = _T_1792 & _T_1852; // @[lsu_bus_buffer.scala 373:76] + wire _T_1857 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 374:33] + wire _T_1858 = io_lsu_busreq_m & _T_1857; // @[lsu_bus_buffer.scala 374:22] + wire _T_1859 = _T_1805 | _T_1858; // @[lsu_bus_buffer.scala 373:112] + wire _T_1865 = _T_1859 | _T_1810; // @[lsu_bus_buffer.scala 374:42] + wire _T_1866 = ~_T_1865; // @[lsu_bus_buffer.scala 373:78] + wire _T_1867 = _T_1803 & _T_1866; // @[lsu_bus_buffer.scala 373:76] + wire _T_1871 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 374:33] + wire _T_1872 = io_lsu_busreq_m & _T_1871; // @[lsu_bus_buffer.scala 374:22] + wire _T_1873 = _T_1816 | _T_1872; // @[lsu_bus_buffer.scala 373:112] + wire _T_1879 = _T_1873 | _T_1821; // @[lsu_bus_buffer.scala 374:42] + wire _T_1880 = ~_T_1879; // @[lsu_bus_buffer.scala 373:78] + wire _T_1881 = _T_1814 & _T_1880; // @[lsu_bus_buffer.scala 373:76] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 506:63] + wire _T_2717 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 417:102] + wire _T_2718 = buf_rspageQ_0[3] & _T_2717; // @[lsu_bus_buffer.scala 417:87] + wire _T_2714 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 417:102] + wire _T_2715 = buf_rspageQ_0[2] & _T_2714; // @[lsu_bus_buffer.scala 417:87] + wire _T_2711 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 417:102] + wire _T_2712 = buf_rspageQ_0[1] & _T_2711; // @[lsu_bus_buffer.scala 417:87] + wire _T_2708 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 417:102] + wire _T_2709 = buf_rspageQ_0[0] & _T_2708; // @[lsu_bus_buffer.scala 417:87] wire [3:0] buf_rsp_pickage_0 = {_T_2718,_T_2715,_T_2712,_T_2709}; // @[Cat.scala 29:58] - wire _T_1972 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 387:65] - wire _T_1973 = ~_T_1972; // @[lsu_bus_buffer.scala 387:44] - wire _T_1975 = _T_1973 & _T_2708; // @[lsu_bus_buffer.scala 387:70] - reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 512:63] - wire _T_2733 = buf_rspageQ_1[3] & _T_2717; // @[lsu_bus_buffer.scala 419:87] - wire _T_2730 = buf_rspageQ_1[2] & _T_2714; // @[lsu_bus_buffer.scala 419:87] - wire _T_2727 = buf_rspageQ_1[1] & _T_2711; // @[lsu_bus_buffer.scala 419:87] - wire _T_2724 = buf_rspageQ_1[0] & _T_2708; // @[lsu_bus_buffer.scala 419:87] + wire _T_1972 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 385:65] + wire _T_1973 = ~_T_1972; // @[lsu_bus_buffer.scala 385:44] + wire _T_1975 = _T_1973 & _T_2708; // @[lsu_bus_buffer.scala 385:70] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 506:63] + wire _T_2733 = buf_rspageQ_1[3] & _T_2717; // @[lsu_bus_buffer.scala 417:87] + wire _T_2730 = buf_rspageQ_1[2] & _T_2714; // @[lsu_bus_buffer.scala 417:87] + wire _T_2727 = buf_rspageQ_1[1] & _T_2711; // @[lsu_bus_buffer.scala 417:87] + wire _T_2724 = buf_rspageQ_1[0] & _T_2708; // @[lsu_bus_buffer.scala 417:87] wire [3:0] buf_rsp_pickage_1 = {_T_2733,_T_2730,_T_2727,_T_2724}; // @[Cat.scala 29:58] - wire _T_1976 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 387:65] - wire _T_1977 = ~_T_1976; // @[lsu_bus_buffer.scala 387:44] - wire _T_1979 = _T_1977 & _T_2711; // @[lsu_bus_buffer.scala 387:70] - reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 512:63] - wire _T_2748 = buf_rspageQ_2[3] & _T_2717; // @[lsu_bus_buffer.scala 419:87] - wire _T_2745 = buf_rspageQ_2[2] & _T_2714; // @[lsu_bus_buffer.scala 419:87] - wire _T_2742 = buf_rspageQ_2[1] & _T_2711; // @[lsu_bus_buffer.scala 419:87] - wire _T_2739 = buf_rspageQ_2[0] & _T_2708; // @[lsu_bus_buffer.scala 419:87] + wire _T_1976 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 385:65] + wire _T_1977 = ~_T_1976; // @[lsu_bus_buffer.scala 385:44] + wire _T_1979 = _T_1977 & _T_2711; // @[lsu_bus_buffer.scala 385:70] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 506:63] + wire _T_2748 = buf_rspageQ_2[3] & _T_2717; // @[lsu_bus_buffer.scala 417:87] + wire _T_2745 = buf_rspageQ_2[2] & _T_2714; // @[lsu_bus_buffer.scala 417:87] + wire _T_2742 = buf_rspageQ_2[1] & _T_2711; // @[lsu_bus_buffer.scala 417:87] + wire _T_2739 = buf_rspageQ_2[0] & _T_2708; // @[lsu_bus_buffer.scala 417:87] wire [3:0] buf_rsp_pickage_2 = {_T_2748,_T_2745,_T_2742,_T_2739}; // @[Cat.scala 29:58] - wire _T_1980 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 387:65] - wire _T_1981 = ~_T_1980; // @[lsu_bus_buffer.scala 387:44] - wire _T_1983 = _T_1981 & _T_2714; // @[lsu_bus_buffer.scala 387:70] - reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 512:63] - wire _T_2763 = buf_rspageQ_3[3] & _T_2717; // @[lsu_bus_buffer.scala 419:87] - wire _T_2760 = buf_rspageQ_3[2] & _T_2714; // @[lsu_bus_buffer.scala 419:87] - wire _T_2757 = buf_rspageQ_3[1] & _T_2711; // @[lsu_bus_buffer.scala 419:87] - wire _T_2754 = buf_rspageQ_3[0] & _T_2708; // @[lsu_bus_buffer.scala 419:87] + wire _T_1980 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 385:65] + wire _T_1981 = ~_T_1980; // @[lsu_bus_buffer.scala 385:44] + wire _T_1983 = _T_1981 & _T_2714; // @[lsu_bus_buffer.scala 385:70] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 506:63] + wire _T_2763 = buf_rspageQ_3[3] & _T_2717; // @[lsu_bus_buffer.scala 417:87] + wire _T_2760 = buf_rspageQ_3[2] & _T_2714; // @[lsu_bus_buffer.scala 417:87] + wire _T_2757 = buf_rspageQ_3[1] & _T_2711; // @[lsu_bus_buffer.scala 417:87] + wire _T_2754 = buf_rspageQ_3[0] & _T_2708; // @[lsu_bus_buffer.scala 417:87] wire [3:0] buf_rsp_pickage_3 = {_T_2763,_T_2760,_T_2757,_T_2754}; // @[Cat.scala 29:58] - wire _T_1984 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 387:65] - wire _T_1985 = ~_T_1984; // @[lsu_bus_buffer.scala 387:44] - wire _T_1987 = _T_1985 & _T_2717; // @[lsu_bus_buffer.scala 387:70] + wire _T_1984 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 385:65] + wire _T_1985 = ~_T_1984; // @[lsu_bus_buffer.scala 385:44] + wire _T_1987 = _T_1985 & _T_2717; // @[lsu_bus_buffer.scala 385:70] wire [7:0] _T_2043 = {4'h0,_T_1987,_T_1983,_T_1979,_T_1975}; // @[Cat.scala 29:58] - wire _T_2046 = _T_2043[4] | _T_2043[5]; // @[lsu_bus_buffer.scala 391:42] - wire _T_2048 = _T_2046 | _T_2043[6]; // @[lsu_bus_buffer.scala 391:48] - wire _T_2050 = _T_2048 | _T_2043[7]; // @[lsu_bus_buffer.scala 391:54] - wire _T_2053 = _T_2043[2] | _T_2043[3]; // @[lsu_bus_buffer.scala 391:67] - wire _T_2055 = _T_2053 | _T_2043[6]; // @[lsu_bus_buffer.scala 391:73] - wire _T_2057 = _T_2055 | _T_2043[7]; // @[lsu_bus_buffer.scala 391:79] - wire _T_2060 = _T_2043[1] | _T_2043[3]; // @[lsu_bus_buffer.scala 391:92] - wire _T_2062 = _T_2060 | _T_2043[5]; // @[lsu_bus_buffer.scala 391:98] - wire _T_2064 = _T_2062 | _T_2043[7]; // @[lsu_bus_buffer.scala 391:104] + wire _T_2046 = _T_2043[4] | _T_2043[5]; // @[lsu_bus_buffer.scala 389:42] + wire _T_2048 = _T_2046 | _T_2043[6]; // @[lsu_bus_buffer.scala 389:48] + wire _T_2050 = _T_2048 | _T_2043[7]; // @[lsu_bus_buffer.scala 389:54] + wire _T_2053 = _T_2043[2] | _T_2043[3]; // @[lsu_bus_buffer.scala 389:67] + wire _T_2055 = _T_2053 | _T_2043[6]; // @[lsu_bus_buffer.scala 389:73] + wire _T_2057 = _T_2055 | _T_2043[7]; // @[lsu_bus_buffer.scala 389:79] + wire _T_2060 = _T_2043[1] | _T_2043[3]; // @[lsu_bus_buffer.scala 389:92] + wire _T_2062 = _T_2060 | _T_2043[5]; // @[lsu_bus_buffer.scala 389:98] + wire _T_2064 = _T_2062 | _T_2043[7]; // @[lsu_bus_buffer.scala 389:104] wire [2:0] _T_2066 = {_T_2050,_T_2057,_T_2064}; // @[Cat.scala 29:58] - wire _T_3535 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 449:77] - wire _T_3536 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 449:97] - wire _T_3537 = _T_3535 & _T_3536; // @[lsu_bus_buffer.scala 449:95] - wire _T_3538 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 449:117] - wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 449:112] - wire _T_3540 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 449:144] - wire _T_3541 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 449:166] - wire _T_3542 = _T_3540 & _T_3541; // @[lsu_bus_buffer.scala 449:161] - wire _T_3543 = _T_3539 | _T_3542; // @[lsu_bus_buffer.scala 449:132] - wire _T_3544 = _T_853 & _T_3543; // @[lsu_bus_buffer.scala 449:63] - wire _T_3545 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 449:206] - wire _T_3546 = ibuf_drain_vld & _T_3545; // @[lsu_bus_buffer.scala 449:201] - wire _T_3547 = _T_3544 | _T_3546; // @[lsu_bus_buffer.scala 449:183] - wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 570:39] - wire _T_3636 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 476:73] - wire _T_3637 = bus_rsp_write & _T_3636; // @[lsu_bus_buffer.scala 476:52] - wire _T_3638 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 477:46] + wire _T_3535 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 443:77] + wire _T_3536 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 443:97] + wire _T_3537 = _T_3535 & _T_3536; // @[lsu_bus_buffer.scala 443:95] + wire _T_3538 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 443:112] + wire _T_3540 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 443:144] + wire _T_3541 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_3542 = _T_3540 & _T_3541; // @[lsu_bus_buffer.scala 443:161] + wire _T_3543 = _T_3539 | _T_3542; // @[lsu_bus_buffer.scala 443:132] + wire _T_3544 = _T_853 & _T_3543; // @[lsu_bus_buffer.scala 443:63] + wire _T_3545 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_3546 = ibuf_drain_vld & _T_3545; // @[lsu_bus_buffer.scala 443:201] + wire _T_3547 = _T_3544 | _T_3546; // @[lsu_bus_buffer.scala 443:183] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 564:39] + wire _T_3636 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 470:73] + wire _T_3637 = bus_rsp_write & _T_3636; // @[lsu_bus_buffer.scala 470:52] + wire _T_3638 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 471:46] reg _T_4302; // @[Reg.scala 27:20] reg _T_4300; // @[Reg.scala 27:20] reg _T_4298; // @[Reg.scala 27:20] reg _T_4296; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4302,_T_4300,_T_4298,_T_4296}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_386 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 478:47] - wire _T_3640 = io_lsu_axi_r_bits_id == _GEN_386; // @[lsu_bus_buffer.scala 478:47] - wire _T_3641 = buf_ldfwd[0] & _T_3640; // @[lsu_bus_buffer.scala 478:27] - wire _T_3642 = _T_3638 | _T_3641; // @[lsu_bus_buffer.scala 477:77] - wire _T_3643 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 479:26] - wire _T_3645 = ~buf_write[0]; // @[lsu_bus_buffer.scala 479:44] - wire _T_3646 = _T_3643 & _T_3645; // @[lsu_bus_buffer.scala 479:42] - wire _T_3647 = _T_3646 & buf_samedw_0; // @[lsu_bus_buffer.scala 479:58] + wire [2:0] _GEN_386 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 472:47] + wire _T_3640 = io_lsu_axi_r_bits_id == _GEN_386; // @[lsu_bus_buffer.scala 472:47] + wire _T_3641 = buf_ldfwd[0] & _T_3640; // @[lsu_bus_buffer.scala 472:27] + wire _T_3642 = _T_3638 | _T_3641; // @[lsu_bus_buffer.scala 471:77] + wire _T_3643 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 473:26] + wire _T_3645 = ~buf_write[0]; // @[lsu_bus_buffer.scala 473:44] + wire _T_3646 = _T_3643 & _T_3645; // @[lsu_bus_buffer.scala 473:42] + wire _T_3647 = _T_3646 & buf_samedw_0; // @[lsu_bus_buffer.scala 473:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_387 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 479:94] - wire _T_3648 = io_lsu_axi_r_bits_id == _GEN_387; // @[lsu_bus_buffer.scala 479:94] - wire _T_3649 = _T_3647 & _T_3648; // @[lsu_bus_buffer.scala 479:74] - wire _T_3650 = _T_3642 | _T_3649; // @[lsu_bus_buffer.scala 478:71] - wire _T_3651 = bus_rsp_read & _T_3650; // @[lsu_bus_buffer.scala 477:25] - wire _T_3652 = _T_3637 | _T_3651; // @[lsu_bus_buffer.scala 476:105] + wire [2:0] _GEN_387 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 473:94] + wire _T_3648 = io_lsu_axi_r_bits_id == _GEN_387; // @[lsu_bus_buffer.scala 473:94] + wire _T_3649 = _T_3647 & _T_3648; // @[lsu_bus_buffer.scala 473:74] + wire _T_3650 = _T_3642 | _T_3649; // @[lsu_bus_buffer.scala 472:71] + wire _T_3651 = bus_rsp_read & _T_3650; // @[lsu_bus_buffer.scala 471:25] + wire _T_3652 = _T_3637 | _T_3651; // @[lsu_bus_buffer.scala 470:105] wire _GEN_52 = _T_3592 & _T_3652; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3558 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire _GEN_83 = _T_3554 ? 1'h0 : _GEN_71; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_83; // @[Conditional.scala 40:58] - wire [3:0] _T_3687 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 492:21] + wire [3:0] _T_3687 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 486:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_33 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 492:58] - wire [1:0] _GEN_34 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_33; // @[lsu_bus_buffer.scala 492:58] - wire [1:0] _GEN_35 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_34; // @[lsu_bus_buffer.scala 492:58] - wire [2:0] _GEN_389 = {{1'd0}, _GEN_35}; // @[lsu_bus_buffer.scala 492:58] - wire _T_3689 = io_lsu_axi_r_bits_id == _GEN_389; // @[lsu_bus_buffer.scala 492:58] - wire _T_3690 = _T_3687[0] & _T_3689; // @[lsu_bus_buffer.scala 492:38] - wire _T_3691 = _T_3648 | _T_3690; // @[lsu_bus_buffer.scala 491:95] - wire _T_3692 = bus_rsp_read & _T_3691; // @[lsu_bus_buffer.scala 491:45] + wire [1:0] _GEN_33 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 486:58] + wire [1:0] _GEN_34 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_33; // @[lsu_bus_buffer.scala 486:58] + wire [1:0] _GEN_35 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_34; // @[lsu_bus_buffer.scala 486:58] + wire [2:0] _GEN_389 = {{1'd0}, _GEN_35}; // @[lsu_bus_buffer.scala 486:58] + wire _T_3689 = io_lsu_axi_r_bits_id == _GEN_389; // @[lsu_bus_buffer.scala 486:58] + wire _T_3690 = _T_3687[0] & _T_3689; // @[lsu_bus_buffer.scala 486:38] + wire _T_3691 = _T_3648 | _T_3690; // @[lsu_bus_buffer.scala 485:95] + wire _T_3692 = bus_rsp_read & _T_3691; // @[lsu_bus_buffer.scala 485:45] wire _GEN_46 = _T_3677 & _T_3692; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3592 ? buf_resp_state_bus_en_0 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_63 = _T_3558 ? buf_cmd_state_bus_en_0 : _GEN_53; // @[Conditional.scala 39:67] wire _GEN_76 = _T_3554 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_76; // @[Conditional.scala 40:58] - wire _T_3571 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 464:49] - wire _T_3572 = _T_3571 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 464:70] - wire [1:0] RspPtr = _T_2066[1:0]; // @[lsu_bus_buffer.scala 399:10] - wire _T_3698 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 498:37] - wire _T_3699 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 498:98] - wire _T_3700 = buf_dual_0 & _T_3699; // @[lsu_bus_buffer.scala 498:80] - wire _T_3701 = _T_3698 | _T_3700; // @[lsu_bus_buffer.scala 498:65] - wire _T_3702 = _T_3701 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 498:112] + wire _T_3571 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 458:49] + wire _T_3572 = _T_3571 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 458:70] + wire [1:0] RspPtr = _T_2066[1:0]; // @[lsu_bus_buffer.scala 397:10] + wire _T_3698 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 492:37] + wire _T_3699 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 492:98] + wire _T_3700 = buf_dual_0 & _T_3699; // @[lsu_bus_buffer.scala 492:80] + wire _T_3701 = _T_3698 | _T_3700; // @[lsu_bus_buffer.scala 492:65] + wire _T_3702 = _T_3701 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 492:112] wire _GEN_41 = _T_3695 ? _T_3702 : _T_3703; // @[Conditional.scala 39:67] wire _GEN_47 = _T_3677 ? _T_3572 : _GEN_41; // @[Conditional.scala 39:67] wire _GEN_54 = _T_3592 ? _T_3572 : _GEN_47; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3558 ? _T_3572 : _GEN_54; // @[Conditional.scala 39:67] wire _GEN_74 = _T_3554 ? obuf_rdrsp_pend_en : _GEN_64; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3531 ? _T_3547 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_2068 = _T_1792 & buf_state_en_0; // @[lsu_bus_buffer.scala 411:94] - wire _T_2074 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 413:23] - wire _T_2076 = _T_2074 & _T_3535; // @[lsu_bus_buffer.scala 413:41] - wire _T_2078 = _T_2076 & _T_1795; // @[lsu_bus_buffer.scala 413:71] - wire _T_2080 = _T_2078 & _T_1793; // @[lsu_bus_buffer.scala 413:92] - wire _T_2081 = _T_4462 | _T_2080; // @[lsu_bus_buffer.scala 412:86] - wire _T_2082 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 414:17] - wire _T_2083 = _T_2082 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 414:35] - wire _T_2085 = _T_2083 & _T_1796; // @[lsu_bus_buffer.scala 414:52] - wire _T_2087 = _T_2085 & _T_1795; // @[lsu_bus_buffer.scala 414:73] - wire _T_2088 = _T_2081 | _T_2087; // @[lsu_bus_buffer.scala 413:114] - wire _T_2089 = _T_2068 & _T_2088; // @[lsu_bus_buffer.scala 411:113] - wire _T_2091 = _T_2089 | buf_age_0[0]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2105 = _T_2078 & _T_1804; // @[lsu_bus_buffer.scala 413:92] - wire _T_2106 = _T_4467 | _T_2105; // @[lsu_bus_buffer.scala 412:86] - wire _T_2112 = _T_2085 & _T_1806; // @[lsu_bus_buffer.scala 414:73] - wire _T_2113 = _T_2106 | _T_2112; // @[lsu_bus_buffer.scala 413:114] - wire _T_2114 = _T_2068 & _T_2113; // @[lsu_bus_buffer.scala 411:113] - wire _T_2116 = _T_2114 | buf_age_0[1]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2130 = _T_2078 & _T_1815; // @[lsu_bus_buffer.scala 413:92] - wire _T_2131 = _T_4472 | _T_2130; // @[lsu_bus_buffer.scala 412:86] - wire _T_2137 = _T_2085 & _T_1817; // @[lsu_bus_buffer.scala 414:73] - wire _T_2138 = _T_2131 | _T_2137; // @[lsu_bus_buffer.scala 413:114] - wire _T_2139 = _T_2068 & _T_2138; // @[lsu_bus_buffer.scala 411:113] - wire _T_2141 = _T_2139 | buf_age_0[2]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2155 = _T_2078 & _T_1826; // @[lsu_bus_buffer.scala 413:92] - wire _T_2156 = _T_4477 | _T_2155; // @[lsu_bus_buffer.scala 412:86] - wire _T_2162 = _T_2085 & _T_1828; // @[lsu_bus_buffer.scala 414:73] - wire _T_2163 = _T_2156 | _T_2162; // @[lsu_bus_buffer.scala 413:114] - wire _T_2164 = _T_2068 & _T_2163; // @[lsu_bus_buffer.scala 411:113] - wire _T_2166 = _T_2164 | buf_age_0[3]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2068 = _T_1792 & buf_state_en_0; // @[lsu_bus_buffer.scala 409:94] + wire _T_2074 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 411:23] + wire _T_2076 = _T_2074 & _T_3535; // @[lsu_bus_buffer.scala 411:41] + wire _T_2078 = _T_2076 & _T_1795; // @[lsu_bus_buffer.scala 411:71] + wire _T_2080 = _T_2078 & _T_1793; // @[lsu_bus_buffer.scala 411:92] + wire _T_2081 = _T_4466 | _T_2080; // @[lsu_bus_buffer.scala 410:86] + wire _T_2082 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 412:17] + wire _T_2083 = _T_2082 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 412:35] + wire _T_2085 = _T_2083 & _T_1796; // @[lsu_bus_buffer.scala 412:52] + wire _T_2087 = _T_2085 & _T_1795; // @[lsu_bus_buffer.scala 412:73] + wire _T_2088 = _T_2081 | _T_2087; // @[lsu_bus_buffer.scala 411:114] + wire _T_2089 = _T_2068 & _T_2088; // @[lsu_bus_buffer.scala 409:113] + wire _T_2091 = _T_2089 | buf_age_0[0]; // @[lsu_bus_buffer.scala 412:97] + wire _T_2105 = _T_2078 & _T_1804; // @[lsu_bus_buffer.scala 411:92] + wire _T_2106 = _T_4471 | _T_2105; // @[lsu_bus_buffer.scala 410:86] + wire _T_2112 = _T_2085 & _T_1806; // @[lsu_bus_buffer.scala 412:73] + wire _T_2113 = _T_2106 | _T_2112; // @[lsu_bus_buffer.scala 411:114] + wire _T_2114 = _T_2068 & _T_2113; // @[lsu_bus_buffer.scala 409:113] + wire _T_2116 = _T_2114 | buf_age_0[1]; // @[lsu_bus_buffer.scala 412:97] + wire _T_2130 = _T_2078 & _T_1815; // @[lsu_bus_buffer.scala 411:92] + wire _T_2131 = _T_4476 | _T_2130; // @[lsu_bus_buffer.scala 410:86] + wire _T_2137 = _T_2085 & _T_1817; // @[lsu_bus_buffer.scala 412:73] + wire _T_2138 = _T_2131 | _T_2137; // @[lsu_bus_buffer.scala 411:114] + wire _T_2139 = _T_2068 & _T_2138; // @[lsu_bus_buffer.scala 409:113] + wire _T_2141 = _T_2139 | buf_age_0[2]; // @[lsu_bus_buffer.scala 412:97] + wire _T_2155 = _T_2078 & _T_1826; // @[lsu_bus_buffer.scala 411:92] + wire _T_2156 = _T_4481 | _T_2155; // @[lsu_bus_buffer.scala 410:86] + wire _T_2162 = _T_2085 & _T_1828; // @[lsu_bus_buffer.scala 412:73] + wire _T_2163 = _T_2156 | _T_2162; // @[lsu_bus_buffer.scala 411:114] + wire _T_2164 = _T_2068 & _T_2163; // @[lsu_bus_buffer.scala 409:113] + wire _T_2166 = _T_2164 | buf_age_0[3]; // @[lsu_bus_buffer.scala 412:97] wire [2:0] _T_2168 = {_T_2166,_T_2141,_T_2116}; // @[Cat.scala 29:58] - wire _T_3729 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 449:117] - wire _T_3730 = _T_3537 & _T_3729; // @[lsu_bus_buffer.scala 449:112] - wire _T_3732 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 449:166] - wire _T_3733 = _T_3540 & _T_3732; // @[lsu_bus_buffer.scala 449:161] - wire _T_3734 = _T_3730 | _T_3733; // @[lsu_bus_buffer.scala 449:132] - wire _T_3735 = _T_853 & _T_3734; // @[lsu_bus_buffer.scala 449:63] - wire _T_3736 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 449:206] - wire _T_3737 = ibuf_drain_vld & _T_3736; // @[lsu_bus_buffer.scala 449:201] - wire _T_3738 = _T_3735 | _T_3737; // @[lsu_bus_buffer.scala 449:183] - wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 476:73] - wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 476:52] - wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 477:46] - wire [2:0] _GEN_390 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 478:47] - wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_390; // @[lsu_bus_buffer.scala 478:47] - wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 478:27] - wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 477:77] - wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 479:26] - wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 479:44] - wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 479:42] - wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 479:58] + wire _T_3729 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_3730 = _T_3537 & _T_3729; // @[lsu_bus_buffer.scala 443:112] + wire _T_3732 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_3733 = _T_3540 & _T_3732; // @[lsu_bus_buffer.scala 443:161] + wire _T_3734 = _T_3730 | _T_3733; // @[lsu_bus_buffer.scala 443:132] + wire _T_3735 = _T_853 & _T_3734; // @[lsu_bus_buffer.scala 443:63] + wire _T_3736 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_3737 = ibuf_drain_vld & _T_3736; // @[lsu_bus_buffer.scala 443:201] + wire _T_3738 = _T_3735 | _T_3737; // @[lsu_bus_buffer.scala 443:183] + wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 470:73] + wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 470:52] + wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 471:46] + wire [2:0] _GEN_390 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 472:47] + wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_390; // @[lsu_bus_buffer.scala 472:47] + wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 472:27] + wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 471:77] + wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 473:26] + wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 473:44] + wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 473:42] + wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 473:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_391 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 479:94] - wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_391; // @[lsu_bus_buffer.scala 479:94] - wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 479:74] - wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 478:71] - wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 477:25] - wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 476:105] + wire [2:0] _GEN_391 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 473:94] + wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_391; // @[lsu_bus_buffer.scala 473:94] + wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 473:74] + wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 472:71] + wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 471:25] + wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 470:105] wire _GEN_128 = _T_3783 & _T_3843; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3749 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire _GEN_159 = _T_3745 ? 1'h0 : _GEN_147; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_159; // @[Conditional.scala 40:58] - wire [3:0] _T_3878 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 492:21] - wire [1:0] _GEN_109 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 492:58] - wire [1:0] _GEN_110 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_109; // @[lsu_bus_buffer.scala 492:58] - wire [1:0] _GEN_111 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_110; // @[lsu_bus_buffer.scala 492:58] - wire [2:0] _GEN_393 = {{1'd0}, _GEN_111}; // @[lsu_bus_buffer.scala 492:58] - wire _T_3880 = io_lsu_axi_r_bits_id == _GEN_393; // @[lsu_bus_buffer.scala 492:58] - wire _T_3881 = _T_3878[0] & _T_3880; // @[lsu_bus_buffer.scala 492:38] - wire _T_3882 = _T_3839 | _T_3881; // @[lsu_bus_buffer.scala 491:95] - wire _T_3883 = bus_rsp_read & _T_3882; // @[lsu_bus_buffer.scala 491:45] + wire [3:0] _T_3878 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 486:21] + wire [1:0] _GEN_109 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 486:58] + wire [1:0] _GEN_110 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_109; // @[lsu_bus_buffer.scala 486:58] + wire [1:0] _GEN_111 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_110; // @[lsu_bus_buffer.scala 486:58] + wire [2:0] _GEN_393 = {{1'd0}, _GEN_111}; // @[lsu_bus_buffer.scala 486:58] + wire _T_3880 = io_lsu_axi_r_bits_id == _GEN_393; // @[lsu_bus_buffer.scala 486:58] + wire _T_3881 = _T_3878[0] & _T_3880; // @[lsu_bus_buffer.scala 486:38] + wire _T_3882 = _T_3839 | _T_3881; // @[lsu_bus_buffer.scala 485:95] + wire _T_3883 = bus_rsp_read & _T_3882; // @[lsu_bus_buffer.scala 485:45] wire _GEN_122 = _T_3868 & _T_3883; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3783 ? buf_resp_state_bus_en_1 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_139 = _T_3749 ? buf_cmd_state_bus_en_1 : _GEN_129; // @[Conditional.scala 39:67] wire _GEN_152 = _T_3745 ? 1'h0 : _GEN_139; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_152; // @[Conditional.scala 40:58] - wire _T_3762 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 464:49] - wire _T_3763 = _T_3762 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 464:70] - wire _T_3889 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 498:37] - wire _T_3890 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 498:98] - wire _T_3891 = buf_dual_1 & _T_3890; // @[lsu_bus_buffer.scala 498:80] - wire _T_3892 = _T_3889 | _T_3891; // @[lsu_bus_buffer.scala 498:65] - wire _T_3893 = _T_3892 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 498:112] + wire _T_3762 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 458:49] + wire _T_3763 = _T_3762 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 458:70] + wire _T_3889 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 492:37] + wire _T_3890 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 492:98] + wire _T_3891 = buf_dual_1 & _T_3890; // @[lsu_bus_buffer.scala 492:80] + wire _T_3892 = _T_3889 | _T_3891; // @[lsu_bus_buffer.scala 492:65] + wire _T_3893 = _T_3892 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 492:112] wire _GEN_117 = _T_3886 ? _T_3893 : _T_3894; // @[Conditional.scala 39:67] wire _GEN_123 = _T_3868 ? _T_3763 : _GEN_117; // @[Conditional.scala 39:67] wire _GEN_130 = _T_3783 ? _T_3763 : _GEN_123; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3749 ? _T_3763 : _GEN_130; // @[Conditional.scala 39:67] wire _GEN_150 = _T_3745 ? obuf_rdrsp_pend_en : _GEN_140; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3722 ? _T_3738 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_2170 = _T_1803 & buf_state_en_1; // @[lsu_bus_buffer.scala 411:94] - wire _T_2180 = _T_2076 & _T_1806; // @[lsu_bus_buffer.scala 413:71] - wire _T_2182 = _T_2180 & _T_1793; // @[lsu_bus_buffer.scala 413:92] - wire _T_2183 = _T_4462 | _T_2182; // @[lsu_bus_buffer.scala 412:86] - wire _T_2187 = _T_2083 & _T_1807; // @[lsu_bus_buffer.scala 414:52] - wire _T_2189 = _T_2187 & _T_1795; // @[lsu_bus_buffer.scala 414:73] - wire _T_2190 = _T_2183 | _T_2189; // @[lsu_bus_buffer.scala 413:114] - wire _T_2191 = _T_2170 & _T_2190; // @[lsu_bus_buffer.scala 411:113] - wire _T_2193 = _T_2191 | buf_age_1[0]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2207 = _T_2180 & _T_1804; // @[lsu_bus_buffer.scala 413:92] - wire _T_2208 = _T_4467 | _T_2207; // @[lsu_bus_buffer.scala 412:86] - wire _T_2214 = _T_2187 & _T_1806; // @[lsu_bus_buffer.scala 414:73] - wire _T_2215 = _T_2208 | _T_2214; // @[lsu_bus_buffer.scala 413:114] - wire _T_2216 = _T_2170 & _T_2215; // @[lsu_bus_buffer.scala 411:113] - wire _T_2218 = _T_2216 | buf_age_1[1]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2232 = _T_2180 & _T_1815; // @[lsu_bus_buffer.scala 413:92] - wire _T_2233 = _T_4472 | _T_2232; // @[lsu_bus_buffer.scala 412:86] - wire _T_2239 = _T_2187 & _T_1817; // @[lsu_bus_buffer.scala 414:73] - wire _T_2240 = _T_2233 | _T_2239; // @[lsu_bus_buffer.scala 413:114] - wire _T_2241 = _T_2170 & _T_2240; // @[lsu_bus_buffer.scala 411:113] - wire _T_2243 = _T_2241 | buf_age_1[2]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2257 = _T_2180 & _T_1826; // @[lsu_bus_buffer.scala 413:92] - wire _T_2258 = _T_4477 | _T_2257; // @[lsu_bus_buffer.scala 412:86] - wire _T_2264 = _T_2187 & _T_1828; // @[lsu_bus_buffer.scala 414:73] - wire _T_2265 = _T_2258 | _T_2264; // @[lsu_bus_buffer.scala 413:114] - wire _T_2266 = _T_2170 & _T_2265; // @[lsu_bus_buffer.scala 411:113] - wire _T_2268 = _T_2266 | buf_age_1[3]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2170 = _T_1803 & buf_state_en_1; // @[lsu_bus_buffer.scala 409:94] + wire _T_2180 = _T_2076 & _T_1806; // @[lsu_bus_buffer.scala 411:71] + wire _T_2182 = _T_2180 & _T_1793; // @[lsu_bus_buffer.scala 411:92] + wire _T_2183 = _T_4466 | _T_2182; // @[lsu_bus_buffer.scala 410:86] + wire _T_2187 = _T_2083 & _T_1807; // @[lsu_bus_buffer.scala 412:52] + wire _T_2189 = _T_2187 & _T_1795; // @[lsu_bus_buffer.scala 412:73] + wire _T_2190 = _T_2183 | _T_2189; // @[lsu_bus_buffer.scala 411:114] + wire _T_2191 = _T_2170 & _T_2190; // @[lsu_bus_buffer.scala 409:113] + wire _T_2193 = _T_2191 | buf_age_1[0]; // @[lsu_bus_buffer.scala 412:97] + wire _T_2207 = _T_2180 & _T_1804; // @[lsu_bus_buffer.scala 411:92] + wire _T_2208 = _T_4471 | _T_2207; // @[lsu_bus_buffer.scala 410:86] + wire _T_2214 = _T_2187 & _T_1806; // @[lsu_bus_buffer.scala 412:73] + wire _T_2215 = _T_2208 | _T_2214; // @[lsu_bus_buffer.scala 411:114] + wire _T_2216 = _T_2170 & _T_2215; // @[lsu_bus_buffer.scala 409:113] + wire _T_2218 = _T_2216 | buf_age_1[1]; // @[lsu_bus_buffer.scala 412:97] + wire _T_2232 = _T_2180 & _T_1815; // @[lsu_bus_buffer.scala 411:92] + wire _T_2233 = _T_4476 | _T_2232; // @[lsu_bus_buffer.scala 410:86] + wire _T_2239 = _T_2187 & _T_1817; // @[lsu_bus_buffer.scala 412:73] + wire _T_2240 = _T_2233 | _T_2239; // @[lsu_bus_buffer.scala 411:114] + wire _T_2241 = _T_2170 & _T_2240; // @[lsu_bus_buffer.scala 409:113] + wire _T_2243 = _T_2241 | buf_age_1[2]; // @[lsu_bus_buffer.scala 412:97] + wire _T_2257 = _T_2180 & _T_1826; // @[lsu_bus_buffer.scala 411:92] + wire _T_2258 = _T_4481 | _T_2257; // @[lsu_bus_buffer.scala 410:86] + wire _T_2264 = _T_2187 & _T_1828; // @[lsu_bus_buffer.scala 412:73] + wire _T_2265 = _T_2258 | _T_2264; // @[lsu_bus_buffer.scala 411:114] + wire _T_2266 = _T_2170 & _T_2265; // @[lsu_bus_buffer.scala 409:113] + wire _T_2268 = _T_2266 | buf_age_1[3]; // @[lsu_bus_buffer.scala 412:97] wire [2:0] _T_2270 = {_T_2268,_T_2243,_T_2218}; // @[Cat.scala 29:58] - wire _T_3920 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 449:117] - wire _T_3921 = _T_3537 & _T_3920; // @[lsu_bus_buffer.scala 449:112] - wire _T_3923 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 449:166] - wire _T_3924 = _T_3540 & _T_3923; // @[lsu_bus_buffer.scala 449:161] - wire _T_3925 = _T_3921 | _T_3924; // @[lsu_bus_buffer.scala 449:132] - wire _T_3926 = _T_853 & _T_3925; // @[lsu_bus_buffer.scala 449:63] - wire _T_3927 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 449:206] - wire _T_3928 = ibuf_drain_vld & _T_3927; // @[lsu_bus_buffer.scala 449:201] - wire _T_3929 = _T_3926 | _T_3928; // @[lsu_bus_buffer.scala 449:183] - wire _T_4018 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 476:73] - wire _T_4019 = bus_rsp_write & _T_4018; // @[lsu_bus_buffer.scala 476:52] - wire _T_4020 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 477:46] - wire [2:0] _GEN_394 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 478:47] - wire _T_4022 = io_lsu_axi_r_bits_id == _GEN_394; // @[lsu_bus_buffer.scala 478:47] - wire _T_4023 = buf_ldfwd[2] & _T_4022; // @[lsu_bus_buffer.scala 478:27] - wire _T_4024 = _T_4020 | _T_4023; // @[lsu_bus_buffer.scala 477:77] - wire _T_4025 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 479:26] - wire _T_4027 = ~buf_write[2]; // @[lsu_bus_buffer.scala 479:44] - wire _T_4028 = _T_4025 & _T_4027; // @[lsu_bus_buffer.scala 479:42] - wire _T_4029 = _T_4028 & buf_samedw_2; // @[lsu_bus_buffer.scala 479:58] + wire _T_3920 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_3921 = _T_3537 & _T_3920; // @[lsu_bus_buffer.scala 443:112] + wire _T_3923 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_3924 = _T_3540 & _T_3923; // @[lsu_bus_buffer.scala 443:161] + wire _T_3925 = _T_3921 | _T_3924; // @[lsu_bus_buffer.scala 443:132] + wire _T_3926 = _T_853 & _T_3925; // @[lsu_bus_buffer.scala 443:63] + wire _T_3927 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_3928 = ibuf_drain_vld & _T_3927; // @[lsu_bus_buffer.scala 443:201] + wire _T_3929 = _T_3926 | _T_3928; // @[lsu_bus_buffer.scala 443:183] + wire _T_4018 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 470:73] + wire _T_4019 = bus_rsp_write & _T_4018; // @[lsu_bus_buffer.scala 470:52] + wire _T_4020 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 471:46] + wire [2:0] _GEN_394 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 472:47] + wire _T_4022 = io_lsu_axi_r_bits_id == _GEN_394; // @[lsu_bus_buffer.scala 472:47] + wire _T_4023 = buf_ldfwd[2] & _T_4022; // @[lsu_bus_buffer.scala 472:27] + wire _T_4024 = _T_4020 | _T_4023; // @[lsu_bus_buffer.scala 471:77] + wire _T_4025 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 473:26] + wire _T_4027 = ~buf_write[2]; // @[lsu_bus_buffer.scala 473:44] + wire _T_4028 = _T_4025 & _T_4027; // @[lsu_bus_buffer.scala 473:42] + wire _T_4029 = _T_4028 & buf_samedw_2; // @[lsu_bus_buffer.scala 473:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_395 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 479:94] - wire _T_4030 = io_lsu_axi_r_bits_id == _GEN_395; // @[lsu_bus_buffer.scala 479:94] - wire _T_4031 = _T_4029 & _T_4030; // @[lsu_bus_buffer.scala 479:74] - wire _T_4032 = _T_4024 | _T_4031; // @[lsu_bus_buffer.scala 478:71] - wire _T_4033 = bus_rsp_read & _T_4032; // @[lsu_bus_buffer.scala 477:25] - wire _T_4034 = _T_4019 | _T_4033; // @[lsu_bus_buffer.scala 476:105] + wire [2:0] _GEN_395 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 473:94] + wire _T_4030 = io_lsu_axi_r_bits_id == _GEN_395; // @[lsu_bus_buffer.scala 473:94] + wire _T_4031 = _T_4029 & _T_4030; // @[lsu_bus_buffer.scala 473:74] + wire _T_4032 = _T_4024 | _T_4031; // @[lsu_bus_buffer.scala 472:71] + wire _T_4033 = bus_rsp_read & _T_4032; // @[lsu_bus_buffer.scala 471:25] + wire _T_4034 = _T_4019 | _T_4033; // @[lsu_bus_buffer.scala 470:105] wire _GEN_204 = _T_3974 & _T_4034; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3940 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire _GEN_235 = _T_3936 ? 1'h0 : _GEN_223; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_235; // @[Conditional.scala 40:58] - wire [3:0] _T_4069 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 492:21] - wire [1:0] _GEN_185 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 492:58] - wire [1:0] _GEN_186 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_185; // @[lsu_bus_buffer.scala 492:58] - wire [1:0] _GEN_187 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_186; // @[lsu_bus_buffer.scala 492:58] - wire [2:0] _GEN_397 = {{1'd0}, _GEN_187}; // @[lsu_bus_buffer.scala 492:58] - wire _T_4071 = io_lsu_axi_r_bits_id == _GEN_397; // @[lsu_bus_buffer.scala 492:58] - wire _T_4072 = _T_4069[0] & _T_4071; // @[lsu_bus_buffer.scala 492:38] - wire _T_4073 = _T_4030 | _T_4072; // @[lsu_bus_buffer.scala 491:95] - wire _T_4074 = bus_rsp_read & _T_4073; // @[lsu_bus_buffer.scala 491:45] + wire [3:0] _T_4069 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 486:21] + wire [1:0] _GEN_185 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 486:58] + wire [1:0] _GEN_186 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_185; // @[lsu_bus_buffer.scala 486:58] + wire [1:0] _GEN_187 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_186; // @[lsu_bus_buffer.scala 486:58] + wire [2:0] _GEN_397 = {{1'd0}, _GEN_187}; // @[lsu_bus_buffer.scala 486:58] + wire _T_4071 = io_lsu_axi_r_bits_id == _GEN_397; // @[lsu_bus_buffer.scala 486:58] + wire _T_4072 = _T_4069[0] & _T_4071; // @[lsu_bus_buffer.scala 486:38] + wire _T_4073 = _T_4030 | _T_4072; // @[lsu_bus_buffer.scala 485:95] + wire _T_4074 = bus_rsp_read & _T_4073; // @[lsu_bus_buffer.scala 485:45] wire _GEN_198 = _T_4059 & _T_4074; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3974 ? buf_resp_state_bus_en_2 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_215 = _T_3940 ? buf_cmd_state_bus_en_2 : _GEN_205; // @[Conditional.scala 39:67] wire _GEN_228 = _T_3936 ? 1'h0 : _GEN_215; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_228; // @[Conditional.scala 40:58] - wire _T_3953 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 464:49] - wire _T_3954 = _T_3953 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 464:70] - wire _T_4080 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 498:37] - wire _T_4081 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 498:98] - wire _T_4082 = buf_dual_2 & _T_4081; // @[lsu_bus_buffer.scala 498:80] - wire _T_4083 = _T_4080 | _T_4082; // @[lsu_bus_buffer.scala 498:65] - wire _T_4084 = _T_4083 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 498:112] + wire _T_3953 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 458:49] + wire _T_3954 = _T_3953 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 458:70] + wire _T_4080 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 492:37] + wire _T_4081 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 492:98] + wire _T_4082 = buf_dual_2 & _T_4081; // @[lsu_bus_buffer.scala 492:80] + wire _T_4083 = _T_4080 | _T_4082; // @[lsu_bus_buffer.scala 492:65] + wire _T_4084 = _T_4083 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 492:112] wire _GEN_193 = _T_4077 ? _T_4084 : _T_4085; // @[Conditional.scala 39:67] wire _GEN_199 = _T_4059 ? _T_3954 : _GEN_193; // @[Conditional.scala 39:67] wire _GEN_206 = _T_3974 ? _T_3954 : _GEN_199; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3940 ? _T_3954 : _GEN_206; // @[Conditional.scala 39:67] wire _GEN_226 = _T_3936 ? obuf_rdrsp_pend_en : _GEN_216; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3913 ? _T_3929 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_2272 = _T_1814 & buf_state_en_2; // @[lsu_bus_buffer.scala 411:94] - wire _T_2282 = _T_2076 & _T_1817; // @[lsu_bus_buffer.scala 413:71] - wire _T_2284 = _T_2282 & _T_1793; // @[lsu_bus_buffer.scala 413:92] - wire _T_2285 = _T_4462 | _T_2284; // @[lsu_bus_buffer.scala 412:86] - wire _T_2289 = _T_2083 & _T_1818; // @[lsu_bus_buffer.scala 414:52] - wire _T_2291 = _T_2289 & _T_1795; // @[lsu_bus_buffer.scala 414:73] - wire _T_2292 = _T_2285 | _T_2291; // @[lsu_bus_buffer.scala 413:114] - wire _T_2293 = _T_2272 & _T_2292; // @[lsu_bus_buffer.scala 411:113] - wire _T_2295 = _T_2293 | buf_age_2[0]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2309 = _T_2282 & _T_1804; // @[lsu_bus_buffer.scala 413:92] - wire _T_2310 = _T_4467 | _T_2309; // @[lsu_bus_buffer.scala 412:86] - wire _T_2316 = _T_2289 & _T_1806; // @[lsu_bus_buffer.scala 414:73] - wire _T_2317 = _T_2310 | _T_2316; // @[lsu_bus_buffer.scala 413:114] - wire _T_2318 = _T_2272 & _T_2317; // @[lsu_bus_buffer.scala 411:113] - wire _T_2320 = _T_2318 | buf_age_2[1]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2334 = _T_2282 & _T_1815; // @[lsu_bus_buffer.scala 413:92] - wire _T_2335 = _T_4472 | _T_2334; // @[lsu_bus_buffer.scala 412:86] - wire _T_2341 = _T_2289 & _T_1817; // @[lsu_bus_buffer.scala 414:73] - wire _T_2342 = _T_2335 | _T_2341; // @[lsu_bus_buffer.scala 413:114] - wire _T_2343 = _T_2272 & _T_2342; // @[lsu_bus_buffer.scala 411:113] - wire _T_2345 = _T_2343 | buf_age_2[2]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2359 = _T_2282 & _T_1826; // @[lsu_bus_buffer.scala 413:92] - wire _T_2360 = _T_4477 | _T_2359; // @[lsu_bus_buffer.scala 412:86] - wire _T_2366 = _T_2289 & _T_1828; // @[lsu_bus_buffer.scala 414:73] - wire _T_2367 = _T_2360 | _T_2366; // @[lsu_bus_buffer.scala 413:114] - wire _T_2368 = _T_2272 & _T_2367; // @[lsu_bus_buffer.scala 411:113] - wire _T_2370 = _T_2368 | buf_age_2[3]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2272 = _T_1814 & buf_state_en_2; // @[lsu_bus_buffer.scala 409:94] + wire _T_2282 = _T_2076 & _T_1817; // @[lsu_bus_buffer.scala 411:71] + wire _T_2284 = _T_2282 & _T_1793; // @[lsu_bus_buffer.scala 411:92] + wire _T_2285 = _T_4466 | _T_2284; // @[lsu_bus_buffer.scala 410:86] + wire _T_2289 = _T_2083 & _T_1818; // @[lsu_bus_buffer.scala 412:52] + wire _T_2291 = _T_2289 & _T_1795; // @[lsu_bus_buffer.scala 412:73] + wire _T_2292 = _T_2285 | _T_2291; // @[lsu_bus_buffer.scala 411:114] + wire _T_2293 = _T_2272 & _T_2292; // @[lsu_bus_buffer.scala 409:113] + wire _T_2295 = _T_2293 | buf_age_2[0]; // @[lsu_bus_buffer.scala 412:97] + wire _T_2309 = _T_2282 & _T_1804; // @[lsu_bus_buffer.scala 411:92] + wire _T_2310 = _T_4471 | _T_2309; // @[lsu_bus_buffer.scala 410:86] + wire _T_2316 = _T_2289 & _T_1806; // @[lsu_bus_buffer.scala 412:73] + wire _T_2317 = _T_2310 | _T_2316; // @[lsu_bus_buffer.scala 411:114] + wire _T_2318 = _T_2272 & _T_2317; // @[lsu_bus_buffer.scala 409:113] + wire _T_2320 = _T_2318 | buf_age_2[1]; // @[lsu_bus_buffer.scala 412:97] + wire _T_2334 = _T_2282 & _T_1815; // @[lsu_bus_buffer.scala 411:92] + wire _T_2335 = _T_4476 | _T_2334; // @[lsu_bus_buffer.scala 410:86] + wire _T_2341 = _T_2289 & _T_1817; // @[lsu_bus_buffer.scala 412:73] + wire _T_2342 = _T_2335 | _T_2341; // @[lsu_bus_buffer.scala 411:114] + wire _T_2343 = _T_2272 & _T_2342; // @[lsu_bus_buffer.scala 409:113] + wire _T_2345 = _T_2343 | buf_age_2[2]; // @[lsu_bus_buffer.scala 412:97] + wire _T_2359 = _T_2282 & _T_1826; // @[lsu_bus_buffer.scala 411:92] + wire _T_2360 = _T_4481 | _T_2359; // @[lsu_bus_buffer.scala 410:86] + wire _T_2366 = _T_2289 & _T_1828; // @[lsu_bus_buffer.scala 412:73] + wire _T_2367 = _T_2360 | _T_2366; // @[lsu_bus_buffer.scala 411:114] + wire _T_2368 = _T_2272 & _T_2367; // @[lsu_bus_buffer.scala 409:113] + wire _T_2370 = _T_2368 | buf_age_2[3]; // @[lsu_bus_buffer.scala 412:97] wire [2:0] _T_2372 = {_T_2370,_T_2345,_T_2320}; // @[Cat.scala 29:58] - wire _T_4111 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 449:117] - wire _T_4112 = _T_3537 & _T_4111; // @[lsu_bus_buffer.scala 449:112] - wire _T_4114 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 449:166] - wire _T_4115 = _T_3540 & _T_4114; // @[lsu_bus_buffer.scala 449:161] - wire _T_4116 = _T_4112 | _T_4115; // @[lsu_bus_buffer.scala 449:132] - wire _T_4117 = _T_853 & _T_4116; // @[lsu_bus_buffer.scala 449:63] - wire _T_4118 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 449:206] - wire _T_4119 = ibuf_drain_vld & _T_4118; // @[lsu_bus_buffer.scala 449:201] - wire _T_4120 = _T_4117 | _T_4119; // @[lsu_bus_buffer.scala 449:183] - wire _T_4209 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 476:73] - wire _T_4210 = bus_rsp_write & _T_4209; // @[lsu_bus_buffer.scala 476:52] - wire _T_4211 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 477:46] - wire [2:0] _GEN_398 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 478:47] - wire _T_4213 = io_lsu_axi_r_bits_id == _GEN_398; // @[lsu_bus_buffer.scala 478:47] - wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[lsu_bus_buffer.scala 478:27] - wire _T_4215 = _T_4211 | _T_4214; // @[lsu_bus_buffer.scala 477:77] - wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 479:26] - wire _T_4218 = ~buf_write[3]; // @[lsu_bus_buffer.scala 479:44] - wire _T_4219 = _T_4216 & _T_4218; // @[lsu_bus_buffer.scala 479:42] - wire _T_4220 = _T_4219 & buf_samedw_3; // @[lsu_bus_buffer.scala 479:58] + wire _T_4111 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_4112 = _T_3537 & _T_4111; // @[lsu_bus_buffer.scala 443:112] + wire _T_4114 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_4115 = _T_3540 & _T_4114; // @[lsu_bus_buffer.scala 443:161] + wire _T_4116 = _T_4112 | _T_4115; // @[lsu_bus_buffer.scala 443:132] + wire _T_4117 = _T_853 & _T_4116; // @[lsu_bus_buffer.scala 443:63] + wire _T_4118 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_4119 = ibuf_drain_vld & _T_4118; // @[lsu_bus_buffer.scala 443:201] + wire _T_4120 = _T_4117 | _T_4119; // @[lsu_bus_buffer.scala 443:183] + wire _T_4209 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 470:73] + wire _T_4210 = bus_rsp_write & _T_4209; // @[lsu_bus_buffer.scala 470:52] + wire _T_4211 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 471:46] + wire [2:0] _GEN_398 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 472:47] + wire _T_4213 = io_lsu_axi_r_bits_id == _GEN_398; // @[lsu_bus_buffer.scala 472:47] + wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[lsu_bus_buffer.scala 472:27] + wire _T_4215 = _T_4211 | _T_4214; // @[lsu_bus_buffer.scala 471:77] + wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 473:26] + wire _T_4218 = ~buf_write[3]; // @[lsu_bus_buffer.scala 473:44] + wire _T_4219 = _T_4216 & _T_4218; // @[lsu_bus_buffer.scala 473:42] + wire _T_4220 = _T_4219 & buf_samedw_3; // @[lsu_bus_buffer.scala 473:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_399 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 479:94] - wire _T_4221 = io_lsu_axi_r_bits_id == _GEN_399; // @[lsu_bus_buffer.scala 479:94] - wire _T_4222 = _T_4220 & _T_4221; // @[lsu_bus_buffer.scala 479:74] - wire _T_4223 = _T_4215 | _T_4222; // @[lsu_bus_buffer.scala 478:71] - wire _T_4224 = bus_rsp_read & _T_4223; // @[lsu_bus_buffer.scala 477:25] - wire _T_4225 = _T_4210 | _T_4224; // @[lsu_bus_buffer.scala 476:105] + wire [2:0] _GEN_399 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 473:94] + wire _T_4221 = io_lsu_axi_r_bits_id == _GEN_399; // @[lsu_bus_buffer.scala 473:94] + wire _T_4222 = _T_4220 & _T_4221; // @[lsu_bus_buffer.scala 473:74] + wire _T_4223 = _T_4215 | _T_4222; // @[lsu_bus_buffer.scala 472:71] + wire _T_4224 = bus_rsp_read & _T_4223; // @[lsu_bus_buffer.scala 471:25] + wire _T_4225 = _T_4210 | _T_4224; // @[lsu_bus_buffer.scala 470:105] wire _GEN_280 = _T_4165 & _T_4225; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4131 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire _GEN_311 = _T_4127 ? 1'h0 : _GEN_299; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_311; // @[Conditional.scala 40:58] - wire [3:0] _T_4260 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 492:21] - wire [1:0] _GEN_261 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 492:58] - wire [1:0] _GEN_262 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_261; // @[lsu_bus_buffer.scala 492:58] - wire [1:0] _GEN_263 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_262; // @[lsu_bus_buffer.scala 492:58] - wire [2:0] _GEN_401 = {{1'd0}, _GEN_263}; // @[lsu_bus_buffer.scala 492:58] - wire _T_4262 = io_lsu_axi_r_bits_id == _GEN_401; // @[lsu_bus_buffer.scala 492:58] - wire _T_4263 = _T_4260[0] & _T_4262; // @[lsu_bus_buffer.scala 492:38] - wire _T_4264 = _T_4221 | _T_4263; // @[lsu_bus_buffer.scala 491:95] - wire _T_4265 = bus_rsp_read & _T_4264; // @[lsu_bus_buffer.scala 491:45] + wire [3:0] _T_4260 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 486:21] + wire [1:0] _GEN_261 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 486:58] + wire [1:0] _GEN_262 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_261; // @[lsu_bus_buffer.scala 486:58] + wire [1:0] _GEN_263 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_262; // @[lsu_bus_buffer.scala 486:58] + wire [2:0] _GEN_401 = {{1'd0}, _GEN_263}; // @[lsu_bus_buffer.scala 486:58] + wire _T_4262 = io_lsu_axi_r_bits_id == _GEN_401; // @[lsu_bus_buffer.scala 486:58] + wire _T_4263 = _T_4260[0] & _T_4262; // @[lsu_bus_buffer.scala 486:38] + wire _T_4264 = _T_4221 | _T_4263; // @[lsu_bus_buffer.scala 485:95] + wire _T_4265 = bus_rsp_read & _T_4264; // @[lsu_bus_buffer.scala 485:45] wire _GEN_274 = _T_4250 & _T_4265; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4165 ? buf_resp_state_bus_en_3 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_291 = _T_4131 ? buf_cmd_state_bus_en_3 : _GEN_281; // @[Conditional.scala 39:67] wire _GEN_304 = _T_4127 ? 1'h0 : _GEN_291; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_304; // @[Conditional.scala 40:58] - wire _T_4144 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 464:49] - wire _T_4145 = _T_4144 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 464:70] - wire _T_4271 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 498:37] - wire _T_4272 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 498:98] - wire _T_4273 = buf_dual_3 & _T_4272; // @[lsu_bus_buffer.scala 498:80] - wire _T_4274 = _T_4271 | _T_4273; // @[lsu_bus_buffer.scala 498:65] - wire _T_4275 = _T_4274 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 498:112] + wire _T_4144 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 458:49] + wire _T_4145 = _T_4144 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 458:70] + wire _T_4271 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 492:37] + wire _T_4272 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 492:98] + wire _T_4273 = buf_dual_3 & _T_4272; // @[lsu_bus_buffer.scala 492:80] + wire _T_4274 = _T_4271 | _T_4273; // @[lsu_bus_buffer.scala 492:65] + wire _T_4275 = _T_4274 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 492:112] wire _GEN_269 = _T_4268 ? _T_4275 : _T_4276; // @[Conditional.scala 39:67] wire _GEN_275 = _T_4250 ? _T_4145 : _GEN_269; // @[Conditional.scala 39:67] wire _GEN_282 = _T_4165 ? _T_4145 : _GEN_275; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4131 ? _T_4145 : _GEN_282; // @[Conditional.scala 39:67] wire _GEN_302 = _T_4127 ? obuf_rdrsp_pend_en : _GEN_292; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4104 ? _T_4120 : _GEN_302; // @[Conditional.scala 40:58] - wire _T_2374 = _T_1825 & buf_state_en_3; // @[lsu_bus_buffer.scala 411:94] - wire _T_2384 = _T_2076 & _T_1828; // @[lsu_bus_buffer.scala 413:71] - wire _T_2386 = _T_2384 & _T_1793; // @[lsu_bus_buffer.scala 413:92] - wire _T_2387 = _T_4462 | _T_2386; // @[lsu_bus_buffer.scala 412:86] - wire _T_2391 = _T_2083 & _T_1829; // @[lsu_bus_buffer.scala 414:52] - wire _T_2393 = _T_2391 & _T_1795; // @[lsu_bus_buffer.scala 414:73] - wire _T_2394 = _T_2387 | _T_2393; // @[lsu_bus_buffer.scala 413:114] - wire _T_2395 = _T_2374 & _T_2394; // @[lsu_bus_buffer.scala 411:113] - wire _T_2397 = _T_2395 | buf_age_3[0]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2411 = _T_2384 & _T_1804; // @[lsu_bus_buffer.scala 413:92] - wire _T_2412 = _T_4467 | _T_2411; // @[lsu_bus_buffer.scala 412:86] - wire _T_2418 = _T_2391 & _T_1806; // @[lsu_bus_buffer.scala 414:73] - wire _T_2419 = _T_2412 | _T_2418; // @[lsu_bus_buffer.scala 413:114] - wire _T_2420 = _T_2374 & _T_2419; // @[lsu_bus_buffer.scala 411:113] - wire _T_2422 = _T_2420 | buf_age_3[1]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2436 = _T_2384 & _T_1815; // @[lsu_bus_buffer.scala 413:92] - wire _T_2437 = _T_4472 | _T_2436; // @[lsu_bus_buffer.scala 412:86] - wire _T_2443 = _T_2391 & _T_1817; // @[lsu_bus_buffer.scala 414:73] - wire _T_2444 = _T_2437 | _T_2443; // @[lsu_bus_buffer.scala 413:114] - wire _T_2445 = _T_2374 & _T_2444; // @[lsu_bus_buffer.scala 411:113] - wire _T_2447 = _T_2445 | buf_age_3[2]; // @[lsu_bus_buffer.scala 414:97] - wire _T_2461 = _T_2384 & _T_1826; // @[lsu_bus_buffer.scala 413:92] - wire _T_2462 = _T_4477 | _T_2461; // @[lsu_bus_buffer.scala 412:86] - wire _T_2468 = _T_2391 & _T_1828; // @[lsu_bus_buffer.scala 414:73] - wire _T_2469 = _T_2462 | _T_2468; // @[lsu_bus_buffer.scala 413:114] - wire _T_2470 = _T_2374 & _T_2469; // @[lsu_bus_buffer.scala 411:113] - wire _T_2472 = _T_2470 | buf_age_3[3]; // @[lsu_bus_buffer.scala 414:97] + wire _T_2374 = _T_1825 & buf_state_en_3; // @[lsu_bus_buffer.scala 409:94] + wire _T_2384 = _T_2076 & _T_1828; // @[lsu_bus_buffer.scala 411:71] + wire _T_2386 = _T_2384 & _T_1793; // @[lsu_bus_buffer.scala 411:92] + wire _T_2387 = _T_4466 | _T_2386; // @[lsu_bus_buffer.scala 410:86] + wire _T_2391 = _T_2083 & _T_1829; // @[lsu_bus_buffer.scala 412:52] + wire _T_2393 = _T_2391 & _T_1795; // @[lsu_bus_buffer.scala 412:73] + wire _T_2394 = _T_2387 | _T_2393; // @[lsu_bus_buffer.scala 411:114] + wire _T_2395 = _T_2374 & _T_2394; // @[lsu_bus_buffer.scala 409:113] + wire _T_2397 = _T_2395 | buf_age_3[0]; // @[lsu_bus_buffer.scala 412:97] + wire _T_2411 = _T_2384 & _T_1804; // @[lsu_bus_buffer.scala 411:92] + wire _T_2412 = _T_4471 | _T_2411; // @[lsu_bus_buffer.scala 410:86] + wire _T_2418 = _T_2391 & _T_1806; // @[lsu_bus_buffer.scala 412:73] + wire _T_2419 = _T_2412 | _T_2418; // @[lsu_bus_buffer.scala 411:114] + wire _T_2420 = _T_2374 & _T_2419; // @[lsu_bus_buffer.scala 409:113] + wire _T_2422 = _T_2420 | buf_age_3[1]; // @[lsu_bus_buffer.scala 412:97] + wire _T_2436 = _T_2384 & _T_1815; // @[lsu_bus_buffer.scala 411:92] + wire _T_2437 = _T_4476 | _T_2436; // @[lsu_bus_buffer.scala 410:86] + wire _T_2443 = _T_2391 & _T_1817; // @[lsu_bus_buffer.scala 412:73] + wire _T_2444 = _T_2437 | _T_2443; // @[lsu_bus_buffer.scala 411:114] + wire _T_2445 = _T_2374 & _T_2444; // @[lsu_bus_buffer.scala 409:113] + wire _T_2447 = _T_2445 | buf_age_3[2]; // @[lsu_bus_buffer.scala 412:97] + wire _T_2461 = _T_2384 & _T_1826; // @[lsu_bus_buffer.scala 411:92] + wire _T_2462 = _T_4481 | _T_2461; // @[lsu_bus_buffer.scala 410:86] + wire _T_2468 = _T_2391 & _T_1828; // @[lsu_bus_buffer.scala 412:73] + wire _T_2469 = _T_2462 | _T_2468; // @[lsu_bus_buffer.scala 411:114] + wire _T_2470 = _T_2374 & _T_2469; // @[lsu_bus_buffer.scala 409:113] + wire _T_2472 = _T_2470 | buf_age_3[3]; // @[lsu_bus_buffer.scala 412:97] wire [2:0] _T_2474 = {_T_2472,_T_2447,_T_2422}; // @[Cat.scala 29:58] - wire _T_2770 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 422:47] - wire _T_2771 = _T_1792 | _T_2770; // @[lsu_bus_buffer.scala 422:32] - wire _T_2772 = ~_T_2771; // @[lsu_bus_buffer.scala 422:6] - wire _T_2780 = _T_2772 | _T_2080; // @[lsu_bus_buffer.scala 422:59] - wire _T_2787 = _T_2780 | _T_2087; // @[lsu_bus_buffer.scala 423:110] - wire _T_2788 = _T_2068 & _T_2787; // @[lsu_bus_buffer.scala 421:112] - wire _T_2792 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 422:47] - wire _T_2793 = _T_1803 | _T_2792; // @[lsu_bus_buffer.scala 422:32] - wire _T_2794 = ~_T_2793; // @[lsu_bus_buffer.scala 422:6] - wire _T_2802 = _T_2794 | _T_2105; // @[lsu_bus_buffer.scala 422:59] - wire _T_2809 = _T_2802 | _T_2112; // @[lsu_bus_buffer.scala 423:110] - wire _T_2810 = _T_2068 & _T_2809; // @[lsu_bus_buffer.scala 421:112] - wire _T_2814 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 422:47] - wire _T_2815 = _T_1814 | _T_2814; // @[lsu_bus_buffer.scala 422:32] - wire _T_2816 = ~_T_2815; // @[lsu_bus_buffer.scala 422:6] - wire _T_2824 = _T_2816 | _T_2130; // @[lsu_bus_buffer.scala 422:59] - wire _T_2831 = _T_2824 | _T_2137; // @[lsu_bus_buffer.scala 423:110] - wire _T_2832 = _T_2068 & _T_2831; // @[lsu_bus_buffer.scala 421:112] - wire _T_2836 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 422:47] - wire _T_2837 = _T_1825 | _T_2836; // @[lsu_bus_buffer.scala 422:32] - wire _T_2838 = ~_T_2837; // @[lsu_bus_buffer.scala 422:6] - wire _T_2846 = _T_2838 | _T_2155; // @[lsu_bus_buffer.scala 422:59] - wire _T_2853 = _T_2846 | _T_2162; // @[lsu_bus_buffer.scala 423:110] - wire _T_2854 = _T_2068 & _T_2853; // @[lsu_bus_buffer.scala 421:112] + wire _T_2770 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 420:47] + wire _T_2771 = _T_1792 | _T_2770; // @[lsu_bus_buffer.scala 420:32] + wire _T_2772 = ~_T_2771; // @[lsu_bus_buffer.scala 420:6] + wire _T_2780 = _T_2772 | _T_2080; // @[lsu_bus_buffer.scala 420:59] + wire _T_2787 = _T_2780 | _T_2087; // @[lsu_bus_buffer.scala 421:110] + wire _T_2788 = _T_2068 & _T_2787; // @[lsu_bus_buffer.scala 419:112] + wire _T_2792 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 420:47] + wire _T_2793 = _T_1803 | _T_2792; // @[lsu_bus_buffer.scala 420:32] + wire _T_2794 = ~_T_2793; // @[lsu_bus_buffer.scala 420:6] + wire _T_2802 = _T_2794 | _T_2105; // @[lsu_bus_buffer.scala 420:59] + wire _T_2809 = _T_2802 | _T_2112; // @[lsu_bus_buffer.scala 421:110] + wire _T_2810 = _T_2068 & _T_2809; // @[lsu_bus_buffer.scala 419:112] + wire _T_2814 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 420:47] + wire _T_2815 = _T_1814 | _T_2814; // @[lsu_bus_buffer.scala 420:32] + wire _T_2816 = ~_T_2815; // @[lsu_bus_buffer.scala 420:6] + wire _T_2824 = _T_2816 | _T_2130; // @[lsu_bus_buffer.scala 420:59] + wire _T_2831 = _T_2824 | _T_2137; // @[lsu_bus_buffer.scala 421:110] + wire _T_2832 = _T_2068 & _T_2831; // @[lsu_bus_buffer.scala 419:112] + wire _T_2836 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 420:47] + wire _T_2837 = _T_1825 | _T_2836; // @[lsu_bus_buffer.scala 420:32] + wire _T_2838 = ~_T_2837; // @[lsu_bus_buffer.scala 420:6] + wire _T_2846 = _T_2838 | _T_2155; // @[lsu_bus_buffer.scala 420:59] + wire _T_2853 = _T_2846 | _T_2162; // @[lsu_bus_buffer.scala 421:110] + wire _T_2854 = _T_2068 & _T_2853; // @[lsu_bus_buffer.scala 419:112] wire [3:0] buf_rspage_set_0 = {_T_2854,_T_2832,_T_2810,_T_2788}; // @[Cat.scala 29:58] - wire _T_2871 = _T_2772 | _T_2182; // @[lsu_bus_buffer.scala 422:59] - wire _T_2878 = _T_2871 | _T_2189; // @[lsu_bus_buffer.scala 423:110] - wire _T_2879 = _T_2170 & _T_2878; // @[lsu_bus_buffer.scala 421:112] - wire _T_2893 = _T_2794 | _T_2207; // @[lsu_bus_buffer.scala 422:59] - wire _T_2900 = _T_2893 | _T_2214; // @[lsu_bus_buffer.scala 423:110] - wire _T_2901 = _T_2170 & _T_2900; // @[lsu_bus_buffer.scala 421:112] - wire _T_2915 = _T_2816 | _T_2232; // @[lsu_bus_buffer.scala 422:59] - wire _T_2922 = _T_2915 | _T_2239; // @[lsu_bus_buffer.scala 423:110] - wire _T_2923 = _T_2170 & _T_2922; // @[lsu_bus_buffer.scala 421:112] - wire _T_2937 = _T_2838 | _T_2257; // @[lsu_bus_buffer.scala 422:59] - wire _T_2944 = _T_2937 | _T_2264; // @[lsu_bus_buffer.scala 423:110] - wire _T_2945 = _T_2170 & _T_2944; // @[lsu_bus_buffer.scala 421:112] + wire _T_2871 = _T_2772 | _T_2182; // @[lsu_bus_buffer.scala 420:59] + wire _T_2878 = _T_2871 | _T_2189; // @[lsu_bus_buffer.scala 421:110] + wire _T_2879 = _T_2170 & _T_2878; // @[lsu_bus_buffer.scala 419:112] + wire _T_2893 = _T_2794 | _T_2207; // @[lsu_bus_buffer.scala 420:59] + wire _T_2900 = _T_2893 | _T_2214; // @[lsu_bus_buffer.scala 421:110] + wire _T_2901 = _T_2170 & _T_2900; // @[lsu_bus_buffer.scala 419:112] + wire _T_2915 = _T_2816 | _T_2232; // @[lsu_bus_buffer.scala 420:59] + wire _T_2922 = _T_2915 | _T_2239; // @[lsu_bus_buffer.scala 421:110] + wire _T_2923 = _T_2170 & _T_2922; // @[lsu_bus_buffer.scala 419:112] + wire _T_2937 = _T_2838 | _T_2257; // @[lsu_bus_buffer.scala 420:59] + wire _T_2944 = _T_2937 | _T_2264; // @[lsu_bus_buffer.scala 421:110] + wire _T_2945 = _T_2170 & _T_2944; // @[lsu_bus_buffer.scala 419:112] wire [3:0] buf_rspage_set_1 = {_T_2945,_T_2923,_T_2901,_T_2879}; // @[Cat.scala 29:58] - wire _T_2962 = _T_2772 | _T_2284; // @[lsu_bus_buffer.scala 422:59] - wire _T_2969 = _T_2962 | _T_2291; // @[lsu_bus_buffer.scala 423:110] - wire _T_2970 = _T_2272 & _T_2969; // @[lsu_bus_buffer.scala 421:112] - wire _T_2984 = _T_2794 | _T_2309; // @[lsu_bus_buffer.scala 422:59] - wire _T_2991 = _T_2984 | _T_2316; // @[lsu_bus_buffer.scala 423:110] - wire _T_2992 = _T_2272 & _T_2991; // @[lsu_bus_buffer.scala 421:112] - wire _T_3006 = _T_2816 | _T_2334; // @[lsu_bus_buffer.scala 422:59] - wire _T_3013 = _T_3006 | _T_2341; // @[lsu_bus_buffer.scala 423:110] - wire _T_3014 = _T_2272 & _T_3013; // @[lsu_bus_buffer.scala 421:112] - wire _T_3028 = _T_2838 | _T_2359; // @[lsu_bus_buffer.scala 422:59] - wire _T_3035 = _T_3028 | _T_2366; // @[lsu_bus_buffer.scala 423:110] - wire _T_3036 = _T_2272 & _T_3035; // @[lsu_bus_buffer.scala 421:112] + wire _T_2962 = _T_2772 | _T_2284; // @[lsu_bus_buffer.scala 420:59] + wire _T_2969 = _T_2962 | _T_2291; // @[lsu_bus_buffer.scala 421:110] + wire _T_2970 = _T_2272 & _T_2969; // @[lsu_bus_buffer.scala 419:112] + wire _T_2984 = _T_2794 | _T_2309; // @[lsu_bus_buffer.scala 420:59] + wire _T_2991 = _T_2984 | _T_2316; // @[lsu_bus_buffer.scala 421:110] + wire _T_2992 = _T_2272 & _T_2991; // @[lsu_bus_buffer.scala 419:112] + wire _T_3006 = _T_2816 | _T_2334; // @[lsu_bus_buffer.scala 420:59] + wire _T_3013 = _T_3006 | _T_2341; // @[lsu_bus_buffer.scala 421:110] + wire _T_3014 = _T_2272 & _T_3013; // @[lsu_bus_buffer.scala 419:112] + wire _T_3028 = _T_2838 | _T_2359; // @[lsu_bus_buffer.scala 420:59] + wire _T_3035 = _T_3028 | _T_2366; // @[lsu_bus_buffer.scala 421:110] + wire _T_3036 = _T_2272 & _T_3035; // @[lsu_bus_buffer.scala 419:112] wire [3:0] buf_rspage_set_2 = {_T_3036,_T_3014,_T_2992,_T_2970}; // @[Cat.scala 29:58] - wire _T_3053 = _T_2772 | _T_2386; // @[lsu_bus_buffer.scala 422:59] - wire _T_3060 = _T_3053 | _T_2393; // @[lsu_bus_buffer.scala 423:110] - wire _T_3061 = _T_2374 & _T_3060; // @[lsu_bus_buffer.scala 421:112] - wire _T_3075 = _T_2794 | _T_2411; // @[lsu_bus_buffer.scala 422:59] - wire _T_3082 = _T_3075 | _T_2418; // @[lsu_bus_buffer.scala 423:110] - wire _T_3083 = _T_2374 & _T_3082; // @[lsu_bus_buffer.scala 421:112] - wire _T_3097 = _T_2816 | _T_2436; // @[lsu_bus_buffer.scala 422:59] - wire _T_3104 = _T_3097 | _T_2443; // @[lsu_bus_buffer.scala 423:110] - wire _T_3105 = _T_2374 & _T_3104; // @[lsu_bus_buffer.scala 421:112] - wire _T_3119 = _T_2838 | _T_2461; // @[lsu_bus_buffer.scala 422:59] - wire _T_3126 = _T_3119 | _T_2468; // @[lsu_bus_buffer.scala 423:110] - wire _T_3127 = _T_2374 & _T_3126; // @[lsu_bus_buffer.scala 421:112] + wire _T_3053 = _T_2772 | _T_2386; // @[lsu_bus_buffer.scala 420:59] + wire _T_3060 = _T_3053 | _T_2393; // @[lsu_bus_buffer.scala 421:110] + wire _T_3061 = _T_2374 & _T_3060; // @[lsu_bus_buffer.scala 419:112] + wire _T_3075 = _T_2794 | _T_2411; // @[lsu_bus_buffer.scala 420:59] + wire _T_3082 = _T_3075 | _T_2418; // @[lsu_bus_buffer.scala 421:110] + wire _T_3083 = _T_2374 & _T_3082; // @[lsu_bus_buffer.scala 419:112] + wire _T_3097 = _T_2816 | _T_2436; // @[lsu_bus_buffer.scala 420:59] + wire _T_3104 = _T_3097 | _T_2443; // @[lsu_bus_buffer.scala 421:110] + wire _T_3105 = _T_2374 & _T_3104; // @[lsu_bus_buffer.scala 419:112] + wire _T_3119 = _T_2838 | _T_2461; // @[lsu_bus_buffer.scala 420:59] + wire _T_3126 = _T_3119 | _T_2468; // @[lsu_bus_buffer.scala 421:110] + wire _T_3127 = _T_2374 & _T_3126; // @[lsu_bus_buffer.scala 419:112] wire [3:0] buf_rspage_set_3 = {_T_3127,_T_3105,_T_3083,_T_3061}; // @[Cat.scala 29:58] - wire _T_3218 = _T_2836 | _T_1825; // @[lsu_bus_buffer.scala 426:110] - wire _T_3219 = ~_T_3218; // @[lsu_bus_buffer.scala 426:84] - wire _T_3220 = buf_rspageQ_0[3] & _T_3219; // @[lsu_bus_buffer.scala 426:82] - wire _T_3222 = _T_3220 & _T_2594; // @[lsu_bus_buffer.scala 426:145] - wire _T_3210 = _T_2814 | _T_1814; // @[lsu_bus_buffer.scala 426:110] - wire _T_3211 = ~_T_3210; // @[lsu_bus_buffer.scala 426:84] - wire _T_3212 = buf_rspageQ_0[2] & _T_3211; // @[lsu_bus_buffer.scala 426:82] - wire _T_3214 = _T_3212 & _T_2594; // @[lsu_bus_buffer.scala 426:145] - wire _T_3202 = _T_2792 | _T_1803; // @[lsu_bus_buffer.scala 426:110] - wire _T_3203 = ~_T_3202; // @[lsu_bus_buffer.scala 426:84] - wire _T_3204 = buf_rspageQ_0[1] & _T_3203; // @[lsu_bus_buffer.scala 426:82] - wire _T_3206 = _T_3204 & _T_2594; // @[lsu_bus_buffer.scala 426:145] - wire _T_3194 = _T_2770 | _T_1792; // @[lsu_bus_buffer.scala 426:110] - wire _T_3195 = ~_T_3194; // @[lsu_bus_buffer.scala 426:84] - wire _T_3196 = buf_rspageQ_0[0] & _T_3195; // @[lsu_bus_buffer.scala 426:82] - wire _T_3198 = _T_3196 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3218 = _T_2836 | _T_1825; // @[lsu_bus_buffer.scala 424:110] + wire _T_3219 = ~_T_3218; // @[lsu_bus_buffer.scala 424:84] + wire _T_3220 = buf_rspageQ_0[3] & _T_3219; // @[lsu_bus_buffer.scala 424:82] + wire _T_3222 = _T_3220 & _T_2594; // @[lsu_bus_buffer.scala 424:136] + wire _T_3210 = _T_2814 | _T_1814; // @[lsu_bus_buffer.scala 424:110] + wire _T_3211 = ~_T_3210; // @[lsu_bus_buffer.scala 424:84] + wire _T_3212 = buf_rspageQ_0[2] & _T_3211; // @[lsu_bus_buffer.scala 424:82] + wire _T_3214 = _T_3212 & _T_2594; // @[lsu_bus_buffer.scala 424:136] + wire _T_3202 = _T_2792 | _T_1803; // @[lsu_bus_buffer.scala 424:110] + wire _T_3203 = ~_T_3202; // @[lsu_bus_buffer.scala 424:84] + wire _T_3204 = buf_rspageQ_0[1] & _T_3203; // @[lsu_bus_buffer.scala 424:82] + wire _T_3206 = _T_3204 & _T_2594; // @[lsu_bus_buffer.scala 424:136] + wire _T_3194 = _T_2770 | _T_1792; // @[lsu_bus_buffer.scala 424:110] + wire _T_3195 = ~_T_3194; // @[lsu_bus_buffer.scala 424:84] + wire _T_3196 = buf_rspageQ_0[0] & _T_3195; // @[lsu_bus_buffer.scala 424:82] + wire _T_3198 = _T_3196 & _T_2594; // @[lsu_bus_buffer.scala 424:136] wire [3:0] buf_rspage_0 = {_T_3222,_T_3214,_T_3206,_T_3198}; // @[Cat.scala 29:58] - wire _T_3133 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3136 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3139 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3142 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3133 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 423:88] + wire _T_3136 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 423:88] + wire _T_3139 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 423:88] + wire _T_3142 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 423:88] wire [2:0] _T_3144 = {_T_3142,_T_3139,_T_3136}; // @[Cat.scala 29:58] - wire _T_3255 = buf_rspageQ_1[3] & _T_3219; // @[lsu_bus_buffer.scala 426:82] - wire _T_3257 = _T_3255 & _T_2594; // @[lsu_bus_buffer.scala 426:145] - wire _T_3247 = buf_rspageQ_1[2] & _T_3211; // @[lsu_bus_buffer.scala 426:82] - wire _T_3249 = _T_3247 & _T_2594; // @[lsu_bus_buffer.scala 426:145] - wire _T_3239 = buf_rspageQ_1[1] & _T_3203; // @[lsu_bus_buffer.scala 426:82] - wire _T_3241 = _T_3239 & _T_2594; // @[lsu_bus_buffer.scala 426:145] - wire _T_3231 = buf_rspageQ_1[0] & _T_3195; // @[lsu_bus_buffer.scala 426:82] - wire _T_3233 = _T_3231 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3255 = buf_rspageQ_1[3] & _T_3219; // @[lsu_bus_buffer.scala 424:82] + wire _T_3257 = _T_3255 & _T_2594; // @[lsu_bus_buffer.scala 424:136] + wire _T_3247 = buf_rspageQ_1[2] & _T_3211; // @[lsu_bus_buffer.scala 424:82] + wire _T_3249 = _T_3247 & _T_2594; // @[lsu_bus_buffer.scala 424:136] + wire _T_3239 = buf_rspageQ_1[1] & _T_3203; // @[lsu_bus_buffer.scala 424:82] + wire _T_3241 = _T_3239 & _T_2594; // @[lsu_bus_buffer.scala 424:136] + wire _T_3231 = buf_rspageQ_1[0] & _T_3195; // @[lsu_bus_buffer.scala 424:82] + wire _T_3233 = _T_3231 & _T_2594; // @[lsu_bus_buffer.scala 424:136] wire [3:0] buf_rspage_1 = {_T_3257,_T_3249,_T_3241,_T_3233}; // @[Cat.scala 29:58] - wire _T_3148 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3151 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3154 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3157 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3148 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 423:88] + wire _T_3151 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 423:88] + wire _T_3154 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 423:88] + wire _T_3157 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 423:88] wire [2:0] _T_3159 = {_T_3157,_T_3154,_T_3151}; // @[Cat.scala 29:58] - wire _T_3290 = buf_rspageQ_2[3] & _T_3219; // @[lsu_bus_buffer.scala 426:82] - wire _T_3292 = _T_3290 & _T_2594; // @[lsu_bus_buffer.scala 426:145] - wire _T_3282 = buf_rspageQ_2[2] & _T_3211; // @[lsu_bus_buffer.scala 426:82] - wire _T_3284 = _T_3282 & _T_2594; // @[lsu_bus_buffer.scala 426:145] - wire _T_3274 = buf_rspageQ_2[1] & _T_3203; // @[lsu_bus_buffer.scala 426:82] - wire _T_3276 = _T_3274 & _T_2594; // @[lsu_bus_buffer.scala 426:145] - wire _T_3266 = buf_rspageQ_2[0] & _T_3195; // @[lsu_bus_buffer.scala 426:82] - wire _T_3268 = _T_3266 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3290 = buf_rspageQ_2[3] & _T_3219; // @[lsu_bus_buffer.scala 424:82] + wire _T_3292 = _T_3290 & _T_2594; // @[lsu_bus_buffer.scala 424:136] + wire _T_3282 = buf_rspageQ_2[2] & _T_3211; // @[lsu_bus_buffer.scala 424:82] + wire _T_3284 = _T_3282 & _T_2594; // @[lsu_bus_buffer.scala 424:136] + wire _T_3274 = buf_rspageQ_2[1] & _T_3203; // @[lsu_bus_buffer.scala 424:82] + wire _T_3276 = _T_3274 & _T_2594; // @[lsu_bus_buffer.scala 424:136] + wire _T_3266 = buf_rspageQ_2[0] & _T_3195; // @[lsu_bus_buffer.scala 424:82] + wire _T_3268 = _T_3266 & _T_2594; // @[lsu_bus_buffer.scala 424:136] wire [3:0] buf_rspage_2 = {_T_3292,_T_3284,_T_3276,_T_3268}; // @[Cat.scala 29:58] - wire _T_3163 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3166 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3169 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3172 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3163 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 423:88] + wire _T_3166 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 423:88] + wire _T_3169 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 423:88] + wire _T_3172 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 423:88] wire [2:0] _T_3174 = {_T_3172,_T_3169,_T_3166}; // @[Cat.scala 29:58] - wire _T_3325 = buf_rspageQ_3[3] & _T_3219; // @[lsu_bus_buffer.scala 426:82] - wire _T_3327 = _T_3325 & _T_2594; // @[lsu_bus_buffer.scala 426:145] - wire _T_3317 = buf_rspageQ_3[2] & _T_3211; // @[lsu_bus_buffer.scala 426:82] - wire _T_3319 = _T_3317 & _T_2594; // @[lsu_bus_buffer.scala 426:145] - wire _T_3309 = buf_rspageQ_3[1] & _T_3203; // @[lsu_bus_buffer.scala 426:82] - wire _T_3311 = _T_3309 & _T_2594; // @[lsu_bus_buffer.scala 426:145] - wire _T_3301 = buf_rspageQ_3[0] & _T_3195; // @[lsu_bus_buffer.scala 426:82] - wire _T_3303 = _T_3301 & _T_2594; // @[lsu_bus_buffer.scala 426:145] + wire _T_3325 = buf_rspageQ_3[3] & _T_3219; // @[lsu_bus_buffer.scala 424:82] + wire _T_3327 = _T_3325 & _T_2594; // @[lsu_bus_buffer.scala 424:136] + wire _T_3317 = buf_rspageQ_3[2] & _T_3211; // @[lsu_bus_buffer.scala 424:82] + wire _T_3319 = _T_3317 & _T_2594; // @[lsu_bus_buffer.scala 424:136] + wire _T_3309 = buf_rspageQ_3[1] & _T_3203; // @[lsu_bus_buffer.scala 424:82] + wire _T_3311 = _T_3309 & _T_2594; // @[lsu_bus_buffer.scala 424:136] + wire _T_3301 = buf_rspageQ_3[0] & _T_3195; // @[lsu_bus_buffer.scala 424:82] + wire _T_3303 = _T_3301 & _T_2594; // @[lsu_bus_buffer.scala 424:136] wire [3:0] buf_rspage_3 = {_T_3327,_T_3319,_T_3311,_T_3303}; // @[Cat.scala 29:58] - wire _T_3178 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3181 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3184 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 425:88] - wire _T_3187 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 425:88] + wire _T_3178 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 423:88] + wire _T_3181 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 423:88] + wire _T_3184 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 423:88] + wire _T_3187 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 423:88] wire [2:0] _T_3189 = {_T_3187,_T_3184,_T_3181}; // @[Cat.scala 29:58] - wire _T_3332 = ibuf_drain_vld & _T_1793; // @[lsu_bus_buffer.scala 431:63] - wire _T_3334 = ibuf_drain_vld & _T_1804; // @[lsu_bus_buffer.scala 431:63] - wire _T_3336 = ibuf_drain_vld & _T_1815; // @[lsu_bus_buffer.scala 431:63] - wire _T_3338 = ibuf_drain_vld & _T_1826; // @[lsu_bus_buffer.scala 431:63] + wire _T_3332 = ibuf_drain_vld & _T_1793; // @[lsu_bus_buffer.scala 425:63] + wire _T_3334 = ibuf_drain_vld & _T_1804; // @[lsu_bus_buffer.scala 425:63] + wire _T_3336 = ibuf_drain_vld & _T_1815; // @[lsu_bus_buffer.scala 425:63] + wire _T_3338 = ibuf_drain_vld & _T_1826; // @[lsu_bus_buffer.scala 425:63] wire [3:0] ibuf_drainvec_vld = {_T_3338,_T_3336,_T_3334,_T_3332}; // @[Cat.scala 29:58] - wire _T_3346 = _T_3540 & _T_1796; // @[lsu_bus_buffer.scala 433:35] - wire _T_3355 = _T_3540 & _T_1807; // @[lsu_bus_buffer.scala 433:35] - wire _T_3364 = _T_3540 & _T_1818; // @[lsu_bus_buffer.scala 433:35] - wire _T_3373 = _T_3540 & _T_1829; // @[lsu_bus_buffer.scala 433:35] - wire _T_3403 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 435:45] - wire _T_3405 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 435:45] - wire _T_3407 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 435:45] - wire _T_3409 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 435:45] + wire _T_3346 = _T_3540 & _T_1796; // @[lsu_bus_buffer.scala 427:35] + wire _T_3355 = _T_3540 & _T_1807; // @[lsu_bus_buffer.scala 427:35] + wire _T_3364 = _T_3540 & _T_1818; // @[lsu_bus_buffer.scala 427:35] + wire _T_3373 = _T_3540 & _T_1829; // @[lsu_bus_buffer.scala 427:35] + wire _T_3403 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3405 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3407 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3409 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] wire [3:0] buf_dual_in = {_T_3409,_T_3407,_T_3405,_T_3403}; // @[Cat.scala 29:58] - wire _T_3414 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 436:47] - wire _T_3416 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 436:47] - wire _T_3418 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 436:47] - wire _T_3420 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 436:47] + wire _T_3414 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3416 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3418 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3420 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] wire [3:0] buf_samedw_in = {_T_3420,_T_3418,_T_3416,_T_3414}; // @[Cat.scala 29:58] - wire _T_3425 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 437:84] - wire _T_3426 = ibuf_drainvec_vld[0] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 437:48] - wire _T_3429 = ibuf_drainvec_vld[1] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 437:48] - wire _T_3432 = ibuf_drainvec_vld[2] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 437:48] - wire _T_3435 = ibuf_drainvec_vld[3] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 437:48] + wire _T_3425 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 431:84] + wire _T_3426 = ibuf_drainvec_vld[0] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3429 = ibuf_drainvec_vld[1] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3432 = ibuf_drainvec_vld[2] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3435 = ibuf_drainvec_vld[3] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] wire [3:0] buf_nomerge_in = {_T_3435,_T_3432,_T_3429,_T_3426}; // @[Cat.scala 29:58] - wire _T_3443 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3346; // @[lsu_bus_buffer.scala 438:47] - wire _T_3448 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3355; // @[lsu_bus_buffer.scala 438:47] - wire _T_3453 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3364; // @[lsu_bus_buffer.scala 438:47] - wire _T_3458 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3373; // @[lsu_bus_buffer.scala 438:47] + wire _T_3443 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3346; // @[lsu_bus_buffer.scala 432:47] + wire _T_3448 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3355; // @[lsu_bus_buffer.scala 432:47] + wire _T_3453 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3364; // @[lsu_bus_buffer.scala 432:47] + wire _T_3458 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3373; // @[lsu_bus_buffer.scala 432:47] wire [3:0] buf_dualhi_in = {_T_3458,_T_3453,_T_3448,_T_3443}; // @[Cat.scala 29:58] - wire _T_3487 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 440:51] - wire _T_3489 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 440:51] - wire _T_3491 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 440:51] - wire _T_3493 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 440:51] + wire _T_3487 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3489 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3491 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3493 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] wire [3:0] buf_sideeffect_in = {_T_3493,_T_3491,_T_3489,_T_3487}; // @[Cat.scala 29:58] - wire _T_3498 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 441:47] - wire _T_3500 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 441:47] - wire _T_3502 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 441:47] - wire _T_3504 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 441:47] + wire _T_3498 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3500 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3502 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3504 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] wire [3:0] buf_unsign_in = {_T_3504,_T_3502,_T_3500,_T_3498}; // @[Cat.scala 29:58] - wire _T_3521 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 443:46] - wire _T_3523 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 443:46] - wire _T_3525 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 443:46] - wire _T_3527 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 443:46] + wire _T_3521 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3523 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3525 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3527 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] wire [3:0] buf_write_in = {_T_3527,_T_3525,_T_3523,_T_3521}; // @[Cat.scala 29:58] - wire _T_3560 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 461:89] - wire _T_3562 = _T_3560 & _T_1349; // @[lsu_bus_buffer.scala 461:104] - wire _T_3575 = buf_state_en_0 & _T_3645; // @[lsu_bus_buffer.scala 466:44] - wire _T_3576 = _T_3575 & obuf_nosend; // @[lsu_bus_buffer.scala 466:60] - wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 466:74] - wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] - wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] - wire _T_4837 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 574:64] - wire bus_rsp_read_error = bus_rsp_read & _T_4837; // @[lsu_bus_buffer.scala 574:38] - wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] - wire _T_3659 = bus_rsp_read_error & _T_3638; // @[lsu_bus_buffer.scala 483:91] - wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 484:31] - wire _T_3663 = _T_3661 & _T_3640; // @[lsu_bus_buffer.scala 484:46] - wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 483:143] - wire _T_4835 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 573:66] - wire bus_rsp_write_error = bus_rsp_write & _T_4835; // @[lsu_bus_buffer.scala 573:40] - wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 485:33] - wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 484:88] - wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 483:68] + wire _T_3560 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 455:89] + wire _T_3562 = _T_3560 & _T_1349; // @[lsu_bus_buffer.scala 455:104] + wire _T_3575 = buf_state_en_0 & _T_3645; // @[lsu_bus_buffer.scala 460:44] + wire _T_3576 = _T_3575 & obuf_nosend; // @[lsu_bus_buffer.scala 460:60] + wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 460:74] + wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] + wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] + wire _T_4841 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 568:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4841; // @[lsu_bus_buffer.scala 568:38] + wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] + wire _T_3659 = bus_rsp_read_error & _T_3638; // @[lsu_bus_buffer.scala 477:91] + wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 478:31] + wire _T_3663 = _T_3661 & _T_3640; // @[lsu_bus_buffer.scala 478:46] + wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 477:143] + wire _T_4839 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 567:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4839; // @[lsu_bus_buffer.scala 567:40] + wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 479:33] + wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 478:88] + wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 477:68] wire _GEN_56 = _T_3592 & _T_3668; // @[Conditional.scala 39:67] wire _GEN_69 = _T_3558 ? _T_3585 : _GEN_56; // @[Conditional.scala 39:67] wire _GEN_81 = _T_3554 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3531 ? 1'h0 : _GEN_81; // @[Conditional.scala 40:58] - wire _T_3594 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 473:75] - wire _T_3595 = buf_write[0] & _T_3594; // @[lsu_bus_buffer.scala 473:73] - wire _T_3596 = io_dec_tlu_force_halt | _T_3595; // @[lsu_bus_buffer.scala 473:57] - wire _T_3598 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 474:30] - wire _T_3599 = buf_dual_0 & _T_3598; // @[lsu_bus_buffer.scala 474:28] - wire _T_3602 = _T_3599 & _T_3645; // @[lsu_bus_buffer.scala 474:45] - wire [2:0] _GEN_29 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 474:90] - wire [2:0] _GEN_30 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_29; // @[lsu_bus_buffer.scala 474:90] - wire [2:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_30; // @[lsu_bus_buffer.scala 474:90] - wire _T_3603 = _GEN_31 != 3'h4; // @[lsu_bus_buffer.scala 474:90] - wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 474:61] - wire _T_4485 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 534:93] - wire _T_4486 = _T_4485 | _T_2711; // @[lsu_bus_buffer.scala 534:93] - wire any_done_wait_state = _T_4486 | _T_2708; // @[lsu_bus_buffer.scala 534:93] - wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 475:31] + wire _T_3594 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 467:75] + wire _T_3595 = buf_write[0] & _T_3594; // @[lsu_bus_buffer.scala 467:73] + wire _T_3596 = io_dec_tlu_force_halt | _T_3595; // @[lsu_bus_buffer.scala 467:57] + wire _T_3598 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 468:30] + wire _T_3599 = buf_dual_0 & _T_3598; // @[lsu_bus_buffer.scala 468:28] + wire _T_3602 = _T_3599 & _T_3645; // @[lsu_bus_buffer.scala 468:45] + wire [2:0] _GEN_29 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 468:90] + wire [2:0] _GEN_30 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_29; // @[lsu_bus_buffer.scala 468:90] + wire [2:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_30; // @[lsu_bus_buffer.scala 468:90] + wire _T_3603 = _GEN_31 != 3'h4; // @[lsu_bus_buffer.scala 468:90] + wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 468:61] + wire _T_4489 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 528:93] + wire _T_4490 = _T_4489 | _T_2711; // @[lsu_bus_buffer.scala 528:93] + wire any_done_wait_state = _T_4490 | _T_2708; // @[lsu_bus_buffer.scala 528:93] + wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] wire _T_3612 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3614 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_3616 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2231,17 +2231,17 @@ module lsu_bus_buffer( wire _T_3624 = _T_3620 | _T_3621; // @[Mux.scala 27:72] wire _T_3625 = _T_3624 | _T_3622; // @[Mux.scala 27:72] wire _T_3626 = _T_3625 | _T_3623; // @[Mux.scala 27:72] - wire _T_3628 = _T_3602 & _T_3626; // @[lsu_bus_buffer.scala 475:101] - wire _T_3629 = _GEN_31 == 3'h4; // @[lsu_bus_buffer.scala 475:167] - wire _T_3630 = _T_3628 & _T_3629; // @[lsu_bus_buffer.scala 475:138] - wire _T_3631 = _T_3630 & any_done_wait_state; // @[lsu_bus_buffer.scala 475:187] - wire _T_3632 = _T_3606 | _T_3631; // @[lsu_bus_buffer.scala 475:53] - wire _T_3655 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 482:47] - wire _T_3656 = _T_3655 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 482:62] - wire _T_3669 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 486:50] - wire _T_3670 = buf_state_en_0 & _T_3669; // @[lsu_bus_buffer.scala 486:48] - wire _T_3682 = buf_ldfwd[0] | _T_3687[0]; // @[lsu_bus_buffer.scala 490:90] - wire _T_3683 = _T_3682 | any_done_wait_state; // @[lsu_bus_buffer.scala 490:118] + wire _T_3628 = _T_3602 & _T_3626; // @[lsu_bus_buffer.scala 469:101] + wire _T_3629 = _GEN_31 == 3'h4; // @[lsu_bus_buffer.scala 469:167] + wire _T_3630 = _T_3628 & _T_3629; // @[lsu_bus_buffer.scala 469:138] + wire _T_3631 = _T_3630 & any_done_wait_state; // @[lsu_bus_buffer.scala 469:187] + wire _T_3632 = _T_3606 | _T_3631; // @[lsu_bus_buffer.scala 469:53] + wire _T_3655 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 476:47] + wire _T_3656 = _T_3655 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 476:62] + wire _T_3669 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 480:50] + wire _T_3670 = buf_state_en_0 & _T_3669; // @[lsu_bus_buffer.scala 480:48] + wire _T_3682 = buf_ldfwd[0] | _T_3687[0]; // @[lsu_bus_buffer.scala 484:90] + wire _T_3683 = _T_3682 | any_done_wait_state; // @[lsu_bus_buffer.scala 484:118] wire _GEN_39 = _T_3703 ? buf_state_en_0 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3695 ? 1'h0 : _T_3703; // @[Conditional.scala 39:67] wire _GEN_44 = _T_3695 ? io_dec_tlu_force_halt : _GEN_39; // @[Conditional.scala 39:67] @@ -2260,34 +2260,34 @@ module lsu_bus_buffer( wire buf_data_en_0 = _T_3531 ? buf_state_en_0 : _GEN_80; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_78; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3531 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] - wire _T_3766 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 466:44] - wire _T_3767 = _T_3766 & obuf_nosend; // @[lsu_bus_buffer.scala 466:60] - wire _T_3769 = _T_3767 & _T_2594; // @[lsu_bus_buffer.scala 466:74] - wire _T_3772 = _T_3762 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] - wire _T_3773 = _T_3772 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] - wire _T_3776 = _T_3772 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] - wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 483:91] - wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 484:31] - wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 484:46] - wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 483:143] - wire _T_3857 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 485:33] - wire _T_3858 = _T_3855 | _T_3857; // @[lsu_bus_buffer.scala 484:88] - wire _T_3859 = _T_3762 & _T_3858; // @[lsu_bus_buffer.scala 483:68] + wire _T_3766 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 460:44] + wire _T_3767 = _T_3766 & obuf_nosend; // @[lsu_bus_buffer.scala 460:60] + wire _T_3769 = _T_3767 & _T_2594; // @[lsu_bus_buffer.scala 460:74] + wire _T_3772 = _T_3762 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] + wire _T_3773 = _T_3772 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] + wire _T_3776 = _T_3772 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] + wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 477:91] + wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 478:31] + wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 478:46] + wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 477:143] + wire _T_3857 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 479:33] + wire _T_3858 = _T_3855 | _T_3857; // @[lsu_bus_buffer.scala 478:88] + wire _T_3859 = _T_3762 & _T_3858; // @[lsu_bus_buffer.scala 477:68] wire _GEN_132 = _T_3783 & _T_3859; // @[Conditional.scala 39:67] wire _GEN_145 = _T_3749 ? _T_3776 : _GEN_132; // @[Conditional.scala 39:67] wire _GEN_157 = _T_3745 ? 1'h0 : _GEN_145; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3722 ? 1'h0 : _GEN_157; // @[Conditional.scala 40:58] - wire _T_3786 = buf_write[1] & _T_3594; // @[lsu_bus_buffer.scala 473:73] - wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 473:57] - wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 474:30] - wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 474:28] - wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 474:45] - wire [2:0] _GEN_105 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 474:90] - wire [2:0] _GEN_106 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_105; // @[lsu_bus_buffer.scala 474:90] - wire [2:0] _GEN_107 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_106; // @[lsu_bus_buffer.scala 474:90] - wire _T_3794 = _GEN_107 != 3'h4; // @[lsu_bus_buffer.scala 474:90] - wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 474:61] - wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 475:31] + wire _T_3786 = buf_write[1] & _T_3594; // @[lsu_bus_buffer.scala 467:73] + wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 467:57] + wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 468:30] + wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 468:28] + wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 468:45] + wire [2:0] _GEN_105 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 468:90] + wire [2:0] _GEN_106 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_105; // @[lsu_bus_buffer.scala 468:90] + wire [2:0] _GEN_107 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_106; // @[lsu_bus_buffer.scala 468:90] + wire _T_3794 = _GEN_107 != 3'h4; // @[lsu_bus_buffer.scala 468:90] + wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 468:61] + wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2299,17 +2299,17 @@ module lsu_bus_buffer( wire _T_3815 = _T_3811 | _T_3812; // @[Mux.scala 27:72] wire _T_3816 = _T_3815 | _T_3813; // @[Mux.scala 27:72] wire _T_3817 = _T_3816 | _T_3814; // @[Mux.scala 27:72] - wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 475:101] - wire _T_3820 = _GEN_107 == 3'h4; // @[lsu_bus_buffer.scala 475:167] - wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 475:138] - wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 475:187] - wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 475:53] - wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 482:47] - wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 482:62] - wire _T_3860 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 486:50] - wire _T_3861 = buf_state_en_1 & _T_3860; // @[lsu_bus_buffer.scala 486:48] - wire _T_3873 = buf_ldfwd[1] | _T_3878[0]; // @[lsu_bus_buffer.scala 490:90] - wire _T_3874 = _T_3873 | any_done_wait_state; // @[lsu_bus_buffer.scala 490:118] + wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 469:101] + wire _T_3820 = _GEN_107 == 3'h4; // @[lsu_bus_buffer.scala 469:167] + wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 469:138] + wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 469:187] + wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 469:53] + wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 476:47] + wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 476:62] + wire _T_3860 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 480:50] + wire _T_3861 = buf_state_en_1 & _T_3860; // @[lsu_bus_buffer.scala 480:48] + wire _T_3873 = buf_ldfwd[1] | _T_3878[0]; // @[lsu_bus_buffer.scala 484:90] + wire _T_3874 = _T_3873 | any_done_wait_state; // @[lsu_bus_buffer.scala 484:118] wire _GEN_115 = _T_3894 ? buf_state_en_1 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3886 ? 1'h0 : _T_3894; // @[Conditional.scala 39:67] wire _GEN_120 = _T_3886 ? io_dec_tlu_force_halt : _GEN_115; // @[Conditional.scala 39:67] @@ -2328,34 +2328,34 @@ module lsu_bus_buffer( wire buf_data_en_1 = _T_3722 ? buf_state_en_1 : _GEN_156; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3722 ? io_dec_tlu_force_halt : _GEN_154; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3722 ? 1'h0 : _GEN_160; // @[Conditional.scala 40:58] - wire _T_3957 = buf_state_en_2 & _T_4027; // @[lsu_bus_buffer.scala 466:44] - wire _T_3958 = _T_3957 & obuf_nosend; // @[lsu_bus_buffer.scala 466:60] - wire _T_3960 = _T_3958 & _T_2594; // @[lsu_bus_buffer.scala 466:74] - wire _T_3963 = _T_3953 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] - wire _T_3964 = _T_3963 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] - wire _T_3967 = _T_3963 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] - wire _T_4041 = bus_rsp_read_error & _T_4020; // @[lsu_bus_buffer.scala 483:91] - wire _T_4043 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 484:31] - wire _T_4045 = _T_4043 & _T_4022; // @[lsu_bus_buffer.scala 484:46] - wire _T_4046 = _T_4041 | _T_4045; // @[lsu_bus_buffer.scala 483:143] - wire _T_4048 = bus_rsp_write_error & _T_4018; // @[lsu_bus_buffer.scala 485:33] - wire _T_4049 = _T_4046 | _T_4048; // @[lsu_bus_buffer.scala 484:88] - wire _T_4050 = _T_3953 & _T_4049; // @[lsu_bus_buffer.scala 483:68] + wire _T_3957 = buf_state_en_2 & _T_4027; // @[lsu_bus_buffer.scala 460:44] + wire _T_3958 = _T_3957 & obuf_nosend; // @[lsu_bus_buffer.scala 460:60] + wire _T_3960 = _T_3958 & _T_2594; // @[lsu_bus_buffer.scala 460:74] + wire _T_3963 = _T_3953 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] + wire _T_3964 = _T_3963 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] + wire _T_3967 = _T_3963 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] + wire _T_4041 = bus_rsp_read_error & _T_4020; // @[lsu_bus_buffer.scala 477:91] + wire _T_4043 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 478:31] + wire _T_4045 = _T_4043 & _T_4022; // @[lsu_bus_buffer.scala 478:46] + wire _T_4046 = _T_4041 | _T_4045; // @[lsu_bus_buffer.scala 477:143] + wire _T_4048 = bus_rsp_write_error & _T_4018; // @[lsu_bus_buffer.scala 479:33] + wire _T_4049 = _T_4046 | _T_4048; // @[lsu_bus_buffer.scala 478:88] + wire _T_4050 = _T_3953 & _T_4049; // @[lsu_bus_buffer.scala 477:68] wire _GEN_208 = _T_3974 & _T_4050; // @[Conditional.scala 39:67] wire _GEN_221 = _T_3940 ? _T_3967 : _GEN_208; // @[Conditional.scala 39:67] wire _GEN_233 = _T_3936 ? 1'h0 : _GEN_221; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3913 ? 1'h0 : _GEN_233; // @[Conditional.scala 40:58] - wire _T_3977 = buf_write[2] & _T_3594; // @[lsu_bus_buffer.scala 473:73] - wire _T_3978 = io_dec_tlu_force_halt | _T_3977; // @[lsu_bus_buffer.scala 473:57] - wire _T_3980 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 474:30] - wire _T_3981 = buf_dual_2 & _T_3980; // @[lsu_bus_buffer.scala 474:28] - wire _T_3984 = _T_3981 & _T_4027; // @[lsu_bus_buffer.scala 474:45] - wire [2:0] _GEN_181 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 474:90] - wire [2:0] _GEN_182 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_181; // @[lsu_bus_buffer.scala 474:90] - wire [2:0] _GEN_183 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_182; // @[lsu_bus_buffer.scala 474:90] - wire _T_3985 = _GEN_183 != 3'h4; // @[lsu_bus_buffer.scala 474:90] - wire _T_3986 = _T_3984 & _T_3985; // @[lsu_bus_buffer.scala 474:61] - wire _T_3988 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 475:31] + wire _T_3977 = buf_write[2] & _T_3594; // @[lsu_bus_buffer.scala 467:73] + wire _T_3978 = io_dec_tlu_force_halt | _T_3977; // @[lsu_bus_buffer.scala 467:57] + wire _T_3980 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 468:30] + wire _T_3981 = buf_dual_2 & _T_3980; // @[lsu_bus_buffer.scala 468:28] + wire _T_3984 = _T_3981 & _T_4027; // @[lsu_bus_buffer.scala 468:45] + wire [2:0] _GEN_181 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 468:90] + wire [2:0] _GEN_182 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_181; // @[lsu_bus_buffer.scala 468:90] + wire [2:0] _GEN_183 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_182; // @[lsu_bus_buffer.scala 468:90] + wire _T_3985 = _GEN_183 != 3'h4; // @[lsu_bus_buffer.scala 468:90] + wire _T_3986 = _T_3984 & _T_3985; // @[lsu_bus_buffer.scala 468:61] + wire _T_3988 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] wire _T_3994 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3996 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_3998 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2367,17 +2367,17 @@ module lsu_bus_buffer( wire _T_4006 = _T_4002 | _T_4003; // @[Mux.scala 27:72] wire _T_4007 = _T_4006 | _T_4004; // @[Mux.scala 27:72] wire _T_4008 = _T_4007 | _T_4005; // @[Mux.scala 27:72] - wire _T_4010 = _T_3984 & _T_4008; // @[lsu_bus_buffer.scala 475:101] - wire _T_4011 = _GEN_183 == 3'h4; // @[lsu_bus_buffer.scala 475:167] - wire _T_4012 = _T_4010 & _T_4011; // @[lsu_bus_buffer.scala 475:138] - wire _T_4013 = _T_4012 & any_done_wait_state; // @[lsu_bus_buffer.scala 475:187] - wire _T_4014 = _T_3988 | _T_4013; // @[lsu_bus_buffer.scala 475:53] - wire _T_4037 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 482:47] - wire _T_4038 = _T_4037 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 482:62] - wire _T_4051 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 486:50] - wire _T_4052 = buf_state_en_2 & _T_4051; // @[lsu_bus_buffer.scala 486:48] - wire _T_4064 = buf_ldfwd[2] | _T_4069[0]; // @[lsu_bus_buffer.scala 490:90] - wire _T_4065 = _T_4064 | any_done_wait_state; // @[lsu_bus_buffer.scala 490:118] + wire _T_4010 = _T_3984 & _T_4008; // @[lsu_bus_buffer.scala 469:101] + wire _T_4011 = _GEN_183 == 3'h4; // @[lsu_bus_buffer.scala 469:167] + wire _T_4012 = _T_4010 & _T_4011; // @[lsu_bus_buffer.scala 469:138] + wire _T_4013 = _T_4012 & any_done_wait_state; // @[lsu_bus_buffer.scala 469:187] + wire _T_4014 = _T_3988 | _T_4013; // @[lsu_bus_buffer.scala 469:53] + wire _T_4037 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 476:47] + wire _T_4038 = _T_4037 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 476:62] + wire _T_4051 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 480:50] + wire _T_4052 = buf_state_en_2 & _T_4051; // @[lsu_bus_buffer.scala 480:48] + wire _T_4064 = buf_ldfwd[2] | _T_4069[0]; // @[lsu_bus_buffer.scala 484:90] + wire _T_4065 = _T_4064 | any_done_wait_state; // @[lsu_bus_buffer.scala 484:118] wire _GEN_191 = _T_4085 ? buf_state_en_2 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_195 = _T_4077 ? 1'h0 : _T_4085; // @[Conditional.scala 39:67] wire _GEN_196 = _T_4077 ? io_dec_tlu_force_halt : _GEN_191; // @[Conditional.scala 39:67] @@ -2396,34 +2396,34 @@ module lsu_bus_buffer( wire buf_data_en_2 = _T_3913 ? buf_state_en_2 : _GEN_232; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3913 ? io_dec_tlu_force_halt : _GEN_230; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3913 ? 1'h0 : _GEN_236; // @[Conditional.scala 40:58] - wire _T_4148 = buf_state_en_3 & _T_4218; // @[lsu_bus_buffer.scala 466:44] - wire _T_4149 = _T_4148 & obuf_nosend; // @[lsu_bus_buffer.scala 466:60] - wire _T_4151 = _T_4149 & _T_2594; // @[lsu_bus_buffer.scala 466:74] - wire _T_4154 = _T_4144 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] - wire _T_4155 = _T_4154 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] - wire _T_4158 = _T_4154 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] - wire _T_4232 = bus_rsp_read_error & _T_4211; // @[lsu_bus_buffer.scala 483:91] - wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 484:31] - wire _T_4236 = _T_4234 & _T_4213; // @[lsu_bus_buffer.scala 484:46] - wire _T_4237 = _T_4232 | _T_4236; // @[lsu_bus_buffer.scala 483:143] - wire _T_4239 = bus_rsp_write_error & _T_4209; // @[lsu_bus_buffer.scala 485:33] - wire _T_4240 = _T_4237 | _T_4239; // @[lsu_bus_buffer.scala 484:88] - wire _T_4241 = _T_4144 & _T_4240; // @[lsu_bus_buffer.scala 483:68] + wire _T_4148 = buf_state_en_3 & _T_4218; // @[lsu_bus_buffer.scala 460:44] + wire _T_4149 = _T_4148 & obuf_nosend; // @[lsu_bus_buffer.scala 460:60] + wire _T_4151 = _T_4149 & _T_2594; // @[lsu_bus_buffer.scala 460:74] + wire _T_4154 = _T_4144 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] + wire _T_4155 = _T_4154 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] + wire _T_4158 = _T_4154 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] + wire _T_4232 = bus_rsp_read_error & _T_4211; // @[lsu_bus_buffer.scala 477:91] + wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 478:31] + wire _T_4236 = _T_4234 & _T_4213; // @[lsu_bus_buffer.scala 478:46] + wire _T_4237 = _T_4232 | _T_4236; // @[lsu_bus_buffer.scala 477:143] + wire _T_4239 = bus_rsp_write_error & _T_4209; // @[lsu_bus_buffer.scala 479:33] + wire _T_4240 = _T_4237 | _T_4239; // @[lsu_bus_buffer.scala 478:88] + wire _T_4241 = _T_4144 & _T_4240; // @[lsu_bus_buffer.scala 477:68] wire _GEN_284 = _T_4165 & _T_4241; // @[Conditional.scala 39:67] wire _GEN_297 = _T_4131 ? _T_4158 : _GEN_284; // @[Conditional.scala 39:67] wire _GEN_309 = _T_4127 ? 1'h0 : _GEN_297; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4104 ? 1'h0 : _GEN_309; // @[Conditional.scala 40:58] - wire _T_4168 = buf_write[3] & _T_3594; // @[lsu_bus_buffer.scala 473:73] - wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[lsu_bus_buffer.scala 473:57] - wire _T_4171 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 474:30] - wire _T_4172 = buf_dual_3 & _T_4171; // @[lsu_bus_buffer.scala 474:28] - wire _T_4175 = _T_4172 & _T_4218; // @[lsu_bus_buffer.scala 474:45] - wire [2:0] _GEN_257 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 474:90] - wire [2:0] _GEN_258 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_257; // @[lsu_bus_buffer.scala 474:90] - wire [2:0] _GEN_259 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_258; // @[lsu_bus_buffer.scala 474:90] - wire _T_4176 = _GEN_259 != 3'h4; // @[lsu_bus_buffer.scala 474:90] - wire _T_4177 = _T_4175 & _T_4176; // @[lsu_bus_buffer.scala 474:61] - wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 475:31] + wire _T_4168 = buf_write[3] & _T_3594; // @[lsu_bus_buffer.scala 467:73] + wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[lsu_bus_buffer.scala 467:57] + wire _T_4171 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 468:30] + wire _T_4172 = buf_dual_3 & _T_4171; // @[lsu_bus_buffer.scala 468:28] + wire _T_4175 = _T_4172 & _T_4218; // @[lsu_bus_buffer.scala 468:45] + wire [2:0] _GEN_257 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 468:90] + wire [2:0] _GEN_258 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_257; // @[lsu_bus_buffer.scala 468:90] + wire [2:0] _GEN_259 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_258; // @[lsu_bus_buffer.scala 468:90] + wire _T_4176 = _GEN_259 != 3'h4; // @[lsu_bus_buffer.scala 468:90] + wire _T_4177 = _T_4175 & _T_4176; // @[lsu_bus_buffer.scala 468:61] + wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] wire _T_4185 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_4187 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_4189 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2435,17 +2435,17 @@ module lsu_bus_buffer( wire _T_4197 = _T_4193 | _T_4194; // @[Mux.scala 27:72] wire _T_4198 = _T_4197 | _T_4195; // @[Mux.scala 27:72] wire _T_4199 = _T_4198 | _T_4196; // @[Mux.scala 27:72] - wire _T_4201 = _T_4175 & _T_4199; // @[lsu_bus_buffer.scala 475:101] - wire _T_4202 = _GEN_259 == 3'h4; // @[lsu_bus_buffer.scala 475:167] - wire _T_4203 = _T_4201 & _T_4202; // @[lsu_bus_buffer.scala 475:138] - wire _T_4204 = _T_4203 & any_done_wait_state; // @[lsu_bus_buffer.scala 475:187] - wire _T_4205 = _T_4179 | _T_4204; // @[lsu_bus_buffer.scala 475:53] - wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 482:47] - wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 482:62] - wire _T_4242 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 486:50] - wire _T_4243 = buf_state_en_3 & _T_4242; // @[lsu_bus_buffer.scala 486:48] - wire _T_4255 = buf_ldfwd[3] | _T_4260[0]; // @[lsu_bus_buffer.scala 490:90] - wire _T_4256 = _T_4255 | any_done_wait_state; // @[lsu_bus_buffer.scala 490:118] + wire _T_4201 = _T_4175 & _T_4199; // @[lsu_bus_buffer.scala 469:101] + wire _T_4202 = _GEN_259 == 3'h4; // @[lsu_bus_buffer.scala 469:167] + wire _T_4203 = _T_4201 & _T_4202; // @[lsu_bus_buffer.scala 469:138] + wire _T_4204 = _T_4203 & any_done_wait_state; // @[lsu_bus_buffer.scala 469:187] + wire _T_4205 = _T_4179 | _T_4204; // @[lsu_bus_buffer.scala 469:53] + wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 476:47] + wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 476:62] + wire _T_4242 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 480:50] + wire _T_4243 = buf_state_en_3 & _T_4242; // @[lsu_bus_buffer.scala 480:48] + wire _T_4255 = buf_ldfwd[3] | _T_4260[0]; // @[lsu_bus_buffer.scala 484:90] + wire _T_4256 = _T_4255 | any_done_wait_state; // @[lsu_bus_buffer.scala 484:118] wire _GEN_267 = _T_4276 ? buf_state_en_3 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4268 ? 1'h0 : _T_4276; // @[Conditional.scala 39:67] wire _GEN_272 = _T_4268 ? io_dec_tlu_force_halt : _GEN_267; // @[Conditional.scala 39:67] @@ -2469,211 +2469,215 @@ module lsu_bus_buffer( reg _T_4337; // @[Reg.scala 27:20] reg _T_4340; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4340,_T_4337,_T_4334,_T_4331}; // @[Cat.scala 29:58] - reg _T_4402; // @[lsu_bus_buffer.scala 529:80] - reg _T_4398; // @[lsu_bus_buffer.scala 529:80] - reg _T_4394; // @[lsu_bus_buffer.scala 529:80] - reg _T_4390; // @[lsu_bus_buffer.scala 529:80] - wire [3:0] buf_error = {_T_4402,_T_4398,_T_4394,_T_4390}; // @[Cat.scala 29:58] - wire _T_4388 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 529:84] - wire _T_4392 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 529:84] - wire _T_4396 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 529:84] - wire _T_4400 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 529:84] - wire [1:0] _T_4406 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4407 = io_ldst_dual_m ? _T_4406 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 530:28] - wire [1:0] _T_4408 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4409 = io_ldst_dual_r ? _T_4408 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 530:94] - wire [2:0] _T_4410 = _T_4407 + _T_4409; // @[lsu_bus_buffer.scala 530:88] - wire [2:0] _GEN_406 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 530:154] - wire [3:0] _T_4411 = _T_4410 + _GEN_406; // @[lsu_bus_buffer.scala 530:154] - wire [1:0] _T_4416 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 530:217] - wire [1:0] _GEN_407 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 530:217] - wire [2:0] _T_4417 = _T_4416 + _GEN_407; // @[lsu_bus_buffer.scala 530:217] - wire [2:0] _GEN_408 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 530:217] - wire [3:0] _T_4418 = _T_4417 + _GEN_408; // @[lsu_bus_buffer.scala 530:217] - wire [3:0] buf_numvld_any = _T_4411 + _T_4418; // @[lsu_bus_buffer.scala 530:169] - wire _T_4489 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 536:52] - wire _T_4490 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 536:92] - wire _T_4491 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 536:121] - wire _T_4493 = |buf_state_0; // @[lsu_bus_buffer.scala 537:52] - wire _T_4494 = |buf_state_1; // @[lsu_bus_buffer.scala 537:52] - wire _T_4495 = |buf_state_2; // @[lsu_bus_buffer.scala 537:52] - wire _T_4496 = |buf_state_3; // @[lsu_bus_buffer.scala 537:52] - wire _T_4497 = _T_4493 | _T_4494; // @[lsu_bus_buffer.scala 537:65] - wire _T_4498 = _T_4497 | _T_4495; // @[lsu_bus_buffer.scala 537:65] - wire _T_4499 = _T_4498 | _T_4496; // @[lsu_bus_buffer.scala 537:65] - wire _T_4500 = ~_T_4499; // @[lsu_bus_buffer.scala 537:34] - wire _T_4502 = _T_4500 & _T_852; // @[lsu_bus_buffer.scala 537:70] - wire _T_4505 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 539:64] - wire _T_4506 = _T_4505 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 539:85] - wire _T_4507 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 539:112] - wire _T_4508 = _T_4506 & _T_4507; // @[lsu_bus_buffer.scala 539:110] - wire _T_4509 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 539:129] - wire _T_4511 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 542:74] - reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 627:66] - wire _T_4525 = _T_2770 & _T_3645; // @[Mux.scala 27:72] - wire _T_4526 = _T_2792 & _T_3836; // @[Mux.scala 27:72] - wire _T_4527 = _T_2814 & _T_4027; // @[Mux.scala 27:72] - wire _T_4528 = _T_2836 & _T_4218; // @[Mux.scala 27:72] - wire _T_4529 = _T_4525 | _T_4526; // @[Mux.scala 27:72] - wire _T_4530 = _T_4529 | _T_4527; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4530 | _T_4528; // @[Mux.scala 27:72] - wire _T_4536 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 545:121] - wire _T_4541 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 545:121] - wire _T_4546 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 545:121] - wire _T_4551 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 545:121] - wire _T_4552 = _T_2770 & _T_4536; // @[Mux.scala 27:72] - wire _T_4553 = _T_2792 & _T_4541; // @[Mux.scala 27:72] - wire _T_4554 = _T_2814 & _T_4546; // @[Mux.scala 27:72] - wire _T_4555 = _T_2836 & _T_4551; // @[Mux.scala 27:72] - wire _T_4556 = _T_4552 | _T_4553; // @[Mux.scala 27:72] - wire _T_4557 = _T_4556 | _T_4554; // @[Mux.scala 27:72] - wire _T_4564 = ~buf_dual_0; // @[lsu_bus_buffer.scala 546:122] - wire _T_4565 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 546:137] - wire _T_4566 = _T_4564 | _T_4565; // @[lsu_bus_buffer.scala 546:135] - wire _T_4567 = _T_4525 & _T_4566; // @[lsu_bus_buffer.scala 546:119] - wire _T_4572 = ~buf_dual_1; // @[lsu_bus_buffer.scala 546:122] - wire _T_4573 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 546:137] - wire _T_4574 = _T_4572 | _T_4573; // @[lsu_bus_buffer.scala 546:135] - wire _T_4575 = _T_4526 & _T_4574; // @[lsu_bus_buffer.scala 546:119] - wire _T_4580 = ~buf_dual_2; // @[lsu_bus_buffer.scala 546:122] - wire _T_4581 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 546:137] - wire _T_4582 = _T_4580 | _T_4581; // @[lsu_bus_buffer.scala 546:135] - wire _T_4583 = _T_4527 & _T_4582; // @[lsu_bus_buffer.scala 546:119] - wire _T_4588 = ~buf_dual_3; // @[lsu_bus_buffer.scala 546:122] - wire _T_4589 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 546:137] - wire _T_4590 = _T_4588 | _T_4589; // @[lsu_bus_buffer.scala 546:135] - wire _T_4591 = _T_4528 & _T_4590; // @[lsu_bus_buffer.scala 546:119] - wire [1:0] _T_4594 = _T_4583 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4595 = _T_4591 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_409 = {{1'd0}, _T_4575}; // @[Mux.scala 27:72] - wire [1:0] _T_4597 = _GEN_409 | _T_4594; // @[Mux.scala 27:72] - wire [31:0] _T_4632 = _T_4567 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4633 = _T_4575 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4634 = _T_4583 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4635 = _T_4591 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4636 = _T_4632 | _T_4633; // @[Mux.scala 27:72] - wire [31:0] _T_4637 = _T_4636 | _T_4634; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4637 | _T_4635; // @[Mux.scala 27:72] - wire _T_4644 = _T_4525 & _T_3643; // @[lsu_bus_buffer.scala 548:105] - wire _T_4650 = _T_4526 & _T_3834; // @[lsu_bus_buffer.scala 548:105] - wire _T_4656 = _T_4527 & _T_4025; // @[lsu_bus_buffer.scala 548:105] - wire _T_4662 = _T_4528 & _T_4216; // @[lsu_bus_buffer.scala 548:105] - wire [31:0] _T_4663 = _T_4644 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4664 = _T_4650 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4665 = _T_4656 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4666 = _T_4662 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4667 = _T_4663 | _T_4664; // @[Mux.scala 27:72] - wire [31:0] _T_4668 = _T_4667 | _T_4665; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4668 | _T_4666; // @[Mux.scala 27:72] - wire _T_4670 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] - wire _T_4671 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] - wire _T_4672 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] - wire _T_4673 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] - wire [31:0] _T_4674 = _T_4670 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4675 = _T_4671 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4676 = _T_4672 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4677 = _T_4673 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4678 = _T_4674 | _T_4675; // @[Mux.scala 27:72] - wire [31:0] _T_4679 = _T_4678 | _T_4676; // @[Mux.scala 27:72] - wire [31:0] _T_4680 = _T_4679 | _T_4677; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4680[1:0]; // @[lsu_bus_buffer.scala 549:96] - wire [1:0] _T_4686 = _T_4670 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4687 = _T_4671 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4688 = _T_4672 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4689 = _T_4673 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4690 = _T_4686 | _T_4687; // @[Mux.scala 27:72] - wire [1:0] _T_4691 = _T_4690 | _T_4688; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4691 | _T_4689; // @[Mux.scala 27:72] - wire _T_4701 = _T_4670 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4702 = _T_4671 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4703 = _T_4672 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4704 = _T_4673 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4705 = _T_4701 | _T_4702; // @[Mux.scala 27:72] - wire _T_4706 = _T_4705 | _T_4703; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4706 | _T_4704; // @[Mux.scala 27:72] - wire [63:0] _T_4708 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_410 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 553:121] - wire [5:0] _T_4709 = _GEN_410 * 4'h8; // @[lsu_bus_buffer.scala 553:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4708 >> _T_4709; // @[lsu_bus_buffer.scala 553:92] - wire _T_4710 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 555:82] - wire _T_4712 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 556:94] - wire _T_4713 = lsu_nonblock_unsign & _T_4712; // @[lsu_bus_buffer.scala 556:76] - wire [31:0] _T_4715 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4716 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 557:45] - wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 557:26] - wire [31:0] _T_4719 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4720 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 558:6] - wire _T_4722 = _T_4720 & _T_4712; // @[lsu_bus_buffer.scala 558:27] - wire [23:0] _T_4725 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4727 = {_T_4725,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4730 = _T_4720 & _T_4716; // @[lsu_bus_buffer.scala 559:27] - wire [15:0] _T_4733 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4735 = {_T_4733,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4736 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 560:21] - wire [31:0] _T_4737 = _T_4713 ? _T_4715 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4738 = _T_4717 ? _T_4719 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4739 = _T_4722 ? _T_4727 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4740 = _T_4730 ? _T_4735 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4741 = _T_4736 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4742 = _T_4737 | _T_4738; // @[Mux.scala 27:72] - wire [31:0] _T_4743 = _T_4742 | _T_4739; // @[Mux.scala 27:72] - wire [31:0] _T_4744 = _T_4743 | _T_4740; // @[Mux.scala 27:72] - wire [63:0] _GEN_411 = {{32'd0}, _T_4744}; // @[Mux.scala 27:72] - wire [63:0] _T_4745 = _GEN_411 | _T_4741; // @[Mux.scala 27:72] - wire _T_4839 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 578:37] - wire _T_4840 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 578:52] - wire _T_4841 = _T_4839 & _T_4840; // @[lsu_bus_buffer.scala 578:50] - wire [31:0] _T_4845 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4847 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4852 = ~obuf_data_done; // @[lsu_bus_buffer.scala 590:51] - wire _T_4853 = _T_4839 & _T_4852; // @[lsu_bus_buffer.scala 590:49] - wire [7:0] _T_4857 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4860 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 595:37] - wire _T_4862 = _T_4860 & _T_1347; // @[lsu_bus_buffer.scala 595:51] - wire _T_4874 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 608:126] - wire _T_4876 = _T_4874 & buf_write[0]; // @[lsu_bus_buffer.scala 608:141] - wire _T_4879 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 608:126] - wire _T_4881 = _T_4879 & buf_write[1]; // @[lsu_bus_buffer.scala 608:141] - wire _T_4884 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 608:126] - wire _T_4886 = _T_4884 & buf_write[2]; // @[lsu_bus_buffer.scala 608:141] - wire _T_4889 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 608:126] - wire _T_4891 = _T_4889 & buf_write[3]; // @[lsu_bus_buffer.scala 608:141] - wire _T_4892 = _T_2770 & _T_4876; // @[Mux.scala 27:72] - wire _T_4893 = _T_2792 & _T_4881; // @[Mux.scala 27:72] - wire _T_4894 = _T_2814 & _T_4886; // @[Mux.scala 27:72] - wire _T_4895 = _T_2836 & _T_4891; // @[Mux.scala 27:72] - wire _T_4896 = _T_4892 | _T_4893; // @[Mux.scala 27:72] - wire _T_4897 = _T_4896 | _T_4894; // @[Mux.scala 27:72] - wire _T_4907 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 609:93] - wire _T_4909 = _T_4907 & buf_write[1]; // @[lsu_bus_buffer.scala 609:108] - wire _T_4912 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 609:93] - wire _T_4914 = _T_4912 & buf_write[2]; // @[lsu_bus_buffer.scala 609:108] - wire _T_4917 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 609:93] - wire _T_4919 = _T_4917 & buf_write[3]; // @[lsu_bus_buffer.scala 609:108] - wire [1:0] _T_4922 = _T_4914 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4923 = _T_4919 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_412 = {{1'd0}, _T_4909}; // @[Mux.scala 27:72] - wire [1:0] _T_4925 = _GEN_412 | _T_4922; // @[Mux.scala 27:72] - wire [1:0] lsu_imprecise_error_store_tag = _T_4925 | _T_4923; // @[Mux.scala 27:72] - wire _T_4927 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 611:97] - wire [31:0] _GEN_369 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 612:53] - wire [31:0] _GEN_370 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_369; // @[lsu_bus_buffer.scala 612:53] - wire [31:0] _GEN_371 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_370; // @[lsu_bus_buffer.scala 612:53] - wire [31:0] _GEN_373 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 612:53] - wire [31:0] _GEN_374 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_373; // @[lsu_bus_buffer.scala 612:53] - wire [31:0] _GEN_375 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_374; // @[lsu_bus_buffer.scala 612:53] - wire _T_4932 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 618:82] - wire _T_4935 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 619:60] - wire _T_4938 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 622:61] - wire _T_4939 = io_lsu_axi_aw_valid & _T_4938; // @[lsu_bus_buffer.scala 622:59] - wire _T_4940 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 622:107] - wire _T_4941 = io_lsu_axi_w_valid & _T_4940; // @[lsu_bus_buffer.scala 622:105] - wire _T_4942 = _T_4939 | _T_4941; // @[lsu_bus_buffer.scala 622:83] - wire _T_4943 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 622:153] - wire _T_4944 = io_lsu_axi_ar_valid & _T_4943; // @[lsu_bus_buffer.scala 622:151] - wire _T_4948 = ~io_flush_r; // @[lsu_bus_buffer.scala 626:75] - wire _T_4949 = io_lsu_busreq_m & _T_4948; // @[lsu_bus_buffer.scala 626:73] - reg _T_4952; // @[lsu_bus_buffer.scala 626:56] + reg _T_4406; // @[lsu_bus_buffer.scala 523:80] + reg _T_4401; // @[lsu_bus_buffer.scala 523:80] + reg _T_4396; // @[lsu_bus_buffer.scala 523:80] + reg _T_4391; // @[lsu_bus_buffer.scala 523:80] + wire [3:0] buf_error = {_T_4406,_T_4401,_T_4396,_T_4391}; // @[Cat.scala 29:58] + wire _T_4388 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 523:84] + wire _T_4389 = ~buf_rst_0; // @[lsu_bus_buffer.scala 523:126] + wire _T_4393 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 523:84] + wire _T_4394 = ~buf_rst_1; // @[lsu_bus_buffer.scala 523:126] + wire _T_4398 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 523:84] + wire _T_4399 = ~buf_rst_2; // @[lsu_bus_buffer.scala 523:126] + wire _T_4403 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 523:84] + wire _T_4404 = ~buf_rst_3; // @[lsu_bus_buffer.scala 523:126] + wire [1:0] _T_4410 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4411 = io_ldst_dual_m ? _T_4410 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 524:28] + wire [1:0] _T_4412 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4413 = io_ldst_dual_r ? _T_4412 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 524:94] + wire [2:0] _T_4414 = _T_4411 + _T_4413; // @[lsu_bus_buffer.scala 524:88] + wire [2:0] _GEN_406 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 524:154] + wire [3:0] _T_4415 = _T_4414 + _GEN_406; // @[lsu_bus_buffer.scala 524:154] + wire [1:0] _T_4420 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 524:217] + wire [1:0] _GEN_407 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 524:217] + wire [2:0] _T_4421 = _T_4420 + _GEN_407; // @[lsu_bus_buffer.scala 524:217] + wire [2:0] _GEN_408 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 524:217] + wire [3:0] _T_4422 = _T_4421 + _GEN_408; // @[lsu_bus_buffer.scala 524:217] + wire [3:0] buf_numvld_any = _T_4415 + _T_4422; // @[lsu_bus_buffer.scala 524:169] + wire _T_4493 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 530:52] + wire _T_4494 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 530:92] + wire _T_4495 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 530:121] + wire _T_4497 = |buf_state_0; // @[lsu_bus_buffer.scala 531:52] + wire _T_4498 = |buf_state_1; // @[lsu_bus_buffer.scala 531:52] + wire _T_4499 = |buf_state_2; // @[lsu_bus_buffer.scala 531:52] + wire _T_4500 = |buf_state_3; // @[lsu_bus_buffer.scala 531:52] + wire _T_4501 = _T_4497 | _T_4498; // @[lsu_bus_buffer.scala 531:65] + wire _T_4502 = _T_4501 | _T_4499; // @[lsu_bus_buffer.scala 531:65] + wire _T_4503 = _T_4502 | _T_4500; // @[lsu_bus_buffer.scala 531:65] + wire _T_4504 = ~_T_4503; // @[lsu_bus_buffer.scala 531:34] + wire _T_4506 = _T_4504 & _T_852; // @[lsu_bus_buffer.scala 531:70] + wire _T_4509 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 533:64] + wire _T_4510 = _T_4509 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 533:85] + wire _T_4511 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 533:112] + wire _T_4512 = _T_4510 & _T_4511; // @[lsu_bus_buffer.scala 533:110] + wire _T_4513 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 533:129] + wire _T_4515 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 536:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 621:66] + wire _T_4529 = _T_2770 & _T_3645; // @[Mux.scala 27:72] + wire _T_4530 = _T_2792 & _T_3836; // @[Mux.scala 27:72] + wire _T_4531 = _T_2814 & _T_4027; // @[Mux.scala 27:72] + wire _T_4532 = _T_2836 & _T_4218; // @[Mux.scala 27:72] + wire _T_4533 = _T_4529 | _T_4530; // @[Mux.scala 27:72] + wire _T_4534 = _T_4533 | _T_4531; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4534 | _T_4532; // @[Mux.scala 27:72] + wire _T_4540 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 539:121] + wire _T_4545 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 539:121] + wire _T_4550 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 539:121] + wire _T_4555 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 539:121] + wire _T_4556 = _T_2770 & _T_4540; // @[Mux.scala 27:72] + wire _T_4557 = _T_2792 & _T_4545; // @[Mux.scala 27:72] + wire _T_4558 = _T_2814 & _T_4550; // @[Mux.scala 27:72] + wire _T_4559 = _T_2836 & _T_4555; // @[Mux.scala 27:72] + wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] + wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] + wire _T_4568 = ~buf_dual_0; // @[lsu_bus_buffer.scala 540:122] + wire _T_4569 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 540:137] + wire _T_4570 = _T_4568 | _T_4569; // @[lsu_bus_buffer.scala 540:135] + wire _T_4571 = _T_4529 & _T_4570; // @[lsu_bus_buffer.scala 540:119] + wire _T_4576 = ~buf_dual_1; // @[lsu_bus_buffer.scala 540:122] + wire _T_4577 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 540:137] + wire _T_4578 = _T_4576 | _T_4577; // @[lsu_bus_buffer.scala 540:135] + wire _T_4579 = _T_4530 & _T_4578; // @[lsu_bus_buffer.scala 540:119] + wire _T_4584 = ~buf_dual_2; // @[lsu_bus_buffer.scala 540:122] + wire _T_4585 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 540:137] + wire _T_4586 = _T_4584 | _T_4585; // @[lsu_bus_buffer.scala 540:135] + wire _T_4587 = _T_4531 & _T_4586; // @[lsu_bus_buffer.scala 540:119] + wire _T_4592 = ~buf_dual_3; // @[lsu_bus_buffer.scala 540:122] + wire _T_4593 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 540:137] + wire _T_4594 = _T_4592 | _T_4593; // @[lsu_bus_buffer.scala 540:135] + wire _T_4595 = _T_4532 & _T_4594; // @[lsu_bus_buffer.scala 540:119] + wire [1:0] _T_4598 = _T_4587 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4599 = _T_4595 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_409 = {{1'd0}, _T_4579}; // @[Mux.scala 27:72] + wire [1:0] _T_4601 = _GEN_409 | _T_4598; // @[Mux.scala 27:72] + wire [31:0] _T_4636 = _T_4571 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4637 = _T_4579 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4638 = _T_4587 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4639 = _T_4595 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4640 = _T_4636 | _T_4637; // @[Mux.scala 27:72] + wire [31:0] _T_4641 = _T_4640 | _T_4638; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4641 | _T_4639; // @[Mux.scala 27:72] + wire _T_4648 = _T_4529 & _T_3643; // @[lsu_bus_buffer.scala 542:105] + wire _T_4654 = _T_4530 & _T_3834; // @[lsu_bus_buffer.scala 542:105] + wire _T_4660 = _T_4531 & _T_4025; // @[lsu_bus_buffer.scala 542:105] + wire _T_4666 = _T_4532 & _T_4216; // @[lsu_bus_buffer.scala 542:105] + wire [31:0] _T_4667 = _T_4648 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4668 = _T_4654 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4669 = _T_4660 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4670 = _T_4666 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] + wire [31:0] _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4672 | _T_4670; // @[Mux.scala 27:72] + wire _T_4674 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] + wire _T_4675 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] + wire _T_4676 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] + wire _T_4677 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] + wire [31:0] _T_4678 = _T_4674 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4675 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4676 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4681 = _T_4677 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4682 = _T_4678 | _T_4679; // @[Mux.scala 27:72] + wire [31:0] _T_4683 = _T_4682 | _T_4680; // @[Mux.scala 27:72] + wire [31:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[lsu_bus_buffer.scala 543:96] + wire [1:0] _T_4690 = _T_4674 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4691 = _T_4675 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4692 = _T_4676 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4693 = _T_4677 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4694 = _T_4690 | _T_4691; // @[Mux.scala 27:72] + wire [1:0] _T_4695 = _T_4694 | _T_4692; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4695 | _T_4693; // @[Mux.scala 27:72] + wire _T_4705 = _T_4674 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4706 = _T_4675 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4707 = _T_4676 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4708 = _T_4677 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4709 = _T_4705 | _T_4706; // @[Mux.scala 27:72] + wire _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4710 | _T_4708; // @[Mux.scala 27:72] + wire [63:0] _T_4712 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_410 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 547:121] + wire [5:0] _T_4713 = _GEN_410 * 4'h8; // @[lsu_bus_buffer.scala 547:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4712 >> _T_4713; // @[lsu_bus_buffer.scala 547:92] + wire _T_4714 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 549:82] + wire _T_4716 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 550:94] + wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 550:76] + wire [31:0] _T_4719 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4720 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 551:45] + wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 551:26] + wire [31:0] _T_4723 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4724 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 552:6] + wire _T_4726 = _T_4724 & _T_4716; // @[lsu_bus_buffer.scala 552:27] + wire [23:0] _T_4729 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4731 = {_T_4729,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4734 = _T_4724 & _T_4720; // @[lsu_bus_buffer.scala 553:27] + wire [15:0] _T_4737 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4739 = {_T_4737,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4740 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 554:21] + wire [31:0] _T_4741 = _T_4717 ? _T_4719 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4742 = _T_4721 ? _T_4723 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4743 = _T_4726 ? _T_4731 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4744 = _T_4734 ? _T_4739 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4745 = _T_4740 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4746 = _T_4741 | _T_4742; // @[Mux.scala 27:72] + wire [31:0] _T_4747 = _T_4746 | _T_4743; // @[Mux.scala 27:72] + wire [31:0] _T_4748 = _T_4747 | _T_4744; // @[Mux.scala 27:72] + wire [63:0] _GEN_411 = {{32'd0}, _T_4748}; // @[Mux.scala 27:72] + wire [63:0] _T_4749 = _GEN_411 | _T_4745; // @[Mux.scala 27:72] + wire _T_4843 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 572:37] + wire _T_4844 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 572:52] + wire _T_4845 = _T_4843 & _T_4844; // @[lsu_bus_buffer.scala 572:50] + wire [31:0] _T_4849 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4851 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4856 = ~obuf_data_done; // @[lsu_bus_buffer.scala 584:51] + wire _T_4857 = _T_4843 & _T_4856; // @[lsu_bus_buffer.scala 584:49] + wire [7:0] _T_4861 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4864 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 589:37] + wire _T_4866 = _T_4864 & _T_1347; // @[lsu_bus_buffer.scala 589:51] + wire _T_4878 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 602:126] + wire _T_4880 = _T_4878 & buf_write[0]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4883 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 602:126] + wire _T_4885 = _T_4883 & buf_write[1]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4888 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 602:126] + wire _T_4890 = _T_4888 & buf_write[2]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4893 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 602:126] + wire _T_4895 = _T_4893 & buf_write[3]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4896 = _T_2770 & _T_4880; // @[Mux.scala 27:72] + wire _T_4897 = _T_2792 & _T_4885; // @[Mux.scala 27:72] + wire _T_4898 = _T_2814 & _T_4890; // @[Mux.scala 27:72] + wire _T_4899 = _T_2836 & _T_4895; // @[Mux.scala 27:72] + wire _T_4900 = _T_4896 | _T_4897; // @[Mux.scala 27:72] + wire _T_4901 = _T_4900 | _T_4898; // @[Mux.scala 27:72] + wire _T_4911 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 603:93] + wire _T_4913 = _T_4911 & buf_write[1]; // @[lsu_bus_buffer.scala 603:108] + wire _T_4916 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 603:93] + wire _T_4918 = _T_4916 & buf_write[2]; // @[lsu_bus_buffer.scala 603:108] + wire _T_4921 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 603:93] + wire _T_4923 = _T_4921 & buf_write[3]; // @[lsu_bus_buffer.scala 603:108] + wire [1:0] _T_4926 = _T_4918 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4927 = _T_4923 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_412 = {{1'd0}, _T_4913}; // @[Mux.scala 27:72] + wire [1:0] _T_4929 = _GEN_412 | _T_4926; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4929 | _T_4927; // @[Mux.scala 27:72] + wire _T_4931 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 605:97] + wire [31:0] _GEN_369 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 606:53] + wire [31:0] _GEN_370 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_369; // @[lsu_bus_buffer.scala 606:53] + wire [31:0] _GEN_371 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_370; // @[lsu_bus_buffer.scala 606:53] + wire [31:0] _GEN_373 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 606:53] + wire [31:0] _GEN_374 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_373; // @[lsu_bus_buffer.scala 606:53] + wire [31:0] _GEN_375 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_374; // @[lsu_bus_buffer.scala 606:53] + wire _T_4936 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 612:82] + wire _T_4939 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 613:60] + wire _T_4942 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 616:61] + wire _T_4943 = io_lsu_axi_aw_valid & _T_4942; // @[lsu_bus_buffer.scala 616:59] + wire _T_4944 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 616:107] + wire _T_4945 = io_lsu_axi_w_valid & _T_4944; // @[lsu_bus_buffer.scala 616:105] + wire _T_4946 = _T_4943 | _T_4945; // @[lsu_bus_buffer.scala 616:83] + wire _T_4947 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 616:153] + wire _T_4948 = io_lsu_axi_ar_valid & _T_4947; // @[lsu_bus_buffer.scala 616:151] + wire _T_4952 = ~io_flush_r; // @[lsu_bus_buffer.scala 620:75] + wire _T_4953 = io_lsu_busreq_m & _T_4952; // @[lsu_bus_buffer.scala 620:73] + reg _T_4956; // @[lsu_bus_buffer.scala 620:56] rvclkhdr rvclkhdr ( // @[lib.scala 390:23] .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en) @@ -2722,53 +2726,53 @@ module lsu_bus_buffer( .io_clk(rvclkhdr_11_io_clk), .io_en(rvclkhdr_11_io_en) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4932 | _T_4831; // @[lsu_bus_buffer.scala 618:35] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4935 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 619:41] - assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 620:36] - assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4942 | _T_4944; // @[lsu_bus_buffer.scala 622:35] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4927; // @[lsu_bus_buffer.scala 611:47] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4897 | _T_4895; // @[lsu_bus_buffer.scala 608:48] - assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_371 : _GEN_375; // @[lsu_bus_buffer.scala 612:47] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4508 & _T_4509; // @[lsu_bus_buffer.scala 539:45] - assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 540:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4511; // @[lsu_bus_buffer.scala 542:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 543:47] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4710; // @[lsu_bus_buffer.scala 555:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4557 | _T_4555; // @[lsu_bus_buffer.scala 545:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4597 | _T_4595; // @[lsu_bus_buffer.scala 546:46] - assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4745[31:0]; // @[lsu_bus_buffer.scala 556:42] - assign io_lsu_axi_aw_valid = _T_4841 & _T_1237; // @[lsu_bus_buffer.scala 578:23] - assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 579:25] - assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4845; // @[lsu_bus_buffer.scala 580:27] - assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 584:29] - assign io_lsu_axi_aw_bits_len = 8'h0; // @[lsu_bus_buffer.scala 585:26] - assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4847 : 3'h3; // @[lsu_bus_buffer.scala 581:27] - assign io_lsu_axi_aw_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 586:28] - assign io_lsu_axi_aw_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 588:27] - assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 583:28] - assign io_lsu_axi_aw_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 582:27] - assign io_lsu_axi_aw_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 587:26] - assign io_lsu_axi_w_valid = _T_4853 & _T_1237; // @[lsu_bus_buffer.scala 590:22] - assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 592:26] - assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4857; // @[lsu_bus_buffer.scala 591:26] - assign io_lsu_axi_w_bits_last = 1'h1; // @[lsu_bus_buffer.scala 593:26] - assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 606:22] - assign io_lsu_axi_ar_valid = _T_4862 & _T_1237; // @[lsu_bus_buffer.scala 595:23] - assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 596:25] - assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4845; // @[lsu_bus_buffer.scala 597:27] - assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 601:29] - assign io_lsu_axi_ar_bits_len = 8'h0; // @[lsu_bus_buffer.scala 602:26] - assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4847 : 3'h3; // @[lsu_bus_buffer.scala 598:27] - assign io_lsu_axi_ar_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 603:28] - assign io_lsu_axi_ar_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 605:27] - assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 600:28] - assign io_lsu_axi_ar_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 599:27] - assign io_lsu_axi_ar_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 604:26] - assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 607:22] - assign io_lsu_busreq_r = _T_4952; // @[lsu_bus_buffer.scala 626:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 535:30] - assign io_lsu_bus_buffer_full_any = _T_4489 ? _T_4490 : _T_4491; // @[lsu_bus_buffer.scala 536:30] - assign io_lsu_bus_buffer_empty_any = _T_4502 & _T_1231; // @[lsu_bus_buffer.scala 537:31] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4936 | _T_4835; // @[lsu_bus_buffer.scala 612:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4939 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 613:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 614:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4946 | _T_4948; // @[lsu_bus_buffer.scala 616:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4931; // @[lsu_bus_buffer.scala 605:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4901 | _T_4899; // @[lsu_bus_buffer.scala 602:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_371 : _GEN_375; // @[lsu_bus_buffer.scala 606:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4512 & _T_4513; // @[lsu_bus_buffer.scala 533:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 534:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4515; // @[lsu_bus_buffer.scala 536:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 537:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4714; // @[lsu_bus_buffer.scala 549:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[lsu_bus_buffer.scala 539:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[lsu_bus_buffer.scala 540:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4749[31:0]; // @[lsu_bus_buffer.scala 550:42] + assign io_lsu_axi_aw_valid = _T_4845 & _T_1237; // @[lsu_bus_buffer.scala 572:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 573:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 574:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 578:29] + assign io_lsu_axi_aw_bits_len = 8'h0; // @[lsu_bus_buffer.scala 579:26] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 575:27] + assign io_lsu_axi_aw_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 580:28] + assign io_lsu_axi_aw_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 582:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 577:28] + assign io_lsu_axi_aw_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 576:27] + assign io_lsu_axi_aw_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 581:26] + assign io_lsu_axi_w_valid = _T_4857 & _T_1237; // @[lsu_bus_buffer.scala 584:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 586:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4861; // @[lsu_bus_buffer.scala 585:26] + assign io_lsu_axi_w_bits_last = 1'h1; // @[lsu_bus_buffer.scala 587:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 600:22] + assign io_lsu_axi_ar_valid = _T_4866 & _T_1237; // @[lsu_bus_buffer.scala 589:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 590:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 591:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 595:29] + assign io_lsu_axi_ar_bits_len = 8'h0; // @[lsu_bus_buffer.scala 596:26] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 592:27] + assign io_lsu_axi_ar_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 597:28] + assign io_lsu_axi_ar_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 599:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 594:28] + assign io_lsu_axi_ar_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 593:27] + assign io_lsu_axi_ar_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 598:26] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 601:22] + assign io_lsu_busreq_r = _T_4956; // @[lsu_bus_buffer.scala 620:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 529:30] + assign io_lsu_bus_buffer_full_any = _T_4493 ? _T_4494 : _T_4495; // @[lsu_bus_buffer.scala 530:30] + assign io_lsu_bus_buffer_empty_any = _T_4506 & _T_1231; // @[lsu_bus_buffer.scala 531:31] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 141:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 142:25] assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 168:24] @@ -3035,17 +3039,17 @@ initial begin _RAND_100 = {1{`RANDOM}}; _T_4340 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4402 = _RAND_101[0:0]; + _T_4406 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4398 = _RAND_102[0:0]; + _T_4401 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4394 = _RAND_103[0:0]; + _T_4396 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4390 = _RAND_104[0:0]; + _T_4391 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4952 = _RAND_106[0:0]; + _T_4956 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; @@ -3351,22 +3355,22 @@ initial begin _T_4340 = 1'h0; end if (reset) begin - _T_4402 = 1'h0; + _T_4406 = 1'h0; end if (reset) begin - _T_4398 = 1'h0; + _T_4401 = 1'h0; end if (reset) begin - _T_4394 = 1'h0; + _T_4396 = 1'h0; end if (reset) begin - _T_4390 = 1'h0; + _T_4391 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4952 = 1'h0; + _T_4956 = 1'h0; end `endif // RANDOMIZE end // initial @@ -4579,30 +4583,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4402 <= 1'h0; + _T_4406 <= 1'h0; end else begin - _T_4402 <= _T_4400 & buf_rst_3; + _T_4406 <= _T_4403 & _T_4404; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4398 <= 1'h0; + _T_4401 <= 1'h0; end else begin - _T_4398 <= _T_4396 & buf_rst_2; + _T_4401 <= _T_4398 & _T_4399; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4394 <= 1'h0; + _T_4396 <= 1'h0; end else begin - _T_4394 <= _T_4392 & buf_rst_1; + _T_4396 <= _T_4393 & _T_4394; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4390 <= 1'h0; + _T_4391 <= 1'h0; end else begin - _T_4390 <= _T_4388 & buf_rst_0; + _T_4391 <= _T_4388 & _T_4389; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4614,9 +4618,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4952 <= 1'h0; + _T_4956 <= 1'h0; end else begin - _T_4952 <= _T_4949 & _T_4509; + _T_4956 <= _T_4953 & _T_4513; end end endmodule diff --git a/src/main/scala/lsu/lsu_bus_buffer.scala b/src/main/scala/lsu/lsu_bus_buffer.scala index 982d3895..b670c981 100644 --- a/src/main/scala/lsu/lsu_bus_buffer.scala +++ b/src/main/scala/lsu/lsu_bus_buffer.scala @@ -233,7 +233,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val ibuf_data_in = (0 until 4).map(i => Mux(ibuf_merge_en & ibuf_merge_in, Mux(ldst_byteen_lo_r(i), store_data_lo_r((8 * i) + 7, 8 * i), ibuf_data((8 * i) + 7, 8 * i)), Mux(io.ldst_dual_r, store_data_hi_r((8 * i) + 7, 8 * i), store_data_lo_r((8 * i) + 7, 8 * i)))).reverse.reduce(Cat(_, _)) - val ibuf_timer_in = Mux(ibuf_wr_en, 0.U, Mux((ibuf_timer 0.U) - buf_age := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_ageQ(i)(j) & !((buf_state(j)===cmd_C) & buf_cmd_state_bus_en(j))).asUInt & !io.dec_tlu_force_halt).reverse.reduce(Cat(_,_))) + buf_age := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_ageQ(i)(j) & !((buf_state(j)===cmd_C) & buf_cmd_state_bus_en(j)) & !io.dec_tlu_force_halt ).asUInt).reverse.reduce(Cat(_,_))) buf_age_younger := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(Mux(i.U===j.U, 0.U, !buf_age(i)(j) & (buf_state(j)=/=idle_C))).asUInt).reverse.reduce(Cat(_,_))) buf_rsp_pickage := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_rspageQ(i)(j) & (buf_state(j)===done_wait_C)).asUInt).reverse.reduce(Cat(_,_))) @@ -423,11 +421,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { (ibuf_drain_vld & io.lsu_busreq_r & (ibuf_byp | io.ldst_dual_r) & (WrPtr0_r===i.U) & (ibuf_tag===j.U)) | (ibuf_byp & io.lsu_busreq_r & io.ldst_dual_r & (WrPtr1_r===i.U) & (WrPtr0_r===j.U)))).asUInt).reverse.reduce(Cat(_,_))) buf_rspage_in := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_rspage_set(i)(j) | buf_rspage(i)(j)).asUInt).reverse.reduce(Cat(_,_))) - buf_rspage := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_rspageQ(i)(j) & !((buf_state(j)===done_C) | (buf_state(j)===idle_C))).asUInt & !io.dec_tlu_force_halt).reverse.reduce(Cat(_,_))) - - - - + buf_rspage := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_rspageQ(i)(j) & !((buf_state(j)===done_C) | (buf_state(j)===idle_C))& !io.dec_tlu_force_halt ).asUInt).reverse.reduce(Cat(_,_))) ibuf_drainvec_vld := (0 until DEPTH).map(i=>(ibuf_drain_vld & (ibuf_tag === i.U)).asUInt).reverse.reduce(Cat(_,_)) buf_byteen_in := (0 until DEPTH).map(i=>Mux(ibuf_drainvec_vld(i), ibuf_byteen_out(3,0), Mux(ibuf_byp & io.ldst_dual_r & (WrPtr1_r===i.U), ldst_byteen_hi_r(3,0), ldst_byteen_lo_r(3,0)))) @@ -526,7 +520,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_addr := (0 until DEPTH).map(i=>rvdffe(buf_addr_in(i), buf_wr_en(i).asBool(), clock, io.scan_mode)) buf_byteen := (0 until DEPTH).map(i=>withClock(io.lsu_bus_buf_c1_clk){RegEnable(buf_byteen_in(i), 0.U, buf_wr_en(i).asBool())}) buf_data := (0 until DEPTH).map(i=>rvdffe(buf_data_in(i), buf_data_en(i), clock, io.scan_mode)) - buf_error := (0 until DEPTH).map(i=>(withClock(io.lsu_bus_buf_c1_clk){RegNext(Mux(buf_error_en(i), true.B, buf_error(i)) & buf_rst(i), false.B)}).asUInt()).reverse.reduce(Cat(_,_)) + buf_error := (0 until DEPTH).map(i=>(withClock(io.lsu_bus_buf_c1_clk){RegNext(Mux(buf_error_en(i), true.B, buf_error(i)) & !buf_rst(i), false.B)}).asUInt()).reverse.reduce(Cat(_,_)) val buf_numvld_any = (Mux(io.ldst_dual_m, Cat(io.lsu_busreq_m, 0.U),io.lsu_busreq_m) +& Mux(io.ldst_dual_r, Cat(io.lsu_busreq_r, 0.U),io.lsu_busreq_r) +& ibuf_valid) + buf_state.map(i=>(i=/=idle_C).asUInt).reduce(_+&_) buf_numvld_wrcmd_any := (0 until DEPTH).map(i=>(buf_write(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) buf_numvld_cmd_any := (0 until DEPTH).map(i=>((buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) @@ -579,7 +573,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { io.lsu_axi.aw.bits.id := obuf_tag0 io.lsu_axi.aw.bits.addr := Mux(obuf_sideeffect, obuf_addr, Cat(obuf_addr(31,3), 0.U(3.W))) io.lsu_axi.aw.bits.size := Mux(obuf_sideeffect, Cat(0.U, obuf_sz), 3.U(3.W)) - io.lsu_axi.aw.bits.prot := 1.U + io.lsu_axi.aw.bits.prot := 1.U(3.W) io.lsu_axi.aw.bits.cache := Mux(obuf_sideeffect, 0.U, 15.U) io.lsu_axi.aw.bits.region := obuf_addr(31,28) io.lsu_axi.aw.bits.len := 0.U @@ -596,7 +590,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { io.lsu_axi.ar.bits.id := obuf_tag0 io.lsu_axi.ar.bits.addr := Mux(obuf_sideeffect, obuf_addr, Cat(obuf_addr(31,3),0.U(3.W))) io.lsu_axi.ar.bits.size := Mux(obuf_sideeffect, Cat(0.U, obuf_sz), 3.U(3.W)) - io.lsu_axi.ar.bits.prot := 1.U + io.lsu_axi.ar.bits.prot := 1.U(3.W) io.lsu_axi.ar.bits.cache := Mux(obuf_sideeffect, 0.U(4.W), 15.U) io.lsu_axi.ar.bits.region := obuf_addr(31,28) io.lsu_axi.ar.bits.len := 0.U diff --git a/target/scala-2.12/classes/lsu/buffer$.class b/target/scala-2.12/classes/lsu/buffer$.class index d3c6fe9c8d4f328cbd0615a61ae1176de6823c93..c579965b1fd0d43a485d4a4bde96cb7b570755d1 100644 GIT binary patch delta 107 zcmbO$H&<@MBQD1L$$~thlYek&09lIM31Cuu@=R_ksLV@lbuh~oDwD(3g!Rf gv4*k&d0iO`CQs+}Wi4P(XUd=alD7gVlf<_h0Jn4>&;S4c delta 107 zcmbO$H&<@MBQD0$$$~thlYek&09lIM31Cuu@=R_ksLV@lbuh~oDwD(3g!Rf gv4*k&d0iRHCQs+}Wi4Y+XDXfilD7gVlf<_h0LE4z9smFU diff --git a/target/scala-2.12/classes/lsu/buffer$delayedInit$body.class b/target/scala-2.12/classes/lsu/buffer$delayedInit$body.class index 3f48b82cf4e2adec71fd3aca1a60b3d2c0f58139..37d1a12951d8434f4b6804d010271a00ee58958f 100644 GIT binary patch delta 19 Zcmcb~dXsg74HIMjWLu^HAlb*{4FE!21{(kX delta 19 Zcmcb~dXsg74HIMOWLu^HAlb*{4FE#D1}6Xj diff --git a/target/scala-2.12/classes/lsu/lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/lsu_bus_buffer.class index d4cf1b61d9b309315d2ad719ff04c3b2642c8a12..ff27e6b31e27eb53809ca39757c7653785b2f5a1 100644 GIT binary patch delta 19801 zcmZ{sd0bD~AOAn+o?Fr<`XpPc>?$ei*vXb%AA9zFjcN#``joWVvh}uuX%gHsEZK3T!tTvSfvnaNcyh6Zt(w{;kP`E)64MQQ4=nw=21)7-B zkTAAJ)ykooT3)diGi#5=utgY1k zuF|5{RWiHvNag~iuE+b|Q`ZfOxGqFK&5DD#Lhn_vej}c)QPWKj#dJc5>ojaL#7+7| zh@WWr7DbqCh4`6v3vrJ+#Y6l?SA}>&(?}6-gm_M|+aUg+M%y9YQI-(zY0wU~Sz;gP zz9K);lAYrD6PY9k`5z@J@-wwdWShxj2Q#NziKtGE1XOnZE@%lt`wWfA6q$q;xts{l zDjC3-jwU0M8q|L`_{3e{Pj=&#S3(Q=4lztgPC<}$GOIFeU-L8jYYFXISta;($37C);>T|YaSr&Hs#1g(Y}YqJZQ*6lw%N;3o(TJ ze}NcIroTdXQj!o8sKaj%6RA)YQy$@I68#N9lOG|;t&fq=6ta5)5cL=!?+Nlyw9!wY z***dLy-ExD9c;i;Fw19^+FqgUcm~$vd8Kv(8kl9|4Pwk-wkCJC+QTO`}@Ju*rv20MOb`NM4BqP{ii&YsABeKJk_TU5On%I(%<<7=?iVEn-tBgww{!>knR%# zCNL?Q-hW2Mub33I^@LQLA|xq_IZ!Q*IKvpg5iUj1atT^Lxl+3;G&2si*a#YiU~S^Y zc+r*C7)x6q9BL@yxGDylC{GWBaHFL)6;aa^S-9*$G4`9H7>d?QivqTz0xe>+qse9v z?ddO7MAgDm2ePfLhztm7R0A20GDi_iOrbp$TB6W`bLZO8LJMes zpaH}hBIsZ}fRu&+gUHzm;C6k0A}hpQ*Z|rLYXl9WXVyr5I2mjZ!^sM~u`Occ2`w8M z(^F47#2868A?SB&aDRKm2(f`?+6Xbm(56NR+G`8Y!2v-%?4T7wW8+ER7(sLG0sd}` zAlQZ46x{?`t^+i?rj=S|mFCe5%(F2S1w5nt; ztF+aQmCVWsg_}*golvvc)X7;9S5+~sHJ;|s8&$-*C{K;rK+K~oRSa@fp6;t+iJS6d z(pC|Ps%X~^^1SIpc-%85Kol5nHDtBL`%PXbY8SQ0A#A?Y|;5XAwCiSEQf&^O3r4lH!RJG~wcuWC6p0$p zK^^k-oX(ACM;+b}^mP!*lsuTrbfXTp0!X$;bCe9`wV~^W@g~&SlGmm+7F^YQ3|(puaZV>+lVp9pcME+5Xk$o(ub6y>3nb&<}DdVDzj zAhfgfxI6QTug}|v5HspppL?ny+v;<7*~>yxq@<{Z)@@K3`fUR~o=u{-z9{Ca1H1;k zXn?X#A`5d-Zbw<@=Oykcvq`#6)cYW}mp}p<@+oXGtu*0{=--CC7p-WBJR?nbT^eh} zJ2D@N`wJbHZp9tAug;#Phhebz5_`*0`X`c1>+HA*SzGgV+)r1B^iJpzZ)@HgPhHf= zequzbodQpg*`S+zMJa9RTzg&%x-xiuZBSTWdff+w4ec)1LdNJ98(P0yHl~TE&@MmN z@VI(DnqJITQ^5RK7W0+bG9PIQ5)HEDvCRK#Nuevo` z7nNQ_oh}~Xb!8T;n?Q{_pr*l8+5|-o)-6>>WiUB5#asxc%}x0v79vKa4QZR9mLZs5 zjbEeT4lKm{2~nyL-vpf$Qk5w>zZo|NQ09taazb>YDk=@yjCW=s@vaytN=JlH#t*24 zTA~nLuv(%JF|jJfLI_UASqn^?P_+eKw?GSoDIKkpB}~jjTXJr~ z&1?H*ntaYRz3OFYdd&iH!Uk66i})eJzBr>+VY)eL#luKyh2+D?yA_h(pw8GQtuX2G zTX7wQ-j#IJ(GidJ9C>3}?Z{ndrqFH(EkkGyPP`4Y%3gQ%~5!glcV>APl|QTgd*~Y8G}oI=Um?Tts2dBx{=T zi)1E=L{}iXrv(;{1Vo1=w9DD&%I%olcFkh8gUx3qmpT@Xx8tp`KP+j7Qh#p8ZK1=|*;1opXvgmDF?*7P z6{uJalB#B7k~$j|d+@3~(o3Qd-(aS=TFbTMBn!;E)Iuwogh0A6#OU7cT*sNr73+E1` z>nocf25Qg-BIFz7luU2GK~BjcCojGmgGA{Ow2`^)ecSUO*N!m1HeGqJ(9CFUXWo?ZyCTCBvDDkp0x{52MC&`ykZu@(dvx`xrhpl3 z=mt}@N1X?X0o|i>s5Wr+(Ccn|Bu}jz^fSAoX;P~V`qb)!p5Aoj_B7271~#?&sK*=d zA}YpjD$Jc|Bow5Z$O$jFpbF(ZfYK^wfKyM*fV8hO03p59=1BXW;h&~fQYm>FvQ!dI z)h(`8g%q)Vs1^n(icy$zq8E2yb}1O-dsqjSDqUrJq&F;0p3YL`H7rFwj)-r1W00rO z8Fviw6b$k-b!-Iofssq6u>MH$d>>5BbagdTr&PMI;Ho)5fLmV-;B=bO7yX`2xBH^s z(`kA?^!r{6_^N(a`T%HVRkvu#zCR4gUYKEJ2<-iz3hfoSJJR1hF)x%={%wDB_g?Z# zMiYlF=C$R$7Mf_KDTJ0%F;SQ^>&klk??mbG|C}g$t0qbhjY(~tm?+GNt_9jkq zMZ-OKh|tW)yB|i|M-SeX@6!!Y%eoI8rObhS!ZNwgw0?+pY9ODYYRv}m!EC?kzQzuM zBNN&m)yN&hzu^bqq9~R%Kp3_IV*OSZb?AyI{B|&}gIFH4dk{iCXk`ltLCX53xPFM% zM{bSOOL}3jw;savHTP>~umda=EOH1|l!Fy(=uqArIvlPF`+87JEk_`9S%vF#Whng5 zgJPYuiT@Uk=|QoYeTMKH&NuQyqLza&cBK*A4M$hPuUDcSqG==eJdnZ>JQ%{mlaFDC zRqyA7C$BGbtT`esKa4KNYGX~_-ynm-L_O1*M>bxZJjFDlB00r zmCi!+ic;athoiYS#PBgl(L2V(jD8q{6f@KmdyGZfWl&oyq~Y5f9(Z6+_%<1pLp4Jz zB*M4(I2N6i0ox@?D_#~WZ7755J9!)*0dQy>YMUAV7`1FS9?op0*a9iuu@U_~8E#Fc z;+@o)!iUm`;aH(DZ&n~ykQbWgm|B<@Uc9H!D_is!CW_LcK_mDCx;}yXl0z&iFk~Vs zkVTDOBD0K%Jc4Jz8$`kEY1|~f9U-qLp|_4#49m$#^tc#Ajp*rQERM&~N@8)OdQ47oEEHO^IC?U&BH zCJmnk^Oj3(KcT{KEo4fZhS<4O`cA?WhKJ2_byK&tMW_EW4M`#(4ao~D2VF5|*bQ}s zZg?Wu(cbBpFS&GnI(L$DEi}8;F3lC|4*E#ACb^X!=kyueO2jEvCM#ZYKa6@QzCJRU z0Vgz<-V1S>8qb6{LxX1W4*V>PuClo}tM;SfwVYM=l`m%UdWbMVos|x=;6|OrAix~8 zr4gSbQ;D6W4dL)>&*~ad-UcL^my<>1xHK!qUjqhz*NlF1*zsMA4|A0ewQr3_|1n^Q^s$8wKC+>y#>4n zFThN6KhK@WV<8;N0=%cRPyzY9L7ym&d4bx)Hy83ctboibMv~@tW%Fh{XeX zXfrrwp}wMRuTl15EMi4!I-avI8;W%O)H&wl!%gvIOD99%agX;ww#DjHL>{~tM-fUx z-tj@A#lnzQCR$9jec|q2M*}J`_9F(K`YBK5=DO;8h3o19U#^qUO4oH(G-M{KD*3^s zETQvRm=#_9;D(i`ZgAczUJp7vOBfR9yaZOV+DI%>hOAE?d*>Rxrsrd?j>(l zbHHz62cewrDErNu;+Ui#O7+kmGvOBY>dH3b7FrKY;4HIS6=yvZ>w|t)P6TeL2BUWw zHu86u!S>(6BvZTw;cZIn7BLPJHEKELNSWBX+fsQXMpx`|xO8Pz`xcoVti-DD)}Pk} zRd!pVpJ}5nJk&-(*dvxH=QF$v##*gMncAAKn#nSyVvm3rivNZ!l#x;txy(WXS4JE+ zl&O9P#*$1^g@G!g#R0JRWfe{$1~pRFsf*SvU2RpliSfQM9IxM`5rN#DenW?<8~EFm z{@ss(7{EWMUO=Ojuqt=N)?Tc+qgKM+-BB%(ViWJs#uXT{;%EtPe6^~mxC6Tz9|ZU4 zu6l$f_QBA_Ot3KTU45Y46=y+W;rcEJW9Y8Bkyi}Fogid)SNIu@5SSc}D2m6|&PA3P zuIO6DDBdQ|lNglu4KfVhZ8{eWulC2U)42z(iT-CMx1}GJqv~q>pC~7Ux5eF&vREq9 zQ)|HV{8>GaGWg0hB|KpEw4i7N@Ozb0=m!G|caK=8A{57FU?|4_eblP0I6}NH#zTe0 z+Z&1s-4_mqBZT5+iL%T0EHoQLwd|;47=j*%CbLuB`3LAP#ZkDgdbrbqVQc!)#>Lnj z-BV|!H94%}jaWJLUWFlEu5-isCD}1st=vt#P(g8e71C?f=T;L%vaHz1Mq|p z_+83!Umqdrx+tqw&Tg(M5JNF`C=($Jn*KE|;umQ#5v9 zkABiN^32fac!K(Vas#$81=UUjD=hYU;=VGC5NXct? zbN+{}xw^G^w3au+eJ4(uDo&mLs5%k`R?adNZzy;j){;MjqtgOHS>0a{ThG_me2#_v z5B3jxLEF~z5cY~1h^7BExkJ$Hb>hGlOCkGPtb+EYRVPmWsENFxCmXnZy;quM?6qb% z`%ANe{jK?q{iC_U-jH=1UxZs&>;P=2d^7yiPrJBI0y!PWXW?G9@kZVlqVGmNMP?u1 zP&w7aaQ{G;Hga#7eZ<+y?(Z<*)we#1x7yIwO&mvzpM=q{p<&6qiOfF1nM6c4f!#Lq z(K7oFn?d~+-c4NYVgf60e&&P^;<^d$+N7|*xPxMxi|IzSmf`6toMSjWoyp2an z?k6<%>?F3s=QUl~Wz9f#Lo=5Bs+q-}X})DIHDT<8CW5`w++pvT8~cFE;E$}FeUdt} z|D-tfS$fL8| z6Azu)9artxM!_g-hOIS5_MsqQseC5Hqg3Q*Of%Ck;A#lhzCDCdIzI`Km(Eu*6Q#Gu z?&X2>MU7T4f(;zx-TH52tK%Kbvgr&~r7l)hwB$g}e0dGL|f@E=bvaJdn7B zBx$MVLE+-9H!;(G(vC28L;50zWe52JS!h)%_axQA?Jp*dU4 zbCJx%wxbPw*@ISHd6@TOW^}F!d^pTKaA~V}?30ghJ6=mSLbw_&BwV*C$Dr7DHV_ZO zQpV%<0kIW#-r*=_LrEH1J^86*LD~(-f6h_fU#=xO%{`MllbK+Tk8(Y)jTwv!5qlb+ z0mrB|J-7@*0`TRQpN$q7U`Z{4t5HWD{awg4+m@fqAs zSUz#T_57Ap3uD$!U5Ax%k5%stRvgb<^s1dYR6Li%Q4YyP&)caM|8Opr4LhY0B0HoP zP_=++B_vm#01vavX>N~L<4+?;`~NFP`)WDbSIg19T8>lB@J4uXMa8(Vua>Gk{dNYo zJkG+^YXhMiF)PJ)RtII}g!aDR*b`!l9?>IV1-tv7_hiKR2<)y=do3G5xLvZr1RXK zyI}1S(dgKi+#*OW$`KhoJCAYXqU^|&_H)s7Q^r8=3*6GEwWbf^jlY0#FrjKV znb3m^I6Ip_y6^yPcLj7?Oxg&Qxz zhn$GLl`;k=inJZbA&A$Kq>0rG-$eCX@9UtMNOLaniF|>stysnFC20W-#%fOdGH=5d zR?&qjU2>T(#K$;_h48M{8IJkzn!J^sQtIrj8&}O}dQ)~jFF?4VfFEFs$hnY@h6pX> zJ!^()tb~oeJt!x?kPqxjO2RSv_x>nitP{i(dG+?x%c#@*!h%tPF*+LB*4xi0sBk*Vgad(hEtvI}A; zruuz1xeoJC7A~=SMdabi6{V$9cHR7HYYg+isi#^K+>43xuKnF{{^OxIHOju%Lv>wn zQes5LJ>(9uhq(8td_`GRl~a_+9&#N%5EptPNM-|ZeB9O%)&I4JYz00+-JcHpdcnvB zlD?`-3%0{W%>|XK$=xD<3Y_NL!tZXC) ztDC2hz2!h~arn#!VMnjDrL{ZWAELe=?Jh5%PQ7JYoIDuQv;ndW8~QaF`pX^p`u9O1 zL)AovR3_4+FA^Cp9Q-H<>{=b@6%KS57DRCct`uT~T8JI}jum9@aab7Va52W!wRGLmU3Nuy@4w$oUaVQ zSoTuumb?PZ9y&zs%Ds@iI+DCp+ba!4KKS5csO*F^1Jx0#TU)fT6 zE0{mn!N>5=dQX9KPJh|NjpydjvKI#S3(VRc^pDRb(U&q z>+y15wn{7#Eg)z-wt3;|Q@E&KFBU_Mz2qq@mO{N`PcnGPxU2S(d-HWVQ<1wJxirSH z^?Hl|CH?g@cLG{=1Kqoc-H9j}i=*-has!BWB4i_#-p2J$c?maHgEx`iLB&XRBrZj&xqG-hsv80mO@+EF2YCb@2LZ{})=ix<*yD-=o zodg$Kxrw|uSFR)6Z^gN^p*M47SMj({Jxg$(Cy#;iO!JUzZF(+*IrW+kp`*?7Wlv_I zTFNi;Wi52=0{IxLLwO5e2J5K)T!V#jBVGr-lIlIw5%bLvfom4Zi{X4K-{sWvhLy1t zCp#5B7@Sh^&4>7)t9F}eOM_%dq274iQavv64MDD!RO&5f|i%*>tYOz@U22|XTC@XS3+y^lO+J_K8$B%Kl3T&X=!E!T1ZR{iCR!-+EW|b9v zmgV}A)PPcbc0qgnKj3vsT_^h1UB-Qm$4S2BU?#Q z3-wqYpIOzUgk>_mvVVgMf#3aMomz;iA6s&H1$V#YGECZ*;*3W*R)JI26874T;+D%b z#CvYMB|Lkq+&D3Mg*ETb*WA>+!K&&l--;}jqv={zPo$MNRc%Q-mdln1P<9=K%jL$< z6<1%$`oUk=cRH*u|Y0?+!0t@sP%?hNmsoT z^;D1dvGwgq`be30uPT6zb0k){R#9@#2ASG+#@V%>XV-q2UFTKhVV%@ljS(G%UUwHJ z#F4uTJ125&87&XteelhRGIHXg<(hc1Q^Sr#%Y)(X_FjwR&12-z@M|mH3Wz~Se>LQ; z&<9YnSa|{*LB)+y?k;x4qT&P9J7{HE4ph!Z<85JVj-b8Rz^{!mu(DAGQpslIwr~wh z<{-*jBe$UU(Q!4BRlAQ+TXpmXc@_7pqMpR! zrNjp&0>|I-JfD*GUPBU*Q~+R`;Pp$`;KQS_YOCWMSt zLrS63v^cDptv1WU;N~f9Y%>JCBd-1%f-!-UbEOzXDFf5E## zt2y#1I#;#(^Wx?Gd={Kd#o(_eSmjyR8LB5RvxL31rNP_eV0o5>W~+i_X%=>!UyaEu zvfhprZno;QV^rV}WjjpQ?20QTu^!DP{SNsgpCdlp5?^mCR%i~j+bQSaTXN+>QaJ;e zS6PtW2`I?CO1D#*C)`d)0OfrV1~^MES%*?(#X}ld07&8L(vBk_lS9 z|2Y47{tLDG<$=rBEMKqH2P6g@4#?2zS6*CMw(^cvzv^?id3YVIK0G-*BRmVTApCCl z&szOzv(Z^#MJKGEMo=0=x9--LV-BgGhL^)Vx2X2r~f42y}6*{(I{WA4WM8S`4J zkJZLH#5RST6T31t1hOFZUTnEmzox;O)@xj~`haOdJBaDv=U*X!S{tlRhSW*6Nd|Bri!`2Dv$TZ}I`iOUXYb-_z=M-{1ZB z?zaZ5KBZYomlV`A#VciTiXY^fl;o5Y$Y&{E_DEX&o)LRy?Lmq6l|Ex->N= zb*)yPW}Ie|hNevGl{PwU9OS*UztY}l_2~=J4e6^O%hTVaztigX-r4(N?<=i--{^hw z_jyC^*>`f^X{~;L$o|dy4e?NN_Fvn7U8_GZ{=lLGzFPg!kw@nqU7*!xgk{8Mpy@MC zW)x?XYW11+ne8(>L5|H_n7J78apuQN)bQBcV?oDIkz+~6vW}rEvh1?jWp#ucleHjg zkyd|v`tfDQ10c5_KYScxC)+)HY_=C=S_}g0=dSjZbzu*#+|M$v;oN*6L5Wp6YiB@pGr=F3Uv*xw*L|x#;WD zdrqG`jT)Yrb7tikbmf_nGmp+Z)#}gsoLzl33i97PvpnRNH!jcMo#zAPeBSN6yITFZ z#^*YnLk8!<&c&bGuGODEcD~>|2Hl0e7sg+h2$^^x^TKh+|GuyDeLb!IqSwX67yTfQ zT`ahWc$X3`Wn99TxIE?ZlFR7x%LSM3UB(#7_sfsWkAZw&P`kiFt1s{>SX_Yj3cfcK z+$s1;t1oO?*txJ9WO(7W!kv)!3jZoZUtam<%Frt#Ab-E|`6|=uui9U2e-&MDb=1{) zR~JJ5bFJnzGp+tw>uc`U`a!O`M%OU-iY$s+6gff;D4JL_1u~>)a}m0_sJ!S+5ynJu z!(x|W4CZ3Pp5l|mXsY6$i(eQ2qt#yzyB>cXHNT#H{p$5%$fwu;E7556CH+dgN+v;` zC@Cr_fqYRam0~)THYxq4v@7KH(!-@0kj16HmOj?%Zy4XOxq-oXW7CcF8~Y)z+<0)~ z7p?wg=*_J+w?Uq`S#%TWw73;_EA`f1t^U@FGN}v+mh~+gUxubGTT!;IEDo})>{;21 zoNeiHz%AZMb2leri_tZfQyy&e8{^TMpTu(sqc}Hi5|tEYl#>)@+-Hw8ndPurMmhx`Grkdjbnw?scg#XcWi3J5;iU3Pc}VrKARc&Nn;wt z*sQ1(kZaiNXh~xltuwGW(J5?hOec+LOgAk;d6X@TeWNj5 z!%$BZohaAED*Y1K$VS#I3L4IZ{*STs;*L7gQ>*hlS zv5<8ckQbR@y@kefeIpjS-rxyk3=3Ny3%QN0TK@|R-_TlPx}hzL*?=bB5XfRTTxDzH zY}vZFMH-H#KBi zHqC}y!nSTgp*QVg@tfXiOgGDnHh0vR8a8)j+c!@DSi}-HpMor4yEeaPNn1Lz3uzO@6}yLAcMw>6*bk2huqfrFu*CQ_pBjQ!hvj zQqk0@pQVOrWs+5T3yo>Ivt*r)v6MbTvPr)q+3p>#G2J^#vfCR3xk0kudjj&R)M#G^ zjp;rQgXFMpH5B|rWgnVt-xI0n{&^bH{mZ202Rx+~2j@#I4|SDV9Ud<^9$6+i9l0vC zIP$CHeDsCXI-{NBdTgTPmSrKe&B~YBWp|X?XFr!Zk$X()c{)Mrb!Ljx`%Ho4e%3Ho>T~v`)HiR0)GzO()c>57G~ir-H1PZZ zY0!mk(%=hQr6CvINW(7brQsJ3NFy$7kvuPtmGqa-Nh9;;OQZ5%OQQ?)(wKr=X>6g5 zG_G)^G`_G*^19+GO}LV(F}<>1nt0_Vr5mMrb#8@8 z3vS()7M69Byvr_2i*7f~DV$+aTEp;OV`8-lpETcH{Hvz1)n<){=8jJ+*cPy#e3pW3 z1^dw_6D%I=o=*Z8f&IK>0@yaN2TS^aZ3nyWzYuH(*hBv@U^~Iem#+s)0Q+_M3a~`5 zUjj0~c4-3*nnwXU0h0iKTX_dC84;hXya2Wv>~VM?qj35pG}^U~eO~gJpufj|c}l2KFxEJXjXk$A|-9 z$H6{C)&u*TUcSL4AnkypV^ zgYn2CU}wOjC>OA^U`A0EV0mD2)Ksu@U^Sxpf}IC5j>-YM09G?}F+!Ro~f0lN-XH%3&h1gt@fs9dQ5uzt*Iz#D*8F=c=^!5YRk1-k`i6I%nU z49q$<1nf4LUF;07AHZy5%faq|HHy6mb{EWkjVsuXV2#&Ug8c;Mkbn;XtbPV-not+) z9$1rv*&2hH)qTL`34;J105(g=Ld0^gmI>Ry9)h(k1Q{oP=$6zjrAz)9yS|@!5dkW^7^b6SUU~Q6@fjt9jYe=2|_#DtJ`2gS_fbEkv zfV}`~mwXTGPq2>3=fPfrb=dtD>=oEIyMF|G4b~~8JJ?@fT~Zvt{s!xu;s^E*Shtih zU~j;>rlf$q1?!O#1@^DT;K91@kpSNT_DXpS_#P2^?h$?W0nB}m=(~?#z4yET`vlf^ zPbt`cV0}{8f_(<-pXv+t1*~71y~fO11Llz?X`HPY*nqTgU=rA%v~FM=Y+%|OjhVq( z1{{)h7tjcBaQZ4lGzJ@%J_oD@*wFNMU?yNA(tif42{wH1D=N}F{f0n53&64auLITr^xA(6%o1$;0bj7X zU=t6F2CD});phUe`e2if4hL%hHYo$6+PWdw)C`PjYb&rR8Kq#>VAC^>gV}&h%j^VZ z3pO*;8q7}PVPG>d7X#V@&dwYO*a#74Wn$1+JAlp2#GtWm3^wPO0jvqw{9`l0nu5(c zhPiFs3~b>s%x&xDU<UY0i6MT zk7oe323vA`E0_z|x7l7`ZNU7qdw{uuEzRBt<_5Mr+aIhg*s>gRuy$Yp*?)tz2V0Tj z0oDO*WsWmgN3g)0OJJSAf^+s60KWkYI?)KQGoaxF2kQbBav~V4D_Gcx>0sT!LQi%9 z>kbxv(hjT#*s7DS!Fqy4oGb(D1-AN>$hbFH)G3j%J6L3{sBs^#m|RiezF>yvTv#*f zet>IoVa=@j1IC^fgJuBOy3=CNcz~@v1LI>o5NyL47$56FVC&C31se>u@ys=_Az*Q5 zqrirOZ9cmYY#7+4Jkc`4!M2_iEi(daOP&vyrvZ@iMgi&pf=vM1eW4fFM6l!w z$H69nrCivqF*sXK2K?^(dVo^^Q!l(n#HnC=F8YB@153X+25dT5+C`Dc46uC{MJ6-B z_FjU;w4Mca;1VpR^=z>Hmto zk-rFVA>h#h3ovi6WBG5u7J+3Jh{7xeJ6<3P;{%pe@DrFXSWZD6m>*bnVK=aE!A=%7 z0$T!hqHrhJQn1`YG2Z;aP8GuVSTEBW0@;~DVSJVYp1v{ya0MdfU1<*%0Cx5&0}BK@ zf8`O_O0aWR#XJrI`~Iq!$H8D1t}X-%0lRc{1egKr;x#j{P_X=~ufW2(zi)uVLY^jsUw-_#zGK^>JlMVKnP3F=bBP9Q8`y*EzkzKByI(R1 zYzNrGlHOoD!OBZYz!Kp9v0qEF022X!DTNbZy$cZ^mB5LxP6GR_v@2LL*ppIwu-#yf zOEbW}1N*&nD_9EH)6&Oad%&KTUI9x5dv-%CL}_3zZis~_9qf-A`@!~ty}Yp=%&-se z&l|r0?gxB*<1*j@uva&?fgJ?<`(_Z>A+W!0ic%j2dvjA1`Uu!RxAuY^1^f5bTCfbT zw`HOtnPBg4iHaNpdsil=ViwrPGBFj8gMBEAa{|l;{I6^&U=HA?GAy&!C&0dxVVSi) z3HDj}HpXR{$pMMJIO6i&e}#z!yov!l2r$9tnxC*zZ0V_;u<;-4ba^3_-+ZI74E;M?zNo(~_M66wHG8}*1 zAZ)cs8>$&$;*38Jpy)G&9<1tTgpPA#5vM^Ubo`!O=zh@E(nhW}aipsv2)`&6LCvF} zCzC$P#7q5|ZtGZ+rgRsSYIVg&kji8GXhce<#<6%|9dz|4mP*hQ@u>WgQh9Wb!Q=3i z_{i^UG;-RBM_f-UFTN2vJ_m|5@xmRog7(ECw(`qB`xxZP*WeL9>vN^a(6GBzBIO8r zSx}3$(D17{S6V2vK%rd{8h-9(M&+X5jn?5&`6O2k*`U z9jgRI;SqP-O3*{0*HHCt8xU>qI^?ufXtl)Gz(?01rAbJ@FAxw z{U@|JLL0Xc8a|!G6`#=X&14%g-DJ`lUomdn1by}s*oUmOF&@vL{=XvG4odZI40O#*&gPiSCkiRF+niW&^ZWh5>vcV@bDirfuk$*ubIx^lIJC)!wM~MLGT%V9 zgcb*~Eo>9P=g{G1w*9JK?t%9G&Z3TAuLAK z9ztXL$a^hP>?0Nmkx2;<)GLyiQ`dDYg5^-*I`ABt5T=Ni5cEwHZm$n#5!5^ensWpa z=FBBcpYO5I}>aZQLanjHsGP9IdUF&6vPxv(^o!6kp-GZV9g=X*IvM0<5-NTx1<%jl16{@jv1HGwJS6 z$b2UGy+G#eULi%jmq@E<`-Il$4Op*N)!KET9Te=FpR2Whgy#J#Sp4g1t@#^h32(tp ze9_#00V{k5_VkOk>Q|Iv0ZDIBiUmXv^zt{P==Kh27453f48McT`VDCp(W~E()`x7o`- zb){*hcxt;PQhYW=T1DGph7{e&&KxPaQ??L2Xs`tmwyleVKUv^*FIubvm|PE_t|gv) zEwl`weQO1+XI&&3K*e>D=(`30bL-*O!iLcPhL+F>N?d)U8A6S$@PeUq5Q17+gO6!| zq-TWoBQ!RGyc;6LNMenUBFGjzwGsFja?HdPZ?}he{(AL`` z`2?zOhvdzj0CMec>x9ro*h6!7hV~E|^PqVSNVKXkfQci(RNCZ-mw!zyoWL!bfR{Sq zk*iIiO?O6$8T8B5C_issj>TC3F@87!cU&GEn%Cr@-o7xfPdaxCYMbY}ZR%_pX(Y#xO{VLM2Hq}}}m1a(@ z+v2r8bhIt<^r2zx6mee_OWP|~#%_v8QbmUjxLQmlLio|w9U+#`TUCU2!qw6|+s=}- ziAU&K(A$TSE%hjo%rRp8qPQ((o#SRQi_o>A5LaAYx+q!TR!WKF0`b;Jwx^#;Bnv#- zjoeoA7Erz-KPTCN`d^glBT3O8cq*+_(m{_4;&v3gf}0`LfdAy@UYCpf;#VQDW2s~b z-K|t|LAo(&x|J$?Ymn_Iy;OPO4CRGL>0E%P{>Ceid`=bI>V;Q1scd0&$>ynfWnPk+ zv536#OVZsz6i^olFY0(hHjdm@au+^M=T6R@cne(nxyrV**p{0^ckhUFzqa6(lvR(L z5?S);Lc{2;%SW>DBx=CN>v~YdVeUXX>heZ_Z&#ya$-}u!*Xwd?+zzCaVaO+0E1O8T zuOG!5Q&;2yeMmh%A0oRRpUNgt{9VbBTG!{!+yhNtVa8o4%Zk?`^M?2@qCR&Qm+;s1 zc|SIV-oCFY9P|lR$Y2U(Tk+9sN^n@&X%Zzr?q=+_0`at8?{pb?*rp%ZA#9qD}|-baidp-;5t zonc~e?_fhtCs6AH*4z%p_UlmeTKjI?47ytp60W!Bb;!nscRDK?{G{o~WVIcSX8!-TeEw?RD&_MR#$-niPjfA8vF9E6N}aP(94HM` zv+$>^4We9KWg`mSz-!YW2mTcg&^0A}EAX8Tyf4B|r%0Gi`vA1$DqTbM!2n_|7%t@@ z+!V>x+>mW6r6$~8H(G6Q6z5A_YCG}on)#zH{+cwllC@&~Y&;7Ot&~nO ze_jtSl$<%SHRN{-c2nTYUAX~`74lMQE-^#ZNd5|@)4nlph+Cu8HpU%S*^%BH=JjP} z&`lxB<6L>5qA{A=pj)OIih*33z&H)Gxe5Q81q*YtC2dnwE?5|Rk$5IpDRx3**mCff z_sO)ODYp<$h=HKGl3?99wW6R+c~=&k&<-uGw0jMex=;%C z799c$(mhN6B|39X)T!+tMr-?o3~~@HUh!v~@!JEVS!F zGY>GXMJsMeHssifx1*@ma0K41;8}Kx`eW3$;&wbyS6?-hyRG065_Rpwl>;?!<@IsZ zqpHe@7zIj|6UnlTa6%E>h<3a34m=6wq_~)OuDmm@I;#UAiQ3yEox2y(&2~T^C!v|e zID#@nePD1e1>H)^p<_;R(U zkhGJU>0R~C{~8skvUy9HCFw9W#SqG)Gg@G&Wf2;#xj3dz_BSU-lHS0k2LV>E`U{o57%Pw zEq3MRa*CxUR20mXiaK&PBn?SJ+vqx>!BWUCncLZznrCSkYstE>?pnQu+pgAVG%gzH zGXgI|C+^f#TU$HTb`4-H4bx~?ifs_|P8uWDU1MyvPrFJZBZNHHiTl&T2xC(k+?g9x zZGBhXgnsYLzhZmT0uBC(H|2YDvun2D9&-C0h0FR1t+t1PN20E0*2<-6K(M>+UGGqABGr>5dNhyJwa0^E5QLVpYR?z~Iw$R$t8Dlwy0JN769{ z6px=yo-ah>iwQTK-uB>Qc}BIh&+Z8$%BZ&XjQ?ZpEJK6~RSq}fKL($HkU$v+8E|jP z94t0op;B`H4Ql%+p}z>8umWkS?=y=?}I~_F@@w3`>)bp#XpMk(XECrdMvWwSJ}@DZ3@a;m1#k-R5#1X%DZ!_k*H zVuZOspyyz3PtkK%M)FA_ebcIeoFk^RPay82c|1F!#;9+`abq$X!`ldes9hQIN62#w zp9fMphOePMQ&H*h?x^%pH2}(Y=MDH#jJ-$0c`GQhL{Hg}cNc`XSJuPwI_uF^ind$N zhqGf;6pShwjpYrXD{a+vEN>4Ts{rMV{Fp9C%_L_mA4$`va}zQi$CuIF>3DbaIJ`So zeK*S6jpt%ODl?ffyX2DRczEJm-9mMg=2n#qk31UBy&*P|v->G=!IO%r4OJq;wVf;8^Ky-owP5PQ|$DOxdBi)HA)11b8 zLx&|f(ofUiXHKAjgc~8N>3kUO%$TkuzBio*Vz)4U5xQvE40KVU2pk-#Po!K&!iDcQ zm{ES1!N*`PQHiU6aYYC|+LN~f2zdnq-08`6(9xDy=QNegc#&?#RyUa8@1DpGH`0-{ zh-jfJUJVW29lGH;3bW4(>zyJh^5U)JB1=t*>I#d*q+_SLLcCUlnqD)xwMcPQ@h3tn ztxj7p6H$K=eGuX-InRPPN5f|EPP`aCSMlM+Xk8^NDpr?@uV-;9+?}EhMW@-k9`~9;iY4x<;Y65hBC|@JHI0~s zs!4uW&3;FYept19r>-N;tmRhFF$2R>K5Ms3?kk}(s@)gAD;Z-azxL!#fxo8G%UZ3#!_0vaW(q(cJ+?UZQ-)SQvbH< z8TwXYWB%3(-X2M&kXNYOTxPd*z0^m?`C~lX5zBF`lEY!V(f;U?J6~2QGCc^yJp1Qz zti)rL?Ho?5X#b_-AJsa(^qm^eh2ZIQpoRD@DB1FOHGDq z&v%s2f|5nyX21)HTkwlwH{cG!LM5Op2*5zPqtmHV+HIY=s@#H2$A`jFZ_$`l*e|&& zoQDg)t44D6bQ@Rfo*2*Swnbfw_O>;x;XZ;;WnLH;i16q>noAjB_bJ7{I>xxC`p|oU zyfJ%_uo{u}18TP#**;M0-O{3O%?uxiEgiAY+Orxa^8gEVwZ^NtH6B1DA)ZqPfwDHe zk61(L+Iz4>#r@qSeRI*C+r>80T`F9IR(SZovD%A2sxeGE;BZG7p^qpph`aH}sDSc5 zV*|$MV|DXL=O~*%$Jl62kp|2PPgKvMwDW7;npn|E_S889x1Q1r2>uj3qg3d}8gVOLB#foKGWedT zgU^PX)^bPojEdf2q$zIVnOeZGwTPylsqygXwFqgRVdZJ9vuDLoEU+HG3%YI}Q#hde2RaQw^QM?y_U2T?T(J;N&s#(5P zXU{ic_PlEKl5JT}a5E~2;~wlYImcsSi{1)% zIy#<@lO>I=54|~$VW6S&@!VUM7&cW>_HZZhs3l``G7f8@t(&kNCrQG8*wUzE-h@jC z8IjBl+m#6Gdu-;zWQmI{z&(hbv1=&8dX%6cneN8RalZ+**utC3l8nYu63GaCtJ2io zQ=R5lC5@4qQLh@=Y}jaAl}*7`eiwC2NWdEAGrdXR6UD*sU=otiE=5Z8ry@Cx-X^$A z>54SU`?QUROZ`r39M~DGe@iso*%i%D_Jd|3d!d=le$^~te`rG3X-ydWr1^n;X6+>n ziy9=P1pP7%Mg{cB5S#llXjbBbJo4&#p8+m>JXS zB&?T|L@RdjnXHZ)#a8!`C4l*EjyOIOtD4H)*by~R-LYtF=-3+SMDB5Thc*rGIF*bA zp9%G8fe>hMDi#7J6qAA%C@Jdi!OBW2W<&>aPv%b0ckRLBKOe-C%HuEh;BhS#SyVkv zJ_qsI^QnBXNMud}_Hr!iG3u0#!uo!^@Cu?gw;e)HA&=$ERBT<%f_R#S7jHj=ynWL7 zOq!IXrd4du5{H;Z8N2}EOa>3+=IDIH5%x4?A76pP+=_iXgj)!AT5WM;eiSia{C<8I zXYc(o`E#7TyBy#n>(tViY9wrRU8kS}*odW@cUw2Tz#g zF_P-3+n$S?@rL3;agoU-aFO-Y5Z-+Q_LXr%Ssx|;Bw31!@GPvf@TBN9xei zU#x=cC@34VWPQaOlag0`5yiRi`l=&GLFWuEHxL_6ZD{Z@-WYeLi8;lVKAchR&@t>CStEeL34^U=7WzZ_-$o}UqWZar zv#eDY`~D1?*qYYm@|oO8c$7b3?6va{syXQvP(?9{>je9C`w4T8XC5L%Ct8+=w9a^^ zlJ-5);xt}q;HLSQw4E(AVd{gmD#4lv+rXZ7qHG3TS>6>Epr4zlK3=>8i361mBFkkk1JNmx z)I{|jIJ`2VxhJ_T9$0%4uW9<9*EFquP172$Y5L_gT8ce|;Iyf3WmUi9I7)q#!faClzo z5>PqDJX4HAEHAoNj3MeJwsET;mn5$mzRgSBwo^>Si{j4XTnbM5JPc|HLYG5(jRt?yof!s3in?CUFj0sB{C`~9xO>r2uSdRW3Q;{N`N`~X`@6H57b zh|E&nyUsF=weYy#x#hhr1;EIlUF{ zkJwIG{Ph<-qVAYdc2{{E?lco^jHA8&>b~d5Zn7UAfW?F22<~)~`>=sz+gSAD5ckHVS5-ZSYY%rPkko)3`kug2wj&!{@3`87^*VkRwIo7Jtw`?f9N{xVg zC^?IWUFnB^d&uVa*hJY%Y0*=z%ZI|9g!INTa?L=WhgxdZiicXN6De*q;KOiar?k;9u`S#U*H{*dBkEx_ z4iJawx+sZox3jvY8KxcrD+d8X$#*1bY~4rJq5{qO$Yb#_8R}t2Tl&cJX?9=P4*LYP z$g!_%%SYfWSuCsA2z3D!+*e)&UF<5$Y&aJ2%4Xrve#m5`nn_+iWD;_}*^R8uZs7oVEFUA5qT-b9_5gVyzR|&6jT1SbaNNB*C-*No z8B_T{c^QL4SGK#yVA*S%&`z#RTL#JQTwk3P8;q>s-k)1`}NGVc5K!EDoj* zBfPmfOs++Xhsjnzifd_s2e1rs5O-{$D}kA^uQ^#PmF&oEI4s*k`LIBqEcP;$d`z0l zTChwHK}{#(j=#9mjjbm4Ti6S*gmPn|+?%h_ zX~|}q+>E+SlK1cstngE&qGloV`y}+)T5_($)==wday^F7Kp8kb##6* z)_Y+!Sr}P(phIG4jE6j(K6%KNblL-+WFvj{klk4vpCAWp_C$ywobu&;whv8 z4VgSqw&9zw21OYfQj4i_FMMxW*bo&ecSeQ8ZG36C8#f{HrlR;;b#>{qv)F$W_Y$bR zFt`L7`ZY2o3ciiSkEpnn!u!Dxb77Aqr^5Ou*x`3s)8=bh>nfd=43qw!h$9Xp(C1uB$o7Qj{F0!tGW+IdO8>O zSXVg(gAdY)NLx9HeD{qk;%h{i4%B3x+)jkvIHVMt6~6Q235b!;&qHSfxL@1s@}XaU5F{Ik@^kTpoOv{?gUgt5RE8y zq3i-s_2AWovIhcD<KE^6ycS|&#`S0$_w738j%JVjw-$+bGaq04a!-CFcw zOG#?2?#chM9D}^IIOegVNxx!?V!{eI-8N!-N7+-s;-?L~v^|~nhws0%LT=C7Ae>jW z;5>fC3f18w&H>x|qaba?p}r$c_m>+%uaQApv6YI?1`t~*A0U44M@P0*zm~HlC6|FK z@lZQ8gVm~DBZGD#gBJ8`rCg7ZDFL;S1U2c43pSP{H!5Ex4`dz4DiBrftbX=rN3#Rv zwfM?cY_y59!`f75HQaD#5i{G9x>oE=hgZw9@km38UxVA-nDuN6#8KETyKz<IX=NO`8KB10 zwuGZ62B~O}K;vjkw2Tv~2)PawM#wV|jKUYV@F8$wN_l5Q!Z8k2zAZ5~T~fW9Ck@5? zq1=BSDGx_{XQN&XiIT^25soSMvZLhT^!{@)Oj~SRaedn5d$ODjFS!nNGjCx<~TkH=_d6 zs~fjWT+OJyYOw`Q)srH($bTSwQqIH0nx4;sS5r-L7G2vazvHvfbe3^Aa+@s{MZ(}2 z$(ekC8hRp-663O*8WLRC$`rz%8+{{U6;v!~c?DC^=RxECK~<*!(UkN6a`6^OFmh=V9C^x3-* z7A~eD2=1rDuA^v^j=S+B)~vg6Z)skwWVs7BJn{M6=e<_%yTmutHym<@?*ZRKTK&@g zOUEspsMRkYw|w^UZ?t;<75)+a(OP}L_JGWQgIaxHVPHkzO|5?I$53skxmF*V7veXJQ`dQ~^MyRL?##NgT76ipu!dnakZr^Ig!R|z4PhZ+o5HpN z><>F0b_(*JaId=xM*a$@AdNFT_&$SaZ8AYVj&ieg%Q)QBk0s9BI}qBccs)f)6s zl~FIFUTgKywWIB#9U*5%`$w;WERMbveOIfGsTb2S##O6Nte@zT*cx(J;`Br>$Zd%S z6H(*Dk4Y9ub+!7Wc1Z)1hCl`-ZB9zi>UTfh{eJgHtv-25vTyPd$k^n)$r+GE$=@a4 z(&|%gr~H!g&Y;z&I;D0_MNLyDq%KHZ1R0T>ISbk?k_xmtbp zn(R&4X!`79*_X1*wE7&IoOU@KAjjr>ld}NwanAc3)bPlxBP)*tLhd}0bp%~;)cR=K zqi&G;qjQhW*XoZ=JGSK5a>%X6GLOM_a(m^D&7ACSIFpL#xBtH0oWVa^3K^@Xep=Pz8;>PtLId`kQvAC>%B@OP8p3~|}wWUs_O&}MR2A76Ho-e&!dQYprM{AD@1pu(iWv7#|#NJT=$cF5d{%N184-(2U{Yiae@S6z?2 z4ja3kbG_vHC9VF(yc=t71Vbj@ICca1G{3p>=7yVbTK&z+n=fx7!%El6zLf(YJu8=2 zu7o^QdA+hS?{R zab6jpXX#P9Cr@Z!+a%B1rS_h7Y+Rm&NA2%FVJEFL@5Llz)7l1~iLAd*4(sQ0kM;NU z(3tpovjM)(AV08yi#usd77t;A7T+=GVhf|YYD}UB zGw)S^u4KU*4ni6VS;$5+jmbt^wszx4NIeVP7!J9Gt=o8?g~hhin8dbW zF|la!*cEJjYzf;K*NDZ&&DWU3`LMV+6f*84i;qK%SGMMf-Dw*y0O)}rvL9*EC zC+T)(NtTJS#w4+hRCm{EjmfTPsot*pQvKZ>B&*%wQiI*MrH094rA8^&C2RbA&o*tI zWS3T;F-bcu*{7kY(>_WL=@pV=Mq`ahMhnR)1GbbgU2@L2DK*|VTw}6tjMQY`O2`As`r|9vG=v;FNgCi@3S&G!dGZkAf?N3-pJBDrMF)|g~2kz5aqlv;l~M{0BME2-_F z@lw0POQiOPOQhC^A4qOlFQg9HZKcjfCP`l%HIup=JtuX|b(6Z~K9{=ZB}qN<-b+36 z^-`|_GpTn$snq9q6RH1+3DSTQhoylh4cnwar>01QPaT(roc550o_-_^JJU}Ze&&EQ zqEIW1EL7bL&RCeo71L(59 z4F}r-cE@)y*jBLHzK6gP!0!2O10%4zODBSD1ADNvFW7dl`^&!p+X41yxgKmM*hBwl zutcyY{>#9Uz#a!21ly%uWzakgAi&*#KL*|eOh&@zfv3Szz@CMggY5x(vGy;pRIr~y zv%vO(y$am{mIn4R^arqXu-Bny!7{*pUS|g;egN^yx>{iS!QQO%1?0 zj(7@I3}(Pm9cmdEX(g~~t%rw#m>>`*&^7Klj0M;oFsH=UV0Xcq zB)jv0egbQ^`##tUu(rudz+Qs68ImUgz5;BYoB{YV zV8`Sbu-9N6l5c^%0qdN63hWoKPATueeg*52@*UV)u&+|Pg1rOlmTCv~8(7!WMPR>! z^+?r&{Q=fJbvM|bV7*d9!QN{OLs-w$Pk?^`_DOvV_yGxf?-hOb5v<={(RY7?_1*gd z>>scJd&|K71?!&{3HAwWP?|T`XRv|kHX2hK4cL&hPa0Pn1~xc-9GC<)EWHaD2OFCH zR%2?gkpV}fR{|OV4$lZe!dhUXGG>C+1{<02JD4%pn2aC5>VS>j_cNFYn0{Y5m=?@^ z|2!~LuyOlGf|-Gh-JcI;4mM$bBA5l(_{>dU_?-uvlxbK2XbCtm^Dt9HJD3&Nl&ra64Zx;l4F_uo_H{O_+NKfMjBHr7jWyWx>@qMLFt6-vFk3Ls zoDN`iV6$=>fZ1z?7}(651%M8Kb8%YwpTW9;1?2~Tbq5Q{p9a>0QW&+rb!WgiLU`d6(V3WWSi%x+}2HRbf0_Fj>>+C47DPSpQ z-N2@TC7*?#vH2P-^=ypB;A%4saL>8gfYSlf&b~sz8DM*h;R9?u!7_^B18ls&(u>7Q zW`gZ67B86vw(op8*le%^=cB>qfMs3~Lvb$H!3$z2egpRHg^OVGzz$#d7HmG)Aw!8D z-~zzxk_mtd0kcXzfO&%*DY*x>2rTEK2bd4ov5URHe8G-hJO{QIEbn3lm>*bfX%ny| zU*Hu1%s7b7LF?f?7|gH zL^f-|O0QrdGT4LyUc9mva2?>~D|3-B4D3>wC0ICE*_A)QBEYVc4F-z@yH?f;EDG#u znedp=U=?M;W5$4$mpueq4|b!h7;FRB^{b7*HiA`_{R0*YcJr!XFJK(t_g5nU;{m_B ziYe7*6WFb*m{M&vgZ*$V1Z)e~oojQzwu0ThmJ5~ucJJB_Fao=K?I*BpU=OZc0ox9C zzkDFr4zNe%Ex~qzJuDX^ED`Y^dr~fjSQ6mlaxso~A>q?0qxbUV>$S{d`^c{(WG-Toy2QrZ^3@MF&pe4*t;8|)Q7(cuXtD=(6c3Wpx5>KQ`E*OG#>2xC`K%CRxEm%e@$15N^gw9%iC|T_ZZUW>e)K2ayjkdZs{UH&`Kmr-J@f)qPZ9cYRsUP) zCscjH24st`c-zroq5UbeIvb(k!`pT=OK8ehwo2hniA&|fSmm-|EH34Er1KNnbfH}q zn)1c#s|e$!)GQ8{jgFzz4x7+DL2+nBOZCmSa0>_9N)0;3L&xVsqBa|Zj?b-x{#@wz z8xWhJ_uB;BN!51>9Y2Z?{12hy6UNQx<|ZoIzZs~hs`D+--Bf*=&^u7dYU4JPy9Gt@ URCV1}=w3o^oi~50@!;0~4^PG>asU7T