Compressed

This commit is contained in:
waleed-lm 2020-09-28 11:43:03 +05:00
parent 4ef9233a5d
commit b09e88b227
6 changed files with 1331 additions and 1312 deletions

File diff suppressed because it is too large Load Diff

View File

@ -229,11 +229,11 @@ module el2_ifu_compress_ctl(
wire _T_659 = _T_403 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_660 = _T_651 | _T_659; // @[el2_ifu_compress_ctl.scala 76:38]
wire _T_668 = _T_427 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_669 = _T_660 | _T_668; // @[el2_ifu_compress_ctl.scala 76:63]
wire _T_669 = _T_660 | _T_668; // @[el2_ifu_compress_ctl.scala 77:28]
wire _T_677 = _T_451 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_678 = _T_669 | _T_677; // @[el2_ifu_compress_ctl.scala 76:87]
wire _T_678 = _T_669 | _T_677; // @[el2_ifu_compress_ctl.scala 78:27]
wire _T_686 = _T_475 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_687 = _T_678 | _T_686; // @[el2_ifu_compress_ctl.scala 76:111]
wire _T_687 = _T_678 | _T_686; // @[el2_ifu_compress_ctl.scala 79:27]
wire _T_703 = _T_2 & _T_487; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_704 = _T_703 & _T_7; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_705 = _T_704 & _T_9; // @[el2_ifu_compress_ctl.scala 23:110]
@ -241,297 +241,298 @@ module el2_ifu_compress_ctl(
wire _T_707 = _T_706 & _T_52; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_708 = _T_707 & _T_54; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_709 = _T_708 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_710 = _T_687 | _T_709; // @[el2_ifu_compress_ctl.scala 77:27]
wire _T_710 = _T_687 | _T_709; // @[el2_ifu_compress_ctl.scala 80:27]
wire _T_717 = _T_56 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_718 = _T_717 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_719 = _T_710 | _T_718; // @[el2_ifu_compress_ctl.scala 77:65]
wire _T_719 = _T_710 | _T_718; // @[el2_ifu_compress_ctl.scala 81:41]
wire _T_726 = _T_56 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_727 = _T_726 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_728 = _T_719 | _T_727; // @[el2_ifu_compress_ctl.scala 77:89]
wire _T_728 = _T_719 | _T_727; // @[el2_ifu_compress_ctl.scala 82:27]
wire _T_735 = _T_56 & io_din[4]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_736 = _T_735 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_737 = _T_728 | _T_736; // @[el2_ifu_compress_ctl.scala 77:113]
wire _T_737 = _T_728 | _T_736; // @[el2_ifu_compress_ctl.scala 83:27]
wire _T_744 = _T_56 & io_din[3]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_745 = _T_744 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_746 = _T_737 | _T_745; // @[el2_ifu_compress_ctl.scala 78:27]
wire _T_746 = _T_737 | _T_745; // @[el2_ifu_compress_ctl.scala 84:27]
wire _T_753 = _T_56 & io_din[2]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_754 = _T_753 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_755 = _T_746 | _T_754; // @[el2_ifu_compress_ctl.scala 78:51]
wire _T_755 = _T_746 | _T_754; // @[el2_ifu_compress_ctl.scala 85:27]
wire _T_764 = _T_194 & _T_4; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_765 = _T_764 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire rdrs1 = _T_755 | _T_765; // @[el2_ifu_compress_ctl.scala 78:75]
wire _T_769 = io_din[15] & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_770 = _T_769 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_774 = io_din[15] & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_775 = _T_774 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_776 = _T_770 | _T_775; // @[el2_ifu_compress_ctl.scala 80:34]
wire _T_780 = io_din[15] & io_din[4]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_781 = _T_780 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_782 = _T_776 | _T_781; // @[el2_ifu_compress_ctl.scala 80:54]
wire _T_786 = io_din[15] & io_din[3]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_787 = _T_786 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_788 = _T_782 | _T_787; // @[el2_ifu_compress_ctl.scala 80:74]
wire _T_792 = io_din[15] & io_din[2]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_793 = _T_792 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_794 = _T_788 | _T_793; // @[el2_ifu_compress_ctl.scala 80:94]
wire _T_799 = _T_200 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire rs2rs2 = _T_794 | _T_799; // @[el2_ifu_compress_ctl.scala 80:114]
wire _T_766 = _T_755 | _T_765; // @[el2_ifu_compress_ctl.scala 86:27]
wire rdrs1 = _T_766 | _T_195; // @[el2_ifu_compress_ctl.scala 87:30]
wire _T_777 = io_din[15] & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_778 = _T_777 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_782 = io_din[15] & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_783 = _T_782 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_784 = _T_778 | _T_783; // @[el2_ifu_compress_ctl.scala 90:34]
wire _T_788 = io_din[15] & io_din[4]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_789 = _T_788 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_790 = _T_784 | _T_789; // @[el2_ifu_compress_ctl.scala 90:54]
wire _T_794 = io_din[15] & io_din[3]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_795 = _T_794 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_796 = _T_790 | _T_795; // @[el2_ifu_compress_ctl.scala 90:74]
wire _T_800 = io_din[15] & io_din[2]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_801 = _T_800 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_802 = _T_796 | _T_801; // @[el2_ifu_compress_ctl.scala 90:94]
wire _T_807 = _T_200 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire rs2rs2 = _T_802 | _T_807; // @[el2_ifu_compress_ctl.scala 90:114]
wire rdprd = _T_12 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_812 = io_din[15] & _T_4; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_813 = _T_812 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_819 = _T_813 | _T_234; // @[el2_ifu_compress_ctl.scala 84:36]
wire _T_822 = ~io_din[1]; // @[el2_ifu_compress_ctl.scala 23:83]
wire _T_823 = io_din[14] & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_826 = _T_823 & _T_147; // @[el2_ifu_compress_ctl.scala 84:76]
wire rdprs1 = _T_819 | _T_826; // @[el2_ifu_compress_ctl.scala 84:57]
wire _T_838 = _T_128 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_839 = _T_838 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_843 = io_din[15] & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_846 = _T_843 & _T_147; // @[el2_ifu_compress_ctl.scala 86:66]
wire rs2prs2 = _T_839 | _T_846; // @[el2_ifu_compress_ctl.scala 86:47]
wire _T_851 = _T_190 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire rs2prd = _T_851 & _T_147; // @[el2_ifu_compress_ctl.scala 87:33]
wire _T_858 = _T_2 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire uimm9_2 = _T_858 & _T_147; // @[el2_ifu_compress_ctl.scala 88:34]
wire _T_867 = _T_317 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire ulwimm6_2 = _T_867 & _T_147; // @[el2_ifu_compress_ctl.scala 89:39]
wire _T_820 = io_din[15] & _T_4; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_821 = _T_820 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_827 = _T_821 | _T_234; // @[el2_ifu_compress_ctl.scala 94:36]
wire _T_830 = ~io_din[1]; // @[el2_ifu_compress_ctl.scala 23:83]
wire _T_831 = io_din[14] & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_834 = _T_831 & _T_147; // @[el2_ifu_compress_ctl.scala 94:76]
wire rdprs1 = _T_827 | _T_834; // @[el2_ifu_compress_ctl.scala 94:57]
wire _T_846 = _T_128 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_847 = _T_846 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_851 = io_din[15] & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_854 = _T_851 & _T_147; // @[el2_ifu_compress_ctl.scala 96:66]
wire rs2prs2 = _T_847 | _T_854; // @[el2_ifu_compress_ctl.scala 96:47]
wire _T_859 = _T_190 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire rs2prd = _T_859 & _T_147; // @[el2_ifu_compress_ctl.scala 97:33]
wire _T_866 = _T_2 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire uimm9_2 = _T_866 & _T_147; // @[el2_ifu_compress_ctl.scala 98:34]
wire _T_875 = _T_317 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire ulwimm6_2 = _T_875 & _T_147; // @[el2_ifu_compress_ctl.scala 99:39]
wire ulwspimm7_2 = _T_317 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_889 = _T_317 & io_din[13]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_890 = _T_889 & _T_23; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_891 = _T_890 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_892 = _T_891 & _T_40; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_893 = _T_892 & io_din[8]; // @[el2_ifu_compress_ctl.scala 23:110]
wire rdeq2 = _T_893 & _T_44; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1019 = _T_194 & io_din[13]; // @[el2_ifu_compress_ctl.scala 23:110]
wire rdeq1 = _T_482 | _T_1019; // @[el2_ifu_compress_ctl.scala 94:42]
wire _T_1042 = io_din[14] & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1043 = rdeq2 | _T_1042; // @[el2_ifu_compress_ctl.scala 95:53]
wire rs1eq2 = _T_1043 | uimm9_2; // @[el2_ifu_compress_ctl.scala 95:71]
wire _T_1084 = _T_357 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1085 = _T_1084 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1086 = _T_1085 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire simm5_0 = _T_1086 | _T_643; // @[el2_ifu_compress_ctl.scala 98:45]
wire _T_1104 = _T_889 & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1113 = _T_889 & _T_42; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1114 = _T_1104 | _T_1113; // @[el2_ifu_compress_ctl.scala 100:44]
wire _T_1122 = _T_889 & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1123 = _T_1114 | _T_1122; // @[el2_ifu_compress_ctl.scala 100:70]
wire _T_1131 = _T_889 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1132 = _T_1123 | _T_1131; // @[el2_ifu_compress_ctl.scala 100:95]
wire _T_1140 = _T_889 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110]
wire sluimm17_12 = _T_1132 | _T_1140; // @[el2_ifu_compress_ctl.scala 101:29]
wire uimm5_0 = _T_79 | _T_195; // @[el2_ifu_compress_ctl.scala 102:45]
wire _T_897 = _T_317 & io_din[13]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_898 = _T_897 & _T_23; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_899 = _T_898 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_900 = _T_899 & _T_40; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_901 = _T_900 & io_din[8]; // @[el2_ifu_compress_ctl.scala 23:110]
wire rdeq2 = _T_901 & _T_44; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1027 = _T_194 & io_din[13]; // @[el2_ifu_compress_ctl.scala 23:110]
wire rdeq1 = _T_482 | _T_1027; // @[el2_ifu_compress_ctl.scala 104:42]
wire _T_1050 = io_din[14] & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1051 = rdeq2 | _T_1050; // @[el2_ifu_compress_ctl.scala 105:53]
wire rs1eq2 = _T_1051 | uimm9_2; // @[el2_ifu_compress_ctl.scala 105:71]
wire _T_1092 = _T_357 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1093 = _T_1092 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1094 = _T_1093 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire simm5_0 = _T_1094 | _T_643; // @[el2_ifu_compress_ctl.scala 108:45]
wire _T_1112 = _T_897 & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1121 = _T_897 & _T_42; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1122 = _T_1112 | _T_1121; // @[el2_ifu_compress_ctl.scala 110:44]
wire _T_1130 = _T_897 & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1131 = _T_1122 | _T_1130; // @[el2_ifu_compress_ctl.scala 110:70]
wire _T_1139 = _T_897 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1140 = _T_1131 | _T_1139; // @[el2_ifu_compress_ctl.scala 110:95]
wire _T_1148 = _T_897 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110]
wire sluimm17_12 = _T_1140 | _T_1148; // @[el2_ifu_compress_ctl.scala 111:29]
wire uimm5_0 = _T_79 | _T_195; // @[el2_ifu_compress_ctl.scala 112:45]
wire [6:0] l1_6 = {out_6,out_5,out_4,_T_228,out_2,1'h1,1'h1}; // @[Cat.scala 29:58]
wire [4:0] _T_1184 = rdrd ? rdd : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1185 = rdprd ? rdpd : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1186 = rs2prd ? rs2pd : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1187 = rdeq1 ? 5'h1 : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1188 = rdeq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1189 = _T_1184 | _T_1185; // @[Mux.scala 27:72]
wire [4:0] _T_1190 = _T_1189 | _T_1186; // @[Mux.scala 27:72]
wire [4:0] _T_1191 = _T_1190 | _T_1187; // @[Mux.scala 27:72]
wire [4:0] l1_11 = _T_1191 | _T_1188; // @[Mux.scala 27:72]
wire [4:0] _T_1202 = rdrs1 ? rdd : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1203 = rdprs1 ? rdpd : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1204 = rs1eq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1205 = _T_1202 | _T_1203; // @[Mux.scala 27:72]
wire [4:0] l1_19 = _T_1205 | _T_1204; // @[Mux.scala 27:72]
wire [4:0] _T_1211 = {3'h0,1'h0,out_20}; // @[Cat.scala 29:58]
wire [4:0] _T_1214 = rs2rs2 ? rs2d : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1215 = rs2prs2 ? rs2pd : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1216 = _T_1214 | _T_1215; // @[Mux.scala 27:72]
wire [4:0] l1_24 = _T_1211 | _T_1216; // @[el2_ifu_compress_ctl.scala 115:67]
wire [14:0] _T_1224 = {out_14,out_13,out_12,l1_11,l1_6}; // @[Cat.scala 29:58]
wire [16:0] _T_1226 = {1'h0,out_30,2'h0,3'h0,l1_24,l1_19}; // @[Cat.scala 29:58]
wire [31:0] l1 = {1'h0,out_30,2'h0,3'h0,l1_24,l1_19,_T_1224}; // @[Cat.scala 29:58]
wire [4:0] _T_1192 = rdrd ? rdd : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1193 = rdprd ? rdpd : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1194 = rs2prd ? rs2pd : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1195 = rdeq1 ? 5'h1 : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1196 = rdeq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1197 = _T_1192 | _T_1193; // @[Mux.scala 27:72]
wire [4:0] _T_1198 = _T_1197 | _T_1194; // @[Mux.scala 27:72]
wire [4:0] _T_1199 = _T_1198 | _T_1195; // @[Mux.scala 27:72]
wire [4:0] l1_11 = _T_1199 | _T_1196; // @[Mux.scala 27:72]
wire [4:0] _T_1210 = rdrs1 ? rdd : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1211 = rdprs1 ? rdpd : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1212 = rs1eq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1213 = _T_1210 | _T_1211; // @[Mux.scala 27:72]
wire [4:0] l1_19 = _T_1213 | _T_1212; // @[Mux.scala 27:72]
wire [4:0] _T_1219 = {3'h0,1'h0,out_20}; // @[Cat.scala 29:58]
wire [4:0] _T_1222 = rs2rs2 ? rs2d : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1223 = rs2prs2 ? rs2pd : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1224 = _T_1222 | _T_1223; // @[Mux.scala 27:72]
wire [4:0] l1_24 = _T_1219 | _T_1224; // @[el2_ifu_compress_ctl.scala 125:67]
wire [14:0] _T_1232 = {out_14,out_13,out_12,l1_11,l1_6}; // @[Cat.scala 29:58]
wire [16:0] _T_1234 = {1'h0,out_30,2'h0,3'h0,l1_24,l1_19}; // @[Cat.scala 29:58]
wire [31:0] l1 = {1'h0,out_30,2'h0,3'h0,l1_24,l1_19,_T_1232}; // @[Cat.scala 29:58]
wire [5:0] simm5d = {io_din[12],rs2d}; // @[Cat.scala 29:58]
wire [5:0] simm9d = {io_din[12],io_din[4:3],io_din[5],io_din[2],io_din[6]}; // @[Cat.scala 29:58]
wire [8:0] sjald_12 = io_din[12] ? 9'h1ff : 9'h0; // @[Bitwise.scala 72:12]
wire [19:0] sjald = {sjald_12,io_din[12],io_din[8],io_din[10:9],io_din[6],io_din[7],io_din[2],io_din[11],io_din[5:4],io_din[3]}; // @[Cat.scala 29:58]
wire [14:0] _T_1273 = io_din[12] ? 15'h7fff : 15'h0; // @[Bitwise.scala 72:12]
wire [19:0] sluimmd = {_T_1273,rs2d}; // @[Cat.scala 29:58]
wire [6:0] _T_1279 = simm5d[5] ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12]
wire [11:0] _T_1281 = {_T_1279,simm5d[4:0]}; // @[Cat.scala 29:58]
wire [11:0] _T_1284 = {2'h0,io_din[10:7],io_din[12:11],io_din[5],io_din[6],2'h0}; // @[Cat.scala 29:58]
wire [2:0] _T_1288 = simm9d[5] ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12]
wire [11:0] _T_1291 = {_T_1288,simm9d[4:0],4'h0}; // @[Cat.scala 29:58]
wire [11:0] _T_1294 = {5'h0,io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58]
wire [11:0] _T_1297 = {4'h0,io_din[3:2],io_din[12],io_din[6:4],2'h0}; // @[Cat.scala 29:58]
wire [11:0] _T_1299 = {6'h0,io_din[12],rs2d}; // @[Cat.scala 29:58]
wire [11:0] _T_1304 = {sjald[19],sjald[9:0],sjald[10]}; // @[Cat.scala 29:58]
wire [11:0] _T_1306 = simm5_0 ? _T_1281 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1307 = uimm9_2 ? _T_1284 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1308 = rdeq2 ? _T_1291 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1309 = ulwimm6_2 ? _T_1294 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1310 = ulwspimm7_2 ? _T_1297 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1311 = uimm5_0 ? _T_1299 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1312 = _T_228 ? _T_1304 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1313 = sluimm17_12 ? sluimmd[19:8] : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1314 = _T_1306 | _T_1307; // @[Mux.scala 27:72]
wire [11:0] _T_1315 = _T_1314 | _T_1308; // @[Mux.scala 27:72]
wire [11:0] _T_1316 = _T_1315 | _T_1309; // @[Mux.scala 27:72]
wire [11:0] _T_1317 = _T_1316 | _T_1310; // @[Mux.scala 27:72]
wire [11:0] _T_1318 = _T_1317 | _T_1311; // @[Mux.scala 27:72]
wire [11:0] _T_1319 = _T_1318 | _T_1312; // @[Mux.scala 27:72]
wire [11:0] _T_1320 = _T_1319 | _T_1313; // @[Mux.scala 27:72]
wire [11:0] l2_31 = l1[31:20] | _T_1320; // @[el2_ifu_compress_ctl.scala 131:25]
wire [8:0] _T_1327 = _T_228 ? sjald[19:11] : 9'h0; // @[Mux.scala 27:72]
wire [7:0] _T_1328 = sluimm17_12 ? sluimmd[7:0] : 8'h0; // @[Mux.scala 27:72]
wire [8:0] _GEN_0 = {{1'd0}, _T_1328}; // @[Mux.scala 27:72]
wire [8:0] _T_1329 = _T_1327 | _GEN_0; // @[Mux.scala 27:72]
wire [8:0] _GEN_1 = {{1'd0}, l1[19:12]}; // @[el2_ifu_compress_ctl.scala 141:25]
wire [8:0] l2_19 = _GEN_1 | _T_1329; // @[el2_ifu_compress_ctl.scala 141:25]
wire [14:0] _T_1281 = io_din[12] ? 15'h7fff : 15'h0; // @[Bitwise.scala 72:12]
wire [19:0] sluimmd = {_T_1281,rs2d}; // @[Cat.scala 29:58]
wire [6:0] _T_1287 = simm5d[5] ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12]
wire [11:0] _T_1289 = {_T_1287,simm5d[4:0]}; // @[Cat.scala 29:58]
wire [11:0] _T_1292 = {2'h0,io_din[10:7],io_din[12:11],io_din[5],io_din[6],2'h0}; // @[Cat.scala 29:58]
wire [2:0] _T_1296 = simm9d[5] ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12]
wire [11:0] _T_1299 = {_T_1296,simm9d[4:0],4'h0}; // @[Cat.scala 29:58]
wire [11:0] _T_1302 = {5'h0,io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58]
wire [11:0] _T_1305 = {4'h0,io_din[3:2],io_din[12],io_din[6:4],2'h0}; // @[Cat.scala 29:58]
wire [11:0] _T_1307 = {6'h0,io_din[12],rs2d}; // @[Cat.scala 29:58]
wire [11:0] _T_1312 = {sjald[19],sjald[9:0],sjald[10]}; // @[Cat.scala 29:58]
wire [11:0] _T_1314 = simm5_0 ? _T_1289 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1315 = uimm9_2 ? _T_1292 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1316 = rdeq2 ? _T_1299 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1317 = ulwimm6_2 ? _T_1302 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1318 = ulwspimm7_2 ? _T_1305 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1319 = uimm5_0 ? _T_1307 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1320 = _T_228 ? _T_1312 : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1321 = sluimm17_12 ? sluimmd[19:8] : 12'h0; // @[Mux.scala 27:72]
wire [11:0] _T_1322 = _T_1314 | _T_1315; // @[Mux.scala 27:72]
wire [11:0] _T_1323 = _T_1322 | _T_1316; // @[Mux.scala 27:72]
wire [11:0] _T_1324 = _T_1323 | _T_1317; // @[Mux.scala 27:72]
wire [11:0] _T_1325 = _T_1324 | _T_1318; // @[Mux.scala 27:72]
wire [11:0] _T_1326 = _T_1325 | _T_1319; // @[Mux.scala 27:72]
wire [11:0] _T_1327 = _T_1326 | _T_1320; // @[Mux.scala 27:72]
wire [11:0] _T_1328 = _T_1327 | _T_1321; // @[Mux.scala 27:72]
wire [11:0] l2_31 = l1[31:20] | _T_1328; // @[el2_ifu_compress_ctl.scala 141:25]
wire [8:0] _T_1335 = _T_228 ? sjald[19:11] : 9'h0; // @[Mux.scala 27:72]
wire [7:0] _T_1336 = sluimm17_12 ? sluimmd[7:0] : 8'h0; // @[Mux.scala 27:72]
wire [8:0] _GEN_0 = {{1'd0}, _T_1336}; // @[Mux.scala 27:72]
wire [8:0] _T_1337 = _T_1335 | _GEN_0; // @[Mux.scala 27:72]
wire [8:0] _GEN_1 = {{1'd0}, l1[19:12]}; // @[el2_ifu_compress_ctl.scala 151:25]
wire [8:0] l2_19 = _GEN_1 | _T_1337; // @[el2_ifu_compress_ctl.scala 151:25]
wire [32:0] l2 = {l2_31,l2_19,l1[11:0]}; // @[Cat.scala 29:58]
wire [8:0] sbr8d = {io_din[12],io_din[6],io_din[5],io_din[2],io_din[11],io_din[10],io_din[4],io_din[3],1'h0}; // @[Cat.scala 29:58]
wire [6:0] uswimm6d = {io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58]
wire [7:0] uswspimm7d = {io_din[8:7],io_din[12:9],2'h0}; // @[Cat.scala 29:58]
wire [3:0] _T_1360 = sbr8d[8] ? 4'hf : 4'h0; // @[Bitwise.scala 72:12]
wire [6:0] _T_1362 = {_T_1360,sbr8d[7:5]}; // @[Cat.scala 29:58]
wire [6:0] _T_1365 = {5'h0,uswimm6d[6:5]}; // @[Cat.scala 29:58]
wire [6:0] _T_1368 = {4'h0,uswspimm7d[7:5]}; // @[Cat.scala 29:58]
wire [6:0] _T_1369 = _T_234 ? _T_1362 : 7'h0; // @[Mux.scala 27:72]
wire [6:0] _T_1370 = _T_846 ? _T_1365 : 7'h0; // @[Mux.scala 27:72]
wire [6:0] _T_1371 = _T_799 ? _T_1368 : 7'h0; // @[Mux.scala 27:72]
wire [6:0] _T_1372 = _T_1369 | _T_1370; // @[Mux.scala 27:72]
wire [6:0] _T_1373 = _T_1372 | _T_1371; // @[Mux.scala 27:72]
wire [6:0] l3_31 = l2[31:25] | _T_1373; // @[el2_ifu_compress_ctl.scala 147:25]
wire [12:0] l3_24 = l2[24:12]; // @[el2_ifu_compress_ctl.scala 150:17]
wire [4:0] _T_1379 = {sbr8d[4:1],sbr8d[8]}; // @[Cat.scala 29:58]
wire [4:0] _T_1384 = _T_234 ? _T_1379 : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1385 = _T_846 ? uswimm6d[4:0] : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1386 = _T_799 ? uswspimm7d[4:0] : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1387 = _T_1384 | _T_1385; // @[Mux.scala 27:72]
wire [4:0] _T_1388 = _T_1387 | _T_1386; // @[Mux.scala 27:72]
wire [4:0] l3_11 = l2[11:7] | _T_1388; // @[el2_ifu_compress_ctl.scala 151:24]
wire [11:0] _T_1391 = {l3_11,l2[6:0]}; // @[Cat.scala 29:58]
wire [19:0] _T_1392 = {l3_31,l3_24}; // @[Cat.scala 29:58]
wire [3:0] _T_1368 = sbr8d[8] ? 4'hf : 4'h0; // @[Bitwise.scala 72:12]
wire [6:0] _T_1370 = {_T_1368,sbr8d[7:5]}; // @[Cat.scala 29:58]
wire [6:0] _T_1373 = {5'h0,uswimm6d[6:5]}; // @[Cat.scala 29:58]
wire [6:0] _T_1376 = {4'h0,uswspimm7d[7:5]}; // @[Cat.scala 29:58]
wire [6:0] _T_1377 = _T_234 ? _T_1370 : 7'h0; // @[Mux.scala 27:72]
wire [6:0] _T_1378 = _T_854 ? _T_1373 : 7'h0; // @[Mux.scala 27:72]
wire [6:0] _T_1379 = _T_807 ? _T_1376 : 7'h0; // @[Mux.scala 27:72]
wire [6:0] _T_1380 = _T_1377 | _T_1378; // @[Mux.scala 27:72]
wire [6:0] _T_1381 = _T_1380 | _T_1379; // @[Mux.scala 27:72]
wire [6:0] l3_31 = l2[31:25] | _T_1381; // @[el2_ifu_compress_ctl.scala 157:25]
wire [12:0] l3_24 = l2[24:12]; // @[el2_ifu_compress_ctl.scala 160:17]
wire [4:0] _T_1387 = {sbr8d[4:1],sbr8d[8]}; // @[Cat.scala 29:58]
wire [4:0] _T_1392 = _T_234 ? _T_1387 : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1393 = _T_854 ? uswimm6d[4:0] : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1394 = _T_807 ? uswspimm7d[4:0] : 5'h0; // @[Mux.scala 27:72]
wire [4:0] _T_1395 = _T_1392 | _T_1393; // @[Mux.scala 27:72]
wire [4:0] _T_1396 = _T_1395 | _T_1394; // @[Mux.scala 27:72]
wire [4:0] l3_11 = l2[11:7] | _T_1396; // @[el2_ifu_compress_ctl.scala 161:24]
wire [11:0] _T_1399 = {l3_11,l2[6:0]}; // @[Cat.scala 29:58]
wire [19:0] _T_1400 = {l3_31,l3_24}; // @[Cat.scala 29:58]
wire [31:0] l3 = {l3_31,l3_24,l3_11,l2[6:0]}; // @[Cat.scala 29:58]
wire _T_1399 = _T_4 & _T_487; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1400 = _T_1399 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1401 = _T_1400 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1404 = _T_1401 & _T_147; // @[el2_ifu_compress_ctl.scala 156:39]
wire _T_1412 = _T_1399 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1413 = _T_1412 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1416 = _T_1413 & _T_147; // @[el2_ifu_compress_ctl.scala 156:79]
wire _T_1417 = _T_1404 | _T_1416; // @[el2_ifu_compress_ctl.scala 156:54]
wire _T_1426 = _T_642 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1427 = _T_1426 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1428 = _T_1417 | _T_1427; // @[el2_ifu_compress_ctl.scala 156:94]
wire _T_1436 = _T_1399 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1437 = _T_1436 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1440 = _T_1437 & _T_147; // @[el2_ifu_compress_ctl.scala 157:55]
wire _T_1441 = _T_1428 | _T_1440; // @[el2_ifu_compress_ctl.scala 157:30]
wire _T_1449 = _T_1399 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1450 = _T_1449 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1453 = _T_1450 & _T_147; // @[el2_ifu_compress_ctl.scala 157:96]
wire _T_1454 = _T_1441 | _T_1453; // @[el2_ifu_compress_ctl.scala 157:70]
wire _T_1463 = _T_642 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1464 = _T_1463 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1465 = _T_1454 | _T_1464; // @[el2_ifu_compress_ctl.scala 157:111]
wire _T_1472 = io_din[15] & _T_487; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1473 = _T_1472 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1474 = _T_1473 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1475 = _T_1465 | _T_1474; // @[el2_ifu_compress_ctl.scala 158:29]
wire _T_1483 = _T_1399 & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1484 = _T_1483 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1487 = _T_1484 & _T_147; // @[el2_ifu_compress_ctl.scala 158:79]
wire _T_1488 = _T_1475 | _T_1487; // @[el2_ifu_compress_ctl.scala 158:54]
wire _T_1495 = _T_487 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1496 = _T_1495 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1497 = _T_1496 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1498 = _T_1488 | _T_1497; // @[el2_ifu_compress_ctl.scala 158:94]
wire _T_1507 = _T_642 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1508 = _T_1507 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1509 = _T_1498 | _T_1508; // @[el2_ifu_compress_ctl.scala 158:118]
wire _T_1517 = _T_1399 & io_din[8]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1518 = _T_1517 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1521 = _T_1518 & _T_147; // @[el2_ifu_compress_ctl.scala 159:28]
wire _T_1522 = _T_1509 | _T_1521; // @[el2_ifu_compress_ctl.scala 158:144]
wire _T_1529 = _T_487 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1530 = _T_1529 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1531 = _T_1530 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1532 = _T_1522 | _T_1531; // @[el2_ifu_compress_ctl.scala 159:43]
wire _T_1541 = _T_642 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1542 = _T_1541 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1543 = _T_1532 | _T_1542; // @[el2_ifu_compress_ctl.scala 159:67]
wire _T_1551 = _T_1399 & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1552 = _T_1551 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1555 = _T_1552 & _T_147; // @[el2_ifu_compress_ctl.scala 160:28]
wire _T_1556 = _T_1543 | _T_1555; // @[el2_ifu_compress_ctl.scala 159:94]
wire _T_1564 = io_din[12] & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1565 = _T_1564 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1566 = _T_1565 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1567 = _T_1566 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1568 = _T_1556 | _T_1567; // @[el2_ifu_compress_ctl.scala 160:43]
wire _T_1577 = _T_642 & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1578 = _T_1577 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1579 = _T_1568 | _T_1578; // @[el2_ifu_compress_ctl.scala 160:71]
wire _T_1587 = _T_1399 & io_din[4]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1588 = _T_1587 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1591 = _T_1588 & _T_147; // @[el2_ifu_compress_ctl.scala 161:28]
wire _T_1592 = _T_1579 | _T_1591; // @[el2_ifu_compress_ctl.scala 160:97]
wire _T_1598 = io_din[13] & io_din[12]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1599 = _T_1598 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1600 = _T_1599 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1601 = _T_1592 | _T_1600; // @[el2_ifu_compress_ctl.scala 161:43]
wire _T_1610 = _T_642 & io_din[8]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1611 = _T_1610 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1612 = _T_1601 | _T_1611; // @[el2_ifu_compress_ctl.scala 161:67]
wire _T_1620 = _T_1399 & io_din[3]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1621 = _T_1620 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1624 = _T_1621 & _T_147; // @[el2_ifu_compress_ctl.scala 162:28]
wire _T_1625 = _T_1612 | _T_1624; // @[el2_ifu_compress_ctl.scala 161:93]
wire _T_1631 = io_din[13] & io_din[4]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1632 = _T_1631 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1633 = _T_1632 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1634 = _T_1625 | _T_1633; // @[el2_ifu_compress_ctl.scala 162:43]
wire _T_1642 = _T_1399 & io_din[2]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1643 = _T_1642 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1646 = _T_1643 & _T_147; // @[el2_ifu_compress_ctl.scala 162:91]
wire _T_1647 = _T_1634 | _T_1646; // @[el2_ifu_compress_ctl.scala 162:66]
wire _T_1656 = _T_642 & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1657 = _T_1656 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1658 = _T_1647 | _T_1657; // @[el2_ifu_compress_ctl.scala 162:106]
wire _T_1664 = io_din[13] & io_din[3]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1665 = _T_1664 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1666 = _T_1665 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1667 = _T_1658 | _T_1666; // @[el2_ifu_compress_ctl.scala 163:29]
wire _T_1673 = io_din[13] & io_din[2]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1674 = _T_1673 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1675 = _T_1674 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1676 = _T_1667 | _T_1675; // @[el2_ifu_compress_ctl.scala 163:52]
wire _T_1682 = io_din[14] & _T_4; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1683 = _T_1682 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1684 = _T_1676 | _T_1683; // @[el2_ifu_compress_ctl.scala 163:75]
wire _T_1693 = _T_703 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1694 = _T_1693 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1695 = _T_1684 | _T_1694; // @[el2_ifu_compress_ctl.scala 163:98]
wire _T_1702 = _T_812 & io_din[12]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1703 = _T_1702 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1706 = _T_1703 & _T_147; // @[el2_ifu_compress_ctl.scala 164:54]
wire _T_1707 = _T_1695 | _T_1706; // @[el2_ifu_compress_ctl.scala 164:29]
wire _T_1716 = _T_642 & _T_487; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1717 = _T_1716 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1720 = _T_1717 & _T_147; // @[el2_ifu_compress_ctl.scala 164:96]
wire _T_1721 = _T_1707 | _T_1720; // @[el2_ifu_compress_ctl.scala 164:69]
wire _T_1730 = _T_642 & io_din[12]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1731 = _T_1730 & _T_822; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1732 = _T_1721 | _T_1731; // @[el2_ifu_compress_ctl.scala 164:111]
wire _T_1739 = _T_1682 & _T_147; // @[el2_ifu_compress_ctl.scala 165:50]
wire legal = _T_1732 | _T_1739; // @[el2_ifu_compress_ctl.scala 165:30]
wire [31:0] _T_1741 = legal ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12]
wire [9:0] _T_1751 = {1'h0,out_30,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0}; // @[Cat.scala 29:58]
wire [18:0] _T_1760 = {_T_1751,1'h0,out_20,1'h0,1'h0,1'h0,1'h0,1'h0,out_14,out_13}; // @[Cat.scala 29:58]
wire [27:0] _T_1769 = {_T_1760,out_12,1'h0,1'h0,1'h0,1'h0,1'h0,out_6,out_5,out_4}; // @[Cat.scala 29:58]
wire [30:0] _T_1772 = {_T_1769,_T_228,out_2,1'h1}; // @[Cat.scala 29:58]
assign io_dout = l3 & _T_1741; // @[el2_ifu_compress_ctl.scala 167:10]
assign io_l1 = {_T_1226,_T_1224}; // @[el2_ifu_compress_ctl.scala 168:9]
assign io_l2 = l2[31:0]; // @[el2_ifu_compress_ctl.scala 169:9]
assign io_l3 = {_T_1392,_T_1391}; // @[el2_ifu_compress_ctl.scala 170:9]
assign io_legal = _T_1732 | _T_1739; // @[el2_ifu_compress_ctl.scala 171:12]
assign io_rdd = io_din[11:7]; // @[el2_ifu_compress_ctl.scala 173:10]
assign io_rdpd = {2'h1,io_din[9:7]}; // @[el2_ifu_compress_ctl.scala 174:11]
assign io_rs2d = io_din[6:2]; // @[el2_ifu_compress_ctl.scala 175:11]
assign io_rs2pd = {2'h1,io_din[4:2]}; // @[el2_ifu_compress_ctl.scala 176:12]
assign io_o = {_T_1772,1'h1}; // @[el2_ifu_compress_ctl.scala 172:8]
wire _T_1407 = _T_4 & _T_487; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1408 = _T_1407 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1409 = _T_1408 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1412 = _T_1409 & _T_147; // @[el2_ifu_compress_ctl.scala 166:39]
wire _T_1420 = _T_1407 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1421 = _T_1420 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1424 = _T_1421 & _T_147; // @[el2_ifu_compress_ctl.scala 166:79]
wire _T_1425 = _T_1412 | _T_1424; // @[el2_ifu_compress_ctl.scala 166:54]
wire _T_1434 = _T_642 & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1435 = _T_1434 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1436 = _T_1425 | _T_1435; // @[el2_ifu_compress_ctl.scala 166:94]
wire _T_1444 = _T_1407 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1445 = _T_1444 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1448 = _T_1445 & _T_147; // @[el2_ifu_compress_ctl.scala 167:55]
wire _T_1449 = _T_1436 | _T_1448; // @[el2_ifu_compress_ctl.scala 167:30]
wire _T_1457 = _T_1407 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1458 = _T_1457 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1461 = _T_1458 & _T_147; // @[el2_ifu_compress_ctl.scala 167:96]
wire _T_1462 = _T_1449 | _T_1461; // @[el2_ifu_compress_ctl.scala 167:70]
wire _T_1471 = _T_642 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1472 = _T_1471 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1473 = _T_1462 | _T_1472; // @[el2_ifu_compress_ctl.scala 167:111]
wire _T_1480 = io_din[15] & _T_487; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1481 = _T_1480 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1482 = _T_1481 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1483 = _T_1473 | _T_1482; // @[el2_ifu_compress_ctl.scala 168:29]
wire _T_1491 = _T_1407 & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1492 = _T_1491 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1495 = _T_1492 & _T_147; // @[el2_ifu_compress_ctl.scala 168:79]
wire _T_1496 = _T_1483 | _T_1495; // @[el2_ifu_compress_ctl.scala 168:54]
wire _T_1503 = _T_487 & io_din[6]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1504 = _T_1503 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1505 = _T_1504 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1506 = _T_1496 | _T_1505; // @[el2_ifu_compress_ctl.scala 168:94]
wire _T_1515 = _T_642 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1516 = _T_1515 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1517 = _T_1506 | _T_1516; // @[el2_ifu_compress_ctl.scala 168:118]
wire _T_1525 = _T_1407 & io_din[8]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1526 = _T_1525 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1529 = _T_1526 & _T_147; // @[el2_ifu_compress_ctl.scala 169:28]
wire _T_1530 = _T_1517 | _T_1529; // @[el2_ifu_compress_ctl.scala 168:144]
wire _T_1537 = _T_487 & io_din[5]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1538 = _T_1537 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1539 = _T_1538 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1540 = _T_1530 | _T_1539; // @[el2_ifu_compress_ctl.scala 169:43]
wire _T_1549 = _T_642 & io_din[10]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1550 = _T_1549 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1551 = _T_1540 | _T_1550; // @[el2_ifu_compress_ctl.scala 169:67]
wire _T_1559 = _T_1407 & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1560 = _T_1559 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1563 = _T_1560 & _T_147; // @[el2_ifu_compress_ctl.scala 170:28]
wire _T_1564 = _T_1551 | _T_1563; // @[el2_ifu_compress_ctl.scala 169:94]
wire _T_1572 = io_din[12] & io_din[11]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1573 = _T_1572 & _T_38; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1574 = _T_1573 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1575 = _T_1574 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1576 = _T_1564 | _T_1575; // @[el2_ifu_compress_ctl.scala 170:43]
wire _T_1585 = _T_642 & io_din[9]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1586 = _T_1585 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1587 = _T_1576 | _T_1586; // @[el2_ifu_compress_ctl.scala 170:71]
wire _T_1595 = _T_1407 & io_din[4]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1596 = _T_1595 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1599 = _T_1596 & _T_147; // @[el2_ifu_compress_ctl.scala 171:28]
wire _T_1600 = _T_1587 | _T_1599; // @[el2_ifu_compress_ctl.scala 170:97]
wire _T_1606 = io_din[13] & io_din[12]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1607 = _T_1606 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1608 = _T_1607 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1609 = _T_1600 | _T_1608; // @[el2_ifu_compress_ctl.scala 171:43]
wire _T_1618 = _T_642 & io_din[8]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1619 = _T_1618 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1620 = _T_1609 | _T_1619; // @[el2_ifu_compress_ctl.scala 171:67]
wire _T_1628 = _T_1407 & io_din[3]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1629 = _T_1628 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1632 = _T_1629 & _T_147; // @[el2_ifu_compress_ctl.scala 172:28]
wire _T_1633 = _T_1620 | _T_1632; // @[el2_ifu_compress_ctl.scala 171:93]
wire _T_1639 = io_din[13] & io_din[4]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1640 = _T_1639 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1641 = _T_1640 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1642 = _T_1633 | _T_1641; // @[el2_ifu_compress_ctl.scala 172:43]
wire _T_1650 = _T_1407 & io_din[2]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1651 = _T_1650 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1654 = _T_1651 & _T_147; // @[el2_ifu_compress_ctl.scala 172:91]
wire _T_1655 = _T_1642 | _T_1654; // @[el2_ifu_compress_ctl.scala 172:66]
wire _T_1664 = _T_642 & io_din[7]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1665 = _T_1664 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1666 = _T_1655 | _T_1665; // @[el2_ifu_compress_ctl.scala 172:106]
wire _T_1672 = io_din[13] & io_din[3]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1673 = _T_1672 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1674 = _T_1673 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1675 = _T_1666 | _T_1674; // @[el2_ifu_compress_ctl.scala 173:29]
wire _T_1681 = io_din[13] & io_din[2]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1682 = _T_1681 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1683 = _T_1682 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1684 = _T_1675 | _T_1683; // @[el2_ifu_compress_ctl.scala 173:52]
wire _T_1690 = io_din[14] & _T_4; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1691 = _T_1690 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1692 = _T_1684 | _T_1691; // @[el2_ifu_compress_ctl.scala 173:75]
wire _T_1701 = _T_703 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1702 = _T_1701 & io_din[0]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1703 = _T_1692 | _T_1702; // @[el2_ifu_compress_ctl.scala 173:98]
wire _T_1710 = _T_820 & io_din[12]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1711 = _T_1710 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1714 = _T_1711 & _T_147; // @[el2_ifu_compress_ctl.scala 174:54]
wire _T_1715 = _T_1703 | _T_1714; // @[el2_ifu_compress_ctl.scala 174:29]
wire _T_1724 = _T_642 & _T_487; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1725 = _T_1724 & io_din[1]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1728 = _T_1725 & _T_147; // @[el2_ifu_compress_ctl.scala 174:96]
wire _T_1729 = _T_1715 | _T_1728; // @[el2_ifu_compress_ctl.scala 174:69]
wire _T_1738 = _T_642 & io_din[12]; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1739 = _T_1738 & _T_830; // @[el2_ifu_compress_ctl.scala 23:110]
wire _T_1740 = _T_1729 | _T_1739; // @[el2_ifu_compress_ctl.scala 174:111]
wire _T_1747 = _T_1690 & _T_147; // @[el2_ifu_compress_ctl.scala 175:50]
wire legal = _T_1740 | _T_1747; // @[el2_ifu_compress_ctl.scala 175:30]
wire [31:0] _T_1749 = legal ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12]
wire [9:0] _T_1759 = {1'h0,out_30,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0}; // @[Cat.scala 29:58]
wire [18:0] _T_1768 = {_T_1759,1'h0,out_20,1'h0,1'h0,1'h0,1'h0,1'h0,out_14,out_13}; // @[Cat.scala 29:58]
wire [27:0] _T_1777 = {_T_1768,out_12,1'h0,1'h0,1'h0,1'h0,1'h0,out_6,out_5,out_4}; // @[Cat.scala 29:58]
wire [30:0] _T_1780 = {_T_1777,_T_228,out_2,1'h1}; // @[Cat.scala 29:58]
assign io_dout = l3 & _T_1749; // @[el2_ifu_compress_ctl.scala 177:10]
assign io_l1 = {_T_1234,_T_1232}; // @[el2_ifu_compress_ctl.scala 178:9]
assign io_l2 = l2[31:0]; // @[el2_ifu_compress_ctl.scala 179:9]
assign io_l3 = {_T_1400,_T_1399}; // @[el2_ifu_compress_ctl.scala 180:9]
assign io_legal = _T_1740 | _T_1747; // @[el2_ifu_compress_ctl.scala 181:12]
assign io_rdd = io_din[11:7]; // @[el2_ifu_compress_ctl.scala 183:10]
assign io_rdpd = {2'h1,io_din[9:7]}; // @[el2_ifu_compress_ctl.scala 184:11]
assign io_rs2d = io_din[6:2]; // @[el2_ifu_compress_ctl.scala 185:11]
assign io_rs2pd = {2'h1,io_din[4:2]}; // @[el2_ifu_compress_ctl.scala 186:12]
assign io_o = {_T_1780,1'h1}; // @[el2_ifu_compress_ctl.scala 182:8]
endmodule

View File

@ -73,9 +73,19 @@ class el2_ifu_compress_ctl extends Module {
pat(List(-14,4,1)) | pat(List(-15,14,9,0)) | pat(List(-14,3,1)) | pat(List(-15,14,-8,0)) |
pat(List(-14,2,1)) | pat(List(-15,14,7,0)) | pat(List(-15,1)) | pat(List(-15,-13,0))
val rdrs1 = pat(List(-14,12,11,1)) | pat(List(-14,12,10,1)) | pat(List(-14,12,9,1)) | pat(List(-14,12,8,1)) |
pat(List(-14,12,7,1)) | pat(List(-14,-12,-6,-5,-4,-3,-2,1)) | pat(List(-14,12,6,1)) | pat(List(-14,12,5,1)) |
pat(List(-14,12,4,1)) | pat(List(-14,12,3,1)) | pat(List(-14,12,2,1)) | pat(List(-15,-14,-13,0))
val rdrs1 = pat(List(-14,12,11,1)) |
pat(List(-14,12,10,1)) |
pat(List(-14,12,9,1)) |
pat(List(-14,12,8,1)) |
pat(List(-14,12,7,1)) |
pat(List(-14,-12,-6,-5,-4,-3,-2,1)) |
pat(List(-14,12,6,1)) |
pat(List(-14,12,5,1)) |
pat(List(-14,12,4,1)) |
pat(List(-14,12,3,1)) |
pat(List(-14,12,2,1)) |
pat(List(-15,-14,-13,0)) |
pat(List(-15,-14,1))
val rs2rs2 = pat(List(15,6,1)) | pat(List(15,5,1)) | pat(List(15,4,1)) | pat(List(15,3,1)) | pat(List(15,2,1)) | pat(List(15,14,1))