From b3d01a71c14fd4c9cd8eafb528218a6232e0dd75 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sun, 8 Nov 2020 14:00:35 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.fir | 3176 ++++++++--------- el2_lsu_bus_buffer.v | 1364 +++---- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 5 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 553522 -> 553530 bytes 6 files changed, 2277 insertions(+), 2268 deletions(-) diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index e05f6822..dcfcfd6d 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -455,7 +455,7 @@ circuit el2_lsu_bus_buffer : buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:11] wire ibuf_drainvec_vld : UInt<4> ibuf_drainvec_vld <= UInt<1>("h00") - wire buf_byteen_in : UInt<3>[4] @[el2_lsu_bus_buffer.scala 178:27] + wire buf_byteen_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 178:27] buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:17] buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:17] buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:17] @@ -4243,325 +4243,325 @@ circuit el2_lsu_bus_buffer : ibuf_drainvec_vld <= _T_3334 @[el2_lsu_bus_buffer.scala 478:23] node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 479:66] node _T_3336 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3337 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:106] - node _T_3338 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:134] - node _T_3339 = and(_T_3337, _T_3338) @[el2_lsu_bus_buffer.scala 479:123] - node _T_3340 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:159] - node _T_3341 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:182] - node _T_3342 = mux(_T_3339, _T_3340, _T_3341) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3337 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] + node _T_3338 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3339 = and(_T_3337, _T_3338) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3340 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3341 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] + node _T_3342 = mux(_T_3339, _T_3340, _T_3341) @[el2_lsu_bus_buffer.scala 480:10] node _T_3343 = mux(_T_3335, _T_3336, _T_3342) @[el2_lsu_bus_buffer.scala 479:48] node _T_3344 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 479:66] node _T_3345 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:106] - node _T_3347 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:134] - node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 479:123] - node _T_3349 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:159] - node _T_3350 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:182] - node _T_3351 = mux(_T_3348, _T_3349, _T_3350) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3349 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3350 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] + node _T_3351 = mux(_T_3348, _T_3349, _T_3350) @[el2_lsu_bus_buffer.scala 480:10] node _T_3352 = mux(_T_3344, _T_3345, _T_3351) @[el2_lsu_bus_buffer.scala 479:48] node _T_3353 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 479:66] node _T_3354 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3355 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:106] - node _T_3356 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:134] - node _T_3357 = and(_T_3355, _T_3356) @[el2_lsu_bus_buffer.scala 479:123] - node _T_3358 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:159] - node _T_3359 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:182] - node _T_3360 = mux(_T_3357, _T_3358, _T_3359) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3355 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] + node _T_3356 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3357 = and(_T_3355, _T_3356) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3358 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3359 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] + node _T_3360 = mux(_T_3357, _T_3358, _T_3359) @[el2_lsu_bus_buffer.scala 480:10] node _T_3361 = mux(_T_3353, _T_3354, _T_3360) @[el2_lsu_bus_buffer.scala 479:48] node _T_3362 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 479:66] node _T_3363 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3364 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:106] - node _T_3365 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:134] - node _T_3366 = and(_T_3364, _T_3365) @[el2_lsu_bus_buffer.scala 479:123] - node _T_3367 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:159] - node _T_3368 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:182] - node _T_3369 = mux(_T_3366, _T_3367, _T_3368) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3364 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] + node _T_3365 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3366 = and(_T_3364, _T_3365) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3367 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3368 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] + node _T_3369 = mux(_T_3366, _T_3367, _T_3368) @[el2_lsu_bus_buffer.scala 480:10] node _T_3370 = mux(_T_3362, _T_3363, _T_3369) @[el2_lsu_bus_buffer.scala 479:48] buf_byteen_in[0] <= _T_3343 @[el2_lsu_bus_buffer.scala 479:19] buf_byteen_in[1] <= _T_3352 @[el2_lsu_bus_buffer.scala 479:19] buf_byteen_in[2] <= _T_3361 @[el2_lsu_bus_buffer.scala 479:19] buf_byteen_in[3] <= _T_3370 @[el2_lsu_bus_buffer.scala 479:19] - node _T_3371 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 480:64] - node _T_3372 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] - node _T_3373 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:121] - node _T_3374 = and(_T_3372, _T_3373) @[el2_lsu_bus_buffer.scala 480:110] - node _T_3375 = mux(_T_3374, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] - node _T_3376 = mux(_T_3371, ibuf_addr, _T_3375) @[el2_lsu_bus_buffer.scala 480:46] - node _T_3377 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 480:64] - node _T_3378 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] - node _T_3379 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:121] - node _T_3380 = and(_T_3378, _T_3379) @[el2_lsu_bus_buffer.scala 480:110] - node _T_3381 = mux(_T_3380, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] - node _T_3382 = mux(_T_3377, ibuf_addr, _T_3381) @[el2_lsu_bus_buffer.scala 480:46] - node _T_3383 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 480:64] - node _T_3384 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] - node _T_3385 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:121] - node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 480:110] - node _T_3387 = mux(_T_3386, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] - node _T_3388 = mux(_T_3383, ibuf_addr, _T_3387) @[el2_lsu_bus_buffer.scala 480:46] - node _T_3389 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 480:64] - node _T_3390 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] - node _T_3391 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:121] - node _T_3392 = and(_T_3390, _T_3391) @[el2_lsu_bus_buffer.scala 480:110] - node _T_3393 = mux(_T_3392, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] - node _T_3394 = mux(_T_3389, ibuf_addr, _T_3393) @[el2_lsu_bus_buffer.scala 480:46] - buf_addr_in[0] <= _T_3376 @[el2_lsu_bus_buffer.scala 480:17] - buf_addr_in[1] <= _T_3382 @[el2_lsu_bus_buffer.scala 480:17] - buf_addr_in[2] <= _T_3388 @[el2_lsu_bus_buffer.scala 480:17] - buf_addr_in[3] <= _T_3394 @[el2_lsu_bus_buffer.scala 480:17] - node _T_3395 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:65] - node _T_3396 = mux(_T_3395, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] - node _T_3397 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:65] - node _T_3398 = mux(_T_3397, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] - node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:65] - node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] - node _T_3401 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:65] - node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3371 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:64] + node _T_3372 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] + node _T_3373 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:121] + node _T_3374 = and(_T_3372, _T_3373) @[el2_lsu_bus_buffer.scala 481:110] + node _T_3375 = mux(_T_3374, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] + node _T_3376 = mux(_T_3371, ibuf_addr, _T_3375) @[el2_lsu_bus_buffer.scala 481:46] + node _T_3377 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:64] + node _T_3378 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] + node _T_3379 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:121] + node _T_3380 = and(_T_3378, _T_3379) @[el2_lsu_bus_buffer.scala 481:110] + node _T_3381 = mux(_T_3380, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] + node _T_3382 = mux(_T_3377, ibuf_addr, _T_3381) @[el2_lsu_bus_buffer.scala 481:46] + node _T_3383 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:64] + node _T_3384 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] + node _T_3385 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:121] + node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 481:110] + node _T_3387 = mux(_T_3386, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] + node _T_3388 = mux(_T_3383, ibuf_addr, _T_3387) @[el2_lsu_bus_buffer.scala 481:46] + node _T_3389 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:64] + node _T_3390 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] + node _T_3391 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:121] + node _T_3392 = and(_T_3390, _T_3391) @[el2_lsu_bus_buffer.scala 481:110] + node _T_3393 = mux(_T_3392, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] + node _T_3394 = mux(_T_3389, ibuf_addr, _T_3393) @[el2_lsu_bus_buffer.scala 481:46] + buf_addr_in[0] <= _T_3376 @[el2_lsu_bus_buffer.scala 481:17] + buf_addr_in[1] <= _T_3382 @[el2_lsu_bus_buffer.scala 481:17] + buf_addr_in[2] <= _T_3388 @[el2_lsu_bus_buffer.scala 481:17] + buf_addr_in[3] <= _T_3394 @[el2_lsu_bus_buffer.scala 481:17] + node _T_3395 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:65] + node _T_3396 = mux(_T_3395, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] + node _T_3397 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:65] + node _T_3398 = mux(_T_3397, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] + node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:65] + node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] + node _T_3401 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:65] + node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] node _T_3403 = cat(_T_3402, _T_3400) @[Cat.scala 29:58] node _T_3404 = cat(_T_3403, _T_3398) @[Cat.scala 29:58] node _T_3405 = cat(_T_3404, _T_3396) @[Cat.scala 29:58] - buf_dual_in <= _T_3405 @[el2_lsu_bus_buffer.scala 481:17] - node _T_3406 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3407 = mux(_T_3406, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] - node _T_3408 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3409 = mux(_T_3408, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] - node _T_3410 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] - node _T_3412 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] + buf_dual_in <= _T_3405 @[el2_lsu_bus_buffer.scala 482:17] + node _T_3406 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3407 = mux(_T_3406, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3408 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3409 = mux(_T_3408, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3410 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3412 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] node _T_3414 = cat(_T_3413, _T_3411) @[Cat.scala 29:58] node _T_3415 = cat(_T_3414, _T_3409) @[Cat.scala 29:58] node _T_3416 = cat(_T_3415, _T_3407) @[Cat.scala 29:58] - buf_samedw_in <= _T_3416 @[el2_lsu_bus_buffer.scala 482:19] - node _T_3417 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:68] - node _T_3418 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3419 = mux(_T_3417, _T_3418, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] - node _T_3420 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:68] - node _T_3421 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3422 = mux(_T_3420, _T_3421, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] - node _T_3423 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:68] - node _T_3424 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3425 = mux(_T_3423, _T_3424, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] - node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:68] - node _T_3427 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3428 = mux(_T_3426, _T_3427, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] + buf_samedw_in <= _T_3416 @[el2_lsu_bus_buffer.scala 483:19] + node _T_3417 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3418 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] + node _T_3419 = mux(_T_3417, _T_3418, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] + node _T_3420 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3421 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] + node _T_3422 = mux(_T_3420, _T_3421, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] + node _T_3423 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3424 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] + node _T_3425 = mux(_T_3423, _T_3424, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] + node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3427 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] + node _T_3428 = mux(_T_3426, _T_3427, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] node _T_3429 = cat(_T_3428, _T_3425) @[Cat.scala 29:58] node _T_3430 = cat(_T_3429, _T_3422) @[Cat.scala 29:58] node _T_3431 = cat(_T_3430, _T_3419) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3431 @[el2_lsu_bus_buffer.scala 483:20] - node _T_3432 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3433 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] - node _T_3434 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:120] - node _T_3435 = and(_T_3433, _T_3434) @[el2_lsu_bus_buffer.scala 484:109] - node _T_3436 = mux(_T_3432, ibuf_dual, _T_3435) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3437 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3438 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] - node _T_3439 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 484:120] - node _T_3440 = and(_T_3438, _T_3439) @[el2_lsu_bus_buffer.scala 484:109] - node _T_3441 = mux(_T_3437, ibuf_dual, _T_3440) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3443 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] - node _T_3444 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 484:120] - node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 484:109] - node _T_3446 = mux(_T_3442, ibuf_dual, _T_3445) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3447 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3448 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] - node _T_3449 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 484:120] - node _T_3450 = and(_T_3448, _T_3449) @[el2_lsu_bus_buffer.scala 484:109] - node _T_3451 = mux(_T_3447, ibuf_dual, _T_3450) @[el2_lsu_bus_buffer.scala 484:49] + buf_nomerge_in <= _T_3431 @[el2_lsu_bus_buffer.scala 484:20] + node _T_3432 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3433 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] + node _T_3434 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:120] + node _T_3435 = and(_T_3433, _T_3434) @[el2_lsu_bus_buffer.scala 485:109] + node _T_3436 = mux(_T_3432, ibuf_dual, _T_3435) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3437 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3438 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] + node _T_3439 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 485:120] + node _T_3440 = and(_T_3438, _T_3439) @[el2_lsu_bus_buffer.scala 485:109] + node _T_3441 = mux(_T_3437, ibuf_dual, _T_3440) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3443 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] + node _T_3444 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 485:120] + node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 485:109] + node _T_3446 = mux(_T_3442, ibuf_dual, _T_3445) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3447 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3448 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] + node _T_3449 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 485:120] + node _T_3450 = and(_T_3448, _T_3449) @[el2_lsu_bus_buffer.scala 485:109] + node _T_3451 = mux(_T_3447, ibuf_dual, _T_3450) @[el2_lsu_bus_buffer.scala 485:49] node _T_3452 = cat(_T_3451, _T_3446) @[Cat.scala 29:58] node _T_3453 = cat(_T_3452, _T_3441) @[Cat.scala 29:58] node _T_3454 = cat(_T_3453, _T_3436) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3454 @[el2_lsu_bus_buffer.scala 484:19] - node _T_3455 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3456 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] - node _T_3457 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:127] - node _T_3458 = and(_T_3456, _T_3457) @[el2_lsu_bus_buffer.scala 485:116] - node _T_3459 = mux(_T_3458, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] - node _T_3460 = mux(_T_3455, ibuf_dualtag, _T_3459) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3461 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] - node _T_3463 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 485:127] - node _T_3464 = and(_T_3462, _T_3463) @[el2_lsu_bus_buffer.scala 485:116] - node _T_3465 = mux(_T_3464, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] - node _T_3466 = mux(_T_3461, ibuf_dualtag, _T_3465) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] - node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 485:127] - node _T_3470 = and(_T_3468, _T_3469) @[el2_lsu_bus_buffer.scala 485:116] - node _T_3471 = mux(_T_3470, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] - node _T_3472 = mux(_T_3467, ibuf_dualtag, _T_3471) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3473 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3474 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] - node _T_3475 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 485:127] - node _T_3476 = and(_T_3474, _T_3475) @[el2_lsu_bus_buffer.scala 485:116] - node _T_3477 = mux(_T_3476, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] - node _T_3478 = mux(_T_3473, ibuf_dualtag, _T_3477) @[el2_lsu_bus_buffer.scala 485:49] - buf_dualtag_in[0] <= _T_3460 @[el2_lsu_bus_buffer.scala 485:20] - buf_dualtag_in[1] <= _T_3466 @[el2_lsu_bus_buffer.scala 485:20] - buf_dualtag_in[2] <= _T_3472 @[el2_lsu_bus_buffer.scala 485:20] - buf_dualtag_in[3] <= _T_3478 @[el2_lsu_bus_buffer.scala 485:20] - node _T_3479 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3480 = mux(_T_3479, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] - node _T_3481 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3482 = mux(_T_3481, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] - node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] - node _T_3485 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] + buf_dualhi_in <= _T_3454 @[el2_lsu_bus_buffer.scala 485:19] + node _T_3455 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3456 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] + node _T_3457 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:127] + node _T_3458 = and(_T_3456, _T_3457) @[el2_lsu_bus_buffer.scala 486:116] + node _T_3459 = mux(_T_3458, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] + node _T_3460 = mux(_T_3455, ibuf_dualtag, _T_3459) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3461 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] + node _T_3463 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 486:127] + node _T_3464 = and(_T_3462, _T_3463) @[el2_lsu_bus_buffer.scala 486:116] + node _T_3465 = mux(_T_3464, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] + node _T_3466 = mux(_T_3461, ibuf_dualtag, _T_3465) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] + node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 486:127] + node _T_3470 = and(_T_3468, _T_3469) @[el2_lsu_bus_buffer.scala 486:116] + node _T_3471 = mux(_T_3470, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] + node _T_3472 = mux(_T_3467, ibuf_dualtag, _T_3471) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3473 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3474 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] + node _T_3475 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 486:127] + node _T_3476 = and(_T_3474, _T_3475) @[el2_lsu_bus_buffer.scala 486:116] + node _T_3477 = mux(_T_3476, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] + node _T_3478 = mux(_T_3473, ibuf_dualtag, _T_3477) @[el2_lsu_bus_buffer.scala 486:49] + buf_dualtag_in[0] <= _T_3460 @[el2_lsu_bus_buffer.scala 486:20] + buf_dualtag_in[1] <= _T_3466 @[el2_lsu_bus_buffer.scala 486:20] + buf_dualtag_in[2] <= _T_3472 @[el2_lsu_bus_buffer.scala 486:20] + buf_dualtag_in[3] <= _T_3478 @[el2_lsu_bus_buffer.scala 486:20] + node _T_3479 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3480 = mux(_T_3479, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] + node _T_3481 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3482 = mux(_T_3481, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] + node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] + node _T_3485 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] node _T_3487 = cat(_T_3486, _T_3484) @[Cat.scala 29:58] node _T_3488 = cat(_T_3487, _T_3482) @[Cat.scala 29:58] node _T_3489 = cat(_T_3488, _T_3480) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3489 @[el2_lsu_bus_buffer.scala 486:23] - node _T_3490 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3491 = mux(_T_3490, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] - node _T_3492 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3493 = mux(_T_3492, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] - node _T_3494 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] - node _T_3496 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] + buf_sideeffect_in <= _T_3489 @[el2_lsu_bus_buffer.scala 487:23] + node _T_3490 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3491 = mux(_T_3490, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3492 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3493 = mux(_T_3492, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3494 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3496 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:67] + node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] node _T_3498 = cat(_T_3497, _T_3495) @[Cat.scala 29:58] node _T_3499 = cat(_T_3498, _T_3493) @[Cat.scala 29:58] node _T_3500 = cat(_T_3499, _T_3491) @[Cat.scala 29:58] - buf_unsign_in <= _T_3500 @[el2_lsu_bus_buffer.scala 487:19] - node _T_3501 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:62] + buf_unsign_in <= _T_3500 @[el2_lsu_bus_buffer.scala 488:19] + node _T_3501 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:62] node _T_3502 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3503 = mux(_T_3501, ibuf_sz, _T_3502) @[el2_lsu_bus_buffer.scala 488:44] - node _T_3504 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:62] + node _T_3503 = mux(_T_3501, ibuf_sz, _T_3502) @[el2_lsu_bus_buffer.scala 489:44] + node _T_3504 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:62] node _T_3505 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3506 = mux(_T_3504, ibuf_sz, _T_3505) @[el2_lsu_bus_buffer.scala 488:44] - node _T_3507 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:62] + node _T_3506 = mux(_T_3504, ibuf_sz, _T_3505) @[el2_lsu_bus_buffer.scala 489:44] + node _T_3507 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:62] node _T_3508 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3509 = mux(_T_3507, ibuf_sz, _T_3508) @[el2_lsu_bus_buffer.scala 488:44] - node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:62] + node _T_3509 = mux(_T_3507, ibuf_sz, _T_3508) @[el2_lsu_bus_buffer.scala 489:44] + node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:62] node _T_3511 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3512 = mux(_T_3510, ibuf_sz, _T_3511) @[el2_lsu_bus_buffer.scala 488:44] - buf_sz_in[0] <= _T_3503 @[el2_lsu_bus_buffer.scala 488:15] - buf_sz_in[1] <= _T_3506 @[el2_lsu_bus_buffer.scala 488:15] - buf_sz_in[2] <= _T_3509 @[el2_lsu_bus_buffer.scala 488:15] - buf_sz_in[3] <= _T_3512 @[el2_lsu_bus_buffer.scala 488:15] - node _T_3513 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:66] - node _T_3514 = mux(_T_3513, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3515 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:66] - node _T_3516 = mux(_T_3515, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3517 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:66] - node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3519 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:66] - node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3512 = mux(_T_3510, ibuf_sz, _T_3511) @[el2_lsu_bus_buffer.scala 489:44] + buf_sz_in[0] <= _T_3503 @[el2_lsu_bus_buffer.scala 489:15] + buf_sz_in[1] <= _T_3506 @[el2_lsu_bus_buffer.scala 489:15] + buf_sz_in[2] <= _T_3509 @[el2_lsu_bus_buffer.scala 489:15] + buf_sz_in[3] <= _T_3512 @[el2_lsu_bus_buffer.scala 489:15] + node _T_3513 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:66] + node _T_3514 = mux(_T_3513, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] + node _T_3515 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:66] + node _T_3516 = mux(_T_3515, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] + node _T_3517 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:66] + node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] + node _T_3519 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:66] + node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] node _T_3521 = cat(_T_3520, _T_3518) @[Cat.scala 29:58] node _T_3522 = cat(_T_3521, _T_3516) @[Cat.scala 29:58] node _T_3523 = cat(_T_3522, _T_3514) @[Cat.scala 29:58] - buf_write_in <= _T_3523 @[el2_lsu_bus_buffer.scala 489:18] + buf_write_in <= _T_3523 @[el2_lsu_bus_buffer.scala 490:18] node _T_3524 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3524 : @[Conditional.scala 40:58] - node _T_3525 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] - node _T_3526 = mux(_T_3525, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] - buf_nxtstate[0] <= _T_3526 @[el2_lsu_bus_buffer.scala 494:25] - node _T_3527 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] - node _T_3528 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] - node _T_3529 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] - node _T_3530 = and(_T_3528, _T_3529) @[el2_lsu_bus_buffer.scala 495:95] - node _T_3531 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] - node _T_3532 = and(_T_3530, _T_3531) @[el2_lsu_bus_buffer.scala 495:112] - node _T_3533 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] - node _T_3534 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] - node _T_3535 = and(_T_3533, _T_3534) @[el2_lsu_bus_buffer.scala 495:161] - node _T_3536 = or(_T_3532, _T_3535) @[el2_lsu_bus_buffer.scala 495:132] - node _T_3537 = and(_T_3527, _T_3536) @[el2_lsu_bus_buffer.scala 495:63] - node _T_3538 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] - node _T_3539 = and(ibuf_drain_vld, _T_3538) @[el2_lsu_bus_buffer.scala 495:201] - node _T_3540 = or(_T_3537, _T_3539) @[el2_lsu_bus_buffer.scala 495:183] - buf_state_en[0] <= _T_3540 @[el2_lsu_bus_buffer.scala 495:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 496:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 497:24] - node _T_3541 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] - node _T_3542 = and(ibuf_drain_vld, _T_3541) @[el2_lsu_bus_buffer.scala 498:47] - node _T_3543 = bits(_T_3542, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] - node _T_3544 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3545 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] - node _T_3546 = mux(_T_3543, _T_3544, _T_3545) @[el2_lsu_bus_buffer.scala 498:30] - buf_data_in[0] <= _T_3546 @[el2_lsu_bus_buffer.scala 498:24] + node _T_3525 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] + node _T_3526 = mux(_T_3525, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] + buf_nxtstate[0] <= _T_3526 @[el2_lsu_bus_buffer.scala 495:25] + node _T_3527 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] + node _T_3528 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] + node _T_3529 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] + node _T_3530 = and(_T_3528, _T_3529) @[el2_lsu_bus_buffer.scala 496:95] + node _T_3531 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] + node _T_3532 = and(_T_3530, _T_3531) @[el2_lsu_bus_buffer.scala 496:112] + node _T_3533 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] + node _T_3534 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] + node _T_3535 = and(_T_3533, _T_3534) @[el2_lsu_bus_buffer.scala 496:161] + node _T_3536 = or(_T_3532, _T_3535) @[el2_lsu_bus_buffer.scala 496:132] + node _T_3537 = and(_T_3527, _T_3536) @[el2_lsu_bus_buffer.scala 496:63] + node _T_3538 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] + node _T_3539 = and(ibuf_drain_vld, _T_3538) @[el2_lsu_bus_buffer.scala 496:201] + node _T_3540 = or(_T_3537, _T_3539) @[el2_lsu_bus_buffer.scala 496:183] + buf_state_en[0] <= _T_3540 @[el2_lsu_bus_buffer.scala 496:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 497:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 498:24] + node _T_3541 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] + node _T_3542 = and(ibuf_drain_vld, _T_3541) @[el2_lsu_bus_buffer.scala 499:47] + node _T_3543 = bits(_T_3542, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] + node _T_3544 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] + node _T_3545 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] + node _T_3546 = mux(_T_3543, _T_3544, _T_3545) @[el2_lsu_bus_buffer.scala 499:30] + buf_data_in[0] <= _T_3546 @[el2_lsu_bus_buffer.scala 499:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3547 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3547 : @[Conditional.scala 39:67] - node _T_3548 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] - node _T_3549 = mux(_T_3548, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] - buf_nxtstate[0] <= _T_3549 @[el2_lsu_bus_buffer.scala 501:25] - node _T_3550 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] - buf_state_en[0] <= _T_3550 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3548 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] + node _T_3549 = mux(_T_3548, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[0] <= _T_3549 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3550 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] + buf_state_en[0] <= _T_3550 @[el2_lsu_bus_buffer.scala 503:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3551 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3551 : @[Conditional.scala 39:67] - node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_3553 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] - node _T_3554 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] - node _T_3555 = and(_T_3553, _T_3554) @[el2_lsu_bus_buffer.scala 505:104] - node _T_3556 = mux(_T_3555, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] - node _T_3557 = mux(_T_3552, UInt<3>("h00"), _T_3556) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3558 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 506:48] - node _T_3559 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 506:104] - node _T_3560 = and(obuf_merge, _T_3559) @[el2_lsu_bus_buffer.scala 506:91] - node _T_3561 = or(_T_3558, _T_3560) @[el2_lsu_bus_buffer.scala 506:77] - node _T_3562 = and(_T_3561, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] - node _T_3563 = and(_T_3562, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] - buf_cmd_state_bus_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 506:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 507:29] - node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] - node _T_3565 = or(_T_3564, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] - buf_state_en[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 508:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] - node _T_3566 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 510:56] - node _T_3567 = eq(_T_3566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] - node _T_3568 = and(buf_state_en[0], _T_3567) @[el2_lsu_bus_buffer.scala 510:44] - node _T_3569 = and(_T_3568, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] - node _T_3570 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] - node _T_3571 = and(_T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 510:74] - buf_ldfwd_en[0] <= _T_3571 @[el2_lsu_bus_buffer.scala 510:25] - node _T_3572 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] - buf_ldfwdtag_in[0] <= _T_3572 @[el2_lsu_bus_buffer.scala 511:28] - node _T_3573 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] - node _T_3574 = and(_T_3573, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] - node _T_3575 = and(_T_3574, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] - buf_data_en[0] <= _T_3575 @[el2_lsu_bus_buffer.scala 512:24] - node _T_3576 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] - node _T_3577 = and(_T_3576, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] - node _T_3578 = and(_T_3577, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] - buf_error_en[0] <= _T_3578 @[el2_lsu_bus_buffer.scala 513:25] - node _T_3579 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] - node _T_3580 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] - node _T_3581 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] - node _T_3582 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] - node _T_3583 = mux(_T_3580, _T_3581, _T_3582) @[el2_lsu_bus_buffer.scala 514:73] - node _T_3584 = mux(buf_error_en[0], _T_3579, _T_3583) @[el2_lsu_bus_buffer.scala 514:30] - buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 514:24] + node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] + node _T_3553 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] + node _T_3554 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] + node _T_3555 = and(_T_3553, _T_3554) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3556 = mux(_T_3555, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] + node _T_3557 = mux(_T_3552, UInt<3>("h00"), _T_3556) @[el2_lsu_bus_buffer.scala 506:31] + buf_nxtstate[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 506:25] + node _T_3558 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 507:48] + node _T_3559 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 507:104] + node _T_3560 = and(obuf_merge, _T_3559) @[el2_lsu_bus_buffer.scala 507:91] + node _T_3561 = or(_T_3558, _T_3560) @[el2_lsu_bus_buffer.scala 507:77] + node _T_3562 = and(_T_3561, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] + node _T_3563 = and(_T_3562, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] + buf_cmd_state_bus_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 507:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 508:29] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] + node _T_3565 = or(_T_3564, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] + buf_state_en[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 509:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] + node _T_3566 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 511:56] + node _T_3567 = eq(_T_3566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] + node _T_3568 = and(buf_state_en[0], _T_3567) @[el2_lsu_bus_buffer.scala 511:44] + node _T_3569 = and(_T_3568, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3570 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] + node _T_3571 = and(_T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 511:74] + buf_ldfwd_en[0] <= _T_3571 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3572 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] + buf_ldfwdtag_in[0] <= _T_3572 @[el2_lsu_bus_buffer.scala 512:28] + node _T_3573 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] + node _T_3574 = and(_T_3573, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] + node _T_3575 = and(_T_3574, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] + buf_data_en[0] <= _T_3575 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3576 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] + node _T_3577 = and(_T_3576, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] + node _T_3578 = and(_T_3577, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] + buf_error_en[0] <= _T_3578 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3579 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] + node _T_3580 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] + node _T_3581 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] + node _T_3582 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] + node _T_3583 = mux(_T_3580, _T_3581, _T_3582) @[el2_lsu_bus_buffer.scala 515:73] + node _T_3584 = mux(buf_error_en[0], _T_3579, _T_3583) @[el2_lsu_bus_buffer.scala 515:30] + buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 515:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3585 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3585 : @[Conditional.scala 39:67] - node _T_3586 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 517:67] - node _T_3587 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] - node _T_3588 = eq(_T_3587, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] - node _T_3589 = and(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 517:71] - node _T_3590 = or(io.dec_tlu_force_halt, _T_3589) @[el2_lsu_bus_buffer.scala 517:55] - node _T_3591 = bits(_T_3590, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] - node _T_3592 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] - node _T_3593 = and(buf_dual[0], _T_3592) @[el2_lsu_bus_buffer.scala 518:28] - node _T_3594 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:57] - node _T_3595 = eq(_T_3594, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] - node _T_3596 = and(_T_3593, _T_3595) @[el2_lsu_bus_buffer.scala 518:45] - node _T_3597 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] - node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 518:61] - node _T_3599 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 519:27] - node _T_3600 = or(_T_3599, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] - node _T_3601 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] - node _T_3602 = and(buf_dual[0], _T_3601) @[el2_lsu_bus_buffer.scala 519:68] - node _T_3603 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:97] - node _T_3604 = eq(_T_3603, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] - node _T_3605 = and(_T_3602, _T_3604) @[el2_lsu_bus_buffer.scala 519:85] + node _T_3586 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:67] + node _T_3587 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] + node _T_3588 = eq(_T_3587, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] + node _T_3589 = and(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 518:71] + node _T_3590 = or(io.dec_tlu_force_halt, _T_3589) @[el2_lsu_bus_buffer.scala 518:55] + node _T_3591 = bits(_T_3590, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] + node _T_3592 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] + node _T_3593 = and(buf_dual[0], _T_3592) @[el2_lsu_bus_buffer.scala 519:28] + node _T_3594 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:57] + node _T_3595 = eq(_T_3594, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] + node _T_3596 = and(_T_3593, _T_3595) @[el2_lsu_bus_buffer.scala 519:45] + node _T_3597 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] + node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 519:61] + node _T_3599 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 520:27] + node _T_3600 = or(_T_3599, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] + node _T_3601 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] + node _T_3602 = and(buf_dual[0], _T_3601) @[el2_lsu_bus_buffer.scala 520:68] + node _T_3603 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 520:97] + node _T_3604 = eq(_T_3603, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] + node _T_3605 = and(_T_3602, _T_3604) @[el2_lsu_bus_buffer.scala 520:85] node _T_3606 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_3607 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] node _T_3608 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] @@ -4579,265 +4579,265 @@ circuit el2_lsu_bus_buffer : node _T_3620 = or(_T_3619, _T_3617) @[Mux.scala 27:72] wire _T_3621 : UInt<1> @[Mux.scala 27:72] _T_3621 <= _T_3620 @[Mux.scala 27:72] - node _T_3622 = and(_T_3605, _T_3621) @[el2_lsu_bus_buffer.scala 519:101] - node _T_3623 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] - node _T_3624 = and(_T_3622, _T_3623) @[el2_lsu_bus_buffer.scala 519:138] - node _T_3625 = and(_T_3624, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] - node _T_3626 = or(_T_3600, _T_3625) @[el2_lsu_bus_buffer.scala 519:53] - node _T_3627 = mux(_T_3626, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] - node _T_3628 = mux(_T_3598, UInt<3>("h04"), _T_3627) @[el2_lsu_bus_buffer.scala 518:14] - node _T_3629 = mux(_T_3591, UInt<3>("h00"), _T_3628) @[el2_lsu_bus_buffer.scala 517:31] - buf_nxtstate[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 517:25] - node _T_3630 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 520:73] - node _T_3631 = and(bus_rsp_write, _T_3630) @[el2_lsu_bus_buffer.scala 520:52] - node _T_3632 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 521:46] - node _T_3633 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 522:23] - node _T_3634 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 522:47] - node _T_3635 = and(_T_3633, _T_3634) @[el2_lsu_bus_buffer.scala 522:27] - node _T_3636 = or(_T_3632, _T_3635) @[el2_lsu_bus_buffer.scala 521:77] - node _T_3637 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 523:26] - node _T_3638 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 523:54] - node _T_3639 = not(_T_3638) @[el2_lsu_bus_buffer.scala 523:44] - node _T_3640 = and(_T_3637, _T_3639) @[el2_lsu_bus_buffer.scala 523:42] - node _T_3641 = and(_T_3640, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 523:58] - node _T_3642 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 523:94] - node _T_3643 = and(_T_3641, _T_3642) @[el2_lsu_bus_buffer.scala 523:74] - node _T_3644 = or(_T_3636, _T_3643) @[el2_lsu_bus_buffer.scala 522:71] - node _T_3645 = and(bus_rsp_read, _T_3644) @[el2_lsu_bus_buffer.scala 521:25] - node _T_3646 = or(_T_3631, _T_3645) @[el2_lsu_bus_buffer.scala 520:105] - buf_resp_state_bus_en[0] <= _T_3646 @[el2_lsu_bus_buffer.scala 520:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 524:29] - node _T_3647 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] - node _T_3648 = or(_T_3647, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] - buf_state_en[0] <= _T_3648 @[el2_lsu_bus_buffer.scala 525:25] - node _T_3649 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] - node _T_3650 = and(_T_3649, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] - buf_data_en[0] <= _T_3650 @[el2_lsu_bus_buffer.scala 526:24] - node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] - node _T_3652 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 527:111] - node _T_3653 = and(bus_rsp_read_error, _T_3652) @[el2_lsu_bus_buffer.scala 527:91] - node _T_3654 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 528:42] - node _T_3655 = and(bus_rsp_read_error, _T_3654) @[el2_lsu_bus_buffer.scala 528:31] - node _T_3656 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 528:66] - node _T_3657 = and(_T_3655, _T_3656) @[el2_lsu_bus_buffer.scala 528:46] - node _T_3658 = or(_T_3653, _T_3657) @[el2_lsu_bus_buffer.scala 527:143] - node _T_3659 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] - node _T_3660 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 529:74] - node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 529:53] - node _T_3662 = or(_T_3658, _T_3661) @[el2_lsu_bus_buffer.scala 528:88] - node _T_3663 = and(_T_3651, _T_3662) @[el2_lsu_bus_buffer.scala 527:68] - buf_error_en[0] <= _T_3663 @[el2_lsu_bus_buffer.scala 527:25] - node _T_3664 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] - node _T_3665 = and(buf_state_en[0], _T_3664) @[el2_lsu_bus_buffer.scala 530:48] - node _T_3666 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] - node _T_3667 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] - node _T_3668 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] - node _T_3669 = mux(_T_3666, _T_3667, _T_3668) @[el2_lsu_bus_buffer.scala 530:72] - node _T_3670 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] - node _T_3671 = mux(_T_3665, _T_3669, _T_3670) @[el2_lsu_bus_buffer.scala 530:30] - buf_data_in[0] <= _T_3671 @[el2_lsu_bus_buffer.scala 530:24] + node _T_3622 = and(_T_3605, _T_3621) @[el2_lsu_bus_buffer.scala 520:101] + node _T_3623 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] + node _T_3624 = and(_T_3622, _T_3623) @[el2_lsu_bus_buffer.scala 520:138] + node _T_3625 = and(_T_3624, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] + node _T_3626 = or(_T_3600, _T_3625) @[el2_lsu_bus_buffer.scala 520:53] + node _T_3627 = mux(_T_3626, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] + node _T_3628 = mux(_T_3598, UInt<3>("h04"), _T_3627) @[el2_lsu_bus_buffer.scala 519:14] + node _T_3629 = mux(_T_3591, UInt<3>("h00"), _T_3628) @[el2_lsu_bus_buffer.scala 518:31] + buf_nxtstate[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 518:25] + node _T_3630 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 521:73] + node _T_3631 = and(bus_rsp_write, _T_3630) @[el2_lsu_bus_buffer.scala 521:52] + node _T_3632 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 522:46] + node _T_3633 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 523:23] + node _T_3634 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 523:47] + node _T_3635 = and(_T_3633, _T_3634) @[el2_lsu_bus_buffer.scala 523:27] + node _T_3636 = or(_T_3632, _T_3635) @[el2_lsu_bus_buffer.scala 522:77] + node _T_3637 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 524:26] + node _T_3638 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 524:54] + node _T_3639 = not(_T_3638) @[el2_lsu_bus_buffer.scala 524:44] + node _T_3640 = and(_T_3637, _T_3639) @[el2_lsu_bus_buffer.scala 524:42] + node _T_3641 = and(_T_3640, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 524:58] + node _T_3642 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 524:94] + node _T_3643 = and(_T_3641, _T_3642) @[el2_lsu_bus_buffer.scala 524:74] + node _T_3644 = or(_T_3636, _T_3643) @[el2_lsu_bus_buffer.scala 523:71] + node _T_3645 = and(bus_rsp_read, _T_3644) @[el2_lsu_bus_buffer.scala 522:25] + node _T_3646 = or(_T_3631, _T_3645) @[el2_lsu_bus_buffer.scala 521:105] + buf_resp_state_bus_en[0] <= _T_3646 @[el2_lsu_bus_buffer.scala 521:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 525:29] + node _T_3647 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] + node _T_3648 = or(_T_3647, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] + buf_state_en[0] <= _T_3648 @[el2_lsu_bus_buffer.scala 526:25] + node _T_3649 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] + node _T_3650 = and(_T_3649, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] + buf_data_en[0] <= _T_3650 @[el2_lsu_bus_buffer.scala 527:24] + node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] + node _T_3652 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 528:111] + node _T_3653 = and(bus_rsp_read_error, _T_3652) @[el2_lsu_bus_buffer.scala 528:91] + node _T_3654 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 529:42] + node _T_3655 = and(bus_rsp_read_error, _T_3654) @[el2_lsu_bus_buffer.scala 529:31] + node _T_3656 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 529:66] + node _T_3657 = and(_T_3655, _T_3656) @[el2_lsu_bus_buffer.scala 529:46] + node _T_3658 = or(_T_3653, _T_3657) @[el2_lsu_bus_buffer.scala 528:143] + node _T_3659 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] + node _T_3660 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 530:74] + node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 530:53] + node _T_3662 = or(_T_3658, _T_3661) @[el2_lsu_bus_buffer.scala 529:88] + node _T_3663 = and(_T_3651, _T_3662) @[el2_lsu_bus_buffer.scala 528:68] + buf_error_en[0] <= _T_3663 @[el2_lsu_bus_buffer.scala 528:25] + node _T_3664 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] + node _T_3665 = and(buf_state_en[0], _T_3664) @[el2_lsu_bus_buffer.scala 531:48] + node _T_3666 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] + node _T_3667 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] + node _T_3668 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] + node _T_3669 = mux(_T_3666, _T_3667, _T_3668) @[el2_lsu_bus_buffer.scala 531:72] + node _T_3670 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] + node _T_3671 = mux(_T_3665, _T_3669, _T_3670) @[el2_lsu_bus_buffer.scala 531:30] + buf_data_in[0] <= _T_3671 @[el2_lsu_bus_buffer.scala 531:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3672 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3672 : @[Conditional.scala 39:67] - node _T_3673 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] - node _T_3674 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 533:86] - node _T_3675 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 533:101] - node _T_3676 = bits(_T_3675, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] - node _T_3677 = or(_T_3674, _T_3676) @[el2_lsu_bus_buffer.scala 533:90] - node _T_3678 = or(_T_3677, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] - node _T_3679 = mux(_T_3678, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] - node _T_3680 = mux(_T_3673, UInt<3>("h00"), _T_3679) @[el2_lsu_bus_buffer.scala 533:31] - buf_nxtstate[0] <= _T_3680 @[el2_lsu_bus_buffer.scala 533:25] - node _T_3681 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 534:66] - node _T_3682 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 535:21] - node _T_3683 = bits(_T_3682, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] - node _T_3684 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 535:58] - node _T_3685 = and(_T_3683, _T_3684) @[el2_lsu_bus_buffer.scala 535:38] - node _T_3686 = or(_T_3681, _T_3685) @[el2_lsu_bus_buffer.scala 534:95] - node _T_3687 = and(bus_rsp_read, _T_3686) @[el2_lsu_bus_buffer.scala 534:45] - buf_state_bus_en[0] <= _T_3687 @[el2_lsu_bus_buffer.scala 534:29] - node _T_3688 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] - node _T_3689 = or(_T_3688, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] - buf_state_en[0] <= _T_3689 @[el2_lsu_bus_buffer.scala 536:25] + node _T_3673 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] + node _T_3674 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 534:86] + node _T_3675 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 534:101] + node _T_3676 = bits(_T_3675, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] + node _T_3677 = or(_T_3674, _T_3676) @[el2_lsu_bus_buffer.scala 534:90] + node _T_3678 = or(_T_3677, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] + node _T_3679 = mux(_T_3678, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] + node _T_3680 = mux(_T_3673, UInt<3>("h00"), _T_3679) @[el2_lsu_bus_buffer.scala 534:31] + buf_nxtstate[0] <= _T_3680 @[el2_lsu_bus_buffer.scala 534:25] + node _T_3681 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 535:66] + node _T_3682 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 536:21] + node _T_3683 = bits(_T_3682, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] + node _T_3684 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 536:58] + node _T_3685 = and(_T_3683, _T_3684) @[el2_lsu_bus_buffer.scala 536:38] + node _T_3686 = or(_T_3681, _T_3685) @[el2_lsu_bus_buffer.scala 535:95] + node _T_3687 = and(bus_rsp_read, _T_3686) @[el2_lsu_bus_buffer.scala 535:45] + buf_state_bus_en[0] <= _T_3687 @[el2_lsu_bus_buffer.scala 535:29] + node _T_3688 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] + node _T_3689 = or(_T_3688, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] + buf_state_en[0] <= _T_3689 @[el2_lsu_bus_buffer.scala 537:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3690 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3690 : @[Conditional.scala 39:67] - node _T_3691 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] - node _T_3692 = mux(_T_3691, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] - buf_nxtstate[0] <= _T_3692 @[el2_lsu_bus_buffer.scala 539:25] - node _T_3693 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 540:37] - node _T_3694 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] - node _T_3695 = and(buf_dual[0], _T_3694) @[el2_lsu_bus_buffer.scala 540:80] - node _T_3696 = or(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 540:65] - node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] - buf_state_en[0] <= _T_3697 @[el2_lsu_bus_buffer.scala 540:25] + node _T_3691 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] + node _T_3692 = mux(_T_3691, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] + buf_nxtstate[0] <= _T_3692 @[el2_lsu_bus_buffer.scala 540:25] + node _T_3693 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 541:37] + node _T_3694 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] + node _T_3695 = and(buf_dual[0], _T_3694) @[el2_lsu_bus_buffer.scala 541:80] + node _T_3696 = or(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 541:65] + node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] + buf_state_en[0] <= _T_3697 @[el2_lsu_bus_buffer.scala 541:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3698 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3698 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 547:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 544:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 547:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] - node _T_3699 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] + node _T_3699 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] reg _T_3700 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3699 : @[Reg.scala 28:19] _T_3700 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3700 @[el2_lsu_bus_buffer.scala 550:18] - reg _T_3701 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] - _T_3701 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 551:60] - buf_ageQ[0] <= _T_3701 @[el2_lsu_bus_buffer.scala 551:17] - reg _T_3702 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] - _T_3702 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 552:63] - buf_rspageQ[0] <= _T_3702 @[el2_lsu_bus_buffer.scala 552:20] - node _T_3703 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] + buf_state[0] <= _T_3700 @[el2_lsu_bus_buffer.scala 551:18] + reg _T_3701 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] + _T_3701 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 552:60] + buf_ageQ[0] <= _T_3701 @[el2_lsu_bus_buffer.scala 552:17] + reg _T_3702 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] + _T_3702 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 553:63] + buf_rspageQ[0] <= _T_3702 @[el2_lsu_bus_buffer.scala 553:20] + node _T_3703 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] reg _T_3704 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3703 : @[Reg.scala 28:19] _T_3704 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3704 @[el2_lsu_bus_buffer.scala 553:20] - node _T_3705 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 554:74] - node _T_3706 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] + buf_dualtag[0] <= _T_3704 @[el2_lsu_bus_buffer.scala 554:20] + node _T_3705 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:74] + node _T_3706 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] reg _T_3707 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3706 : @[Reg.scala 28:19] _T_3707 <= _T_3705 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3707 @[el2_lsu_bus_buffer.scala 554:17] - node _T_3708 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:78] - node _T_3709 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + buf_dual[0] <= _T_3707 @[el2_lsu_bus_buffer.scala 555:17] + node _T_3708 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:78] + node _T_3709 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] reg _T_3710 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3709 : @[Reg.scala 28:19] _T_3710 <= _T_3708 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3710 @[el2_lsu_bus_buffer.scala 555:19] - node _T_3711 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:80] - node _T_3712 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] + buf_samedw[0] <= _T_3710 @[el2_lsu_bus_buffer.scala 556:19] + node _T_3711 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 557:80] + node _T_3712 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] reg _T_3713 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3712 : @[Reg.scala 28:19] _T_3713 <= _T_3711 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3713 @[el2_lsu_bus_buffer.scala 556:20] - node _T_3714 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 557:78] - node _T_3715 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + buf_nomerge[0] <= _T_3713 @[el2_lsu_bus_buffer.scala 557:20] + node _T_3714 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 558:78] + node _T_3715 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] reg _T_3716 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3715 : @[Reg.scala 28:19] _T_3716 <= _T_3714 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 557:19] + buf_dualhi[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 558:19] node _T_3717 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3717 : @[Conditional.scala 40:58] - node _T_3718 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] - node _T_3719 = mux(_T_3718, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] - buf_nxtstate[1] <= _T_3719 @[el2_lsu_bus_buffer.scala 494:25] - node _T_3720 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] - node _T_3721 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] - node _T_3722 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] - node _T_3723 = and(_T_3721, _T_3722) @[el2_lsu_bus_buffer.scala 495:95] - node _T_3724 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] - node _T_3725 = and(_T_3723, _T_3724) @[el2_lsu_bus_buffer.scala 495:112] - node _T_3726 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] - node _T_3727 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] - node _T_3728 = and(_T_3726, _T_3727) @[el2_lsu_bus_buffer.scala 495:161] - node _T_3729 = or(_T_3725, _T_3728) @[el2_lsu_bus_buffer.scala 495:132] - node _T_3730 = and(_T_3720, _T_3729) @[el2_lsu_bus_buffer.scala 495:63] - node _T_3731 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] - node _T_3732 = and(ibuf_drain_vld, _T_3731) @[el2_lsu_bus_buffer.scala 495:201] - node _T_3733 = or(_T_3730, _T_3732) @[el2_lsu_bus_buffer.scala 495:183] - buf_state_en[1] <= _T_3733 @[el2_lsu_bus_buffer.scala 495:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 496:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 497:24] - node _T_3734 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] - node _T_3735 = and(ibuf_drain_vld, _T_3734) @[el2_lsu_bus_buffer.scala 498:47] - node _T_3736 = bits(_T_3735, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] - node _T_3737 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3738 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] - node _T_3739 = mux(_T_3736, _T_3737, _T_3738) @[el2_lsu_bus_buffer.scala 498:30] - buf_data_in[1] <= _T_3739 @[el2_lsu_bus_buffer.scala 498:24] + node _T_3718 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] + node _T_3719 = mux(_T_3718, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] + buf_nxtstate[1] <= _T_3719 @[el2_lsu_bus_buffer.scala 495:25] + node _T_3720 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] + node _T_3721 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] + node _T_3722 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] + node _T_3723 = and(_T_3721, _T_3722) @[el2_lsu_bus_buffer.scala 496:95] + node _T_3724 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] + node _T_3725 = and(_T_3723, _T_3724) @[el2_lsu_bus_buffer.scala 496:112] + node _T_3726 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] + node _T_3727 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] + node _T_3728 = and(_T_3726, _T_3727) @[el2_lsu_bus_buffer.scala 496:161] + node _T_3729 = or(_T_3725, _T_3728) @[el2_lsu_bus_buffer.scala 496:132] + node _T_3730 = and(_T_3720, _T_3729) @[el2_lsu_bus_buffer.scala 496:63] + node _T_3731 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] + node _T_3732 = and(ibuf_drain_vld, _T_3731) @[el2_lsu_bus_buffer.scala 496:201] + node _T_3733 = or(_T_3730, _T_3732) @[el2_lsu_bus_buffer.scala 496:183] + buf_state_en[1] <= _T_3733 @[el2_lsu_bus_buffer.scala 496:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 497:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 498:24] + node _T_3734 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] + node _T_3735 = and(ibuf_drain_vld, _T_3734) @[el2_lsu_bus_buffer.scala 499:47] + node _T_3736 = bits(_T_3735, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] + node _T_3737 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] + node _T_3738 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] + node _T_3739 = mux(_T_3736, _T_3737, _T_3738) @[el2_lsu_bus_buffer.scala 499:30] + buf_data_in[1] <= _T_3739 @[el2_lsu_bus_buffer.scala 499:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3740 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3740 : @[Conditional.scala 39:67] - node _T_3741 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] - node _T_3742 = mux(_T_3741, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] - buf_nxtstate[1] <= _T_3742 @[el2_lsu_bus_buffer.scala 501:25] - node _T_3743 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] - buf_state_en[1] <= _T_3743 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3741 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] + node _T_3742 = mux(_T_3741, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[1] <= _T_3742 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3743 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] + buf_state_en[1] <= _T_3743 @[el2_lsu_bus_buffer.scala 503:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3744 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3744 : @[Conditional.scala 39:67] - node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_3746 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] - node _T_3747 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] - node _T_3748 = and(_T_3746, _T_3747) @[el2_lsu_bus_buffer.scala 505:104] - node _T_3749 = mux(_T_3748, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] - node _T_3750 = mux(_T_3745, UInt<3>("h00"), _T_3749) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3751 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 506:48] - node _T_3752 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 506:104] - node _T_3753 = and(obuf_merge, _T_3752) @[el2_lsu_bus_buffer.scala 506:91] - node _T_3754 = or(_T_3751, _T_3753) @[el2_lsu_bus_buffer.scala 506:77] - node _T_3755 = and(_T_3754, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] - node _T_3756 = and(_T_3755, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] - buf_cmd_state_bus_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 506:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 507:29] - node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] - node _T_3758 = or(_T_3757, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] - buf_state_en[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 508:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] - node _T_3759 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 510:56] - node _T_3760 = eq(_T_3759, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] - node _T_3761 = and(buf_state_en[1], _T_3760) @[el2_lsu_bus_buffer.scala 510:44] - node _T_3762 = and(_T_3761, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] - node _T_3763 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] - node _T_3764 = and(_T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 510:74] - buf_ldfwd_en[1] <= _T_3764 @[el2_lsu_bus_buffer.scala 510:25] - node _T_3765 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] - buf_ldfwdtag_in[1] <= _T_3765 @[el2_lsu_bus_buffer.scala 511:28] - node _T_3766 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] - node _T_3767 = and(_T_3766, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] - node _T_3768 = and(_T_3767, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] - buf_data_en[1] <= _T_3768 @[el2_lsu_bus_buffer.scala 512:24] - node _T_3769 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] - node _T_3770 = and(_T_3769, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] - node _T_3771 = and(_T_3770, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] - buf_error_en[1] <= _T_3771 @[el2_lsu_bus_buffer.scala 513:25] - node _T_3772 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] - node _T_3773 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] - node _T_3774 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] - node _T_3775 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] - node _T_3776 = mux(_T_3773, _T_3774, _T_3775) @[el2_lsu_bus_buffer.scala 514:73] - node _T_3777 = mux(buf_error_en[1], _T_3772, _T_3776) @[el2_lsu_bus_buffer.scala 514:30] - buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 514:24] + node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] + node _T_3746 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] + node _T_3747 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] + node _T_3748 = and(_T_3746, _T_3747) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3749 = mux(_T_3748, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] + node _T_3750 = mux(_T_3745, UInt<3>("h00"), _T_3749) @[el2_lsu_bus_buffer.scala 506:31] + buf_nxtstate[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 506:25] + node _T_3751 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 507:48] + node _T_3752 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 507:104] + node _T_3753 = and(obuf_merge, _T_3752) @[el2_lsu_bus_buffer.scala 507:91] + node _T_3754 = or(_T_3751, _T_3753) @[el2_lsu_bus_buffer.scala 507:77] + node _T_3755 = and(_T_3754, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] + node _T_3756 = and(_T_3755, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] + buf_cmd_state_bus_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 507:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 508:29] + node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] + node _T_3758 = or(_T_3757, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] + buf_state_en[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 509:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] + node _T_3759 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 511:56] + node _T_3760 = eq(_T_3759, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] + node _T_3761 = and(buf_state_en[1], _T_3760) @[el2_lsu_bus_buffer.scala 511:44] + node _T_3762 = and(_T_3761, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3763 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] + node _T_3764 = and(_T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 511:74] + buf_ldfwd_en[1] <= _T_3764 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3765 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] + buf_ldfwdtag_in[1] <= _T_3765 @[el2_lsu_bus_buffer.scala 512:28] + node _T_3766 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] + node _T_3767 = and(_T_3766, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] + node _T_3768 = and(_T_3767, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] + buf_data_en[1] <= _T_3768 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3769 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] + node _T_3770 = and(_T_3769, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] + node _T_3771 = and(_T_3770, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] + buf_error_en[1] <= _T_3771 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3772 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] + node _T_3773 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] + node _T_3774 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] + node _T_3775 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] + node _T_3776 = mux(_T_3773, _T_3774, _T_3775) @[el2_lsu_bus_buffer.scala 515:73] + node _T_3777 = mux(buf_error_en[1], _T_3772, _T_3776) @[el2_lsu_bus_buffer.scala 515:30] + buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 515:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3778 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3778 : @[Conditional.scala 39:67] - node _T_3779 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 517:67] - node _T_3780 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] - node _T_3781 = eq(_T_3780, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] - node _T_3782 = and(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 517:71] - node _T_3783 = or(io.dec_tlu_force_halt, _T_3782) @[el2_lsu_bus_buffer.scala 517:55] - node _T_3784 = bits(_T_3783, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] - node _T_3785 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] - node _T_3786 = and(buf_dual[1], _T_3785) @[el2_lsu_bus_buffer.scala 518:28] - node _T_3787 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:57] - node _T_3788 = eq(_T_3787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] - node _T_3789 = and(_T_3786, _T_3788) @[el2_lsu_bus_buffer.scala 518:45] - node _T_3790 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] - node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 518:61] - node _T_3792 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 519:27] - node _T_3793 = or(_T_3792, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] - node _T_3794 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] - node _T_3795 = and(buf_dual[1], _T_3794) @[el2_lsu_bus_buffer.scala 519:68] - node _T_3796 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:97] - node _T_3797 = eq(_T_3796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] - node _T_3798 = and(_T_3795, _T_3797) @[el2_lsu_bus_buffer.scala 519:85] + node _T_3779 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:67] + node _T_3780 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] + node _T_3781 = eq(_T_3780, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] + node _T_3782 = and(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 518:71] + node _T_3783 = or(io.dec_tlu_force_halt, _T_3782) @[el2_lsu_bus_buffer.scala 518:55] + node _T_3784 = bits(_T_3783, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] + node _T_3785 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] + node _T_3786 = and(buf_dual[1], _T_3785) @[el2_lsu_bus_buffer.scala 519:28] + node _T_3787 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:57] + node _T_3788 = eq(_T_3787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] + node _T_3789 = and(_T_3786, _T_3788) @[el2_lsu_bus_buffer.scala 519:45] + node _T_3790 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] + node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 519:61] + node _T_3792 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 520:27] + node _T_3793 = or(_T_3792, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] + node _T_3794 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] + node _T_3795 = and(buf_dual[1], _T_3794) @[el2_lsu_bus_buffer.scala 520:68] + node _T_3796 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 520:97] + node _T_3797 = eq(_T_3796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] + node _T_3798 = and(_T_3795, _T_3797) @[el2_lsu_bus_buffer.scala 520:85] node _T_3799 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_3800 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] node _T_3801 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] @@ -4855,265 +4855,265 @@ circuit el2_lsu_bus_buffer : node _T_3813 = or(_T_3812, _T_3810) @[Mux.scala 27:72] wire _T_3814 : UInt<1> @[Mux.scala 27:72] _T_3814 <= _T_3813 @[Mux.scala 27:72] - node _T_3815 = and(_T_3798, _T_3814) @[el2_lsu_bus_buffer.scala 519:101] - node _T_3816 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] - node _T_3817 = and(_T_3815, _T_3816) @[el2_lsu_bus_buffer.scala 519:138] - node _T_3818 = and(_T_3817, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] - node _T_3819 = or(_T_3793, _T_3818) @[el2_lsu_bus_buffer.scala 519:53] - node _T_3820 = mux(_T_3819, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] - node _T_3821 = mux(_T_3791, UInt<3>("h04"), _T_3820) @[el2_lsu_bus_buffer.scala 518:14] - node _T_3822 = mux(_T_3784, UInt<3>("h00"), _T_3821) @[el2_lsu_bus_buffer.scala 517:31] - buf_nxtstate[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 517:25] - node _T_3823 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 520:73] - node _T_3824 = and(bus_rsp_write, _T_3823) @[el2_lsu_bus_buffer.scala 520:52] - node _T_3825 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 521:46] - node _T_3826 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 522:23] - node _T_3827 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 522:47] - node _T_3828 = and(_T_3826, _T_3827) @[el2_lsu_bus_buffer.scala 522:27] - node _T_3829 = or(_T_3825, _T_3828) @[el2_lsu_bus_buffer.scala 521:77] - node _T_3830 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 523:26] - node _T_3831 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 523:54] - node _T_3832 = not(_T_3831) @[el2_lsu_bus_buffer.scala 523:44] - node _T_3833 = and(_T_3830, _T_3832) @[el2_lsu_bus_buffer.scala 523:42] - node _T_3834 = and(_T_3833, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 523:58] - node _T_3835 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 523:94] - node _T_3836 = and(_T_3834, _T_3835) @[el2_lsu_bus_buffer.scala 523:74] - node _T_3837 = or(_T_3829, _T_3836) @[el2_lsu_bus_buffer.scala 522:71] - node _T_3838 = and(bus_rsp_read, _T_3837) @[el2_lsu_bus_buffer.scala 521:25] - node _T_3839 = or(_T_3824, _T_3838) @[el2_lsu_bus_buffer.scala 520:105] - buf_resp_state_bus_en[1] <= _T_3839 @[el2_lsu_bus_buffer.scala 520:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 524:29] - node _T_3840 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] - node _T_3841 = or(_T_3840, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] - buf_state_en[1] <= _T_3841 @[el2_lsu_bus_buffer.scala 525:25] - node _T_3842 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] - node _T_3843 = and(_T_3842, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] - buf_data_en[1] <= _T_3843 @[el2_lsu_bus_buffer.scala 526:24] - node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] - node _T_3845 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 527:111] - node _T_3846 = and(bus_rsp_read_error, _T_3845) @[el2_lsu_bus_buffer.scala 527:91] - node _T_3847 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 528:42] - node _T_3848 = and(bus_rsp_read_error, _T_3847) @[el2_lsu_bus_buffer.scala 528:31] - node _T_3849 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 528:66] - node _T_3850 = and(_T_3848, _T_3849) @[el2_lsu_bus_buffer.scala 528:46] - node _T_3851 = or(_T_3846, _T_3850) @[el2_lsu_bus_buffer.scala 527:143] - node _T_3852 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] - node _T_3853 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 529:74] - node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 529:53] - node _T_3855 = or(_T_3851, _T_3854) @[el2_lsu_bus_buffer.scala 528:88] - node _T_3856 = and(_T_3844, _T_3855) @[el2_lsu_bus_buffer.scala 527:68] - buf_error_en[1] <= _T_3856 @[el2_lsu_bus_buffer.scala 527:25] - node _T_3857 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] - node _T_3858 = and(buf_state_en[1], _T_3857) @[el2_lsu_bus_buffer.scala 530:48] - node _T_3859 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] - node _T_3860 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] - node _T_3861 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] - node _T_3862 = mux(_T_3859, _T_3860, _T_3861) @[el2_lsu_bus_buffer.scala 530:72] - node _T_3863 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] - node _T_3864 = mux(_T_3858, _T_3862, _T_3863) @[el2_lsu_bus_buffer.scala 530:30] - buf_data_in[1] <= _T_3864 @[el2_lsu_bus_buffer.scala 530:24] + node _T_3815 = and(_T_3798, _T_3814) @[el2_lsu_bus_buffer.scala 520:101] + node _T_3816 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] + node _T_3817 = and(_T_3815, _T_3816) @[el2_lsu_bus_buffer.scala 520:138] + node _T_3818 = and(_T_3817, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] + node _T_3819 = or(_T_3793, _T_3818) @[el2_lsu_bus_buffer.scala 520:53] + node _T_3820 = mux(_T_3819, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] + node _T_3821 = mux(_T_3791, UInt<3>("h04"), _T_3820) @[el2_lsu_bus_buffer.scala 519:14] + node _T_3822 = mux(_T_3784, UInt<3>("h00"), _T_3821) @[el2_lsu_bus_buffer.scala 518:31] + buf_nxtstate[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 518:25] + node _T_3823 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 521:73] + node _T_3824 = and(bus_rsp_write, _T_3823) @[el2_lsu_bus_buffer.scala 521:52] + node _T_3825 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 522:46] + node _T_3826 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 523:23] + node _T_3827 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 523:47] + node _T_3828 = and(_T_3826, _T_3827) @[el2_lsu_bus_buffer.scala 523:27] + node _T_3829 = or(_T_3825, _T_3828) @[el2_lsu_bus_buffer.scala 522:77] + node _T_3830 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 524:26] + node _T_3831 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 524:54] + node _T_3832 = not(_T_3831) @[el2_lsu_bus_buffer.scala 524:44] + node _T_3833 = and(_T_3830, _T_3832) @[el2_lsu_bus_buffer.scala 524:42] + node _T_3834 = and(_T_3833, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 524:58] + node _T_3835 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 524:94] + node _T_3836 = and(_T_3834, _T_3835) @[el2_lsu_bus_buffer.scala 524:74] + node _T_3837 = or(_T_3829, _T_3836) @[el2_lsu_bus_buffer.scala 523:71] + node _T_3838 = and(bus_rsp_read, _T_3837) @[el2_lsu_bus_buffer.scala 522:25] + node _T_3839 = or(_T_3824, _T_3838) @[el2_lsu_bus_buffer.scala 521:105] + buf_resp_state_bus_en[1] <= _T_3839 @[el2_lsu_bus_buffer.scala 521:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 525:29] + node _T_3840 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] + node _T_3841 = or(_T_3840, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] + buf_state_en[1] <= _T_3841 @[el2_lsu_bus_buffer.scala 526:25] + node _T_3842 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] + node _T_3843 = and(_T_3842, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] + buf_data_en[1] <= _T_3843 @[el2_lsu_bus_buffer.scala 527:24] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] + node _T_3845 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 528:111] + node _T_3846 = and(bus_rsp_read_error, _T_3845) @[el2_lsu_bus_buffer.scala 528:91] + node _T_3847 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 529:42] + node _T_3848 = and(bus_rsp_read_error, _T_3847) @[el2_lsu_bus_buffer.scala 529:31] + node _T_3849 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 529:66] + node _T_3850 = and(_T_3848, _T_3849) @[el2_lsu_bus_buffer.scala 529:46] + node _T_3851 = or(_T_3846, _T_3850) @[el2_lsu_bus_buffer.scala 528:143] + node _T_3852 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] + node _T_3853 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 530:74] + node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 530:53] + node _T_3855 = or(_T_3851, _T_3854) @[el2_lsu_bus_buffer.scala 529:88] + node _T_3856 = and(_T_3844, _T_3855) @[el2_lsu_bus_buffer.scala 528:68] + buf_error_en[1] <= _T_3856 @[el2_lsu_bus_buffer.scala 528:25] + node _T_3857 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] + node _T_3858 = and(buf_state_en[1], _T_3857) @[el2_lsu_bus_buffer.scala 531:48] + node _T_3859 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] + node _T_3860 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] + node _T_3861 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] + node _T_3862 = mux(_T_3859, _T_3860, _T_3861) @[el2_lsu_bus_buffer.scala 531:72] + node _T_3863 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] + node _T_3864 = mux(_T_3858, _T_3862, _T_3863) @[el2_lsu_bus_buffer.scala 531:30] + buf_data_in[1] <= _T_3864 @[el2_lsu_bus_buffer.scala 531:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3865 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3865 : @[Conditional.scala 39:67] - node _T_3866 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] - node _T_3867 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 533:86] - node _T_3868 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 533:101] - node _T_3869 = bits(_T_3868, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] - node _T_3870 = or(_T_3867, _T_3869) @[el2_lsu_bus_buffer.scala 533:90] - node _T_3871 = or(_T_3870, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] - node _T_3872 = mux(_T_3871, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] - node _T_3873 = mux(_T_3866, UInt<3>("h00"), _T_3872) @[el2_lsu_bus_buffer.scala 533:31] - buf_nxtstate[1] <= _T_3873 @[el2_lsu_bus_buffer.scala 533:25] - node _T_3874 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 534:66] - node _T_3875 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 535:21] - node _T_3876 = bits(_T_3875, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] - node _T_3877 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 535:58] - node _T_3878 = and(_T_3876, _T_3877) @[el2_lsu_bus_buffer.scala 535:38] - node _T_3879 = or(_T_3874, _T_3878) @[el2_lsu_bus_buffer.scala 534:95] - node _T_3880 = and(bus_rsp_read, _T_3879) @[el2_lsu_bus_buffer.scala 534:45] - buf_state_bus_en[1] <= _T_3880 @[el2_lsu_bus_buffer.scala 534:29] - node _T_3881 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] - node _T_3882 = or(_T_3881, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] - buf_state_en[1] <= _T_3882 @[el2_lsu_bus_buffer.scala 536:25] + node _T_3866 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] + node _T_3867 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 534:86] + node _T_3868 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 534:101] + node _T_3869 = bits(_T_3868, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] + node _T_3870 = or(_T_3867, _T_3869) @[el2_lsu_bus_buffer.scala 534:90] + node _T_3871 = or(_T_3870, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] + node _T_3872 = mux(_T_3871, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] + node _T_3873 = mux(_T_3866, UInt<3>("h00"), _T_3872) @[el2_lsu_bus_buffer.scala 534:31] + buf_nxtstate[1] <= _T_3873 @[el2_lsu_bus_buffer.scala 534:25] + node _T_3874 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 535:66] + node _T_3875 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 536:21] + node _T_3876 = bits(_T_3875, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] + node _T_3877 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 536:58] + node _T_3878 = and(_T_3876, _T_3877) @[el2_lsu_bus_buffer.scala 536:38] + node _T_3879 = or(_T_3874, _T_3878) @[el2_lsu_bus_buffer.scala 535:95] + node _T_3880 = and(bus_rsp_read, _T_3879) @[el2_lsu_bus_buffer.scala 535:45] + buf_state_bus_en[1] <= _T_3880 @[el2_lsu_bus_buffer.scala 535:29] + node _T_3881 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] + node _T_3882 = or(_T_3881, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] + buf_state_en[1] <= _T_3882 @[el2_lsu_bus_buffer.scala 537:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3883 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3883 : @[Conditional.scala 39:67] - node _T_3884 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] - node _T_3885 = mux(_T_3884, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] - buf_nxtstate[1] <= _T_3885 @[el2_lsu_bus_buffer.scala 539:25] - node _T_3886 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 540:37] - node _T_3887 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] - node _T_3888 = and(buf_dual[1], _T_3887) @[el2_lsu_bus_buffer.scala 540:80] - node _T_3889 = or(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 540:65] - node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] - buf_state_en[1] <= _T_3890 @[el2_lsu_bus_buffer.scala 540:25] + node _T_3884 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] + node _T_3885 = mux(_T_3884, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] + buf_nxtstate[1] <= _T_3885 @[el2_lsu_bus_buffer.scala 540:25] + node _T_3886 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 541:37] + node _T_3887 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] + node _T_3888 = and(buf_dual[1], _T_3887) @[el2_lsu_bus_buffer.scala 541:80] + node _T_3889 = or(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 541:65] + node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] + buf_state_en[1] <= _T_3890 @[el2_lsu_bus_buffer.scala 541:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3891 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3891 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 547:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 544:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 547:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] - node _T_3892 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] + node _T_3892 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] reg _T_3893 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3892 : @[Reg.scala 28:19] _T_3893 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3893 @[el2_lsu_bus_buffer.scala 550:18] - reg _T_3894 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] - _T_3894 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 551:60] - buf_ageQ[1] <= _T_3894 @[el2_lsu_bus_buffer.scala 551:17] - reg _T_3895 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] - _T_3895 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 552:63] - buf_rspageQ[1] <= _T_3895 @[el2_lsu_bus_buffer.scala 552:20] - node _T_3896 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] + buf_state[1] <= _T_3893 @[el2_lsu_bus_buffer.scala 551:18] + reg _T_3894 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] + _T_3894 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 552:60] + buf_ageQ[1] <= _T_3894 @[el2_lsu_bus_buffer.scala 552:17] + reg _T_3895 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] + _T_3895 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 553:63] + buf_rspageQ[1] <= _T_3895 @[el2_lsu_bus_buffer.scala 553:20] + node _T_3896 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3896 : @[Reg.scala 28:19] _T_3897 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3897 @[el2_lsu_bus_buffer.scala 553:20] - node _T_3898 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 554:74] - node _T_3899 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] + buf_dualtag[1] <= _T_3897 @[el2_lsu_bus_buffer.scala 554:20] + node _T_3898 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:74] + node _T_3899 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] reg _T_3900 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3899 : @[Reg.scala 28:19] _T_3900 <= _T_3898 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3900 @[el2_lsu_bus_buffer.scala 554:17] - node _T_3901 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:78] - node _T_3902 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + buf_dual[1] <= _T_3900 @[el2_lsu_bus_buffer.scala 555:17] + node _T_3901 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:78] + node _T_3902 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3902 : @[Reg.scala 28:19] _T_3903 <= _T_3901 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3903 @[el2_lsu_bus_buffer.scala 555:19] - node _T_3904 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:80] - node _T_3905 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] + buf_samedw[1] <= _T_3903 @[el2_lsu_bus_buffer.scala 556:19] + node _T_3904 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 557:80] + node _T_3905 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] reg _T_3906 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3905 : @[Reg.scala 28:19] _T_3906 <= _T_3904 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3906 @[el2_lsu_bus_buffer.scala 556:20] - node _T_3907 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 557:78] - node _T_3908 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + buf_nomerge[1] <= _T_3906 @[el2_lsu_bus_buffer.scala 557:20] + node _T_3907 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 558:78] + node _T_3908 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] reg _T_3909 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3908 : @[Reg.scala 28:19] _T_3909 <= _T_3907 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 557:19] + buf_dualhi[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 558:19] node _T_3910 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3910 : @[Conditional.scala 40:58] - node _T_3911 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] - node _T_3912 = mux(_T_3911, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] - buf_nxtstate[2] <= _T_3912 @[el2_lsu_bus_buffer.scala 494:25] - node _T_3913 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] - node _T_3914 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] - node _T_3915 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] - node _T_3916 = and(_T_3914, _T_3915) @[el2_lsu_bus_buffer.scala 495:95] - node _T_3917 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] - node _T_3918 = and(_T_3916, _T_3917) @[el2_lsu_bus_buffer.scala 495:112] - node _T_3919 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] - node _T_3920 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] - node _T_3921 = and(_T_3919, _T_3920) @[el2_lsu_bus_buffer.scala 495:161] - node _T_3922 = or(_T_3918, _T_3921) @[el2_lsu_bus_buffer.scala 495:132] - node _T_3923 = and(_T_3913, _T_3922) @[el2_lsu_bus_buffer.scala 495:63] - node _T_3924 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] - node _T_3925 = and(ibuf_drain_vld, _T_3924) @[el2_lsu_bus_buffer.scala 495:201] - node _T_3926 = or(_T_3923, _T_3925) @[el2_lsu_bus_buffer.scala 495:183] - buf_state_en[2] <= _T_3926 @[el2_lsu_bus_buffer.scala 495:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 496:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 497:24] - node _T_3927 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] - node _T_3928 = and(ibuf_drain_vld, _T_3927) @[el2_lsu_bus_buffer.scala 498:47] - node _T_3929 = bits(_T_3928, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] - node _T_3930 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3931 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] - node _T_3932 = mux(_T_3929, _T_3930, _T_3931) @[el2_lsu_bus_buffer.scala 498:30] - buf_data_in[2] <= _T_3932 @[el2_lsu_bus_buffer.scala 498:24] + node _T_3911 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] + node _T_3912 = mux(_T_3911, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] + buf_nxtstate[2] <= _T_3912 @[el2_lsu_bus_buffer.scala 495:25] + node _T_3913 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] + node _T_3914 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] + node _T_3915 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] + node _T_3916 = and(_T_3914, _T_3915) @[el2_lsu_bus_buffer.scala 496:95] + node _T_3917 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] + node _T_3918 = and(_T_3916, _T_3917) @[el2_lsu_bus_buffer.scala 496:112] + node _T_3919 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] + node _T_3920 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] + node _T_3921 = and(_T_3919, _T_3920) @[el2_lsu_bus_buffer.scala 496:161] + node _T_3922 = or(_T_3918, _T_3921) @[el2_lsu_bus_buffer.scala 496:132] + node _T_3923 = and(_T_3913, _T_3922) @[el2_lsu_bus_buffer.scala 496:63] + node _T_3924 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] + node _T_3925 = and(ibuf_drain_vld, _T_3924) @[el2_lsu_bus_buffer.scala 496:201] + node _T_3926 = or(_T_3923, _T_3925) @[el2_lsu_bus_buffer.scala 496:183] + buf_state_en[2] <= _T_3926 @[el2_lsu_bus_buffer.scala 496:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 497:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 498:24] + node _T_3927 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] + node _T_3928 = and(ibuf_drain_vld, _T_3927) @[el2_lsu_bus_buffer.scala 499:47] + node _T_3929 = bits(_T_3928, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] + node _T_3930 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] + node _T_3931 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] + node _T_3932 = mux(_T_3929, _T_3930, _T_3931) @[el2_lsu_bus_buffer.scala 499:30] + buf_data_in[2] <= _T_3932 @[el2_lsu_bus_buffer.scala 499:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3933 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3933 : @[Conditional.scala 39:67] - node _T_3934 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] - node _T_3935 = mux(_T_3934, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] - buf_nxtstate[2] <= _T_3935 @[el2_lsu_bus_buffer.scala 501:25] - node _T_3936 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] - buf_state_en[2] <= _T_3936 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3934 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] + node _T_3935 = mux(_T_3934, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[2] <= _T_3935 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3936 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] + buf_state_en[2] <= _T_3936 @[el2_lsu_bus_buffer.scala 503:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3937 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3937 : @[Conditional.scala 39:67] - node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_3939 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] - node _T_3940 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] - node _T_3941 = and(_T_3939, _T_3940) @[el2_lsu_bus_buffer.scala 505:104] - node _T_3942 = mux(_T_3941, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] - node _T_3943 = mux(_T_3938, UInt<3>("h00"), _T_3942) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3944 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 506:48] - node _T_3945 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 506:104] - node _T_3946 = and(obuf_merge, _T_3945) @[el2_lsu_bus_buffer.scala 506:91] - node _T_3947 = or(_T_3944, _T_3946) @[el2_lsu_bus_buffer.scala 506:77] - node _T_3948 = and(_T_3947, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] - node _T_3949 = and(_T_3948, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] - buf_cmd_state_bus_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 506:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 507:29] - node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] - node _T_3951 = or(_T_3950, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] - buf_state_en[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 508:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] - node _T_3952 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 510:56] - node _T_3953 = eq(_T_3952, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] - node _T_3954 = and(buf_state_en[2], _T_3953) @[el2_lsu_bus_buffer.scala 510:44] - node _T_3955 = and(_T_3954, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] - node _T_3956 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] - node _T_3957 = and(_T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 510:74] - buf_ldfwd_en[2] <= _T_3957 @[el2_lsu_bus_buffer.scala 510:25] - node _T_3958 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] - buf_ldfwdtag_in[2] <= _T_3958 @[el2_lsu_bus_buffer.scala 511:28] - node _T_3959 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] - node _T_3960 = and(_T_3959, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] - node _T_3961 = and(_T_3960, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] - buf_data_en[2] <= _T_3961 @[el2_lsu_bus_buffer.scala 512:24] - node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] - node _T_3963 = and(_T_3962, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] - node _T_3964 = and(_T_3963, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] - buf_error_en[2] <= _T_3964 @[el2_lsu_bus_buffer.scala 513:25] - node _T_3965 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] - node _T_3966 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] - node _T_3967 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] - node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] - node _T_3969 = mux(_T_3966, _T_3967, _T_3968) @[el2_lsu_bus_buffer.scala 514:73] - node _T_3970 = mux(buf_error_en[2], _T_3965, _T_3969) @[el2_lsu_bus_buffer.scala 514:30] - buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 514:24] + node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] + node _T_3939 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] + node _T_3940 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] + node _T_3941 = and(_T_3939, _T_3940) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3942 = mux(_T_3941, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] + node _T_3943 = mux(_T_3938, UInt<3>("h00"), _T_3942) @[el2_lsu_bus_buffer.scala 506:31] + buf_nxtstate[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 506:25] + node _T_3944 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 507:48] + node _T_3945 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 507:104] + node _T_3946 = and(obuf_merge, _T_3945) @[el2_lsu_bus_buffer.scala 507:91] + node _T_3947 = or(_T_3944, _T_3946) @[el2_lsu_bus_buffer.scala 507:77] + node _T_3948 = and(_T_3947, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] + node _T_3949 = and(_T_3948, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] + buf_cmd_state_bus_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 507:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 508:29] + node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] + node _T_3951 = or(_T_3950, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] + buf_state_en[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 509:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] + node _T_3952 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 511:56] + node _T_3953 = eq(_T_3952, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] + node _T_3954 = and(buf_state_en[2], _T_3953) @[el2_lsu_bus_buffer.scala 511:44] + node _T_3955 = and(_T_3954, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3956 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] + node _T_3957 = and(_T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 511:74] + buf_ldfwd_en[2] <= _T_3957 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3958 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] + buf_ldfwdtag_in[2] <= _T_3958 @[el2_lsu_bus_buffer.scala 512:28] + node _T_3959 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] + node _T_3960 = and(_T_3959, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] + node _T_3961 = and(_T_3960, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] + buf_data_en[2] <= _T_3961 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] + node _T_3963 = and(_T_3962, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] + node _T_3964 = and(_T_3963, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] + buf_error_en[2] <= _T_3964 @[el2_lsu_bus_buffer.scala 514:25] + node _T_3965 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] + node _T_3966 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] + node _T_3967 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] + node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] + node _T_3969 = mux(_T_3966, _T_3967, _T_3968) @[el2_lsu_bus_buffer.scala 515:73] + node _T_3970 = mux(buf_error_en[2], _T_3965, _T_3969) @[el2_lsu_bus_buffer.scala 515:30] + buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 515:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3971 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3971 : @[Conditional.scala 39:67] - node _T_3972 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 517:67] - node _T_3973 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] - node _T_3974 = eq(_T_3973, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] - node _T_3975 = and(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 517:71] - node _T_3976 = or(io.dec_tlu_force_halt, _T_3975) @[el2_lsu_bus_buffer.scala 517:55] - node _T_3977 = bits(_T_3976, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] - node _T_3978 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] - node _T_3979 = and(buf_dual[2], _T_3978) @[el2_lsu_bus_buffer.scala 518:28] - node _T_3980 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:57] - node _T_3981 = eq(_T_3980, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] - node _T_3982 = and(_T_3979, _T_3981) @[el2_lsu_bus_buffer.scala 518:45] - node _T_3983 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] - node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 518:61] - node _T_3985 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 519:27] - node _T_3986 = or(_T_3985, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] - node _T_3987 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] - node _T_3988 = and(buf_dual[2], _T_3987) @[el2_lsu_bus_buffer.scala 519:68] - node _T_3989 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:97] - node _T_3990 = eq(_T_3989, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] - node _T_3991 = and(_T_3988, _T_3990) @[el2_lsu_bus_buffer.scala 519:85] + node _T_3972 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:67] + node _T_3973 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] + node _T_3974 = eq(_T_3973, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] + node _T_3975 = and(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 518:71] + node _T_3976 = or(io.dec_tlu_force_halt, _T_3975) @[el2_lsu_bus_buffer.scala 518:55] + node _T_3977 = bits(_T_3976, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] + node _T_3978 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] + node _T_3979 = and(buf_dual[2], _T_3978) @[el2_lsu_bus_buffer.scala 519:28] + node _T_3980 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:57] + node _T_3981 = eq(_T_3980, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] + node _T_3982 = and(_T_3979, _T_3981) @[el2_lsu_bus_buffer.scala 519:45] + node _T_3983 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] + node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 519:61] + node _T_3985 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 520:27] + node _T_3986 = or(_T_3985, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] + node _T_3987 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] + node _T_3988 = and(buf_dual[2], _T_3987) @[el2_lsu_bus_buffer.scala 520:68] + node _T_3989 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 520:97] + node _T_3990 = eq(_T_3989, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] + node _T_3991 = and(_T_3988, _T_3990) @[el2_lsu_bus_buffer.scala 520:85] node _T_3992 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_3993 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] node _T_3994 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] @@ -5131,265 +5131,265 @@ circuit el2_lsu_bus_buffer : node _T_4006 = or(_T_4005, _T_4003) @[Mux.scala 27:72] wire _T_4007 : UInt<1> @[Mux.scala 27:72] _T_4007 <= _T_4006 @[Mux.scala 27:72] - node _T_4008 = and(_T_3991, _T_4007) @[el2_lsu_bus_buffer.scala 519:101] - node _T_4009 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] - node _T_4010 = and(_T_4008, _T_4009) @[el2_lsu_bus_buffer.scala 519:138] - node _T_4011 = and(_T_4010, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] - node _T_4012 = or(_T_3986, _T_4011) @[el2_lsu_bus_buffer.scala 519:53] - node _T_4013 = mux(_T_4012, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] - node _T_4014 = mux(_T_3984, UInt<3>("h04"), _T_4013) @[el2_lsu_bus_buffer.scala 518:14] - node _T_4015 = mux(_T_3977, UInt<3>("h00"), _T_4014) @[el2_lsu_bus_buffer.scala 517:31] - buf_nxtstate[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 517:25] - node _T_4016 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 520:73] - node _T_4017 = and(bus_rsp_write, _T_4016) @[el2_lsu_bus_buffer.scala 520:52] - node _T_4018 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 521:46] - node _T_4019 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 522:23] - node _T_4020 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 522:47] - node _T_4021 = and(_T_4019, _T_4020) @[el2_lsu_bus_buffer.scala 522:27] - node _T_4022 = or(_T_4018, _T_4021) @[el2_lsu_bus_buffer.scala 521:77] - node _T_4023 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 523:26] - node _T_4024 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 523:54] - node _T_4025 = not(_T_4024) @[el2_lsu_bus_buffer.scala 523:44] - node _T_4026 = and(_T_4023, _T_4025) @[el2_lsu_bus_buffer.scala 523:42] - node _T_4027 = and(_T_4026, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 523:58] - node _T_4028 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 523:94] - node _T_4029 = and(_T_4027, _T_4028) @[el2_lsu_bus_buffer.scala 523:74] - node _T_4030 = or(_T_4022, _T_4029) @[el2_lsu_bus_buffer.scala 522:71] - node _T_4031 = and(bus_rsp_read, _T_4030) @[el2_lsu_bus_buffer.scala 521:25] - node _T_4032 = or(_T_4017, _T_4031) @[el2_lsu_bus_buffer.scala 520:105] - buf_resp_state_bus_en[2] <= _T_4032 @[el2_lsu_bus_buffer.scala 520:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 524:29] - node _T_4033 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] - node _T_4034 = or(_T_4033, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] - buf_state_en[2] <= _T_4034 @[el2_lsu_bus_buffer.scala 525:25] - node _T_4035 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] - node _T_4036 = and(_T_4035, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] - buf_data_en[2] <= _T_4036 @[el2_lsu_bus_buffer.scala 526:24] - node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] - node _T_4038 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 527:111] - node _T_4039 = and(bus_rsp_read_error, _T_4038) @[el2_lsu_bus_buffer.scala 527:91] - node _T_4040 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 528:42] - node _T_4041 = and(bus_rsp_read_error, _T_4040) @[el2_lsu_bus_buffer.scala 528:31] - node _T_4042 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 528:66] - node _T_4043 = and(_T_4041, _T_4042) @[el2_lsu_bus_buffer.scala 528:46] - node _T_4044 = or(_T_4039, _T_4043) @[el2_lsu_bus_buffer.scala 527:143] - node _T_4045 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] - node _T_4046 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 529:74] - node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 529:53] - node _T_4048 = or(_T_4044, _T_4047) @[el2_lsu_bus_buffer.scala 528:88] - node _T_4049 = and(_T_4037, _T_4048) @[el2_lsu_bus_buffer.scala 527:68] - buf_error_en[2] <= _T_4049 @[el2_lsu_bus_buffer.scala 527:25] - node _T_4050 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] - node _T_4051 = and(buf_state_en[2], _T_4050) @[el2_lsu_bus_buffer.scala 530:48] - node _T_4052 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] - node _T_4053 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] - node _T_4054 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] - node _T_4055 = mux(_T_4052, _T_4053, _T_4054) @[el2_lsu_bus_buffer.scala 530:72] - node _T_4056 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] - node _T_4057 = mux(_T_4051, _T_4055, _T_4056) @[el2_lsu_bus_buffer.scala 530:30] - buf_data_in[2] <= _T_4057 @[el2_lsu_bus_buffer.scala 530:24] + node _T_4008 = and(_T_3991, _T_4007) @[el2_lsu_bus_buffer.scala 520:101] + node _T_4009 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] + node _T_4010 = and(_T_4008, _T_4009) @[el2_lsu_bus_buffer.scala 520:138] + node _T_4011 = and(_T_4010, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] + node _T_4012 = or(_T_3986, _T_4011) @[el2_lsu_bus_buffer.scala 520:53] + node _T_4013 = mux(_T_4012, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] + node _T_4014 = mux(_T_3984, UInt<3>("h04"), _T_4013) @[el2_lsu_bus_buffer.scala 519:14] + node _T_4015 = mux(_T_3977, UInt<3>("h00"), _T_4014) @[el2_lsu_bus_buffer.scala 518:31] + buf_nxtstate[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 518:25] + node _T_4016 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 521:73] + node _T_4017 = and(bus_rsp_write, _T_4016) @[el2_lsu_bus_buffer.scala 521:52] + node _T_4018 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 522:46] + node _T_4019 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 523:23] + node _T_4020 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 523:47] + node _T_4021 = and(_T_4019, _T_4020) @[el2_lsu_bus_buffer.scala 523:27] + node _T_4022 = or(_T_4018, _T_4021) @[el2_lsu_bus_buffer.scala 522:77] + node _T_4023 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 524:26] + node _T_4024 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 524:54] + node _T_4025 = not(_T_4024) @[el2_lsu_bus_buffer.scala 524:44] + node _T_4026 = and(_T_4023, _T_4025) @[el2_lsu_bus_buffer.scala 524:42] + node _T_4027 = and(_T_4026, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 524:58] + node _T_4028 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 524:94] + node _T_4029 = and(_T_4027, _T_4028) @[el2_lsu_bus_buffer.scala 524:74] + node _T_4030 = or(_T_4022, _T_4029) @[el2_lsu_bus_buffer.scala 523:71] + node _T_4031 = and(bus_rsp_read, _T_4030) @[el2_lsu_bus_buffer.scala 522:25] + node _T_4032 = or(_T_4017, _T_4031) @[el2_lsu_bus_buffer.scala 521:105] + buf_resp_state_bus_en[2] <= _T_4032 @[el2_lsu_bus_buffer.scala 521:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 525:29] + node _T_4033 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] + node _T_4034 = or(_T_4033, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] + buf_state_en[2] <= _T_4034 @[el2_lsu_bus_buffer.scala 526:25] + node _T_4035 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] + node _T_4036 = and(_T_4035, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] + buf_data_en[2] <= _T_4036 @[el2_lsu_bus_buffer.scala 527:24] + node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] + node _T_4038 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 528:111] + node _T_4039 = and(bus_rsp_read_error, _T_4038) @[el2_lsu_bus_buffer.scala 528:91] + node _T_4040 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 529:42] + node _T_4041 = and(bus_rsp_read_error, _T_4040) @[el2_lsu_bus_buffer.scala 529:31] + node _T_4042 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 529:66] + node _T_4043 = and(_T_4041, _T_4042) @[el2_lsu_bus_buffer.scala 529:46] + node _T_4044 = or(_T_4039, _T_4043) @[el2_lsu_bus_buffer.scala 528:143] + node _T_4045 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] + node _T_4046 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 530:74] + node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 530:53] + node _T_4048 = or(_T_4044, _T_4047) @[el2_lsu_bus_buffer.scala 529:88] + node _T_4049 = and(_T_4037, _T_4048) @[el2_lsu_bus_buffer.scala 528:68] + buf_error_en[2] <= _T_4049 @[el2_lsu_bus_buffer.scala 528:25] + node _T_4050 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] + node _T_4051 = and(buf_state_en[2], _T_4050) @[el2_lsu_bus_buffer.scala 531:48] + node _T_4052 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] + node _T_4053 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] + node _T_4054 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] + node _T_4055 = mux(_T_4052, _T_4053, _T_4054) @[el2_lsu_bus_buffer.scala 531:72] + node _T_4056 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] + node _T_4057 = mux(_T_4051, _T_4055, _T_4056) @[el2_lsu_bus_buffer.scala 531:30] + buf_data_in[2] <= _T_4057 @[el2_lsu_bus_buffer.scala 531:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4058 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_4058 : @[Conditional.scala 39:67] - node _T_4059 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] - node _T_4060 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 533:86] - node _T_4061 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 533:101] - node _T_4062 = bits(_T_4061, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] - node _T_4063 = or(_T_4060, _T_4062) @[el2_lsu_bus_buffer.scala 533:90] - node _T_4064 = or(_T_4063, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] - node _T_4065 = mux(_T_4064, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] - node _T_4066 = mux(_T_4059, UInt<3>("h00"), _T_4065) @[el2_lsu_bus_buffer.scala 533:31] - buf_nxtstate[2] <= _T_4066 @[el2_lsu_bus_buffer.scala 533:25] - node _T_4067 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 534:66] - node _T_4068 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 535:21] - node _T_4069 = bits(_T_4068, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] - node _T_4070 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 535:58] - node _T_4071 = and(_T_4069, _T_4070) @[el2_lsu_bus_buffer.scala 535:38] - node _T_4072 = or(_T_4067, _T_4071) @[el2_lsu_bus_buffer.scala 534:95] - node _T_4073 = and(bus_rsp_read, _T_4072) @[el2_lsu_bus_buffer.scala 534:45] - buf_state_bus_en[2] <= _T_4073 @[el2_lsu_bus_buffer.scala 534:29] - node _T_4074 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] - node _T_4075 = or(_T_4074, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] - buf_state_en[2] <= _T_4075 @[el2_lsu_bus_buffer.scala 536:25] + node _T_4059 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] + node _T_4060 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 534:86] + node _T_4061 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 534:101] + node _T_4062 = bits(_T_4061, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] + node _T_4063 = or(_T_4060, _T_4062) @[el2_lsu_bus_buffer.scala 534:90] + node _T_4064 = or(_T_4063, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] + node _T_4065 = mux(_T_4064, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] + node _T_4066 = mux(_T_4059, UInt<3>("h00"), _T_4065) @[el2_lsu_bus_buffer.scala 534:31] + buf_nxtstate[2] <= _T_4066 @[el2_lsu_bus_buffer.scala 534:25] + node _T_4067 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 535:66] + node _T_4068 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 536:21] + node _T_4069 = bits(_T_4068, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] + node _T_4070 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 536:58] + node _T_4071 = and(_T_4069, _T_4070) @[el2_lsu_bus_buffer.scala 536:38] + node _T_4072 = or(_T_4067, _T_4071) @[el2_lsu_bus_buffer.scala 535:95] + node _T_4073 = and(bus_rsp_read, _T_4072) @[el2_lsu_bus_buffer.scala 535:45] + buf_state_bus_en[2] <= _T_4073 @[el2_lsu_bus_buffer.scala 535:29] + node _T_4074 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] + node _T_4075 = or(_T_4074, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] + buf_state_en[2] <= _T_4075 @[el2_lsu_bus_buffer.scala 537:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4076 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_4076 : @[Conditional.scala 39:67] - node _T_4077 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] - node _T_4078 = mux(_T_4077, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] - buf_nxtstate[2] <= _T_4078 @[el2_lsu_bus_buffer.scala 539:25] - node _T_4079 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 540:37] - node _T_4080 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] - node _T_4081 = and(buf_dual[2], _T_4080) @[el2_lsu_bus_buffer.scala 540:80] - node _T_4082 = or(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 540:65] - node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] - buf_state_en[2] <= _T_4083 @[el2_lsu_bus_buffer.scala 540:25] + node _T_4077 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] + node _T_4078 = mux(_T_4077, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] + buf_nxtstate[2] <= _T_4078 @[el2_lsu_bus_buffer.scala 540:25] + node _T_4079 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 541:37] + node _T_4080 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] + node _T_4081 = and(buf_dual[2], _T_4080) @[el2_lsu_bus_buffer.scala 541:80] + node _T_4082 = or(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 541:65] + node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] + buf_state_en[2] <= _T_4083 @[el2_lsu_bus_buffer.scala 541:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4084 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_4084 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 547:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 544:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 547:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] - node _T_4085 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] + node _T_4085 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] reg _T_4086 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4085 : @[Reg.scala 28:19] _T_4086 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4086 @[el2_lsu_bus_buffer.scala 550:18] - reg _T_4087 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] - _T_4087 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 551:60] - buf_ageQ[2] <= _T_4087 @[el2_lsu_bus_buffer.scala 551:17] - reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] - _T_4088 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 552:63] - buf_rspageQ[2] <= _T_4088 @[el2_lsu_bus_buffer.scala 552:20] - node _T_4089 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] + buf_state[2] <= _T_4086 @[el2_lsu_bus_buffer.scala 551:18] + reg _T_4087 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] + _T_4087 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 552:60] + buf_ageQ[2] <= _T_4087 @[el2_lsu_bus_buffer.scala 552:17] + reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] + _T_4088 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 553:63] + buf_rspageQ[2] <= _T_4088 @[el2_lsu_bus_buffer.scala 553:20] + node _T_4089 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] reg _T_4090 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4089 : @[Reg.scala 28:19] _T_4090 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4090 @[el2_lsu_bus_buffer.scala 553:20] - node _T_4091 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 554:74] - node _T_4092 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] + buf_dualtag[2] <= _T_4090 @[el2_lsu_bus_buffer.scala 554:20] + node _T_4091 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:74] + node _T_4092 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] reg _T_4093 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4092 : @[Reg.scala 28:19] _T_4093 <= _T_4091 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4093 @[el2_lsu_bus_buffer.scala 554:17] - node _T_4094 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:78] - node _T_4095 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + buf_dual[2] <= _T_4093 @[el2_lsu_bus_buffer.scala 555:17] + node _T_4094 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:78] + node _T_4095 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] reg _T_4096 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4095 : @[Reg.scala 28:19] _T_4096 <= _T_4094 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4096 @[el2_lsu_bus_buffer.scala 555:19] - node _T_4097 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:80] - node _T_4098 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] + buf_samedw[2] <= _T_4096 @[el2_lsu_bus_buffer.scala 556:19] + node _T_4097 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4098 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] reg _T_4099 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4098 : @[Reg.scala 28:19] _T_4099 <= _T_4097 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4099 @[el2_lsu_bus_buffer.scala 556:20] - node _T_4100 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 557:78] - node _T_4101 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + buf_nomerge[2] <= _T_4099 @[el2_lsu_bus_buffer.scala 557:20] + node _T_4100 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 558:78] + node _T_4101 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] reg _T_4102 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4101 : @[Reg.scala 28:19] _T_4102 <= _T_4100 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 557:19] + buf_dualhi[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 558:19] node _T_4103 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4103 : @[Conditional.scala 40:58] - node _T_4104 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] - node _T_4105 = mux(_T_4104, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] - buf_nxtstate[3] <= _T_4105 @[el2_lsu_bus_buffer.scala 494:25] - node _T_4106 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] - node _T_4107 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] - node _T_4108 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] - node _T_4109 = and(_T_4107, _T_4108) @[el2_lsu_bus_buffer.scala 495:95] - node _T_4110 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] - node _T_4111 = and(_T_4109, _T_4110) @[el2_lsu_bus_buffer.scala 495:112] - node _T_4112 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] - node _T_4113 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] - node _T_4114 = and(_T_4112, _T_4113) @[el2_lsu_bus_buffer.scala 495:161] - node _T_4115 = or(_T_4111, _T_4114) @[el2_lsu_bus_buffer.scala 495:132] - node _T_4116 = and(_T_4106, _T_4115) @[el2_lsu_bus_buffer.scala 495:63] - node _T_4117 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] - node _T_4118 = and(ibuf_drain_vld, _T_4117) @[el2_lsu_bus_buffer.scala 495:201] - node _T_4119 = or(_T_4116, _T_4118) @[el2_lsu_bus_buffer.scala 495:183] - buf_state_en[3] <= _T_4119 @[el2_lsu_bus_buffer.scala 495:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 496:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 497:24] - node _T_4120 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] - node _T_4121 = and(ibuf_drain_vld, _T_4120) @[el2_lsu_bus_buffer.scala 498:47] - node _T_4122 = bits(_T_4121, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] - node _T_4123 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] - node _T_4124 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] - node _T_4125 = mux(_T_4122, _T_4123, _T_4124) @[el2_lsu_bus_buffer.scala 498:30] - buf_data_in[3] <= _T_4125 @[el2_lsu_bus_buffer.scala 498:24] + node _T_4104 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] + node _T_4105 = mux(_T_4104, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] + buf_nxtstate[3] <= _T_4105 @[el2_lsu_bus_buffer.scala 495:25] + node _T_4106 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] + node _T_4107 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] + node _T_4108 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] + node _T_4109 = and(_T_4107, _T_4108) @[el2_lsu_bus_buffer.scala 496:95] + node _T_4110 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] + node _T_4111 = and(_T_4109, _T_4110) @[el2_lsu_bus_buffer.scala 496:112] + node _T_4112 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] + node _T_4113 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] + node _T_4114 = and(_T_4112, _T_4113) @[el2_lsu_bus_buffer.scala 496:161] + node _T_4115 = or(_T_4111, _T_4114) @[el2_lsu_bus_buffer.scala 496:132] + node _T_4116 = and(_T_4106, _T_4115) @[el2_lsu_bus_buffer.scala 496:63] + node _T_4117 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] + node _T_4118 = and(ibuf_drain_vld, _T_4117) @[el2_lsu_bus_buffer.scala 496:201] + node _T_4119 = or(_T_4116, _T_4118) @[el2_lsu_bus_buffer.scala 496:183] + buf_state_en[3] <= _T_4119 @[el2_lsu_bus_buffer.scala 496:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 497:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 498:24] + node _T_4120 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] + node _T_4121 = and(ibuf_drain_vld, _T_4120) @[el2_lsu_bus_buffer.scala 499:47] + node _T_4122 = bits(_T_4121, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] + node _T_4123 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] + node _T_4124 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] + node _T_4125 = mux(_T_4122, _T_4123, _T_4124) @[el2_lsu_bus_buffer.scala 499:30] + buf_data_in[3] <= _T_4125 @[el2_lsu_bus_buffer.scala 499:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4126 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4126 : @[Conditional.scala 39:67] - node _T_4127 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] - node _T_4128 = mux(_T_4127, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] - buf_nxtstate[3] <= _T_4128 @[el2_lsu_bus_buffer.scala 501:25] - node _T_4129 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] - buf_state_en[3] <= _T_4129 @[el2_lsu_bus_buffer.scala 502:25] + node _T_4127 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] + node _T_4128 = mux(_T_4127, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[3] <= _T_4128 @[el2_lsu_bus_buffer.scala 502:25] + node _T_4129 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] + buf_state_en[3] <= _T_4129 @[el2_lsu_bus_buffer.scala 503:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4130 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4130 : @[Conditional.scala 39:67] - node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_4132 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] - node _T_4133 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] - node _T_4134 = and(_T_4132, _T_4133) @[el2_lsu_bus_buffer.scala 505:104] - node _T_4135 = mux(_T_4134, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] - node _T_4136 = mux(_T_4131, UInt<3>("h00"), _T_4135) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 505:25] - node _T_4137 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:48] - node _T_4138 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:104] - node _T_4139 = and(obuf_merge, _T_4138) @[el2_lsu_bus_buffer.scala 506:91] - node _T_4140 = or(_T_4137, _T_4139) @[el2_lsu_bus_buffer.scala 506:77] - node _T_4141 = and(_T_4140, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] - node _T_4142 = and(_T_4141, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] - buf_cmd_state_bus_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 506:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 507:29] - node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] - node _T_4144 = or(_T_4143, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] - buf_state_en[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 508:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] - node _T_4145 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 510:56] - node _T_4146 = eq(_T_4145, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] - node _T_4147 = and(buf_state_en[3], _T_4146) @[el2_lsu_bus_buffer.scala 510:44] - node _T_4148 = and(_T_4147, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] - node _T_4149 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] - node _T_4150 = and(_T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 510:74] - buf_ldfwd_en[3] <= _T_4150 @[el2_lsu_bus_buffer.scala 510:25] - node _T_4151 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] - buf_ldfwdtag_in[3] <= _T_4151 @[el2_lsu_bus_buffer.scala 511:28] - node _T_4152 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] - node _T_4153 = and(_T_4152, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] - node _T_4154 = and(_T_4153, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] - buf_data_en[3] <= _T_4154 @[el2_lsu_bus_buffer.scala 512:24] - node _T_4155 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] - node _T_4156 = and(_T_4155, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] - node _T_4157 = and(_T_4156, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] - buf_error_en[3] <= _T_4157 @[el2_lsu_bus_buffer.scala 513:25] - node _T_4158 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] - node _T_4159 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] - node _T_4160 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] - node _T_4161 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] - node _T_4162 = mux(_T_4159, _T_4160, _T_4161) @[el2_lsu_bus_buffer.scala 514:73] - node _T_4163 = mux(buf_error_en[3], _T_4158, _T_4162) @[el2_lsu_bus_buffer.scala 514:30] - buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 514:24] + node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] + node _T_4132 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] + node _T_4133 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] + node _T_4134 = and(_T_4132, _T_4133) @[el2_lsu_bus_buffer.scala 506:104] + node _T_4135 = mux(_T_4134, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] + node _T_4136 = mux(_T_4131, UInt<3>("h00"), _T_4135) @[el2_lsu_bus_buffer.scala 506:31] + buf_nxtstate[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 506:25] + node _T_4137 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:48] + node _T_4138 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:104] + node _T_4139 = and(obuf_merge, _T_4138) @[el2_lsu_bus_buffer.scala 507:91] + node _T_4140 = or(_T_4137, _T_4139) @[el2_lsu_bus_buffer.scala 507:77] + node _T_4141 = and(_T_4140, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] + node _T_4142 = and(_T_4141, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] + buf_cmd_state_bus_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 507:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 508:29] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] + node _T_4144 = or(_T_4143, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] + buf_state_en[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 509:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] + node _T_4145 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 511:56] + node _T_4146 = eq(_T_4145, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] + node _T_4147 = and(buf_state_en[3], _T_4146) @[el2_lsu_bus_buffer.scala 511:44] + node _T_4148 = and(_T_4147, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] + node _T_4149 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] + node _T_4150 = and(_T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 511:74] + buf_ldfwd_en[3] <= _T_4150 @[el2_lsu_bus_buffer.scala 511:25] + node _T_4151 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] + buf_ldfwdtag_in[3] <= _T_4151 @[el2_lsu_bus_buffer.scala 512:28] + node _T_4152 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] + node _T_4153 = and(_T_4152, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] + node _T_4154 = and(_T_4153, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] + buf_data_en[3] <= _T_4154 @[el2_lsu_bus_buffer.scala 513:24] + node _T_4155 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] + node _T_4156 = and(_T_4155, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] + node _T_4157 = and(_T_4156, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] + buf_error_en[3] <= _T_4157 @[el2_lsu_bus_buffer.scala 514:25] + node _T_4158 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] + node _T_4159 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] + node _T_4160 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] + node _T_4161 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] + node _T_4162 = mux(_T_4159, _T_4160, _T_4161) @[el2_lsu_bus_buffer.scala 515:73] + node _T_4163 = mux(buf_error_en[3], _T_4158, _T_4162) @[el2_lsu_bus_buffer.scala 515:30] + buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 515:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4164 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4164 : @[Conditional.scala 39:67] - node _T_4165 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 517:67] - node _T_4166 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] - node _T_4167 = eq(_T_4166, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] - node _T_4168 = and(_T_4165, _T_4167) @[el2_lsu_bus_buffer.scala 517:71] - node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[el2_lsu_bus_buffer.scala 517:55] - node _T_4170 = bits(_T_4169, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] - node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] - node _T_4172 = and(buf_dual[3], _T_4171) @[el2_lsu_bus_buffer.scala 518:28] - node _T_4173 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:57] - node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] - node _T_4175 = and(_T_4172, _T_4174) @[el2_lsu_bus_buffer.scala 518:45] - node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] - node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 518:61] - node _T_4178 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 519:27] - node _T_4179 = or(_T_4178, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] - node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] - node _T_4181 = and(buf_dual[3], _T_4180) @[el2_lsu_bus_buffer.scala 519:68] - node _T_4182 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:97] - node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] - node _T_4184 = and(_T_4181, _T_4183) @[el2_lsu_bus_buffer.scala 519:85] + node _T_4165 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:67] + node _T_4166 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] + node _T_4167 = eq(_T_4166, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] + node _T_4168 = and(_T_4165, _T_4167) @[el2_lsu_bus_buffer.scala 518:71] + node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[el2_lsu_bus_buffer.scala 518:55] + node _T_4170 = bits(_T_4169, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] + node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] + node _T_4172 = and(buf_dual[3], _T_4171) @[el2_lsu_bus_buffer.scala 519:28] + node _T_4173 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:57] + node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] + node _T_4175 = and(_T_4172, _T_4174) @[el2_lsu_bus_buffer.scala 519:45] + node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] + node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 519:61] + node _T_4178 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 520:27] + node _T_4179 = or(_T_4178, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] + node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] + node _T_4181 = and(buf_dual[3], _T_4180) @[el2_lsu_bus_buffer.scala 520:68] + node _T_4182 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 520:97] + node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] + node _T_4184 = and(_T_4181, _T_4183) @[el2_lsu_bus_buffer.scala 520:85] node _T_4185 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_4186 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] node _T_4187 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] @@ -5407,172 +5407,172 @@ circuit el2_lsu_bus_buffer : node _T_4199 = or(_T_4198, _T_4196) @[Mux.scala 27:72] wire _T_4200 : UInt<1> @[Mux.scala 27:72] _T_4200 <= _T_4199 @[Mux.scala 27:72] - node _T_4201 = and(_T_4184, _T_4200) @[el2_lsu_bus_buffer.scala 519:101] - node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] - node _T_4203 = and(_T_4201, _T_4202) @[el2_lsu_bus_buffer.scala 519:138] - node _T_4204 = and(_T_4203, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] - node _T_4205 = or(_T_4179, _T_4204) @[el2_lsu_bus_buffer.scala 519:53] - node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] - node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[el2_lsu_bus_buffer.scala 518:14] - node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[el2_lsu_bus_buffer.scala 517:31] - buf_nxtstate[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 517:25] - node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 520:73] - node _T_4210 = and(bus_rsp_write, _T_4209) @[el2_lsu_bus_buffer.scala 520:52] - node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 521:46] - node _T_4212 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 522:23] - node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 522:47] - node _T_4214 = and(_T_4212, _T_4213) @[el2_lsu_bus_buffer.scala 522:27] - node _T_4215 = or(_T_4211, _T_4214) @[el2_lsu_bus_buffer.scala 521:77] - node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 523:26] - node _T_4217 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 523:54] - node _T_4218 = not(_T_4217) @[el2_lsu_bus_buffer.scala 523:44] - node _T_4219 = and(_T_4216, _T_4218) @[el2_lsu_bus_buffer.scala 523:42] - node _T_4220 = and(_T_4219, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 523:58] - node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 523:94] - node _T_4222 = and(_T_4220, _T_4221) @[el2_lsu_bus_buffer.scala 523:74] - node _T_4223 = or(_T_4215, _T_4222) @[el2_lsu_bus_buffer.scala 522:71] - node _T_4224 = and(bus_rsp_read, _T_4223) @[el2_lsu_bus_buffer.scala 521:25] - node _T_4225 = or(_T_4210, _T_4224) @[el2_lsu_bus_buffer.scala 520:105] - buf_resp_state_bus_en[3] <= _T_4225 @[el2_lsu_bus_buffer.scala 520:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 524:29] - node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] - node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] - buf_state_en[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 525:25] - node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] - node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] - buf_data_en[3] <= _T_4229 @[el2_lsu_bus_buffer.scala 526:24] - node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] - node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 527:111] - node _T_4232 = and(bus_rsp_read_error, _T_4231) @[el2_lsu_bus_buffer.scala 527:91] - node _T_4233 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 528:42] - node _T_4234 = and(bus_rsp_read_error, _T_4233) @[el2_lsu_bus_buffer.scala 528:31] - node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 528:66] - node _T_4236 = and(_T_4234, _T_4235) @[el2_lsu_bus_buffer.scala 528:46] - node _T_4237 = or(_T_4232, _T_4236) @[el2_lsu_bus_buffer.scala 527:143] - node _T_4238 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] - node _T_4239 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 529:74] - node _T_4240 = and(_T_4238, _T_4239) @[el2_lsu_bus_buffer.scala 529:53] - node _T_4241 = or(_T_4237, _T_4240) @[el2_lsu_bus_buffer.scala 528:88] - node _T_4242 = and(_T_4230, _T_4241) @[el2_lsu_bus_buffer.scala 527:68] - buf_error_en[3] <= _T_4242 @[el2_lsu_bus_buffer.scala 527:25] - node _T_4243 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] - node _T_4244 = and(buf_state_en[3], _T_4243) @[el2_lsu_bus_buffer.scala 530:48] - node _T_4245 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] - node _T_4246 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] - node _T_4247 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] - node _T_4248 = mux(_T_4245, _T_4246, _T_4247) @[el2_lsu_bus_buffer.scala 530:72] - node _T_4249 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] - node _T_4250 = mux(_T_4244, _T_4248, _T_4249) @[el2_lsu_bus_buffer.scala 530:30] - buf_data_in[3] <= _T_4250 @[el2_lsu_bus_buffer.scala 530:24] + node _T_4201 = and(_T_4184, _T_4200) @[el2_lsu_bus_buffer.scala 520:101] + node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] + node _T_4203 = and(_T_4201, _T_4202) @[el2_lsu_bus_buffer.scala 520:138] + node _T_4204 = and(_T_4203, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] + node _T_4205 = or(_T_4179, _T_4204) @[el2_lsu_bus_buffer.scala 520:53] + node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] + node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[el2_lsu_bus_buffer.scala 519:14] + node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[el2_lsu_bus_buffer.scala 518:31] + buf_nxtstate[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 518:25] + node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 521:73] + node _T_4210 = and(bus_rsp_write, _T_4209) @[el2_lsu_bus_buffer.scala 521:52] + node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 522:46] + node _T_4212 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 523:23] + node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 523:47] + node _T_4214 = and(_T_4212, _T_4213) @[el2_lsu_bus_buffer.scala 523:27] + node _T_4215 = or(_T_4211, _T_4214) @[el2_lsu_bus_buffer.scala 522:77] + node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 524:26] + node _T_4217 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 524:54] + node _T_4218 = not(_T_4217) @[el2_lsu_bus_buffer.scala 524:44] + node _T_4219 = and(_T_4216, _T_4218) @[el2_lsu_bus_buffer.scala 524:42] + node _T_4220 = and(_T_4219, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 524:58] + node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 524:94] + node _T_4222 = and(_T_4220, _T_4221) @[el2_lsu_bus_buffer.scala 524:74] + node _T_4223 = or(_T_4215, _T_4222) @[el2_lsu_bus_buffer.scala 523:71] + node _T_4224 = and(bus_rsp_read, _T_4223) @[el2_lsu_bus_buffer.scala 522:25] + node _T_4225 = or(_T_4210, _T_4224) @[el2_lsu_bus_buffer.scala 521:105] + buf_resp_state_bus_en[3] <= _T_4225 @[el2_lsu_bus_buffer.scala 521:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 525:29] + node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] + node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] + buf_state_en[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 526:25] + node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] + node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] + buf_data_en[3] <= _T_4229 @[el2_lsu_bus_buffer.scala 527:24] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] + node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 528:111] + node _T_4232 = and(bus_rsp_read_error, _T_4231) @[el2_lsu_bus_buffer.scala 528:91] + node _T_4233 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 529:42] + node _T_4234 = and(bus_rsp_read_error, _T_4233) @[el2_lsu_bus_buffer.scala 529:31] + node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 529:66] + node _T_4236 = and(_T_4234, _T_4235) @[el2_lsu_bus_buffer.scala 529:46] + node _T_4237 = or(_T_4232, _T_4236) @[el2_lsu_bus_buffer.scala 528:143] + node _T_4238 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] + node _T_4239 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 530:74] + node _T_4240 = and(_T_4238, _T_4239) @[el2_lsu_bus_buffer.scala 530:53] + node _T_4241 = or(_T_4237, _T_4240) @[el2_lsu_bus_buffer.scala 529:88] + node _T_4242 = and(_T_4230, _T_4241) @[el2_lsu_bus_buffer.scala 528:68] + buf_error_en[3] <= _T_4242 @[el2_lsu_bus_buffer.scala 528:25] + node _T_4243 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] + node _T_4244 = and(buf_state_en[3], _T_4243) @[el2_lsu_bus_buffer.scala 531:48] + node _T_4245 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] + node _T_4246 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] + node _T_4247 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] + node _T_4248 = mux(_T_4245, _T_4246, _T_4247) @[el2_lsu_bus_buffer.scala 531:72] + node _T_4249 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] + node _T_4250 = mux(_T_4244, _T_4248, _T_4249) @[el2_lsu_bus_buffer.scala 531:30] + buf_data_in[3] <= _T_4250 @[el2_lsu_bus_buffer.scala 531:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4251 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4251 : @[Conditional.scala 39:67] - node _T_4252 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] - node _T_4253 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 533:86] - node _T_4254 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 533:101] - node _T_4255 = bits(_T_4254, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] - node _T_4256 = or(_T_4253, _T_4255) @[el2_lsu_bus_buffer.scala 533:90] - node _T_4257 = or(_T_4256, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] - node _T_4258 = mux(_T_4257, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] - node _T_4259 = mux(_T_4252, UInt<3>("h00"), _T_4258) @[el2_lsu_bus_buffer.scala 533:31] - buf_nxtstate[3] <= _T_4259 @[el2_lsu_bus_buffer.scala 533:25] - node _T_4260 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 534:66] - node _T_4261 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 535:21] - node _T_4262 = bits(_T_4261, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] - node _T_4263 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 535:58] - node _T_4264 = and(_T_4262, _T_4263) @[el2_lsu_bus_buffer.scala 535:38] - node _T_4265 = or(_T_4260, _T_4264) @[el2_lsu_bus_buffer.scala 534:95] - node _T_4266 = and(bus_rsp_read, _T_4265) @[el2_lsu_bus_buffer.scala 534:45] - buf_state_bus_en[3] <= _T_4266 @[el2_lsu_bus_buffer.scala 534:29] - node _T_4267 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] - node _T_4268 = or(_T_4267, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] - buf_state_en[3] <= _T_4268 @[el2_lsu_bus_buffer.scala 536:25] + node _T_4252 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] + node _T_4253 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 534:86] + node _T_4254 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 534:101] + node _T_4255 = bits(_T_4254, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] + node _T_4256 = or(_T_4253, _T_4255) @[el2_lsu_bus_buffer.scala 534:90] + node _T_4257 = or(_T_4256, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] + node _T_4258 = mux(_T_4257, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] + node _T_4259 = mux(_T_4252, UInt<3>("h00"), _T_4258) @[el2_lsu_bus_buffer.scala 534:31] + buf_nxtstate[3] <= _T_4259 @[el2_lsu_bus_buffer.scala 534:25] + node _T_4260 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 535:66] + node _T_4261 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 536:21] + node _T_4262 = bits(_T_4261, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] + node _T_4263 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 536:58] + node _T_4264 = and(_T_4262, _T_4263) @[el2_lsu_bus_buffer.scala 536:38] + node _T_4265 = or(_T_4260, _T_4264) @[el2_lsu_bus_buffer.scala 535:95] + node _T_4266 = and(bus_rsp_read, _T_4265) @[el2_lsu_bus_buffer.scala 535:45] + buf_state_bus_en[3] <= _T_4266 @[el2_lsu_bus_buffer.scala 535:29] + node _T_4267 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] + node _T_4268 = or(_T_4267, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] + buf_state_en[3] <= _T_4268 @[el2_lsu_bus_buffer.scala 537:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4269 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4269 : @[Conditional.scala 39:67] - node _T_4270 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] - node _T_4271 = mux(_T_4270, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] - buf_nxtstate[3] <= _T_4271 @[el2_lsu_bus_buffer.scala 539:25] - node _T_4272 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 540:37] - node _T_4273 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] - node _T_4274 = and(buf_dual[3], _T_4273) @[el2_lsu_bus_buffer.scala 540:80] - node _T_4275 = or(_T_4272, _T_4274) @[el2_lsu_bus_buffer.scala 540:65] - node _T_4276 = or(_T_4275, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] - buf_state_en[3] <= _T_4276 @[el2_lsu_bus_buffer.scala 540:25] + node _T_4270 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] + node _T_4271 = mux(_T_4270, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] + buf_nxtstate[3] <= _T_4271 @[el2_lsu_bus_buffer.scala 540:25] + node _T_4272 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 541:37] + node _T_4273 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] + node _T_4274 = and(buf_dual[3], _T_4273) @[el2_lsu_bus_buffer.scala 541:80] + node _T_4275 = or(_T_4272, _T_4274) @[el2_lsu_bus_buffer.scala 541:65] + node _T_4276 = or(_T_4275, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] + buf_state_en[3] <= _T_4276 @[el2_lsu_bus_buffer.scala 541:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4277 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4277 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 547:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 544:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 547:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] - node _T_4278 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] + node _T_4278 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4278 : @[Reg.scala 28:19] _T_4279 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4279 @[el2_lsu_bus_buffer.scala 550:18] - reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] - _T_4280 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 551:60] - buf_ageQ[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 551:17] - reg _T_4281 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] - _T_4281 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 552:63] - buf_rspageQ[3] <= _T_4281 @[el2_lsu_bus_buffer.scala 552:20] - node _T_4282 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] + buf_state[3] <= _T_4279 @[el2_lsu_bus_buffer.scala 551:18] + reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] + _T_4280 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 552:60] + buf_ageQ[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 552:17] + reg _T_4281 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] + _T_4281 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 553:63] + buf_rspageQ[3] <= _T_4281 @[el2_lsu_bus_buffer.scala 553:20] + node _T_4282 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] reg _T_4283 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4282 : @[Reg.scala 28:19] _T_4283 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4283 @[el2_lsu_bus_buffer.scala 553:20] - node _T_4284 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 554:74] - node _T_4285 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] + buf_dualtag[3] <= _T_4283 @[el2_lsu_bus_buffer.scala 554:20] + node _T_4284 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:74] + node _T_4285 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] reg _T_4286 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4285 : @[Reg.scala 28:19] _T_4286 <= _T_4284 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4286 @[el2_lsu_bus_buffer.scala 554:17] - node _T_4287 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:78] - node _T_4288 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + buf_dual[3] <= _T_4286 @[el2_lsu_bus_buffer.scala 555:17] + node _T_4287 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:78] + node _T_4288 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] reg _T_4289 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4288 : @[Reg.scala 28:19] _T_4289 <= _T_4287 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4289 @[el2_lsu_bus_buffer.scala 555:19] - node _T_4290 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:80] - node _T_4291 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] + buf_samedw[3] <= _T_4289 @[el2_lsu_bus_buffer.scala 556:19] + node _T_4290 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 557:80] + node _T_4291 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] reg _T_4292 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4291 : @[Reg.scala 28:19] _T_4292 <= _T_4290 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4292 @[el2_lsu_bus_buffer.scala 556:20] - node _T_4293 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 557:78] - node _T_4294 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + buf_nomerge[3] <= _T_4292 @[el2_lsu_bus_buffer.scala 557:20] + node _T_4293 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 558:78] + node _T_4294 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] reg _T_4295 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4294 : @[Reg.scala 28:19] _T_4295 <= _T_4293 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 557:19] - node _T_4296 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] + buf_dualhi[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 558:19] + node _T_4296 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] reg _T_4297 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4296 : @[Reg.scala 28:19] _T_4297 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4298 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] + node _T_4298 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4298 : @[Reg.scala 28:19] _T_4299 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4300 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] + node _T_4300 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] reg _T_4301 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4300 : @[Reg.scala 28:19] _T_4301 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4302 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] + node _T_4302 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] reg _T_4303 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4302 : @[Reg.scala 28:19] _T_4303 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5580,51 +5580,51 @@ circuit el2_lsu_bus_buffer : node _T_4304 = cat(_T_4303, _T_4301) @[Cat.scala 29:58] node _T_4305 = cat(_T_4304, _T_4299) @[Cat.scala 29:58] node _T_4306 = cat(_T_4305, _T_4297) @[Cat.scala 29:58] - buf_ldfwd <= _T_4306 @[el2_lsu_bus_buffer.scala 560:15] - node _T_4307 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] + buf_ldfwd <= _T_4306 @[el2_lsu_bus_buffer.scala 561:15] + node _T_4307 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] reg _T_4308 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4307 : @[Reg.scala 28:19] _T_4308 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4309 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] + node _T_4309 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] reg _T_4310 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4309 : @[Reg.scala 28:19] _T_4310 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4311 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] + node _T_4311 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] reg _T_4312 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4311 : @[Reg.scala 28:19] _T_4312 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4313 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] + node _T_4313 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] reg _T_4314 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4313 : @[Reg.scala 28:19] _T_4314 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4308 @[el2_lsu_bus_buffer.scala 561:18] - buf_ldfwdtag[1] <= _T_4310 @[el2_lsu_bus_buffer.scala 561:18] - buf_ldfwdtag[2] <= _T_4312 @[el2_lsu_bus_buffer.scala 561:18] - buf_ldfwdtag[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 561:18] - node _T_4315 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 562:107] - node _T_4316 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] + buf_ldfwdtag[0] <= _T_4308 @[el2_lsu_bus_buffer.scala 562:18] + buf_ldfwdtag[1] <= _T_4310 @[el2_lsu_bus_buffer.scala 562:18] + buf_ldfwdtag[2] <= _T_4312 @[el2_lsu_bus_buffer.scala 562:18] + buf_ldfwdtag[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 562:18] + node _T_4315 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:107] + node _T_4316 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] reg _T_4317 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4316 : @[Reg.scala 28:19] _T_4317 <= _T_4315 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4318 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 562:107] - node _T_4319 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] + node _T_4318 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:107] + node _T_4319 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4319 : @[Reg.scala 28:19] _T_4320 <= _T_4318 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4321 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 562:107] - node _T_4322 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] + node _T_4321 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:107] + node _T_4322 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] reg _T_4323 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4322 : @[Reg.scala 28:19] _T_4323 <= _T_4321 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4324 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 562:107] - node _T_4325 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] + node _T_4324 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:107] + node _T_4325 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] reg _T_4326 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4325 : @[Reg.scala 28:19] _T_4326 <= _T_4324 @[Reg.scala 28:23] @@ -5632,27 +5632,27 @@ circuit el2_lsu_bus_buffer : node _T_4327 = cat(_T_4326, _T_4323) @[Cat.scala 29:58] node _T_4328 = cat(_T_4327, _T_4320) @[Cat.scala 29:58] node _T_4329 = cat(_T_4328, _T_4317) @[Cat.scala 29:58] - buf_sideeffect <= _T_4329 @[el2_lsu_bus_buffer.scala 562:20] - node _T_4330 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:99] - node _T_4331 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] + buf_sideeffect <= _T_4329 @[el2_lsu_bus_buffer.scala 563:20] + node _T_4330 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:99] + node _T_4331 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] reg _T_4332 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4331 : @[Reg.scala 28:19] _T_4332 <= _T_4330 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4333 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:99] - node _T_4334 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] + node _T_4333 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:99] + node _T_4334 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] reg _T_4335 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4334 : @[Reg.scala 28:19] _T_4335 <= _T_4333 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4336 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:99] - node _T_4337 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] + node _T_4336 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:99] + node _T_4337 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] reg _T_4338 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4337 : @[Reg.scala 28:19] _T_4338 <= _T_4336 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4339 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:99] - node _T_4340 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] + node _T_4339 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:99] + node _T_4340 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] reg _T_4341 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4340 : @[Reg.scala 28:19] _T_4341 <= _T_4339 @[Reg.scala 28:23] @@ -5660,27 +5660,27 @@ circuit el2_lsu_bus_buffer : node _T_4342 = cat(_T_4341, _T_4338) @[Cat.scala 29:58] node _T_4343 = cat(_T_4342, _T_4335) @[Cat.scala 29:58] node _T_4344 = cat(_T_4343, _T_4332) @[Cat.scala 29:58] - buf_unsign <= _T_4344 @[el2_lsu_bus_buffer.scala 563:16] - node _T_4345 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:97] - node _T_4346 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] + buf_unsign <= _T_4344 @[el2_lsu_bus_buffer.scala 564:16] + node _T_4345 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:97] + node _T_4346 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] reg _T_4347 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4346 : @[Reg.scala 28:19] _T_4347 <= _T_4345 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4348 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:97] - node _T_4349 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] + node _T_4348 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:97] + node _T_4349 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] reg _T_4350 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4349 : @[Reg.scala 28:19] _T_4350 <= _T_4348 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4351 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:97] - node _T_4352 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] + node _T_4351 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:97] + node _T_4352 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] reg _T_4353 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4352 : @[Reg.scala 28:19] _T_4353 <= _T_4351 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4354 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:97] - node _T_4355 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] + node _T_4354 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:97] + node _T_4355 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] reg _T_4356 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4355 : @[Reg.scala 28:19] _T_4356 <= _T_4354 @[Reg.scala 28:23] @@ -5688,32 +5688,32 @@ circuit el2_lsu_bus_buffer : node _T_4357 = cat(_T_4356, _T_4353) @[Cat.scala 29:58] node _T_4358 = cat(_T_4357, _T_4350) @[Cat.scala 29:58] node _T_4359 = cat(_T_4358, _T_4347) @[Cat.scala 29:58] - buf_write <= _T_4359 @[el2_lsu_bus_buffer.scala 564:15] - node _T_4360 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] + buf_write <= _T_4359 @[el2_lsu_bus_buffer.scala 565:15] + node _T_4360 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] reg _T_4361 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4360 : @[Reg.scala 28:19] _T_4361 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4362 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] + node _T_4362 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] reg _T_4363 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4362 : @[Reg.scala 28:19] _T_4363 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4364 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] + node _T_4364 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4364 : @[Reg.scala 28:19] _T_4365 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4366 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] + node _T_4366 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4366 : @[Reg.scala 28:19] _T_4367 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4361 @[el2_lsu_bus_buffer.scala 565:12] - buf_sz[1] <= _T_4363 @[el2_lsu_bus_buffer.scala 565:12] - buf_sz[2] <= _T_4365 @[el2_lsu_bus_buffer.scala 565:12] - buf_sz[3] <= _T_4367 @[el2_lsu_bus_buffer.scala 565:12] - node _T_4368 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] + buf_sz[0] <= _T_4361 @[el2_lsu_bus_buffer.scala 566:12] + buf_sz[1] <= _T_4363 @[el2_lsu_bus_buffer.scala 566:12] + buf_sz[2] <= _T_4365 @[el2_lsu_bus_buffer.scala 566:12] + buf_sz[3] <= _T_4367 @[el2_lsu_bus_buffer.scala 566:12] + node _T_4368 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5722,7 +5722,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4369 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4369 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4370 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] + node _T_4370 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5731,7 +5731,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4371 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4371 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4372 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] + node _T_4372 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5740,7 +5740,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4373 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4373 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] + node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5749,34 +5749,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4375 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4375 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4369 @[el2_lsu_bus_buffer.scala 566:14] - buf_addr[1] <= _T_4371 @[el2_lsu_bus_buffer.scala 566:14] - buf_addr[2] <= _T_4373 @[el2_lsu_bus_buffer.scala 566:14] - buf_addr[3] <= _T_4375 @[el2_lsu_bus_buffer.scala 566:14] - node _T_4376 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] + buf_addr[0] <= _T_4369 @[el2_lsu_bus_buffer.scala 567:14] + buf_addr[1] <= _T_4371 @[el2_lsu_bus_buffer.scala 567:14] + buf_addr[2] <= _T_4373 @[el2_lsu_bus_buffer.scala 567:14] + buf_addr[3] <= _T_4375 @[el2_lsu_bus_buffer.scala 567:14] + node _T_4376 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] reg _T_4377 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4376 : @[Reg.scala 28:19] _T_4377 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4378 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] + node _T_4378 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] reg _T_4379 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4378 : @[Reg.scala 28:19] _T_4379 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4380 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] + node _T_4380 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4380 : @[Reg.scala 28:19] _T_4381 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4382 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] + node _T_4382 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4382 : @[Reg.scala 28:19] _T_4383 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4377 @[el2_lsu_bus_buffer.scala 567:16] - buf_byteen[1] <= _T_4379 @[el2_lsu_bus_buffer.scala 567:16] - buf_byteen[2] <= _T_4381 @[el2_lsu_bus_buffer.scala 567:16] - buf_byteen[3] <= _T_4383 @[el2_lsu_bus_buffer.scala 567:16] + buf_byteen[0] <= _T_4377 @[el2_lsu_bus_buffer.scala 568:16] + buf_byteen[1] <= _T_4379 @[el2_lsu_bus_buffer.scala 568:16] + buf_byteen[2] <= _T_4381 @[el2_lsu_bus_buffer.scala 568:16] + buf_byteen[3] <= _T_4383 @[el2_lsu_bus_buffer.scala 568:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5809,171 +5809,171 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4387 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4387 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4384 @[el2_lsu_bus_buffer.scala 568:14] - buf_data[1] <= _T_4385 @[el2_lsu_bus_buffer.scala 568:14] - buf_data[2] <= _T_4386 @[el2_lsu_bus_buffer.scala 568:14] - buf_data[3] <= _T_4387 @[el2_lsu_bus_buffer.scala 568:14] - node _T_4388 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 569:121] - node _T_4389 = mux(buf_error_en[0], UInt<1>("h01"), _T_4388) @[el2_lsu_bus_buffer.scala 569:86] - node _T_4390 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] - node _T_4391 = and(_T_4389, _T_4390) @[el2_lsu_bus_buffer.scala 569:126] - reg _T_4392 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] - _T_4392 <= _T_4391 @[el2_lsu_bus_buffer.scala 569:82] - node _T_4393 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 569:121] - node _T_4394 = mux(buf_error_en[1], UInt<1>("h01"), _T_4393) @[el2_lsu_bus_buffer.scala 569:86] - node _T_4395 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] - node _T_4396 = and(_T_4394, _T_4395) @[el2_lsu_bus_buffer.scala 569:126] - reg _T_4397 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] - _T_4397 <= _T_4396 @[el2_lsu_bus_buffer.scala 569:82] - node _T_4398 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 569:121] - node _T_4399 = mux(buf_error_en[2], UInt<1>("h01"), _T_4398) @[el2_lsu_bus_buffer.scala 569:86] - node _T_4400 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] - node _T_4401 = and(_T_4399, _T_4400) @[el2_lsu_bus_buffer.scala 569:126] - reg _T_4402 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] - _T_4402 <= _T_4401 @[el2_lsu_bus_buffer.scala 569:82] - node _T_4403 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 569:121] - node _T_4404 = mux(buf_error_en[3], UInt<1>("h01"), _T_4403) @[el2_lsu_bus_buffer.scala 569:86] - node _T_4405 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] - node _T_4406 = and(_T_4404, _T_4405) @[el2_lsu_bus_buffer.scala 569:126] - reg _T_4407 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] - _T_4407 <= _T_4406 @[el2_lsu_bus_buffer.scala 569:82] + buf_data[0] <= _T_4384 @[el2_lsu_bus_buffer.scala 569:14] + buf_data[1] <= _T_4385 @[el2_lsu_bus_buffer.scala 569:14] + buf_data[2] <= _T_4386 @[el2_lsu_bus_buffer.scala 569:14] + buf_data[3] <= _T_4387 @[el2_lsu_bus_buffer.scala 569:14] + node _T_4388 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 570:121] + node _T_4389 = mux(buf_error_en[0], UInt<1>("h01"), _T_4388) @[el2_lsu_bus_buffer.scala 570:86] + node _T_4390 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] + node _T_4391 = and(_T_4389, _T_4390) @[el2_lsu_bus_buffer.scala 570:126] + reg _T_4392 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] + _T_4392 <= _T_4391 @[el2_lsu_bus_buffer.scala 570:82] + node _T_4393 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 570:121] + node _T_4394 = mux(buf_error_en[1], UInt<1>("h01"), _T_4393) @[el2_lsu_bus_buffer.scala 570:86] + node _T_4395 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] + node _T_4396 = and(_T_4394, _T_4395) @[el2_lsu_bus_buffer.scala 570:126] + reg _T_4397 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] + _T_4397 <= _T_4396 @[el2_lsu_bus_buffer.scala 570:82] + node _T_4398 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 570:121] + node _T_4399 = mux(buf_error_en[2], UInt<1>("h01"), _T_4398) @[el2_lsu_bus_buffer.scala 570:86] + node _T_4400 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] + node _T_4401 = and(_T_4399, _T_4400) @[el2_lsu_bus_buffer.scala 570:126] + reg _T_4402 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] + _T_4402 <= _T_4401 @[el2_lsu_bus_buffer.scala 570:82] + node _T_4403 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 570:121] + node _T_4404 = mux(buf_error_en[3], UInt<1>("h01"), _T_4403) @[el2_lsu_bus_buffer.scala 570:86] + node _T_4405 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] + node _T_4406 = and(_T_4404, _T_4405) @[el2_lsu_bus_buffer.scala 570:126] + reg _T_4407 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] + _T_4407 <= _T_4406 @[el2_lsu_bus_buffer.scala 570:82] node _T_4408 = cat(_T_4407, _T_4402) @[Cat.scala 29:58] node _T_4409 = cat(_T_4408, _T_4397) @[Cat.scala 29:58] node _T_4410 = cat(_T_4409, _T_4392) @[Cat.scala 29:58] - buf_error <= _T_4410 @[el2_lsu_bus_buffer.scala 569:15] + buf_error <= _T_4410 @[el2_lsu_bus_buffer.scala 570:15] node _T_4411 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] node _T_4412 = cat(_T_4411, buf_data_en[1]) @[Cat.scala 29:58] node _T_4413 = cat(_T_4412, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4413 @[el2_lsu_bus_buffer.scala 570:14] - node _T_4414 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:60] - node _T_4415 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:60] - node _T_4416 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:60] - node _T_4417 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:60] - node _T_4418 = add(_T_4417, _T_4416) @[el2_lsu_bus_buffer.scala 572:96] - node _T_4419 = add(_T_4418, _T_4415) @[el2_lsu_bus_buffer.scala 572:96] - node buf_numvld_any = add(_T_4419, _T_4414) @[el2_lsu_bus_buffer.scala 572:96] - node _T_4420 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 573:60] - node _T_4421 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] - node _T_4422 = and(_T_4420, _T_4421) @[el2_lsu_bus_buffer.scala 573:64] - node _T_4423 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] - node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4425 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 573:60] - node _T_4426 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] - node _T_4427 = and(_T_4425, _T_4426) @[el2_lsu_bus_buffer.scala 573:64] - node _T_4428 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] - node _T_4429 = and(_T_4427, _T_4428) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4430 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 573:60] - node _T_4431 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] - node _T_4432 = and(_T_4430, _T_4431) @[el2_lsu_bus_buffer.scala 573:64] - node _T_4433 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] - node _T_4434 = and(_T_4432, _T_4433) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4435 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 573:60] - node _T_4436 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] - node _T_4437 = and(_T_4435, _T_4436) @[el2_lsu_bus_buffer.scala 573:64] - node _T_4438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] - node _T_4439 = and(_T_4437, _T_4438) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4440 = add(_T_4439, _T_4434) @[el2_lsu_bus_buffer.scala 573:142] - node _T_4441 = add(_T_4440, _T_4429) @[el2_lsu_bus_buffer.scala 573:142] - node _T_4442 = add(_T_4441, _T_4424) @[el2_lsu_bus_buffer.scala 573:142] - buf_numvld_wrcmd_any <= _T_4442 @[el2_lsu_bus_buffer.scala 573:24] - node _T_4443 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4444 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] - node _T_4445 = and(_T_4443, _T_4444) @[el2_lsu_bus_buffer.scala 574:73] - node _T_4446 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] - node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 574:73] - node _T_4449 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4450 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] - node _T_4451 = and(_T_4449, _T_4450) @[el2_lsu_bus_buffer.scala 574:73] - node _T_4452 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4453 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] - node _T_4454 = and(_T_4452, _T_4453) @[el2_lsu_bus_buffer.scala 574:73] - node _T_4455 = add(_T_4454, _T_4451) @[el2_lsu_bus_buffer.scala 574:126] - node _T_4456 = add(_T_4455, _T_4448) @[el2_lsu_bus_buffer.scala 574:126] - node _T_4457 = add(_T_4456, _T_4445) @[el2_lsu_bus_buffer.scala 574:126] - buf_numvld_cmd_any <= _T_4457 @[el2_lsu_bus_buffer.scala 574:22] - node _T_4458 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] - node _T_4459 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] - node _T_4460 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] - node _T_4461 = and(_T_4459, _T_4460) @[el2_lsu_bus_buffer.scala 575:100] - node _T_4462 = or(_T_4458, _T_4461) @[el2_lsu_bus_buffer.scala 575:74] - node _T_4463 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] - node _T_4464 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] - node _T_4465 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] - node _T_4466 = and(_T_4464, _T_4465) @[el2_lsu_bus_buffer.scala 575:100] - node _T_4467 = or(_T_4463, _T_4466) @[el2_lsu_bus_buffer.scala 575:74] - node _T_4468 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] - node _T_4469 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] - node _T_4470 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] - node _T_4471 = and(_T_4469, _T_4470) @[el2_lsu_bus_buffer.scala 575:100] - node _T_4472 = or(_T_4468, _T_4471) @[el2_lsu_bus_buffer.scala 575:74] - node _T_4473 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] - node _T_4474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] - node _T_4475 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] - node _T_4476 = and(_T_4474, _T_4475) @[el2_lsu_bus_buffer.scala 575:100] - node _T_4477 = or(_T_4473, _T_4476) @[el2_lsu_bus_buffer.scala 575:74] - node _T_4478 = add(_T_4477, _T_4472) @[el2_lsu_bus_buffer.scala 575:154] - node _T_4479 = add(_T_4478, _T_4467) @[el2_lsu_bus_buffer.scala 575:154] - node _T_4480 = add(_T_4479, _T_4462) @[el2_lsu_bus_buffer.scala 575:154] - buf_numvld_pend_any <= _T_4480 @[el2_lsu_bus_buffer.scala 575:23] - node _T_4481 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] - node _T_4482 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] - node _T_4483 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] - node _T_4484 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] - node _T_4485 = or(_T_4484, _T_4483) @[el2_lsu_bus_buffer.scala 576:93] - node _T_4486 = or(_T_4485, _T_4482) @[el2_lsu_bus_buffer.scala 576:93] - node _T_4487 = or(_T_4486, _T_4481) @[el2_lsu_bus_buffer.scala 576:93] - any_done_wait_state <= _T_4487 @[el2_lsu_bus_buffer.scala 576:23] - node _T_4488 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 577:53] - io.lsu_bus_buffer_pend_any <= _T_4488 @[el2_lsu_bus_buffer.scala 577:30] - node _T_4489 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 578:52] - node _T_4490 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 578:92] - node _T_4491 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 578:119] - node _T_4492 = mux(_T_4489, _T_4490, _T_4491) @[el2_lsu_bus_buffer.scala 578:36] - io.lsu_bus_buffer_full_any <= _T_4492 @[el2_lsu_bus_buffer.scala 578:30] - node _T_4493 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 579:52] - node _T_4494 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 579:52] - node _T_4495 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 579:52] - node _T_4496 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 579:52] - node _T_4497 = or(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 579:65] - node _T_4498 = or(_T_4497, _T_4495) @[el2_lsu_bus_buffer.scala 579:65] - node _T_4499 = or(_T_4498, _T_4496) @[el2_lsu_bus_buffer.scala 579:65] - node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:34] - node _T_4501 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:72] - node _T_4502 = and(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 579:70] - node _T_4503 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:86] - node _T_4504 = and(_T_4502, _T_4503) @[el2_lsu_bus_buffer.scala 579:84] - io.lsu_bus_buffer_empty_any <= _T_4504 @[el2_lsu_bus_buffer.scala 579:31] - node _T_4505 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 581:51] - node _T_4506 = and(_T_4505, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 581:72] - node _T_4507 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:94] - node _T_4508 = and(_T_4506, _T_4507) @[el2_lsu_bus_buffer.scala 581:92] - node _T_4509 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:111] - node _T_4510 = and(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 581:109] - io.lsu_nonblock_load_valid_m <= _T_4510 @[el2_lsu_bus_buffer.scala 581:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 582:30] + io.data_en <= _T_4413 @[el2_lsu_bus_buffer.scala 571:14] + node _T_4414 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4415 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4416 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4417 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4418 = add(_T_4417, _T_4416) @[el2_lsu_bus_buffer.scala 573:96] + node _T_4419 = add(_T_4418, _T_4415) @[el2_lsu_bus_buffer.scala 573:96] + node buf_numvld_any = add(_T_4419, _T_4414) @[el2_lsu_bus_buffer.scala 573:96] + node _T_4420 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 574:60] + node _T_4421 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] + node _T_4422 = and(_T_4420, _T_4421) @[el2_lsu_bus_buffer.scala 574:64] + node _T_4423 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] + node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 574:89] + node _T_4425 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 574:60] + node _T_4426 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] + node _T_4427 = and(_T_4425, _T_4426) @[el2_lsu_bus_buffer.scala 574:64] + node _T_4428 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] + node _T_4429 = and(_T_4427, _T_4428) @[el2_lsu_bus_buffer.scala 574:89] + node _T_4430 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 574:60] + node _T_4431 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] + node _T_4432 = and(_T_4430, _T_4431) @[el2_lsu_bus_buffer.scala 574:64] + node _T_4433 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] + node _T_4434 = and(_T_4432, _T_4433) @[el2_lsu_bus_buffer.scala 574:89] + node _T_4435 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 574:60] + node _T_4436 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] + node _T_4437 = and(_T_4435, _T_4436) @[el2_lsu_bus_buffer.scala 574:64] + node _T_4438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] + node _T_4439 = and(_T_4437, _T_4438) @[el2_lsu_bus_buffer.scala 574:89] + node _T_4440 = add(_T_4439, _T_4434) @[el2_lsu_bus_buffer.scala 574:142] + node _T_4441 = add(_T_4440, _T_4429) @[el2_lsu_bus_buffer.scala 574:142] + node _T_4442 = add(_T_4441, _T_4424) @[el2_lsu_bus_buffer.scala 574:142] + buf_numvld_wrcmd_any <= _T_4442 @[el2_lsu_bus_buffer.scala 574:24] + node _T_4443 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4444 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] + node _T_4445 = and(_T_4443, _T_4444) @[el2_lsu_bus_buffer.scala 575:73] + node _T_4446 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] + node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 575:73] + node _T_4449 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4450 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] + node _T_4451 = and(_T_4449, _T_4450) @[el2_lsu_bus_buffer.scala 575:73] + node _T_4452 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4453 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] + node _T_4454 = and(_T_4452, _T_4453) @[el2_lsu_bus_buffer.scala 575:73] + node _T_4455 = add(_T_4454, _T_4451) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4456 = add(_T_4455, _T_4448) @[el2_lsu_bus_buffer.scala 575:126] + node _T_4457 = add(_T_4456, _T_4445) @[el2_lsu_bus_buffer.scala 575:126] + buf_numvld_cmd_any <= _T_4457 @[el2_lsu_bus_buffer.scala 575:22] + node _T_4458 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] + node _T_4459 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] + node _T_4460 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] + node _T_4461 = and(_T_4459, _T_4460) @[el2_lsu_bus_buffer.scala 576:100] + node _T_4462 = or(_T_4458, _T_4461) @[el2_lsu_bus_buffer.scala 576:74] + node _T_4463 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] + node _T_4464 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] + node _T_4465 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] + node _T_4466 = and(_T_4464, _T_4465) @[el2_lsu_bus_buffer.scala 576:100] + node _T_4467 = or(_T_4463, _T_4466) @[el2_lsu_bus_buffer.scala 576:74] + node _T_4468 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] + node _T_4469 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] + node _T_4470 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] + node _T_4471 = and(_T_4469, _T_4470) @[el2_lsu_bus_buffer.scala 576:100] + node _T_4472 = or(_T_4468, _T_4471) @[el2_lsu_bus_buffer.scala 576:74] + node _T_4473 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] + node _T_4474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] + node _T_4475 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] + node _T_4476 = and(_T_4474, _T_4475) @[el2_lsu_bus_buffer.scala 576:100] + node _T_4477 = or(_T_4473, _T_4476) @[el2_lsu_bus_buffer.scala 576:74] + node _T_4478 = add(_T_4477, _T_4472) @[el2_lsu_bus_buffer.scala 576:154] + node _T_4479 = add(_T_4478, _T_4467) @[el2_lsu_bus_buffer.scala 576:154] + node _T_4480 = add(_T_4479, _T_4462) @[el2_lsu_bus_buffer.scala 576:154] + buf_numvld_pend_any <= _T_4480 @[el2_lsu_bus_buffer.scala 576:23] + node _T_4481 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] + node _T_4482 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] + node _T_4483 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] + node _T_4484 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] + node _T_4485 = or(_T_4484, _T_4483) @[el2_lsu_bus_buffer.scala 577:93] + node _T_4486 = or(_T_4485, _T_4482) @[el2_lsu_bus_buffer.scala 577:93] + node _T_4487 = or(_T_4486, _T_4481) @[el2_lsu_bus_buffer.scala 577:93] + any_done_wait_state <= _T_4487 @[el2_lsu_bus_buffer.scala 577:23] + node _T_4488 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 578:53] + io.lsu_bus_buffer_pend_any <= _T_4488 @[el2_lsu_bus_buffer.scala 578:30] + node _T_4489 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4490 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 579:92] + node _T_4491 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 579:119] + node _T_4492 = mux(_T_4489, _T_4490, _T_4491) @[el2_lsu_bus_buffer.scala 579:36] + io.lsu_bus_buffer_full_any <= _T_4492 @[el2_lsu_bus_buffer.scala 579:30] + node _T_4493 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 580:52] + node _T_4494 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 580:52] + node _T_4495 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 580:52] + node _T_4496 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 580:52] + node _T_4497 = or(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 580:65] + node _T_4498 = or(_T_4497, _T_4495) @[el2_lsu_bus_buffer.scala 580:65] + node _T_4499 = or(_T_4498, _T_4496) @[el2_lsu_bus_buffer.scala 580:65] + node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:34] + node _T_4501 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:72] + node _T_4502 = and(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 580:70] + node _T_4503 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:86] + node _T_4504 = and(_T_4502, _T_4503) @[el2_lsu_bus_buffer.scala 580:84] + io.lsu_bus_buffer_empty_any <= _T_4504 @[el2_lsu_bus_buffer.scala 580:31] + node _T_4505 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 582:51] + node _T_4506 = and(_T_4505, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 582:72] + node _T_4507 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:94] + node _T_4508 = and(_T_4506, _T_4507) @[el2_lsu_bus_buffer.scala 582:92] + node _T_4509 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:111] + node _T_4510 = and(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 582:109] + io.lsu_nonblock_load_valid_m <= _T_4510 @[el2_lsu_bus_buffer.scala 582:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 583:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4511 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:61] - node _T_4512 = and(lsu_nonblock_load_valid_r, _T_4511) @[el2_lsu_bus_buffer.scala 584:59] - io.lsu_nonblock_load_inv_r <= _T_4512 @[el2_lsu_bus_buffer.scala 584:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 585:34] - node _T_4513 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4514 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 586:127] - node _T_4515 = and(UInt<1>("h01"), _T_4514) @[el2_lsu_bus_buffer.scala 586:116] - node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] - node _T_4517 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4518 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 586:127] - node _T_4519 = and(UInt<1>("h01"), _T_4518) @[el2_lsu_bus_buffer.scala 586:116] - node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] - node _T_4521 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4522 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 586:127] - node _T_4523 = and(UInt<1>("h01"), _T_4522) @[el2_lsu_bus_buffer.scala 586:116] - node _T_4524 = eq(_T_4523, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] - node _T_4525 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4526 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 586:127] - node _T_4527 = and(UInt<1>("h01"), _T_4526) @[el2_lsu_bus_buffer.scala 586:116] - node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] + node _T_4511 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:61] + node _T_4512 = and(lsu_nonblock_load_valid_r, _T_4511) @[el2_lsu_bus_buffer.scala 585:59] + io.lsu_nonblock_load_inv_r <= _T_4512 @[el2_lsu_bus_buffer.scala 585:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 586:34] + node _T_4513 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4514 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:127] + node _T_4515 = and(UInt<1>("h01"), _T_4514) @[el2_lsu_bus_buffer.scala 587:116] + node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] + node _T_4517 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4518 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:127] + node _T_4519 = and(UInt<1>("h01"), _T_4518) @[el2_lsu_bus_buffer.scala 587:116] + node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] + node _T_4521 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4522 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:127] + node _T_4523 = and(UInt<1>("h01"), _T_4522) @[el2_lsu_bus_buffer.scala 587:116] + node _T_4524 = eq(_T_4523, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] + node _T_4525 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4526 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:127] + node _T_4527 = and(UInt<1>("h01"), _T_4526) @[el2_lsu_bus_buffer.scala 587:116] + node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] node _T_4529 = mux(_T_4513, _T_4516, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4530 = mux(_T_4517, _T_4520, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4531 = mux(_T_4521, _T_4524, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5983,26 +5983,26 @@ circuit el2_lsu_bus_buffer : node _T_4535 = or(_T_4534, _T_4532) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4535 @[Mux.scala 27:72] - node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] - node _T_4537 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 587:104] - node _T_4538 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:120] - node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] - node _T_4540 = and(_T_4537, _T_4539) @[el2_lsu_bus_buffer.scala 587:108] - node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] - node _T_4542 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 587:104] - node _T_4543 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:120] - node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] - node _T_4545 = and(_T_4542, _T_4544) @[el2_lsu_bus_buffer.scala 587:108] - node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] - node _T_4547 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 587:104] - node _T_4548 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:120] - node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] - node _T_4550 = and(_T_4547, _T_4549) @[el2_lsu_bus_buffer.scala 587:108] - node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] - node _T_4552 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 587:104] - node _T_4553 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:120] - node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] - node _T_4555 = and(_T_4552, _T_4554) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] + node _T_4537 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 588:104] + node _T_4538 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:120] + node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] + node _T_4540 = and(_T_4537, _T_4539) @[el2_lsu_bus_buffer.scala 588:108] + node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] + node _T_4542 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 588:104] + node _T_4543 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:120] + node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] + node _T_4545 = and(_T_4542, _T_4544) @[el2_lsu_bus_buffer.scala 588:108] + node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] + node _T_4547 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 588:104] + node _T_4548 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:120] + node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] + node _T_4550 = and(_T_4547, _T_4549) @[el2_lsu_bus_buffer.scala 588:108] + node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] + node _T_4552 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 588:104] + node _T_4553 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:120] + node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] + node _T_4555 = and(_T_4552, _T_4554) @[el2_lsu_bus_buffer.scala 588:108] node _T_4556 = mux(_T_4536, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4557 = mux(_T_4541, _T_4545, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4558 = mux(_T_4546, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6012,39 +6012,39 @@ circuit el2_lsu_bus_buffer : node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] wire _T_4563 : UInt<1> @[Mux.scala 27:72] _T_4563 <= _T_4562 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4563 @[el2_lsu_bus_buffer.scala 587:35] - node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] - node _T_4565 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:102] - node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] - node _T_4567 = and(_T_4564, _T_4566) @[el2_lsu_bus_buffer.scala 588:90] - node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] - node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] - node _T_4570 = or(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 588:122] - node _T_4571 = and(_T_4567, _T_4570) @[el2_lsu_bus_buffer.scala 588:106] - node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] - node _T_4573 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:102] - node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] - node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 588:90] - node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] - node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] - node _T_4578 = or(_T_4576, _T_4577) @[el2_lsu_bus_buffer.scala 588:122] - node _T_4579 = and(_T_4575, _T_4578) @[el2_lsu_bus_buffer.scala 588:106] - node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] - node _T_4581 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:102] - node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] - node _T_4583 = and(_T_4580, _T_4582) @[el2_lsu_bus_buffer.scala 588:90] - node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] - node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] - node _T_4586 = or(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 588:122] - node _T_4587 = and(_T_4583, _T_4586) @[el2_lsu_bus_buffer.scala 588:106] - node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] - node _T_4589 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:102] - node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] - node _T_4591 = and(_T_4588, _T_4590) @[el2_lsu_bus_buffer.scala 588:90] - node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] - node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] - node _T_4594 = or(_T_4592, _T_4593) @[el2_lsu_bus_buffer.scala 588:122] - node _T_4595 = and(_T_4591, _T_4594) @[el2_lsu_bus_buffer.scala 588:106] + io.lsu_nonblock_load_data_error <= _T_4563 @[el2_lsu_bus_buffer.scala 588:35] + node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] + node _T_4565 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:102] + node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] + node _T_4567 = and(_T_4564, _T_4566) @[el2_lsu_bus_buffer.scala 589:90] + node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] + node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] + node _T_4570 = or(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 589:122] + node _T_4571 = and(_T_4567, _T_4570) @[el2_lsu_bus_buffer.scala 589:106] + node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] + node _T_4573 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:102] + node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] + node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 589:90] + node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] + node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] + node _T_4578 = or(_T_4576, _T_4577) @[el2_lsu_bus_buffer.scala 589:122] + node _T_4579 = and(_T_4575, _T_4578) @[el2_lsu_bus_buffer.scala 589:106] + node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] + node _T_4581 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:102] + node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] + node _T_4583 = and(_T_4580, _T_4582) @[el2_lsu_bus_buffer.scala 589:90] + node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] + node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] + node _T_4586 = or(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 589:122] + node _T_4587 = and(_T_4583, _T_4586) @[el2_lsu_bus_buffer.scala 589:106] + node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] + node _T_4589 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:102] + node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] + node _T_4591 = and(_T_4588, _T_4590) @[el2_lsu_bus_buffer.scala 589:90] + node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] + node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] + node _T_4594 = or(_T_4592, _T_4593) @[el2_lsu_bus_buffer.scala 589:122] + node _T_4595 = and(_T_4591, _T_4594) @[el2_lsu_bus_buffer.scala 589:106] node _T_4596 = mux(_T_4571, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4597 = mux(_T_4579, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4598 = mux(_T_4587, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -6054,39 +6054,39 @@ circuit el2_lsu_bus_buffer : node _T_4602 = or(_T_4601, _T_4599) @[Mux.scala 27:72] wire _T_4603 : UInt<2> @[Mux.scala 27:72] _T_4603 <= _T_4602 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4603 @[el2_lsu_bus_buffer.scala 588:33] - node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4605 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:101] - node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] - node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 589:89] - node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] - node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] - node _T_4610 = or(_T_4608, _T_4609) @[el2_lsu_bus_buffer.scala 589:121] - node _T_4611 = and(_T_4607, _T_4610) @[el2_lsu_bus_buffer.scala 589:105] - node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4613 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:101] - node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] - node _T_4615 = and(_T_4612, _T_4614) @[el2_lsu_bus_buffer.scala 589:89] - node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] - node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] - node _T_4618 = or(_T_4616, _T_4617) @[el2_lsu_bus_buffer.scala 589:121] - node _T_4619 = and(_T_4615, _T_4618) @[el2_lsu_bus_buffer.scala 589:105] - node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4621 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:101] - node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] - node _T_4623 = and(_T_4620, _T_4622) @[el2_lsu_bus_buffer.scala 589:89] - node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] - node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] - node _T_4626 = or(_T_4624, _T_4625) @[el2_lsu_bus_buffer.scala 589:121] - node _T_4627 = and(_T_4623, _T_4626) @[el2_lsu_bus_buffer.scala 589:105] - node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4629 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:101] - node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] - node _T_4631 = and(_T_4628, _T_4630) @[el2_lsu_bus_buffer.scala 589:89] - node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] - node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] - node _T_4634 = or(_T_4632, _T_4633) @[el2_lsu_bus_buffer.scala 589:121] - node _T_4635 = and(_T_4631, _T_4634) @[el2_lsu_bus_buffer.scala 589:105] + io.lsu_nonblock_load_data_tag <= _T_4603 @[el2_lsu_bus_buffer.scala 589:33] + node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4605 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] + node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] + node _T_4610 = or(_T_4608, _T_4609) @[el2_lsu_bus_buffer.scala 590:121] + node _T_4611 = and(_T_4607, _T_4610) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4613 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4615 = and(_T_4612, _T_4614) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] + node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] + node _T_4618 = or(_T_4616, _T_4617) @[el2_lsu_bus_buffer.scala 590:121] + node _T_4619 = and(_T_4615, _T_4618) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4621 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4623 = and(_T_4620, _T_4622) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] + node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] + node _T_4626 = or(_T_4624, _T_4625) @[el2_lsu_bus_buffer.scala 590:121] + node _T_4627 = and(_T_4623, _T_4626) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4629 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4631 = and(_T_4628, _T_4630) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] + node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] + node _T_4634 = or(_T_4632, _T_4633) @[el2_lsu_bus_buffer.scala 590:121] + node _T_4635 = and(_T_4631, _T_4634) @[el2_lsu_bus_buffer.scala 590:105] node _T_4636 = mux(_T_4611, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4637 = mux(_T_4619, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4638 = mux(_T_4627, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6096,30 +6096,30 @@ circuit el2_lsu_bus_buffer : node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4642 @[Mux.scala 27:72] - node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] - node _T_4644 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 590:101] - node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] - node _T_4646 = and(_T_4643, _T_4645) @[el2_lsu_bus_buffer.scala 590:89] - node _T_4647 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 590:120] - node _T_4648 = and(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 590:105] - node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] - node _T_4650 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 590:101] - node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] - node _T_4652 = and(_T_4649, _T_4651) @[el2_lsu_bus_buffer.scala 590:89] - node _T_4653 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 590:120] - node _T_4654 = and(_T_4652, _T_4653) @[el2_lsu_bus_buffer.scala 590:105] - node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] - node _T_4656 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 590:101] - node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] - node _T_4658 = and(_T_4655, _T_4657) @[el2_lsu_bus_buffer.scala 590:89] - node _T_4659 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 590:120] - node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 590:105] - node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] - node _T_4662 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 590:101] - node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] - node _T_4664 = and(_T_4661, _T_4663) @[el2_lsu_bus_buffer.scala 590:89] - node _T_4665 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 590:120] - node _T_4666 = and(_T_4664, _T_4665) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] + node _T_4644 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 591:101] + node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] + node _T_4646 = and(_T_4643, _T_4645) @[el2_lsu_bus_buffer.scala 591:89] + node _T_4647 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 591:120] + node _T_4648 = and(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 591:105] + node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] + node _T_4650 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 591:101] + node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] + node _T_4652 = and(_T_4649, _T_4651) @[el2_lsu_bus_buffer.scala 591:89] + node _T_4653 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 591:120] + node _T_4654 = and(_T_4652, _T_4653) @[el2_lsu_bus_buffer.scala 591:105] + node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] + node _T_4656 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 591:101] + node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] + node _T_4658 = and(_T_4655, _T_4657) @[el2_lsu_bus_buffer.scala 591:89] + node _T_4659 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 591:120] + node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 591:105] + node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] + node _T_4662 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 591:101] + node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] + node _T_4664 = and(_T_4661, _T_4663) @[el2_lsu_bus_buffer.scala 591:89] + node _T_4665 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 591:120] + node _T_4666 = and(_T_4664, _T_4665) @[el2_lsu_bus_buffer.scala 591:105] node _T_4667 = mux(_T_4648, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4668 = mux(_T_4654, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4669 = mux(_T_4660, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6142,7 +6142,7 @@ circuit el2_lsu_bus_buffer : node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] wire _T_4685 : UInt<32> @[Mux.scala 27:72] _T_4685 <= _T_4684 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[el2_lsu_bus_buffer.scala 591:83] + node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[el2_lsu_bus_buffer.scala 592:83] node _T_4686 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] node _T_4687 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] node _T_4688 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] @@ -6194,38 +6194,38 @@ circuit el2_lsu_bus_buffer : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4729 @[Mux.scala 27:72] node _T_4730 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4731 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 595:121] - node lsu_nonblock_data_unalgn = dshr(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 595:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 596:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 597:14] - node _T_4732 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:69] - node _T_4733 = and(lsu_nonblock_load_data_ready, _T_4732) @[el2_lsu_bus_buffer.scala 598:67] - io.lsu_nonblock_load_data_valid <= _T_4733 @[el2_lsu_bus_buffer.scala 598:35] - node _T_4734 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:81] - node _T_4735 = and(lsu_nonblock_unsign, _T_4734) @[el2_lsu_bus_buffer.scala 599:63] - node _T_4736 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 599:131] + node _T_4731 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 596:121] + node lsu_nonblock_data_unalgn = dshr(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 596:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 597:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 598:14] + node _T_4732 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:69] + node _T_4733 = and(lsu_nonblock_load_data_ready, _T_4732) @[el2_lsu_bus_buffer.scala 599:67] + io.lsu_nonblock_load_data_valid <= _T_4733 @[el2_lsu_bus_buffer.scala 599:35] + node _T_4734 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:81] + node _T_4735 = and(lsu_nonblock_unsign, _T_4734) @[el2_lsu_bus_buffer.scala 600:63] + node _T_4736 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 600:131] node _T_4737 = cat(UInt<24>("h00"), _T_4736) @[Cat.scala 29:58] - node _T_4738 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 600:45] - node _T_4739 = and(lsu_nonblock_unsign, _T_4738) @[el2_lsu_bus_buffer.scala 600:26] - node _T_4740 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 600:95] + node _T_4738 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 601:45] + node _T_4739 = and(lsu_nonblock_unsign, _T_4738) @[el2_lsu_bus_buffer.scala 601:26] + node _T_4740 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 601:95] node _T_4741 = cat(UInt<16>("h00"), _T_4740) @[Cat.scala 29:58] - node _T_4742 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:6] - node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:45] - node _T_4744 = and(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 601:27] - node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 601:93] + node _T_4742 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:6] + node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:45] + node _T_4744 = and(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 602:27] + node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 602:93] node _T_4746 = bits(_T_4745, 0, 0) @[Bitwise.scala 72:15] node _T_4747 = mux(_T_4746, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4748 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 601:123] + node _T_4748 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 602:123] node _T_4749 = cat(_T_4747, _T_4748) @[Cat.scala 29:58] - node _T_4750 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:6] - node _T_4751 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 602:45] - node _T_4752 = and(_T_4750, _T_4751) @[el2_lsu_bus_buffer.scala 602:27] - node _T_4753 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 602:93] + node _T_4750 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:6] + node _T_4751 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 603:45] + node _T_4752 = and(_T_4750, _T_4751) @[el2_lsu_bus_buffer.scala 603:27] + node _T_4753 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 603:93] node _T_4754 = bits(_T_4753, 0, 0) @[Bitwise.scala 72:15] node _T_4755 = mux(_T_4754, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4756 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 602:124] + node _T_4756 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 603:124] node _T_4757 = cat(_T_4755, _T_4756) @[Cat.scala 29:58] - node _T_4758 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 603:21] + node _T_4758 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 604:21] node _T_4759 = mux(_T_4735, _T_4737, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4760 = mux(_T_4739, _T_4741, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4761 = mux(_T_4744, _T_4749, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6237,75 +6237,75 @@ circuit el2_lsu_bus_buffer : node _T_4767 = or(_T_4766, _T_4763) @[Mux.scala 27:72] wire _T_4768 : UInt<64> @[Mux.scala 27:72] _T_4768 <= _T_4767 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4768 @[el2_lsu_bus_buffer.scala 599:29] - node _T_4769 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:62] - node _T_4770 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 604:89] - node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 604:73] - node _T_4772 = and(_T_4771, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 604:93] - node _T_4773 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:62] - node _T_4774 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 604:89] - node _T_4775 = and(_T_4773, _T_4774) @[el2_lsu_bus_buffer.scala 604:73] - node _T_4776 = and(_T_4775, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 604:93] - node _T_4777 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:62] - node _T_4778 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 604:89] - node _T_4779 = and(_T_4777, _T_4778) @[el2_lsu_bus_buffer.scala 604:73] - node _T_4780 = and(_T_4779, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 604:93] - node _T_4781 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:62] - node _T_4782 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 604:89] - node _T_4783 = and(_T_4781, _T_4782) @[el2_lsu_bus_buffer.scala 604:73] - node _T_4784 = and(_T_4783, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 604:93] - node _T_4785 = or(_T_4772, _T_4776) @[el2_lsu_bus_buffer.scala 604:141] - node _T_4786 = or(_T_4785, _T_4780) @[el2_lsu_bus_buffer.scala 604:141] - node _T_4787 = or(_T_4786, _T_4784) @[el2_lsu_bus_buffer.scala 604:141] - bus_sideeffect_pend <= _T_4787 @[el2_lsu_bus_buffer.scala 604:23] - node _T_4788 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:71] - node _T_4789 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 606:25] - node _T_4790 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:50] - node _T_4791 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 606:70] - node _T_4792 = eq(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 606:56] - node _T_4793 = and(_T_4789, _T_4792) @[el2_lsu_bus_buffer.scala 606:38] - node _T_4794 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:92] - node _T_4795 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:126] - node _T_4796 = and(obuf_merge, _T_4795) @[el2_lsu_bus_buffer.scala 606:114] - node _T_4797 = or(_T_4794, _T_4796) @[el2_lsu_bus_buffer.scala 606:100] - node _T_4798 = eq(_T_4797, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:80] - node _T_4799 = and(_T_4793, _T_4798) @[el2_lsu_bus_buffer.scala 606:78] - node _T_4800 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:71] - node _T_4801 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 606:25] - node _T_4802 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:50] - node _T_4803 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 606:70] - node _T_4804 = eq(_T_4802, _T_4803) @[el2_lsu_bus_buffer.scala 606:56] - node _T_4805 = and(_T_4801, _T_4804) @[el2_lsu_bus_buffer.scala 606:38] - node _T_4806 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 606:92] - node _T_4807 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 606:126] - node _T_4808 = and(obuf_merge, _T_4807) @[el2_lsu_bus_buffer.scala 606:114] - node _T_4809 = or(_T_4806, _T_4808) @[el2_lsu_bus_buffer.scala 606:100] - node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:80] - node _T_4811 = and(_T_4805, _T_4810) @[el2_lsu_bus_buffer.scala 606:78] - node _T_4812 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:71] - node _T_4813 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 606:25] - node _T_4814 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:50] - node _T_4815 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 606:70] - node _T_4816 = eq(_T_4814, _T_4815) @[el2_lsu_bus_buffer.scala 606:56] - node _T_4817 = and(_T_4813, _T_4816) @[el2_lsu_bus_buffer.scala 606:38] - node _T_4818 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 606:92] - node _T_4819 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 606:126] - node _T_4820 = and(obuf_merge, _T_4819) @[el2_lsu_bus_buffer.scala 606:114] - node _T_4821 = or(_T_4818, _T_4820) @[el2_lsu_bus_buffer.scala 606:100] - node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:80] - node _T_4823 = and(_T_4817, _T_4822) @[el2_lsu_bus_buffer.scala 606:78] - node _T_4824 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:71] - node _T_4825 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 606:25] - node _T_4826 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:50] - node _T_4827 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 606:70] - node _T_4828 = eq(_T_4826, _T_4827) @[el2_lsu_bus_buffer.scala 606:56] - node _T_4829 = and(_T_4825, _T_4828) @[el2_lsu_bus_buffer.scala 606:38] - node _T_4830 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 606:92] - node _T_4831 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 606:126] - node _T_4832 = and(obuf_merge, _T_4831) @[el2_lsu_bus_buffer.scala 606:114] - node _T_4833 = or(_T_4830, _T_4832) @[el2_lsu_bus_buffer.scala 606:100] - node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:80] - node _T_4835 = and(_T_4829, _T_4834) @[el2_lsu_bus_buffer.scala 606:78] + io.lsu_nonblock_load_data <= _T_4768 @[el2_lsu_bus_buffer.scala 600:29] + node _T_4769 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] + node _T_4770 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 605:89] + node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 605:73] + node _T_4772 = and(_T_4771, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] + node _T_4773 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] + node _T_4774 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 605:89] + node _T_4775 = and(_T_4773, _T_4774) @[el2_lsu_bus_buffer.scala 605:73] + node _T_4776 = and(_T_4775, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] + node _T_4777 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] + node _T_4778 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 605:89] + node _T_4779 = and(_T_4777, _T_4778) @[el2_lsu_bus_buffer.scala 605:73] + node _T_4780 = and(_T_4779, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] + node _T_4781 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] + node _T_4782 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 605:89] + node _T_4783 = and(_T_4781, _T_4782) @[el2_lsu_bus_buffer.scala 605:73] + node _T_4784 = and(_T_4783, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] + node _T_4785 = or(_T_4772, _T_4776) @[el2_lsu_bus_buffer.scala 605:141] + node _T_4786 = or(_T_4785, _T_4780) @[el2_lsu_bus_buffer.scala 605:141] + node _T_4787 = or(_T_4786, _T_4784) @[el2_lsu_bus_buffer.scala 605:141] + bus_sideeffect_pend <= _T_4787 @[el2_lsu_bus_buffer.scala 605:23] + node _T_4788 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] + node _T_4789 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] + node _T_4790 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] + node _T_4791 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] + node _T_4792 = eq(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 607:56] + node _T_4793 = and(_T_4789, _T_4792) @[el2_lsu_bus_buffer.scala 607:38] + node _T_4794 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:92] + node _T_4795 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:126] + node _T_4796 = and(obuf_merge, _T_4795) @[el2_lsu_bus_buffer.scala 607:114] + node _T_4797 = or(_T_4794, _T_4796) @[el2_lsu_bus_buffer.scala 607:100] + node _T_4798 = eq(_T_4797, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] + node _T_4799 = and(_T_4793, _T_4798) @[el2_lsu_bus_buffer.scala 607:78] + node _T_4800 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] + node _T_4801 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] + node _T_4802 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] + node _T_4803 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] + node _T_4804 = eq(_T_4802, _T_4803) @[el2_lsu_bus_buffer.scala 607:56] + node _T_4805 = and(_T_4801, _T_4804) @[el2_lsu_bus_buffer.scala 607:38] + node _T_4806 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 607:92] + node _T_4807 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 607:126] + node _T_4808 = and(obuf_merge, _T_4807) @[el2_lsu_bus_buffer.scala 607:114] + node _T_4809 = or(_T_4806, _T_4808) @[el2_lsu_bus_buffer.scala 607:100] + node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] + node _T_4811 = and(_T_4805, _T_4810) @[el2_lsu_bus_buffer.scala 607:78] + node _T_4812 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] + node _T_4813 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] + node _T_4814 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] + node _T_4815 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] + node _T_4816 = eq(_T_4814, _T_4815) @[el2_lsu_bus_buffer.scala 607:56] + node _T_4817 = and(_T_4813, _T_4816) @[el2_lsu_bus_buffer.scala 607:38] + node _T_4818 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 607:92] + node _T_4819 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 607:126] + node _T_4820 = and(obuf_merge, _T_4819) @[el2_lsu_bus_buffer.scala 607:114] + node _T_4821 = or(_T_4818, _T_4820) @[el2_lsu_bus_buffer.scala 607:100] + node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] + node _T_4823 = and(_T_4817, _T_4822) @[el2_lsu_bus_buffer.scala 607:78] + node _T_4824 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] + node _T_4825 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] + node _T_4826 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] + node _T_4827 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] + node _T_4828 = eq(_T_4826, _T_4827) @[el2_lsu_bus_buffer.scala 607:56] + node _T_4829 = and(_T_4825, _T_4828) @[el2_lsu_bus_buffer.scala 607:38] + node _T_4830 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 607:92] + node _T_4831 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 607:126] + node _T_4832 = and(obuf_merge, _T_4831) @[el2_lsu_bus_buffer.scala 607:114] + node _T_4833 = or(_T_4830, _T_4832) @[el2_lsu_bus_buffer.scala 607:100] + node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] + node _T_4835 = and(_T_4829, _T_4834) @[el2_lsu_bus_buffer.scala 607:78] node _T_4836 = mux(_T_4788, _T_4799, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4837 = mux(_T_4800, _T_4811, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4838 = mux(_T_4812, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6315,117 +6315,117 @@ circuit el2_lsu_bus_buffer : node _T_4842 = or(_T_4841, _T_4839) @[Mux.scala 27:72] wire _T_4843 : UInt<1> @[Mux.scala 27:72] _T_4843 <= _T_4842 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4843 @[el2_lsu_bus_buffer.scala 605:26] - node _T_4844 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 608:54] - node _T_4845 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 608:75] - node _T_4846 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 608:150] - node _T_4847 = mux(_T_4844, _T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 608:39] - node _T_4848 = mux(obuf_write, _T_4847, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 608:23] - bus_cmd_ready <= _T_4848 @[el2_lsu_bus_buffer.scala 608:17] - node _T_4849 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 609:39] - bus_wcmd_sent <= _T_4849 @[el2_lsu_bus_buffer.scala 609:17] - node _T_4850 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 610:39] - bus_wdata_sent <= _T_4850 @[el2_lsu_bus_buffer.scala 610:18] - node _T_4851 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 611:35] - node _T_4852 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 611:70] - node _T_4853 = and(_T_4851, _T_4852) @[el2_lsu_bus_buffer.scala 611:52] - node _T_4854 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 611:111] - node _T_4855 = or(_T_4853, _T_4854) @[el2_lsu_bus_buffer.scala 611:89] - bus_cmd_sent <= _T_4855 @[el2_lsu_bus_buffer.scala 611:16] - node _T_4856 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 612:37] - bus_rsp_read <= _T_4856 @[el2_lsu_bus_buffer.scala 612:16] - node _T_4857 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 613:38] - bus_rsp_write <= _T_4857 @[el2_lsu_bus_buffer.scala 613:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 614:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 615:21] - node _T_4858 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:60] - node _T_4859 = and(bus_rsp_write, _T_4858) @[el2_lsu_bus_buffer.scala 616:40] - bus_rsp_write_error <= _T_4859 @[el2_lsu_bus_buffer.scala 616:23] - node _T_4860 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:58] - node _T_4861 = and(bus_rsp_read, _T_4860) @[el2_lsu_bus_buffer.scala 617:38] - bus_rsp_read_error <= _T_4861 @[el2_lsu_bus_buffer.scala 617:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 618:17] - node _T_4862 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 621:36] - node _T_4863 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 621:51] - node _T_4864 = and(_T_4862, _T_4863) @[el2_lsu_bus_buffer.scala 621:49] - node _T_4865 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 621:68] - node _T_4866 = and(_T_4864, _T_4865) @[el2_lsu_bus_buffer.scala 621:66] - io.lsu_axi_awvalid <= _T_4866 @[el2_lsu_bus_buffer.scala 621:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 622:19] - node _T_4867 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 623:69] + bus_addr_match_pending <= _T_4843 @[el2_lsu_bus_buffer.scala 606:26] + node _T_4844 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 609:54] + node _T_4845 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 609:75] + node _T_4846 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 609:150] + node _T_4847 = mux(_T_4844, _T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 609:39] + node _T_4848 = mux(obuf_write, _T_4847, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 609:23] + bus_cmd_ready <= _T_4848 @[el2_lsu_bus_buffer.scala 609:17] + node _T_4849 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 610:39] + bus_wcmd_sent <= _T_4849 @[el2_lsu_bus_buffer.scala 610:17] + node _T_4850 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 611:39] + bus_wdata_sent <= _T_4850 @[el2_lsu_bus_buffer.scala 611:18] + node _T_4851 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 612:35] + node _T_4852 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 612:70] + node _T_4853 = and(_T_4851, _T_4852) @[el2_lsu_bus_buffer.scala 612:52] + node _T_4854 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 612:111] + node _T_4855 = or(_T_4853, _T_4854) @[el2_lsu_bus_buffer.scala 612:89] + bus_cmd_sent <= _T_4855 @[el2_lsu_bus_buffer.scala 612:16] + node _T_4856 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 613:37] + bus_rsp_read <= _T_4856 @[el2_lsu_bus_buffer.scala 613:16] + node _T_4857 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 614:38] + bus_rsp_write <= _T_4857 @[el2_lsu_bus_buffer.scala 614:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 615:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 616:21] + node _T_4858 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:60] + node _T_4859 = and(bus_rsp_write, _T_4858) @[el2_lsu_bus_buffer.scala 617:40] + bus_rsp_write_error <= _T_4859 @[el2_lsu_bus_buffer.scala 617:23] + node _T_4860 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:58] + node _T_4861 = and(bus_rsp_read, _T_4860) @[el2_lsu_bus_buffer.scala 618:38] + bus_rsp_read_error <= _T_4861 @[el2_lsu_bus_buffer.scala 618:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 619:17] + node _T_4862 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 622:36] + node _T_4863 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 622:51] + node _T_4864 = and(_T_4862, _T_4863) @[el2_lsu_bus_buffer.scala 622:49] + node _T_4865 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 622:68] + node _T_4866 = and(_T_4864, _T_4865) @[el2_lsu_bus_buffer.scala 622:66] + io.lsu_axi_awvalid <= _T_4866 @[el2_lsu_bus_buffer.scala 622:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 623:19] + node _T_4867 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 624:69] node _T_4868 = cat(_T_4867, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4869 = mux(obuf_sideeffect, obuf_addr, _T_4868) @[el2_lsu_bus_buffer.scala 623:27] - io.lsu_axi_awaddr <= _T_4869 @[el2_lsu_bus_buffer.scala 623:21] + node _T_4869 = mux(obuf_sideeffect, obuf_addr, _T_4868) @[el2_lsu_bus_buffer.scala 624:27] + io.lsu_axi_awaddr <= _T_4869 @[el2_lsu_bus_buffer.scala 624:21] node _T_4870 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4871 = mux(obuf_sideeffect, _T_4870, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 624:27] - io.lsu_axi_awsize <= _T_4871 @[el2_lsu_bus_buffer.scala 624:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:21] - node _T_4872 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 626:28] - io.lsu_axi_awcache <= _T_4872 @[el2_lsu_bus_buffer.scala 626:22] - node _T_4873 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 627:35] - io.lsu_axi_awregion <= _T_4873 @[el2_lsu_bus_buffer.scala 627:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 628:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 629:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 631:21] - node _T_4874 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 633:35] - node _T_4875 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 633:50] - node _T_4876 = and(_T_4874, _T_4875) @[el2_lsu_bus_buffer.scala 633:48] - node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 633:68] - node _T_4878 = and(_T_4876, _T_4877) @[el2_lsu_bus_buffer.scala 633:66] - io.lsu_axi_wvalid <= _T_4878 @[el2_lsu_bus_buffer.scala 633:21] + node _T_4871 = mux(obuf_sideeffect, _T_4870, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 625:27] + io.lsu_axi_awsize <= _T_4871 @[el2_lsu_bus_buffer.scala 625:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 626:21] + node _T_4872 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 627:28] + io.lsu_axi_awcache <= _T_4872 @[el2_lsu_bus_buffer.scala 627:22] + node _T_4873 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 628:35] + io.lsu_axi_awregion <= _T_4873 @[el2_lsu_bus_buffer.scala 628:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 629:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 630:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 631:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 632:21] + node _T_4874 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 634:35] + node _T_4875 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:50] + node _T_4876 = and(_T_4874, _T_4875) @[el2_lsu_bus_buffer.scala 634:48] + node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:68] + node _T_4878 = and(_T_4876, _T_4877) @[el2_lsu_bus_buffer.scala 634:66] + io.lsu_axi_wvalid <= _T_4878 @[el2_lsu_bus_buffer.scala 634:21] node _T_4879 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4880 = mux(_T_4879, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4881 = and(obuf_byteen, _T_4880) @[el2_lsu_bus_buffer.scala 634:35] - io.lsu_axi_wstrb <= _T_4881 @[el2_lsu_bus_buffer.scala 634:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 635:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 636:20] - node _T_4882 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:38] - node _T_4883 = and(obuf_valid, _T_4882) @[el2_lsu_bus_buffer.scala 638:36] - node _T_4884 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:52] - node _T_4885 = and(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 638:50] - node _T_4886 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:67] - node _T_4887 = and(_T_4885, _T_4886) @[el2_lsu_bus_buffer.scala 638:65] - io.lsu_axi_arvalid <= _T_4887 @[el2_lsu_bus_buffer.scala 638:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 639:19] - node _T_4888 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 640:69] + node _T_4881 = and(obuf_byteen, _T_4880) @[el2_lsu_bus_buffer.scala 635:35] + io.lsu_axi_wstrb <= _T_4881 @[el2_lsu_bus_buffer.scala 635:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 636:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 637:20] + node _T_4882 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:38] + node _T_4883 = and(obuf_valid, _T_4882) @[el2_lsu_bus_buffer.scala 639:36] + node _T_4884 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:52] + node _T_4885 = and(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 639:50] + node _T_4886 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:67] + node _T_4887 = and(_T_4885, _T_4886) @[el2_lsu_bus_buffer.scala 639:65] + io.lsu_axi_arvalid <= _T_4887 @[el2_lsu_bus_buffer.scala 639:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 640:19] + node _T_4888 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 641:69] node _T_4889 = cat(_T_4888, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4890 = mux(obuf_sideeffect, obuf_addr, _T_4889) @[el2_lsu_bus_buffer.scala 640:27] - io.lsu_axi_araddr <= _T_4890 @[el2_lsu_bus_buffer.scala 640:21] + node _T_4890 = mux(obuf_sideeffect, obuf_addr, _T_4889) @[el2_lsu_bus_buffer.scala 641:27] + io.lsu_axi_araddr <= _T_4890 @[el2_lsu_bus_buffer.scala 641:21] node _T_4891 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4892 = mux(obuf_sideeffect, _T_4891, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 641:27] - io.lsu_axi_arsize <= _T_4892 @[el2_lsu_bus_buffer.scala 641:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 642:21] - node _T_4893 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 643:28] - io.lsu_axi_arcache <= _T_4893 @[el2_lsu_bus_buffer.scala 643:22] - node _T_4894 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 644:35] - io.lsu_axi_arregion <= _T_4894 @[el2_lsu_bus_buffer.scala 644:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 645:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 646:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 647:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 648:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 649:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 650:21] - node _T_4895 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:81] - node _T_4896 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 651:125] - node _T_4897 = and(io.lsu_bus_clk_en_q, _T_4896) @[el2_lsu_bus_buffer.scala 651:114] - node _T_4898 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 651:140] - node _T_4899 = and(_T_4897, _T_4898) @[el2_lsu_bus_buffer.scala 651:129] - node _T_4900 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:81] - node _T_4901 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 651:125] - node _T_4902 = and(io.lsu_bus_clk_en_q, _T_4901) @[el2_lsu_bus_buffer.scala 651:114] - node _T_4903 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 651:140] - node _T_4904 = and(_T_4902, _T_4903) @[el2_lsu_bus_buffer.scala 651:129] - node _T_4905 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:81] - node _T_4906 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 651:125] - node _T_4907 = and(io.lsu_bus_clk_en_q, _T_4906) @[el2_lsu_bus_buffer.scala 651:114] - node _T_4908 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 651:140] - node _T_4909 = and(_T_4907, _T_4908) @[el2_lsu_bus_buffer.scala 651:129] - node _T_4910 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:81] - node _T_4911 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 651:125] - node _T_4912 = and(io.lsu_bus_clk_en_q, _T_4911) @[el2_lsu_bus_buffer.scala 651:114] - node _T_4913 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 651:140] - node _T_4914 = and(_T_4912, _T_4913) @[el2_lsu_bus_buffer.scala 651:129] + node _T_4892 = mux(obuf_sideeffect, _T_4891, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 642:27] + io.lsu_axi_arsize <= _T_4892 @[el2_lsu_bus_buffer.scala 642:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 643:21] + node _T_4893 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 644:28] + io.lsu_axi_arcache <= _T_4893 @[el2_lsu_bus_buffer.scala 644:22] + node _T_4894 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 645:35] + io.lsu_axi_arregion <= _T_4894 @[el2_lsu_bus_buffer.scala 645:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 646:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 647:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 648:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 649:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 650:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 651:21] + node _T_4895 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] + node _T_4896 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 652:125] + node _T_4897 = and(io.lsu_bus_clk_en_q, _T_4896) @[el2_lsu_bus_buffer.scala 652:114] + node _T_4898 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 652:140] + node _T_4899 = and(_T_4897, _T_4898) @[el2_lsu_bus_buffer.scala 652:129] + node _T_4900 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] + node _T_4901 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 652:125] + node _T_4902 = and(io.lsu_bus_clk_en_q, _T_4901) @[el2_lsu_bus_buffer.scala 652:114] + node _T_4903 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 652:140] + node _T_4904 = and(_T_4902, _T_4903) @[el2_lsu_bus_buffer.scala 652:129] + node _T_4905 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] + node _T_4906 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 652:125] + node _T_4907 = and(io.lsu_bus_clk_en_q, _T_4906) @[el2_lsu_bus_buffer.scala 652:114] + node _T_4908 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 652:140] + node _T_4909 = and(_T_4907, _T_4908) @[el2_lsu_bus_buffer.scala 652:129] + node _T_4910 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] + node _T_4911 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 652:125] + node _T_4912 = and(io.lsu_bus_clk_en_q, _T_4911) @[el2_lsu_bus_buffer.scala 652:114] + node _T_4913 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 652:140] + node _T_4914 = and(_T_4912, _T_4913) @[el2_lsu_bus_buffer.scala 652:129] node _T_4915 = mux(_T_4895, _T_4899, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4916 = mux(_T_4900, _T_4904, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4917 = mux(_T_4905, _T_4909, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6435,25 +6435,25 @@ circuit el2_lsu_bus_buffer : node _T_4921 = or(_T_4920, _T_4918) @[Mux.scala 27:72] wire _T_4922 : UInt<1> @[Mux.scala 27:72] _T_4922 <= _T_4921 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4922 @[el2_lsu_bus_buffer.scala 651:36] - node _T_4923 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:87] - node _T_4924 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 652:109] - node _T_4925 = and(_T_4923, _T_4924) @[el2_lsu_bus_buffer.scala 652:98] - node _T_4926 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 652:124] - node _T_4927 = and(_T_4925, _T_4926) @[el2_lsu_bus_buffer.scala 652:113] - node _T_4928 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:87] - node _T_4929 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 652:109] - node _T_4930 = and(_T_4928, _T_4929) @[el2_lsu_bus_buffer.scala 652:98] - node _T_4931 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 652:124] - node _T_4932 = and(_T_4930, _T_4931) @[el2_lsu_bus_buffer.scala 652:113] + io.lsu_imprecise_error_store_any <= _T_4922 @[el2_lsu_bus_buffer.scala 652:36] + node _T_4923 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:87] + node _T_4924 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 653:109] + node _T_4925 = and(_T_4923, _T_4924) @[el2_lsu_bus_buffer.scala 653:98] + node _T_4926 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 653:124] + node _T_4927 = and(_T_4925, _T_4926) @[el2_lsu_bus_buffer.scala 653:113] + node _T_4928 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:87] + node _T_4929 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 653:109] + node _T_4930 = and(_T_4928, _T_4929) @[el2_lsu_bus_buffer.scala 653:98] + node _T_4931 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 653:124] + node _T_4932 = and(_T_4930, _T_4931) @[el2_lsu_bus_buffer.scala 653:113] node _T_4933 = mux(_T_4927, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4934 = mux(_T_4932, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4935 = or(_T_4933, _T_4934) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4935 @[Mux.scala 27:72] - node _T_4936 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 654:72] - node _T_4937 = and(io.lsu_nonblock_load_data_error, _T_4936) @[el2_lsu_bus_buffer.scala 654:70] - io.lsu_imprecise_error_load_any <= _T_4937 @[el2_lsu_bus_buffer.scala 654:35] + node _T_4936 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 655:72] + node _T_4937 = and(io.lsu_nonblock_load_data_error, _T_4936) @[el2_lsu_bus_buffer.scala 655:70] + io.lsu_imprecise_error_load_any <= _T_4937 @[el2_lsu_bus_buffer.scala 655:35] node _T_4938 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] node _T_4939 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] node _T_4940 = mux(_T_4938, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6474,44 +6474,44 @@ circuit el2_lsu_bus_buffer : node _T_4954 = or(_T_4953, _T_4951) @[Mux.scala 27:72] wire _T_4955 : UInt<32> @[Mux.scala 27:72] _T_4955 <= _T_4954 @[Mux.scala 27:72] - node _T_4956 = mux(io.lsu_imprecise_error_store_any, _T_4943, _T_4955) @[el2_lsu_bus_buffer.scala 655:41] - io.lsu_imprecise_error_addr_any <= _T_4956 @[el2_lsu_bus_buffer.scala 655:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 656:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 658:23] - node _T_4957 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 661:46] - node _T_4958 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 661:89] - node _T_4959 = or(_T_4957, _T_4958) @[el2_lsu_bus_buffer.scala 661:68] - node _T_4960 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 661:132] - node _T_4961 = or(_T_4959, _T_4960) @[el2_lsu_bus_buffer.scala 661:110] - io.lsu_pmu_bus_trxn <= _T_4961 @[el2_lsu_bus_buffer.scala 661:23] - node _T_4962 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 662:48] - node _T_4963 = and(_T_4962, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 662:65] - io.lsu_pmu_bus_misaligned <= _T_4963 @[el2_lsu_bus_buffer.scala 662:29] - node _T_4964 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 663:59] - io.lsu_pmu_bus_error <= _T_4964 @[el2_lsu_bus_buffer.scala 663:24] - node _T_4965 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 665:48] - node _T_4966 = and(io.lsu_axi_awvalid, _T_4965) @[el2_lsu_bus_buffer.scala 665:46] - node _T_4967 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 665:92] - node _T_4968 = and(io.lsu_axi_wvalid, _T_4967) @[el2_lsu_bus_buffer.scala 665:90] - node _T_4969 = or(_T_4966, _T_4968) @[el2_lsu_bus_buffer.scala 665:69] - node _T_4970 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 665:136] - node _T_4971 = and(io.lsu_axi_arvalid, _T_4970) @[el2_lsu_bus_buffer.scala 665:134] - node _T_4972 = or(_T_4969, _T_4971) @[el2_lsu_bus_buffer.scala 665:112] - io.lsu_pmu_bus_busy <= _T_4972 @[el2_lsu_bus_buffer.scala 665:23] - reg _T_4973 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 667:49] - _T_4973 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 667:49] - WrPtr0_r <= _T_4973 @[el2_lsu_bus_buffer.scala 667:12] - reg _T_4974 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 668:49] - _T_4974 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 668:49] - WrPtr1_r <= _T_4974 @[el2_lsu_bus_buffer.scala 668:12] - node _T_4975 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 669:75] - node _T_4976 = and(io.lsu_busreq_m, _T_4975) @[el2_lsu_bus_buffer.scala 669:73] - node _T_4977 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 669:89] - node _T_4978 = and(_T_4976, _T_4977) @[el2_lsu_bus_buffer.scala 669:87] - reg _T_4979 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:56] - _T_4979 <= _T_4978 @[el2_lsu_bus_buffer.scala 669:56] - io.lsu_busreq_r <= _T_4979 @[el2_lsu_bus_buffer.scala 669:19] - reg _T_4980 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 670:66] - _T_4980 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 670:66] - lsu_nonblock_load_valid_r <= _T_4980 @[el2_lsu_bus_buffer.scala 670:29] + node _T_4956 = mux(io.lsu_imprecise_error_store_any, _T_4943, _T_4955) @[el2_lsu_bus_buffer.scala 656:41] + io.lsu_imprecise_error_addr_any <= _T_4956 @[el2_lsu_bus_buffer.scala 656:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 657:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 659:23] + node _T_4957 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 662:46] + node _T_4958 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 662:89] + node _T_4959 = or(_T_4957, _T_4958) @[el2_lsu_bus_buffer.scala 662:68] + node _T_4960 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 662:132] + node _T_4961 = or(_T_4959, _T_4960) @[el2_lsu_bus_buffer.scala 662:110] + io.lsu_pmu_bus_trxn <= _T_4961 @[el2_lsu_bus_buffer.scala 662:23] + node _T_4962 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 663:48] + node _T_4963 = and(_T_4962, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 663:65] + io.lsu_pmu_bus_misaligned <= _T_4963 @[el2_lsu_bus_buffer.scala 663:29] + node _T_4964 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 664:59] + io.lsu_pmu_bus_error <= _T_4964 @[el2_lsu_bus_buffer.scala 664:24] + node _T_4965 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:48] + node _T_4966 = and(io.lsu_axi_awvalid, _T_4965) @[el2_lsu_bus_buffer.scala 666:46] + node _T_4967 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:92] + node _T_4968 = and(io.lsu_axi_wvalid, _T_4967) @[el2_lsu_bus_buffer.scala 666:90] + node _T_4969 = or(_T_4966, _T_4968) @[el2_lsu_bus_buffer.scala 666:69] + node _T_4970 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:136] + node _T_4971 = and(io.lsu_axi_arvalid, _T_4970) @[el2_lsu_bus_buffer.scala 666:134] + node _T_4972 = or(_T_4969, _T_4971) @[el2_lsu_bus_buffer.scala 666:112] + io.lsu_pmu_bus_busy <= _T_4972 @[el2_lsu_bus_buffer.scala 666:23] + reg _T_4973 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 668:49] + _T_4973 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 668:49] + WrPtr0_r <= _T_4973 @[el2_lsu_bus_buffer.scala 668:12] + reg _T_4974 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:49] + _T_4974 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 669:49] + WrPtr1_r <= _T_4974 @[el2_lsu_bus_buffer.scala 669:12] + node _T_4975 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 670:75] + node _T_4976 = and(io.lsu_busreq_m, _T_4975) @[el2_lsu_bus_buffer.scala 670:73] + node _T_4977 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 670:89] + node _T_4978 = and(_T_4976, _T_4977) @[el2_lsu_bus_buffer.scala 670:87] + reg _T_4979 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 670:56] + _T_4979 <= _T_4978 @[el2_lsu_bus_buffer.scala 670:56] + io.lsu_busreq_r <= _T_4979 @[el2_lsu_bus_buffer.scala 670:19] + reg _T_4980 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 671:66] + _T_4980 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 671:66] + lsu_nonblock_load_valid_r <= _T_4980 @[el2_lsu_bus_buffer.scala 671:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index ffbb6cb5..4163af5e 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -359,41 +359,37 @@ module el2_lsu_bus_buffer( wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 137:98] wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 137:113] wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] - reg [2:0] _T_4383; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 567:16] + reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] - reg [2:0] _T_4381; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4381}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 567:16] + reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] - reg [2:0] _T_4379; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4379}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 567:16] + reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] - reg [2:0] _T_4377; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4377}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 567:16] + reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 551:60] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 552:60] wire _T_2618 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] wire _T_4103 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4126 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4130 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1861; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 409:13] - wire _T_4137 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 506:48] + wire _T_4137 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 507:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 506:104] - wire _T_4138 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 506:104] - wire _T_4139 = obuf_merge & _T_4138; // @[el2_lsu_bus_buffer.scala 506:91] - wire _T_4140 = _T_4137 | _T_4139; // @[el2_lsu_bus_buffer.scala 506:77] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 507:104] + wire _T_4138 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 507:104] + wire _T_4139 = obuf_merge & _T_4138; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_4140 = _T_4137 | _T_4139; // @[el2_lsu_bus_buffer.scala 507:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 403:54] - wire _T_4141 = _T_4140 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + wire _T_4141 = _T_4140 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 402:55] - wire _T_4142 = _T_4141 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] + wire _T_4142 = _T_4141 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] wire _GEN_280 = _T_4130 & _T_4142; // @[Conditional.scala 39:67] wire _GEN_293 = _T_4126 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4103 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] @@ -403,12 +399,12 @@ module el2_lsu_bus_buffer( wire _T_3910 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3933 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3937 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3944 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 506:48] - wire _T_3945 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 506:104] - wire _T_3946 = obuf_merge & _T_3945; // @[el2_lsu_bus_buffer.scala 506:91] - wire _T_3947 = _T_3944 | _T_3946; // @[el2_lsu_bus_buffer.scala 506:77] - wire _T_3948 = _T_3947 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] - wire _T_3949 = _T_3948 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] + wire _T_3944 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 507:48] + wire _T_3945 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 507:104] + wire _T_3946 = obuf_merge & _T_3945; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_3947 = _T_3944 | _T_3946; // @[el2_lsu_bus_buffer.scala 507:77] + wire _T_3948 = _T_3947 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] + wire _T_3949 = _T_3948 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] wire _GEN_204 = _T_3937 & _T_3949; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3933 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3910 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] @@ -418,12 +414,12 @@ module el2_lsu_bus_buffer( wire _T_3717 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3740 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3744 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3751 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 506:48] - wire _T_3752 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 506:104] - wire _T_3753 = obuf_merge & _T_3752; // @[el2_lsu_bus_buffer.scala 506:91] - wire _T_3754 = _T_3751 | _T_3753; // @[el2_lsu_bus_buffer.scala 506:77] - wire _T_3755 = _T_3754 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] - wire _T_3756 = _T_3755 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] + wire _T_3751 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 507:48] + wire _T_3752 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 507:104] + wire _T_3753 = obuf_merge & _T_3752; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_3754 = _T_3751 | _T_3753; // @[el2_lsu_bus_buffer.scala 507:77] + wire _T_3755 = _T_3754 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] + wire _T_3756 = _T_3755 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] wire _GEN_128 = _T_3744 & _T_3756; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3740 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3717 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] @@ -433,12 +429,12 @@ module el2_lsu_bus_buffer( wire _T_3524 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3547 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3551 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3558 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 506:48] - wire _T_3559 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 506:104] - wire _T_3560 = obuf_merge & _T_3559; // @[el2_lsu_bus_buffer.scala 506:91] - wire _T_3561 = _T_3558 | _T_3560; // @[el2_lsu_bus_buffer.scala 506:77] - wire _T_3562 = _T_3561 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] - wire _T_3563 = _T_3562 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] + wire _T_3558 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 507:48] + wire _T_3559 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 507:104] + wire _T_3560 = obuf_merge & _T_3559; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_3561 = _T_3558 | _T_3560; // @[el2_lsu_bus_buffer.scala 507:77] + wire _T_3562 = _T_3561 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] + wire _T_3563 = _T_3562 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] wire _GEN_52 = _T_3551 & _T_3563; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3547 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] @@ -469,7 +465,7 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 204:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 551:60] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 552:60] wire _T_2601 = buf_ageQ_2[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] wire _T_2597 = buf_ageQ_2[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] wire _T_2593 = buf_ageQ_2[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] @@ -487,7 +483,7 @@ module el2_lsu_bus_buffer( wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 204:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 204:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 551:60] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 552:60] wire _T_2582 = buf_ageQ_1[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] wire _T_2578 = buf_ageQ_1[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] wire _T_2574 = buf_ageQ_1[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] @@ -505,7 +501,7 @@ module el2_lsu_bus_buffer( wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 204:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 204:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 551:60] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 552:60] wire _T_2563 = buf_ageQ_0[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] wire _T_2559 = buf_ageQ_0[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] wire _T_2555 = buf_ageQ_0[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] @@ -959,8 +955,8 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_866; // @[el2_lsu_bus_buffer.scala 271:32] wire _T_848 = ibuf_drain_vld & _T_847; // @[el2_lsu_bus_buffer.scala 265:34] wire ibuf_rst = _T_848 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 265:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 668:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 667:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 669:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 668:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_873 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 281:77] @@ -1005,33 +1001,33 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4437 = buf_write[3] & _T_2618; // @[el2_lsu_bus_buffer.scala 573:64] - wire _T_4438 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 573:91] - wire _T_4439 = _T_4437 & _T_4438; // @[el2_lsu_bus_buffer.scala 573:89] - wire _T_4432 = buf_write[2] & _T_2614; // @[el2_lsu_bus_buffer.scala 573:64] - wire _T_4433 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 573:91] - wire _T_4434 = _T_4432 & _T_4433; // @[el2_lsu_bus_buffer.scala 573:89] - wire [1:0] _T_4440 = _T_4439 + _T_4434; // @[el2_lsu_bus_buffer.scala 573:142] - wire _T_4427 = buf_write[1] & _T_2610; // @[el2_lsu_bus_buffer.scala 573:64] - wire _T_4428 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 573:91] - wire _T_4429 = _T_4427 & _T_4428; // @[el2_lsu_bus_buffer.scala 573:89] - wire [1:0] _GEN_362 = {{1'd0}, _T_4429}; // @[el2_lsu_bus_buffer.scala 573:142] - wire [2:0] _T_4441 = _T_4440 + _GEN_362; // @[el2_lsu_bus_buffer.scala 573:142] - wire _T_4422 = buf_write[0] & _T_2606; // @[el2_lsu_bus_buffer.scala 573:64] - wire _T_4423 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 573:91] - wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 573:89] - wire [2:0] _GEN_363 = {{2'd0}, _T_4424}; // @[el2_lsu_bus_buffer.scala 573:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4441 + _GEN_363; // @[el2_lsu_bus_buffer.scala 573:142] + wire _T_4437 = buf_write[3] & _T_2618; // @[el2_lsu_bus_buffer.scala 574:64] + wire _T_4438 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 574:91] + wire _T_4439 = _T_4437 & _T_4438; // @[el2_lsu_bus_buffer.scala 574:89] + wire _T_4432 = buf_write[2] & _T_2614; // @[el2_lsu_bus_buffer.scala 574:64] + wire _T_4433 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 574:91] + wire _T_4434 = _T_4432 & _T_4433; // @[el2_lsu_bus_buffer.scala 574:89] + wire [1:0] _T_4440 = _T_4439 + _T_4434; // @[el2_lsu_bus_buffer.scala 574:142] + wire _T_4427 = buf_write[1] & _T_2610; // @[el2_lsu_bus_buffer.scala 574:64] + wire _T_4428 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 574:91] + wire _T_4429 = _T_4427 & _T_4428; // @[el2_lsu_bus_buffer.scala 574:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4429}; // @[el2_lsu_bus_buffer.scala 574:142] + wire [2:0] _T_4441 = _T_4440 + _GEN_362; // @[el2_lsu_bus_buffer.scala 574:142] + wire _T_4422 = buf_write[0] & _T_2606; // @[el2_lsu_bus_buffer.scala 574:64] + wire _T_4423 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 574:91] + wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 574:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4424}; // @[el2_lsu_bus_buffer.scala 574:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4441 + _GEN_363; // @[el2_lsu_bus_buffer.scala 574:142] wire _T_1029 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 319:43] - wire _T_4454 = _T_2618 & _T_4438; // @[el2_lsu_bus_buffer.scala 574:73] - wire _T_4451 = _T_2614 & _T_4433; // @[el2_lsu_bus_buffer.scala 574:73] - wire [1:0] _T_4455 = _T_4454 + _T_4451; // @[el2_lsu_bus_buffer.scala 574:126] - wire _T_4448 = _T_2610 & _T_4428; // @[el2_lsu_bus_buffer.scala 574:73] - wire [1:0] _GEN_364 = {{1'd0}, _T_4448}; // @[el2_lsu_bus_buffer.scala 574:126] - wire [2:0] _T_4456 = _T_4455 + _GEN_364; // @[el2_lsu_bus_buffer.scala 574:126] - wire _T_4445 = _T_2606 & _T_4423; // @[el2_lsu_bus_buffer.scala 574:73] - wire [2:0] _GEN_365 = {{2'd0}, _T_4445}; // @[el2_lsu_bus_buffer.scala 574:126] - wire [3:0] buf_numvld_cmd_any = _T_4456 + _GEN_365; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4454 = _T_2618 & _T_4438; // @[el2_lsu_bus_buffer.scala 575:73] + wire _T_4451 = _T_2614 & _T_4433; // @[el2_lsu_bus_buffer.scala 575:73] + wire [1:0] _T_4455 = _T_4454 + _T_4451; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4448 = _T_2610 & _T_4428; // @[el2_lsu_bus_buffer.scala 575:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4448}; // @[el2_lsu_bus_buffer.scala 575:126] + wire [2:0] _T_4456 = _T_4455 + _GEN_364; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4445 = _T_2606 & _T_4423; // @[el2_lsu_bus_buffer.scala 575:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4445}; // @[el2_lsu_bus_buffer.scala 575:126] + wire [3:0] buf_numvld_cmd_any = _T_4456 + _GEN_365; // @[el2_lsu_bus_buffer.scala 575:126] wire _T_1030 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 319:72] wire _T_1031 = _T_1029 & _T_1030; // @[el2_lsu_bus_buffer.scala 319:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 418:54] @@ -1061,40 +1057,40 @@ module el2_lsu_bus_buffer( wire _T_1070 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 322:95] wire _T_1071 = _T_1069 & _T_1070; // @[el2_lsu_bus_buffer.scala 322:79] wire [2:0] _T_1073 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 322:121] - wire _T_4473 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] - wire _T_4477 = _T_4473 | _T_4454; // @[el2_lsu_bus_buffer.scala 575:74] - wire _T_4468 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] - wire _T_4472 = _T_4468 | _T_4451; // @[el2_lsu_bus_buffer.scala 575:74] - wire [1:0] _T_4478 = _T_4477 + _T_4472; // @[el2_lsu_bus_buffer.scala 575:154] - wire _T_4463 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] - wire _T_4467 = _T_4463 | _T_4448; // @[el2_lsu_bus_buffer.scala 575:74] - wire [1:0] _GEN_366 = {{1'd0}, _T_4467}; // @[el2_lsu_bus_buffer.scala 575:154] - wire [2:0] _T_4479 = _T_4478 + _GEN_366; // @[el2_lsu_bus_buffer.scala 575:154] - wire _T_4458 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] - wire _T_4462 = _T_4458 | _T_4445; // @[el2_lsu_bus_buffer.scala 575:74] - wire [2:0] _GEN_367 = {{2'd0}, _T_4462}; // @[el2_lsu_bus_buffer.scala 575:154] - wire [3:0] buf_numvld_pend_any = _T_4479 + _GEN_367; // @[el2_lsu_bus_buffer.scala 575:154] + wire _T_4473 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] + wire _T_4477 = _T_4473 | _T_4454; // @[el2_lsu_bus_buffer.scala 576:74] + wire _T_4468 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] + wire _T_4472 = _T_4468 | _T_4451; // @[el2_lsu_bus_buffer.scala 576:74] + wire [1:0] _T_4478 = _T_4477 + _T_4472; // @[el2_lsu_bus_buffer.scala 576:154] + wire _T_4463 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] + wire _T_4467 = _T_4463 | _T_4448; // @[el2_lsu_bus_buffer.scala 576:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4467}; // @[el2_lsu_bus_buffer.scala 576:154] + wire [2:0] _T_4479 = _T_4478 + _GEN_366; // @[el2_lsu_bus_buffer.scala 576:154] + wire _T_4458 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] + wire _T_4462 = _T_4458 | _T_4445; // @[el2_lsu_bus_buffer.scala 576:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4462}; // @[el2_lsu_bus_buffer.scala 576:154] + wire [3:0] buf_numvld_pend_any = _T_4479 + _GEN_367; // @[el2_lsu_bus_buffer.scala 576:154] wire _T_1100 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 325:53] wire _T_1101 = ibuf_byp & _T_1100; // @[el2_lsu_bus_buffer.scala 325:31] wire _T_1102 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 325:64] wire _T_1103 = _T_1102 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 325:84] wire ibuf_buf_byp = _T_1101 & _T_1103; // @[el2_lsu_bus_buffer.scala 325:61] wire _T_1104 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 340:32] - wire _T_4769 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 604:62] - wire _T_4771 = _T_4769 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 604:73] - wire _T_4772 = _T_4771 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 604:93] - wire _T_4773 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 604:62] - wire _T_4775 = _T_4773 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 604:73] - wire _T_4776 = _T_4775 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 604:93] - wire _T_4785 = _T_4772 | _T_4776; // @[el2_lsu_bus_buffer.scala 604:141] - wire _T_4777 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 604:62] - wire _T_4779 = _T_4777 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 604:73] - wire _T_4780 = _T_4779 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 604:93] - wire _T_4786 = _T_4785 | _T_4780; // @[el2_lsu_bus_buffer.scala 604:141] - wire _T_4781 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 604:62] - wire _T_4783 = _T_4781 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 604:73] - wire _T_4784 = _T_4783 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 604:93] - wire bus_sideeffect_pend = _T_4786 | _T_4784; // @[el2_lsu_bus_buffer.scala 604:141] + wire _T_4769 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] + wire _T_4771 = _T_4769 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 605:73] + wire _T_4772 = _T_4771 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] + wire _T_4773 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] + wire _T_4775 = _T_4773 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 605:73] + wire _T_4776 = _T_4775 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] + wire _T_4785 = _T_4772 | _T_4776; // @[el2_lsu_bus_buffer.scala 605:141] + wire _T_4777 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] + wire _T_4779 = _T_4777 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 605:73] + wire _T_4780 = _T_4779 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] + wire _T_4786 = _T_4785 | _T_4780; // @[el2_lsu_bus_buffer.scala 605:141] + wire _T_4781 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] + wire _T_4783 = _T_4781 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 605:73] + wire _T_4784 = _T_4783 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] + wire bus_sideeffect_pend = _T_4786 | _T_4784; // @[el2_lsu_bus_buffer.scala 605:141] wire _T_1105 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 340:74] wire _T_1106 = ~_T_1105; // @[el2_lsu_bus_buffer.scala 340:52] wire _T_1107 = _T_1104 & _T_1106; // @[el2_lsu_bus_buffer.scala 340:50] @@ -1177,10 +1173,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 405:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 406:55] - wire _T_4844 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 608:54] - wire _T_4845 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 608:75] - wire _T_4847 = _T_4844 ? _T_4845 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 608:39] - wire bus_cmd_ready = obuf_write ? _T_4847 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 608:23] + wire _T_4844 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 609:54] + wire _T_4845 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 609:75] + wire _T_4847 = _T_4844 ? _T_4845 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 609:39] + wire bus_cmd_ready = obuf_write ? _T_4847 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 609:23] wire _T_1244 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 344:48] wire _T_1245 = bus_cmd_ready | _T_1244; // @[el2_lsu_bus_buffer.scala 344:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1189,52 +1185,52 @@ module el2_lsu_bus_buffer( wire _T_1248 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 344:77] wire _T_1249 = _T_1247 & _T_1248; // @[el2_lsu_bus_buffer.scala 344:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4792 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 606:56] - wire _T_4793 = obuf_valid & _T_4792; // @[el2_lsu_bus_buffer.scala 606:38] - wire _T_4795 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 606:126] - wire _T_4796 = obuf_merge & _T_4795; // @[el2_lsu_bus_buffer.scala 606:114] - wire _T_4797 = _T_3558 | _T_4796; // @[el2_lsu_bus_buffer.scala 606:100] - wire _T_4798 = ~_T_4797; // @[el2_lsu_bus_buffer.scala 606:80] - wire _T_4799 = _T_4793 & _T_4798; // @[el2_lsu_bus_buffer.scala 606:78] + wire _T_4792 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] + wire _T_4793 = obuf_valid & _T_4792; // @[el2_lsu_bus_buffer.scala 607:38] + wire _T_4795 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 607:126] + wire _T_4796 = obuf_merge & _T_4795; // @[el2_lsu_bus_buffer.scala 607:114] + wire _T_4797 = _T_3558 | _T_4796; // @[el2_lsu_bus_buffer.scala 607:100] + wire _T_4798 = ~_T_4797; // @[el2_lsu_bus_buffer.scala 607:80] + wire _T_4799 = _T_4793 & _T_4798; // @[el2_lsu_bus_buffer.scala 607:78] wire _T_4836 = _T_4769 & _T_4799; // @[Mux.scala 27:72] - wire _T_4804 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 606:56] - wire _T_4805 = obuf_valid & _T_4804; // @[el2_lsu_bus_buffer.scala 606:38] - wire _T_4807 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 606:126] - wire _T_4808 = obuf_merge & _T_4807; // @[el2_lsu_bus_buffer.scala 606:114] - wire _T_4809 = _T_3751 | _T_4808; // @[el2_lsu_bus_buffer.scala 606:100] - wire _T_4810 = ~_T_4809; // @[el2_lsu_bus_buffer.scala 606:80] - wire _T_4811 = _T_4805 & _T_4810; // @[el2_lsu_bus_buffer.scala 606:78] + wire _T_4804 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] + wire _T_4805 = obuf_valid & _T_4804; // @[el2_lsu_bus_buffer.scala 607:38] + wire _T_4807 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 607:126] + wire _T_4808 = obuf_merge & _T_4807; // @[el2_lsu_bus_buffer.scala 607:114] + wire _T_4809 = _T_3751 | _T_4808; // @[el2_lsu_bus_buffer.scala 607:100] + wire _T_4810 = ~_T_4809; // @[el2_lsu_bus_buffer.scala 607:80] + wire _T_4811 = _T_4805 & _T_4810; // @[el2_lsu_bus_buffer.scala 607:78] wire _T_4837 = _T_4773 & _T_4811; // @[Mux.scala 27:72] wire _T_4840 = _T_4836 | _T_4837; // @[Mux.scala 27:72] - wire _T_4816 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 606:56] - wire _T_4817 = obuf_valid & _T_4816; // @[el2_lsu_bus_buffer.scala 606:38] - wire _T_4819 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 606:126] - wire _T_4820 = obuf_merge & _T_4819; // @[el2_lsu_bus_buffer.scala 606:114] - wire _T_4821 = _T_3944 | _T_4820; // @[el2_lsu_bus_buffer.scala 606:100] - wire _T_4822 = ~_T_4821; // @[el2_lsu_bus_buffer.scala 606:80] - wire _T_4823 = _T_4817 & _T_4822; // @[el2_lsu_bus_buffer.scala 606:78] + wire _T_4816 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] + wire _T_4817 = obuf_valid & _T_4816; // @[el2_lsu_bus_buffer.scala 607:38] + wire _T_4819 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 607:126] + wire _T_4820 = obuf_merge & _T_4819; // @[el2_lsu_bus_buffer.scala 607:114] + wire _T_4821 = _T_3944 | _T_4820; // @[el2_lsu_bus_buffer.scala 607:100] + wire _T_4822 = ~_T_4821; // @[el2_lsu_bus_buffer.scala 607:80] + wire _T_4823 = _T_4817 & _T_4822; // @[el2_lsu_bus_buffer.scala 607:78] wire _T_4838 = _T_4777 & _T_4823; // @[Mux.scala 27:72] wire _T_4841 = _T_4840 | _T_4838; // @[Mux.scala 27:72] - wire _T_4828 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 606:56] - wire _T_4829 = obuf_valid & _T_4828; // @[el2_lsu_bus_buffer.scala 606:38] - wire _T_4831 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 606:126] - wire _T_4832 = obuf_merge & _T_4831; // @[el2_lsu_bus_buffer.scala 606:114] - wire _T_4833 = _T_4137 | _T_4832; // @[el2_lsu_bus_buffer.scala 606:100] - wire _T_4834 = ~_T_4833; // @[el2_lsu_bus_buffer.scala 606:80] - wire _T_4835 = _T_4829 & _T_4834; // @[el2_lsu_bus_buffer.scala 606:78] + wire _T_4828 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] + wire _T_4829 = obuf_valid & _T_4828; // @[el2_lsu_bus_buffer.scala 607:38] + wire _T_4831 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 607:126] + wire _T_4832 = obuf_merge & _T_4831; // @[el2_lsu_bus_buffer.scala 607:114] + wire _T_4833 = _T_4137 | _T_4832; // @[el2_lsu_bus_buffer.scala 607:100] + wire _T_4834 = ~_T_4833; // @[el2_lsu_bus_buffer.scala 607:80] + wire _T_4835 = _T_4829 & _T_4834; // @[el2_lsu_bus_buffer.scala 607:78] wire _T_4839 = _T_4781 & _T_4835; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4841 | _T_4839; // @[Mux.scala 27:72] wire _T_1252 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 344:118] wire _T_1253 = _T_1249 & _T_1252; // @[el2_lsu_bus_buffer.scala 344:116] wire obuf_wr_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 344:142] wire _T_1255 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 346:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 609:39] - wire _T_4851 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 611:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 610:39] - wire _T_4852 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 611:70] - wire _T_4853 = _T_4851 & _T_4852; // @[el2_lsu_bus_buffer.scala 611:52] - wire _T_4854 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 611:111] - wire bus_cmd_sent = _T_4853 | _T_4854; // @[el2_lsu_bus_buffer.scala 611:89] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 610:39] + wire _T_4851 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 612:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 611:39] + wire _T_4852 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 612:70] + wire _T_4853 = _T_4851 & _T_4852; // @[el2_lsu_bus_buffer.scala 612:52] + wire _T_4854 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 612:111] + wire bus_cmd_sent = _T_4853 | _T_4854; // @[el2_lsu_bus_buffer.scala 612:89] wire _T_1256 = bus_cmd_sent | _T_1255; // @[el2_lsu_bus_buffer.scala 346:33] wire _T_1257 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 346:65] wire _T_1258 = _T_1256 & _T_1257; // @[el2_lsu_bus_buffer.scala 346:63] @@ -1272,7 +1268,7 @@ module el2_lsu_bus_buffer( wire _T_1362 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 380:20] wire _T_1363 = obuf_valid & _T_1362; // @[el2_lsu_bus_buffer.scala 380:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 407:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 612:37] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 613:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 408:55] wire _T_1364 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:90] wire _T_1365 = bus_rsp_read & _T_1364; // @[el2_lsu_bus_buffer.scala 380:70] @@ -1427,7 +1423,7 @@ module el2_lsu_bus_buffer( wire [1:0] _T_1970 = _T_1969 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] wire [1:0] _T_1971 = _T_1955 ? 2'h2 : _T_1970; // @[Mux.scala 98:16] wire [1:0] _T_1972 = _T_1941 ? 2'h1 : _T_1971; // @[Mux.scala 98:16] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 552:63] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 553:63] wire _T_2742 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] wire _T_2743 = buf_rspageQ_0[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] wire _T_2739 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] @@ -1440,7 +1436,7 @@ module el2_lsu_bus_buffer( wire _T_2045 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 434:65] wire _T_2046 = ~_T_2045; // @[el2_lsu_bus_buffer.scala 434:44] wire _T_2048 = _T_2046 & _T_2733; // @[el2_lsu_bus_buffer.scala 434:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 552:63] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 553:63] wire _T_2758 = buf_rspageQ_1[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] wire _T_2755 = buf_rspageQ_1[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] wire _T_2752 = buf_rspageQ_1[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] @@ -1449,7 +1445,7 @@ module el2_lsu_bus_buffer( wire _T_2049 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 434:65] wire _T_2050 = ~_T_2049; // @[el2_lsu_bus_buffer.scala 434:44] wire _T_2052 = _T_2050 & _T_2736; // @[el2_lsu_bus_buffer.scala 434:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 552:63] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 553:63] wire _T_2773 = buf_rspageQ_2[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] wire _T_2770 = buf_rspageQ_2[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] wire _T_2767 = buf_rspageQ_2[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] @@ -1458,7 +1454,7 @@ module el2_lsu_bus_buffer( wire _T_2053 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 434:65] wire _T_2054 = ~_T_2053; // @[el2_lsu_bus_buffer.scala 434:44] wire _T_2056 = _T_2054 & _T_2739; // @[el2_lsu_bus_buffer.scala 434:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 552:63] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 553:63] wire _T_2788 = buf_rspageQ_3[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] wire _T_2785 = buf_rspageQ_3[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] wire _T_2782 = buf_rspageQ_3[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] @@ -1478,76 +1474,76 @@ module el2_lsu_bus_buffer( wire _T_2135 = _T_2133 | _T_2116[5]; // @[el2_lsu_bus_buffer.scala 438:98] wire _T_2137 = _T_2135 | _T_2116[7]; // @[el2_lsu_bus_buffer.scala 438:104] wire [2:0] _T_2139 = {_T_2123,_T_2130,_T_2137}; // @[Cat.scala 29:58] - wire _T_3528 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 495:77] - wire _T_3529 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 495:97] - wire _T_3530 = _T_3528 & _T_3529; // @[el2_lsu_bus_buffer.scala 495:95] - wire _T_3531 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] - wire _T_3532 = _T_3530 & _T_3531; // @[el2_lsu_bus_buffer.scala 495:112] - wire _T_3533 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 495:144] - wire _T_3534 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] - wire _T_3535 = _T_3533 & _T_3534; // @[el2_lsu_bus_buffer.scala 495:161] - wire _T_3536 = _T_3532 | _T_3535; // @[el2_lsu_bus_buffer.scala 495:132] - wire _T_3537 = _T_845 & _T_3536; // @[el2_lsu_bus_buffer.scala 495:63] - wire _T_3538 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] - wire _T_3539 = ibuf_drain_vld & _T_3538; // @[el2_lsu_bus_buffer.scala 495:201] - wire _T_3540 = _T_3537 | _T_3539; // @[el2_lsu_bus_buffer.scala 495:183] - wire _T_3550 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 502:46] + wire _T_3528 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 496:77] + wire _T_3529 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 496:97] + wire _T_3530 = _T_3528 & _T_3529; // @[el2_lsu_bus_buffer.scala 496:95] + wire _T_3531 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] + wire _T_3532 = _T_3530 & _T_3531; // @[el2_lsu_bus_buffer.scala 496:112] + wire _T_3533 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 496:144] + wire _T_3534 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] + wire _T_3535 = _T_3533 & _T_3534; // @[el2_lsu_bus_buffer.scala 496:161] + wire _T_3536 = _T_3532 | _T_3535; // @[el2_lsu_bus_buffer.scala 496:132] + wire _T_3537 = _T_845 & _T_3536; // @[el2_lsu_bus_buffer.scala 496:63] + wire _T_3538 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] + wire _T_3539 = ibuf_drain_vld & _T_3538; // @[el2_lsu_bus_buffer.scala 496:201] + wire _T_3540 = _T_3537 | _T_3539; // @[el2_lsu_bus_buffer.scala 496:183] + wire _T_3550 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 503:46] wire _T_3585 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 613:38] - wire _T_3630 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 520:73] - wire _T_3631 = bus_rsp_write & _T_3630; // @[el2_lsu_bus_buffer.scala 520:52] - wire _T_3632 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 521:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 614:38] + wire _T_3630 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 521:73] + wire _T_3631 = bus_rsp_write & _T_3630; // @[el2_lsu_bus_buffer.scala 521:52] + wire _T_3632 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 522:46] reg _T_4303; // @[Reg.scala 27:20] reg _T_4301; // @[Reg.scala 27:20] reg _T_4299; // @[Reg.scala 27:20] reg _T_4297; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4303,_T_4301,_T_4299,_T_4297}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 522:47] - wire _T_3634 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 522:47] - wire _T_3635 = buf_ldfwd[0] & _T_3634; // @[el2_lsu_bus_buffer.scala 522:27] - wire _T_3636 = _T_3632 | _T_3635; // @[el2_lsu_bus_buffer.scala 521:77] - wire _T_3637 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 523:26] - wire _T_3640 = _T_3637 & _T_1217; // @[el2_lsu_bus_buffer.scala 523:42] - wire _T_3641 = _T_3640 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 523:58] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 523:47] + wire _T_3634 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 523:47] + wire _T_3635 = buf_ldfwd[0] & _T_3634; // @[el2_lsu_bus_buffer.scala 523:27] + wire _T_3636 = _T_3632 | _T_3635; // @[el2_lsu_bus_buffer.scala 522:77] + wire _T_3637 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 524:26] + wire _T_3640 = _T_3637 & _T_1217; // @[el2_lsu_bus_buffer.scala 524:42] + wire _T_3641 = _T_3640 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 524:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 523:94] - wire _T_3642 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 523:94] - wire _T_3643 = _T_3641 & _T_3642; // @[el2_lsu_bus_buffer.scala 523:74] - wire _T_3644 = _T_3636 | _T_3643; // @[el2_lsu_bus_buffer.scala 522:71] - wire _T_3645 = bus_rsp_read & _T_3644; // @[el2_lsu_bus_buffer.scala 521:25] - wire _T_3646 = _T_3631 | _T_3645; // @[el2_lsu_bus_buffer.scala 520:105] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 524:94] + wire _T_3642 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 524:94] + wire _T_3643 = _T_3641 & _T_3642; // @[el2_lsu_bus_buffer.scala 524:74] + wire _T_3644 = _T_3636 | _T_3643; // @[el2_lsu_bus_buffer.scala 523:71] + wire _T_3645 = bus_rsp_read & _T_3644; // @[el2_lsu_bus_buffer.scala 522:25] + wire _T_3646 = _T_3631 | _T_3645; // @[el2_lsu_bus_buffer.scala 521:105] wire _GEN_42 = _T_3585 & _T_3646; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3551 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3547 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3672 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3682 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 535:21] + wire [3:0] _T_3682 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 536:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 535:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 535:58] - wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 535:58] - wire _T_3684 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 535:58] - wire _T_3685 = _T_3682[0] & _T_3684; // @[el2_lsu_bus_buffer.scala 535:38] - wire _T_3686 = _T_3642 | _T_3685; // @[el2_lsu_bus_buffer.scala 534:95] - wire _T_3687 = bus_rsp_read & _T_3686; // @[el2_lsu_bus_buffer.scala 534:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 536:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 536:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 536:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 536:58] + wire _T_3684 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 536:58] + wire _T_3685 = _T_3682[0] & _T_3684; // @[el2_lsu_bus_buffer.scala 536:38] + wire _T_3686 = _T_3642 | _T_3685; // @[el2_lsu_bus_buffer.scala 535:95] + wire _T_3687 = bus_rsp_read & _T_3686; // @[el2_lsu_bus_buffer.scala 535:45] wire _GEN_36 = _T_3672 & _T_3687; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3585 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3551 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3547 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3564 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] - wire _T_3565 = _T_3564 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] + wire _T_3564 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] + wire _T_3565 = _T_3564 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] wire _T_3690 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] wire [1:0] RspPtr = _T_2139[1:0]; // @[el2_lsu_bus_buffer.scala 446:10] - wire _T_3693 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 540:37] - wire _T_3694 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] - wire _T_3695 = buf_dual_0 & _T_3694; // @[el2_lsu_bus_buffer.scala 540:80] - wire _T_3696 = _T_3693 | _T_3695; // @[el2_lsu_bus_buffer.scala 540:65] - wire _T_3697 = _T_3696 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] + wire _T_3693 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 541:37] + wire _T_3694 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] + wire _T_3695 = buf_dual_0 & _T_3694; // @[el2_lsu_bus_buffer.scala 541:80] + wire _T_3696 = _T_3693 | _T_3695; // @[el2_lsu_bus_buffer.scala 541:65] + wire _T_3697 = _T_3696 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] wire _T_3698 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3690 ? _T_3697 : _T_3698; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3672 ? _T_3565 : _GEN_31; // @[Conditional.scala 39:67] @@ -1587,61 +1583,61 @@ module el2_lsu_bus_buffer( wire _T_2237 = _T_2141 & _T_2236; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2239 = _T_2237 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 461:97] wire [2:0] _T_2241 = {_T_2239,_T_2214,_T_2189}; // @[Cat.scala 29:58] - wire _T_3724 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] - wire _T_3725 = _T_3530 & _T_3724; // @[el2_lsu_bus_buffer.scala 495:112] - wire _T_3727 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] - wire _T_3728 = _T_3533 & _T_3727; // @[el2_lsu_bus_buffer.scala 495:161] - wire _T_3729 = _T_3725 | _T_3728; // @[el2_lsu_bus_buffer.scala 495:132] - wire _T_3730 = _T_845 & _T_3729; // @[el2_lsu_bus_buffer.scala 495:63] - wire _T_3731 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] - wire _T_3732 = ibuf_drain_vld & _T_3731; // @[el2_lsu_bus_buffer.scala 495:201] - wire _T_3733 = _T_3730 | _T_3732; // @[el2_lsu_bus_buffer.scala 495:183] + wire _T_3724 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] + wire _T_3725 = _T_3530 & _T_3724; // @[el2_lsu_bus_buffer.scala 496:112] + wire _T_3727 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] + wire _T_3728 = _T_3533 & _T_3727; // @[el2_lsu_bus_buffer.scala 496:161] + wire _T_3729 = _T_3725 | _T_3728; // @[el2_lsu_bus_buffer.scala 496:132] + wire _T_3730 = _T_845 & _T_3729; // @[el2_lsu_bus_buffer.scala 496:63] + wire _T_3731 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] + wire _T_3732 = ibuf_drain_vld & _T_3731; // @[el2_lsu_bus_buffer.scala 496:201] + wire _T_3733 = _T_3730 | _T_3732; // @[el2_lsu_bus_buffer.scala 496:183] wire _T_3778 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3823 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 520:73] - wire _T_3824 = bus_rsp_write & _T_3823; // @[el2_lsu_bus_buffer.scala 520:52] - wire _T_3825 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 521:46] - wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 522:47] - wire _T_3827 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 522:47] - wire _T_3828 = buf_ldfwd[1] & _T_3827; // @[el2_lsu_bus_buffer.scala 522:27] - wire _T_3829 = _T_3825 | _T_3828; // @[el2_lsu_bus_buffer.scala 521:77] - wire _T_3830 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 523:26] - wire _T_3832 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 523:44] - wire _T_3833 = _T_3830 & _T_3832; // @[el2_lsu_bus_buffer.scala 523:42] - wire _T_3834 = _T_3833 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 523:58] + wire _T_3823 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 521:73] + wire _T_3824 = bus_rsp_write & _T_3823; // @[el2_lsu_bus_buffer.scala 521:52] + wire _T_3825 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 522:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 523:47] + wire _T_3827 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 523:47] + wire _T_3828 = buf_ldfwd[1] & _T_3827; // @[el2_lsu_bus_buffer.scala 523:27] + wire _T_3829 = _T_3825 | _T_3828; // @[el2_lsu_bus_buffer.scala 522:77] + wire _T_3830 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 524:26] + wire _T_3832 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 524:44] + wire _T_3833 = _T_3830 & _T_3832; // @[el2_lsu_bus_buffer.scala 524:42] + wire _T_3834 = _T_3833 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 524:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 523:94] - wire _T_3835 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 523:94] - wire _T_3836 = _T_3834 & _T_3835; // @[el2_lsu_bus_buffer.scala 523:74] - wire _T_3837 = _T_3829 | _T_3836; // @[el2_lsu_bus_buffer.scala 522:71] - wire _T_3838 = bus_rsp_read & _T_3837; // @[el2_lsu_bus_buffer.scala 521:25] - wire _T_3839 = _T_3824 | _T_3838; // @[el2_lsu_bus_buffer.scala 520:105] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 524:94] + wire _T_3835 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 524:94] + wire _T_3836 = _T_3834 & _T_3835; // @[el2_lsu_bus_buffer.scala 524:74] + wire _T_3837 = _T_3829 | _T_3836; // @[el2_lsu_bus_buffer.scala 523:71] + wire _T_3838 = bus_rsp_read & _T_3837; // @[el2_lsu_bus_buffer.scala 522:25] + wire _T_3839 = _T_3824 | _T_3838; // @[el2_lsu_bus_buffer.scala 521:105] wire _GEN_118 = _T_3778 & _T_3839; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3744 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3740 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3717 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3865 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3875 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 535:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 535:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 535:58] - wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 535:58] - wire _T_3877 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 535:58] - wire _T_3878 = _T_3875[0] & _T_3877; // @[el2_lsu_bus_buffer.scala 535:38] - wire _T_3879 = _T_3835 | _T_3878; // @[el2_lsu_bus_buffer.scala 534:95] - wire _T_3880 = bus_rsp_read & _T_3879; // @[el2_lsu_bus_buffer.scala 534:45] + wire [3:0] _T_3875 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 536:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 536:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 536:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 536:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 536:58] + wire _T_3877 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 536:58] + wire _T_3878 = _T_3875[0] & _T_3877; // @[el2_lsu_bus_buffer.scala 536:38] + wire _T_3879 = _T_3835 | _T_3878; // @[el2_lsu_bus_buffer.scala 535:95] + wire _T_3880 = bus_rsp_read & _T_3879; // @[el2_lsu_bus_buffer.scala 535:45] wire _GEN_112 = _T_3865 & _T_3880; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3778 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3744 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3740 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3717 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3757 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] - wire _T_3758 = _T_3757 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] + wire _T_3757 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] + wire _T_3758 = _T_3757 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] wire _T_3883 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3886 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 540:37] - wire _T_3887 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] - wire _T_3888 = buf_dual_1 & _T_3887; // @[el2_lsu_bus_buffer.scala 540:80] - wire _T_3889 = _T_3886 | _T_3888; // @[el2_lsu_bus_buffer.scala 540:65] - wire _T_3890 = _T_3889 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] + wire _T_3886 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 541:37] + wire _T_3887 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] + wire _T_3888 = buf_dual_1 & _T_3887; // @[el2_lsu_bus_buffer.scala 541:80] + wire _T_3889 = _T_3886 | _T_3888; // @[el2_lsu_bus_buffer.scala 541:65] + wire _T_3890 = _T_3889 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] wire _T_3891 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3883 ? _T_3890 : _T_3891; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3865 ? _T_3758 : _GEN_107; // @[Conditional.scala 39:67] @@ -1677,61 +1673,61 @@ module el2_lsu_bus_buffer( wire _T_2339 = _T_2243 & _T_2338; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2341 = _T_2339 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 461:97] wire [2:0] _T_2343 = {_T_2341,_T_2316,_T_2291}; // @[Cat.scala 29:58] - wire _T_3917 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] - wire _T_3918 = _T_3530 & _T_3917; // @[el2_lsu_bus_buffer.scala 495:112] - wire _T_3920 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] - wire _T_3921 = _T_3533 & _T_3920; // @[el2_lsu_bus_buffer.scala 495:161] - wire _T_3922 = _T_3918 | _T_3921; // @[el2_lsu_bus_buffer.scala 495:132] - wire _T_3923 = _T_845 & _T_3922; // @[el2_lsu_bus_buffer.scala 495:63] - wire _T_3924 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] - wire _T_3925 = ibuf_drain_vld & _T_3924; // @[el2_lsu_bus_buffer.scala 495:201] - wire _T_3926 = _T_3923 | _T_3925; // @[el2_lsu_bus_buffer.scala 495:183] + wire _T_3917 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] + wire _T_3918 = _T_3530 & _T_3917; // @[el2_lsu_bus_buffer.scala 496:112] + wire _T_3920 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] + wire _T_3921 = _T_3533 & _T_3920; // @[el2_lsu_bus_buffer.scala 496:161] + wire _T_3922 = _T_3918 | _T_3921; // @[el2_lsu_bus_buffer.scala 496:132] + wire _T_3923 = _T_845 & _T_3922; // @[el2_lsu_bus_buffer.scala 496:63] + wire _T_3924 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] + wire _T_3925 = ibuf_drain_vld & _T_3924; // @[el2_lsu_bus_buffer.scala 496:201] + wire _T_3926 = _T_3923 | _T_3925; // @[el2_lsu_bus_buffer.scala 496:183] wire _T_3971 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4016 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 520:73] - wire _T_4017 = bus_rsp_write & _T_4016; // @[el2_lsu_bus_buffer.scala 520:52] - wire _T_4018 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 521:46] - wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 522:47] - wire _T_4020 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 522:47] - wire _T_4021 = buf_ldfwd[2] & _T_4020; // @[el2_lsu_bus_buffer.scala 522:27] - wire _T_4022 = _T_4018 | _T_4021; // @[el2_lsu_bus_buffer.scala 521:77] - wire _T_4023 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 523:26] - wire _T_4025 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 523:44] - wire _T_4026 = _T_4023 & _T_4025; // @[el2_lsu_bus_buffer.scala 523:42] - wire _T_4027 = _T_4026 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 523:58] + wire _T_4016 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 521:73] + wire _T_4017 = bus_rsp_write & _T_4016; // @[el2_lsu_bus_buffer.scala 521:52] + wire _T_4018 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 522:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 523:47] + wire _T_4020 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 523:47] + wire _T_4021 = buf_ldfwd[2] & _T_4020; // @[el2_lsu_bus_buffer.scala 523:27] + wire _T_4022 = _T_4018 | _T_4021; // @[el2_lsu_bus_buffer.scala 522:77] + wire _T_4023 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 524:26] + wire _T_4025 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 524:44] + wire _T_4026 = _T_4023 & _T_4025; // @[el2_lsu_bus_buffer.scala 524:42] + wire _T_4027 = _T_4026 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 524:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 523:94] - wire _T_4028 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 523:94] - wire _T_4029 = _T_4027 & _T_4028; // @[el2_lsu_bus_buffer.scala 523:74] - wire _T_4030 = _T_4022 | _T_4029; // @[el2_lsu_bus_buffer.scala 522:71] - wire _T_4031 = bus_rsp_read & _T_4030; // @[el2_lsu_bus_buffer.scala 521:25] - wire _T_4032 = _T_4017 | _T_4031; // @[el2_lsu_bus_buffer.scala 520:105] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 524:94] + wire _T_4028 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 524:94] + wire _T_4029 = _T_4027 & _T_4028; // @[el2_lsu_bus_buffer.scala 524:74] + wire _T_4030 = _T_4022 | _T_4029; // @[el2_lsu_bus_buffer.scala 523:71] + wire _T_4031 = bus_rsp_read & _T_4030; // @[el2_lsu_bus_buffer.scala 522:25] + wire _T_4032 = _T_4017 | _T_4031; // @[el2_lsu_bus_buffer.scala 521:105] wire _GEN_194 = _T_3971 & _T_4032; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3937 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3933 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3910 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_4058 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4068 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 535:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 535:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 535:58] - wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 535:58] - wire _T_4070 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 535:58] - wire _T_4071 = _T_4068[0] & _T_4070; // @[el2_lsu_bus_buffer.scala 535:38] - wire _T_4072 = _T_4028 | _T_4071; // @[el2_lsu_bus_buffer.scala 534:95] - wire _T_4073 = bus_rsp_read & _T_4072; // @[el2_lsu_bus_buffer.scala 534:45] + wire [3:0] _T_4068 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 536:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 536:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 536:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 536:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 536:58] + wire _T_4070 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 536:58] + wire _T_4071 = _T_4068[0] & _T_4070; // @[el2_lsu_bus_buffer.scala 536:38] + wire _T_4072 = _T_4028 | _T_4071; // @[el2_lsu_bus_buffer.scala 535:95] + wire _T_4073 = bus_rsp_read & _T_4072; // @[el2_lsu_bus_buffer.scala 535:45] wire _GEN_188 = _T_4058 & _T_4073; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3971 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3937 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3933 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3910 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3950 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] - wire _T_3951 = _T_3950 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] + wire _T_3950 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] + wire _T_3951 = _T_3950 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] wire _T_4076 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4079 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 540:37] - wire _T_4080 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] - wire _T_4081 = buf_dual_2 & _T_4080; // @[el2_lsu_bus_buffer.scala 540:80] - wire _T_4082 = _T_4079 | _T_4081; // @[el2_lsu_bus_buffer.scala 540:65] - wire _T_4083 = _T_4082 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] + wire _T_4079 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 541:37] + wire _T_4080 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] + wire _T_4081 = buf_dual_2 & _T_4080; // @[el2_lsu_bus_buffer.scala 541:80] + wire _T_4082 = _T_4079 | _T_4081; // @[el2_lsu_bus_buffer.scala 541:65] + wire _T_4083 = _T_4082 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] wire _T_4084 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_4076 ? _T_4083 : _T_4084; // @[Conditional.scala 39:67] wire _GEN_189 = _T_4058 ? _T_3951 : _GEN_183; // @[Conditional.scala 39:67] @@ -1767,61 +1763,61 @@ module el2_lsu_bus_buffer( wire _T_2441 = _T_2345 & _T_2440; // @[el2_lsu_bus_buffer.scala 458:113] wire _T_2443 = _T_2441 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 461:97] wire [2:0] _T_2445 = {_T_2443,_T_2418,_T_2393}; // @[Cat.scala 29:58] - wire _T_4110 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] - wire _T_4111 = _T_3530 & _T_4110; // @[el2_lsu_bus_buffer.scala 495:112] - wire _T_4113 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] - wire _T_4114 = _T_3533 & _T_4113; // @[el2_lsu_bus_buffer.scala 495:161] - wire _T_4115 = _T_4111 | _T_4114; // @[el2_lsu_bus_buffer.scala 495:132] - wire _T_4116 = _T_845 & _T_4115; // @[el2_lsu_bus_buffer.scala 495:63] - wire _T_4117 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] - wire _T_4118 = ibuf_drain_vld & _T_4117; // @[el2_lsu_bus_buffer.scala 495:201] - wire _T_4119 = _T_4116 | _T_4118; // @[el2_lsu_bus_buffer.scala 495:183] + wire _T_4110 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] + wire _T_4111 = _T_3530 & _T_4110; // @[el2_lsu_bus_buffer.scala 496:112] + wire _T_4113 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] + wire _T_4114 = _T_3533 & _T_4113; // @[el2_lsu_bus_buffer.scala 496:161] + wire _T_4115 = _T_4111 | _T_4114; // @[el2_lsu_bus_buffer.scala 496:132] + wire _T_4116 = _T_845 & _T_4115; // @[el2_lsu_bus_buffer.scala 496:63] + wire _T_4117 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] + wire _T_4118 = ibuf_drain_vld & _T_4117; // @[el2_lsu_bus_buffer.scala 496:201] + wire _T_4119 = _T_4116 | _T_4118; // @[el2_lsu_bus_buffer.scala 496:183] wire _T_4164 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4209 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 520:73] - wire _T_4210 = bus_rsp_write & _T_4209; // @[el2_lsu_bus_buffer.scala 520:52] - wire _T_4211 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 521:46] - wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 522:47] - wire _T_4213 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 522:47] - wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[el2_lsu_bus_buffer.scala 522:27] - wire _T_4215 = _T_4211 | _T_4214; // @[el2_lsu_bus_buffer.scala 521:77] - wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 523:26] - wire _T_4218 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 523:44] - wire _T_4219 = _T_4216 & _T_4218; // @[el2_lsu_bus_buffer.scala 523:42] - wire _T_4220 = _T_4219 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 523:58] + wire _T_4209 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 521:73] + wire _T_4210 = bus_rsp_write & _T_4209; // @[el2_lsu_bus_buffer.scala 521:52] + wire _T_4211 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 522:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 523:47] + wire _T_4213 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 523:47] + wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[el2_lsu_bus_buffer.scala 523:27] + wire _T_4215 = _T_4211 | _T_4214; // @[el2_lsu_bus_buffer.scala 522:77] + wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 524:26] + wire _T_4218 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 524:44] + wire _T_4219 = _T_4216 & _T_4218; // @[el2_lsu_bus_buffer.scala 524:42] + wire _T_4220 = _T_4219 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 524:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 523:94] - wire _T_4221 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 523:94] - wire _T_4222 = _T_4220 & _T_4221; // @[el2_lsu_bus_buffer.scala 523:74] - wire _T_4223 = _T_4215 | _T_4222; // @[el2_lsu_bus_buffer.scala 522:71] - wire _T_4224 = bus_rsp_read & _T_4223; // @[el2_lsu_bus_buffer.scala 521:25] - wire _T_4225 = _T_4210 | _T_4224; // @[el2_lsu_bus_buffer.scala 520:105] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 524:94] + wire _T_4221 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 524:94] + wire _T_4222 = _T_4220 & _T_4221; // @[el2_lsu_bus_buffer.scala 524:74] + wire _T_4223 = _T_4215 | _T_4222; // @[el2_lsu_bus_buffer.scala 523:71] + wire _T_4224 = bus_rsp_read & _T_4223; // @[el2_lsu_bus_buffer.scala 522:25] + wire _T_4225 = _T_4210 | _T_4224; // @[el2_lsu_bus_buffer.scala 521:105] wire _GEN_270 = _T_4164 & _T_4225; // @[Conditional.scala 39:67] wire _GEN_289 = _T_4130 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_4126 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4103 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4251 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4261 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 535:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 535:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 535:58] - wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 535:58] - wire _T_4263 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 535:58] - wire _T_4264 = _T_4261[0] & _T_4263; // @[el2_lsu_bus_buffer.scala 535:38] - wire _T_4265 = _T_4221 | _T_4264; // @[el2_lsu_bus_buffer.scala 534:95] - wire _T_4266 = bus_rsp_read & _T_4265; // @[el2_lsu_bus_buffer.scala 534:45] + wire [3:0] _T_4261 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 536:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 536:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 536:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 536:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 536:58] + wire _T_4263 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 536:58] + wire _T_4264 = _T_4261[0] & _T_4263; // @[el2_lsu_bus_buffer.scala 536:38] + wire _T_4265 = _T_4221 | _T_4264; // @[el2_lsu_bus_buffer.scala 535:95] + wire _T_4266 = bus_rsp_read & _T_4265; // @[el2_lsu_bus_buffer.scala 535:45] wire _GEN_264 = _T_4251 & _T_4266; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4164 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4130 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4126 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4103 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4143 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] - wire _T_4144 = _T_4143 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] + wire _T_4143 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] + wire _T_4144 = _T_4143 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] wire _T_4269 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4272 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 540:37] - wire _T_4273 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] - wire _T_4274 = buf_dual_3 & _T_4273; // @[el2_lsu_bus_buffer.scala 540:80] - wire _T_4275 = _T_4272 | _T_4274; // @[el2_lsu_bus_buffer.scala 540:65] - wire _T_4276 = _T_4275 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] + wire _T_4272 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 541:37] + wire _T_4273 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] + wire _T_4274 = buf_dual_3 & _T_4273; // @[el2_lsu_bus_buffer.scala 541:80] + wire _T_4275 = _T_4272 | _T_4274; // @[el2_lsu_bus_buffer.scala 541:65] + wire _T_4276 = _T_4275 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] wire _T_4277 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4269 ? _T_4276 : _T_4277; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4251 ? _T_4144 : _GEN_259; // @[Conditional.scala 39:67] @@ -1974,91 +1970,83 @@ module el2_lsu_bus_buffer( wire _T_3329 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 478:65] wire _T_3331 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 478:65] wire [3:0] ibuf_drainvec_vld = {_T_3331,_T_3329,_T_3327,_T_3325}; // @[Cat.scala 29:58] - wire _T_3339 = _T_3533 & _T_1872; // @[el2_lsu_bus_buffer.scala 479:123] - wire [3:0] _T_3342 = _T_3339 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 479:96] - wire [3:0] _T_3343 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3342; // @[el2_lsu_bus_buffer.scala 479:48] - wire _T_3348 = _T_3533 & _T_1883; // @[el2_lsu_bus_buffer.scala 479:123] - wire [3:0] _T_3351 = _T_3348 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 479:96] - wire [3:0] _T_3352 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3351; // @[el2_lsu_bus_buffer.scala 479:48] - wire _T_3357 = _T_3533 & _T_1894; // @[el2_lsu_bus_buffer.scala 479:123] - wire [3:0] _T_3360 = _T_3357 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 479:96] - wire [3:0] _T_3361 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3360; // @[el2_lsu_bus_buffer.scala 479:48] - wire _T_3366 = _T_3533 & _T_1905; // @[el2_lsu_bus_buffer.scala 479:123] - wire [3:0] _T_3369 = _T_3366 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 479:96] - wire [3:0] _T_3370 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3369; // @[el2_lsu_bus_buffer.scala 479:48] - wire _T_3396 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] - wire _T_3398 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] - wire _T_3400 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] - wire _T_3402 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] + wire _T_3339 = _T_3533 & _T_1872; // @[el2_lsu_bus_buffer.scala 480:37] + wire _T_3348 = _T_3533 & _T_1883; // @[el2_lsu_bus_buffer.scala 480:37] + wire _T_3357 = _T_3533 & _T_1894; // @[el2_lsu_bus_buffer.scala 480:37] + wire _T_3366 = _T_3533 & _T_1905; // @[el2_lsu_bus_buffer.scala 480:37] + wire _T_3396 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] + wire _T_3398 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] + wire _T_3400 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] + wire _T_3402 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] wire [3:0] buf_dual_in = {_T_3402,_T_3400,_T_3398,_T_3396}; // @[Cat.scala 29:58] - wire _T_3407 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] - wire _T_3409 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] - wire _T_3411 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] - wire _T_3413 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3407 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3409 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3411 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3413 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] wire [3:0] buf_samedw_in = {_T_3413,_T_3411,_T_3409,_T_3407}; // @[Cat.scala 29:58] - wire _T_3418 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 483:86] - wire _T_3419 = ibuf_drainvec_vld[0] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] - wire _T_3422 = ibuf_drainvec_vld[1] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] - wire _T_3425 = ibuf_drainvec_vld[2] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] - wire _T_3428 = ibuf_drainvec_vld[3] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] + wire _T_3418 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 484:86] + wire _T_3419 = ibuf_drainvec_vld[0] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] + wire _T_3422 = ibuf_drainvec_vld[1] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] + wire _T_3425 = ibuf_drainvec_vld[2] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] + wire _T_3428 = ibuf_drainvec_vld[3] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] wire [3:0] buf_nomerge_in = {_T_3428,_T_3425,_T_3422,_T_3419}; // @[Cat.scala 29:58] - wire _T_3436 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3339; // @[el2_lsu_bus_buffer.scala 484:49] - wire _T_3441 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3348; // @[el2_lsu_bus_buffer.scala 484:49] - wire _T_3446 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3357; // @[el2_lsu_bus_buffer.scala 484:49] - wire _T_3451 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3366; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3436 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3339; // @[el2_lsu_bus_buffer.scala 485:49] + wire _T_3441 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3348; // @[el2_lsu_bus_buffer.scala 485:49] + wire _T_3446 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3357; // @[el2_lsu_bus_buffer.scala 485:49] + wire _T_3451 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3366; // @[el2_lsu_bus_buffer.scala 485:49] wire [3:0] buf_dualhi_in = {_T_3451,_T_3446,_T_3441,_T_3436}; // @[Cat.scala 29:58] - wire _T_3480 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] - wire _T_3482 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] - wire _T_3484 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] - wire _T_3486 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] + wire _T_3480 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] + wire _T_3482 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] + wire _T_3484 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] + wire _T_3486 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] wire [3:0] buf_sideeffect_in = {_T_3486,_T_3484,_T_3482,_T_3480}; // @[Cat.scala 29:58] - wire _T_3491 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] - wire _T_3493 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] - wire _T_3495 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] - wire _T_3497 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] + wire _T_3491 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3493 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3495 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3497 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] wire [3:0] buf_unsign_in = {_T_3497,_T_3495,_T_3493,_T_3491}; // @[Cat.scala 29:58] - wire _T_3514 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] - wire _T_3516 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] - wire _T_3518 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] - wire _T_3520 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3514 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] + wire _T_3516 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] + wire _T_3518 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] + wire _T_3520 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] wire [3:0] buf_write_in = {_T_3520,_T_3518,_T_3516,_T_3514}; // @[Cat.scala 29:58] - wire _T_3553 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 505:89] - wire _T_3555 = _T_3553 & _T_1364; // @[el2_lsu_bus_buffer.scala 505:104] - wire _T_3568 = buf_state_en_0 & _T_1217; // @[el2_lsu_bus_buffer.scala 510:44] - wire _T_3569 = _T_3568 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] - wire _T_3571 = _T_3569 & _T_1346; // @[el2_lsu_bus_buffer.scala 510:74] - wire _T_3574 = _T_3564 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] - wire _T_3575 = _T_3574 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] - wire _T_4860 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 617:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4860; // @[el2_lsu_bus_buffer.scala 617:38] - wire _T_3578 = _T_3574 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] - wire _T_3653 = bus_rsp_read_error & _T_3632; // @[el2_lsu_bus_buffer.scala 527:91] - wire _T_3655 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 528:31] - wire _T_3657 = _T_3655 & _T_3634; // @[el2_lsu_bus_buffer.scala 528:46] - wire _T_3658 = _T_3653 | _T_3657; // @[el2_lsu_bus_buffer.scala 527:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4860; // @[el2_lsu_bus_buffer.scala 616:40] - wire _T_3661 = bus_rsp_write_error & _T_3630; // @[el2_lsu_bus_buffer.scala 529:53] - wire _T_3662 = _T_3658 | _T_3661; // @[el2_lsu_bus_buffer.scala 528:88] - wire _T_3663 = _T_3564 & _T_3662; // @[el2_lsu_bus_buffer.scala 527:68] + wire _T_3553 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:89] + wire _T_3555 = _T_3553 & _T_1364; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_3568 = buf_state_en_0 & _T_1217; // @[el2_lsu_bus_buffer.scala 511:44] + wire _T_3569 = _T_3568 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] + wire _T_3571 = _T_3569 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] + wire _T_3574 = _T_3564 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] + wire _T_3575 = _T_3574 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] + wire _T_4860 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 618:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4860; // @[el2_lsu_bus_buffer.scala 618:38] + wire _T_3578 = _T_3574 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] + wire _T_3653 = bus_rsp_read_error & _T_3632; // @[el2_lsu_bus_buffer.scala 528:91] + wire _T_3655 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_3657 = _T_3655 & _T_3634; // @[el2_lsu_bus_buffer.scala 529:46] + wire _T_3658 = _T_3653 | _T_3657; // @[el2_lsu_bus_buffer.scala 528:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4860; // @[el2_lsu_bus_buffer.scala 617:40] + wire _T_3661 = bus_rsp_write_error & _T_3630; // @[el2_lsu_bus_buffer.scala 530:53] + wire _T_3662 = _T_3658 | _T_3661; // @[el2_lsu_bus_buffer.scala 529:88] + wire _T_3663 = _T_3564 & _T_3662; // @[el2_lsu_bus_buffer.scala 528:68] wire _GEN_46 = _T_3585 & _T_3663; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3551 ? _T_3578 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3547 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3524 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3588 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 517:73] - wire _T_3589 = buf_write[0] & _T_3588; // @[el2_lsu_bus_buffer.scala 517:71] - wire _T_3590 = io_dec_tlu_force_halt | _T_3589; // @[el2_lsu_bus_buffer.scala 517:55] - wire _T_3592 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 518:30] - wire _T_3593 = buf_dual_0 & _T_3592; // @[el2_lsu_bus_buffer.scala 518:28] - wire _T_3596 = _T_3593 & _T_1217; // @[el2_lsu_bus_buffer.scala 518:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 518:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 518:90] - wire _T_3597 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] - wire _T_3598 = _T_3596 & _T_3597; // @[el2_lsu_bus_buffer.scala 518:61] - wire _T_4485 = _T_2742 | _T_2739; // @[el2_lsu_bus_buffer.scala 576:93] - wire _T_4486 = _T_4485 | _T_2736; // @[el2_lsu_bus_buffer.scala 576:93] - wire any_done_wait_state = _T_4486 | _T_2733; // @[el2_lsu_bus_buffer.scala 576:93] - wire _T_3600 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] + wire _T_3588 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 518:73] + wire _T_3589 = buf_write[0] & _T_3588; // @[el2_lsu_bus_buffer.scala 518:71] + wire _T_3590 = io_dec_tlu_force_halt | _T_3589; // @[el2_lsu_bus_buffer.scala 518:55] + wire _T_3592 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 519:30] + wire _T_3593 = buf_dual_0 & _T_3592; // @[el2_lsu_bus_buffer.scala 519:28] + wire _T_3596 = _T_3593 & _T_1217; // @[el2_lsu_bus_buffer.scala 519:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 519:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 519:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 519:90] + wire _T_3597 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] + wire _T_3598 = _T_3596 & _T_3597; // @[el2_lsu_bus_buffer.scala 519:61] + wire _T_4485 = _T_2742 | _T_2739; // @[el2_lsu_bus_buffer.scala 577:93] + wire _T_4486 = _T_4485 | _T_2736; // @[el2_lsu_bus_buffer.scala 577:93] + wire any_done_wait_state = _T_4486 | _T_2733; // @[el2_lsu_bus_buffer.scala 577:93] + wire _T_3600 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] wire _T_3606 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3608 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3610 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] @@ -2070,17 +2058,17 @@ module el2_lsu_bus_buffer( wire _T_3618 = _T_3614 | _T_3615; // @[Mux.scala 27:72] wire _T_3619 = _T_3618 | _T_3616; // @[Mux.scala 27:72] wire _T_3620 = _T_3619 | _T_3617; // @[Mux.scala 27:72] - wire _T_3622 = _T_3596 & _T_3620; // @[el2_lsu_bus_buffer.scala 519:101] - wire _T_3623 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] - wire _T_3624 = _T_3622 & _T_3623; // @[el2_lsu_bus_buffer.scala 519:138] - wire _T_3625 = _T_3624 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] - wire _T_3626 = _T_3600 | _T_3625; // @[el2_lsu_bus_buffer.scala 519:53] - wire _T_3649 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] - wire _T_3650 = _T_3649 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] - wire _T_3664 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 530:50] - wire _T_3665 = buf_state_en_0 & _T_3664; // @[el2_lsu_bus_buffer.scala 530:48] - wire _T_3677 = buf_ldfwd[0] | _T_3682[0]; // @[el2_lsu_bus_buffer.scala 533:90] - wire _T_3678 = _T_3677 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] + wire _T_3622 = _T_3596 & _T_3620; // @[el2_lsu_bus_buffer.scala 520:101] + wire _T_3623 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] + wire _T_3624 = _T_3622 & _T_3623; // @[el2_lsu_bus_buffer.scala 520:138] + wire _T_3625 = _T_3624 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] + wire _T_3626 = _T_3600 | _T_3625; // @[el2_lsu_bus_buffer.scala 520:53] + wire _T_3649 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] + wire _T_3650 = _T_3649 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] + wire _T_3664 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 531:50] + wire _T_3665 = buf_state_en_0 & _T_3664; // @[el2_lsu_bus_buffer.scala 531:48] + wire _T_3677 = buf_ldfwd[0] | _T_3682[0]; // @[el2_lsu_bus_buffer.scala 534:90] + wire _T_3678 = _T_3677 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] wire _GEN_29 = _T_3698 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3690 ? 1'h0 : _T_3698; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3690 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2099,34 +2087,34 @@ module el2_lsu_bus_buffer( wire buf_data_en_0 = _T_3524 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3524 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3524 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3761 = buf_state_en_1 & _T_3832; // @[el2_lsu_bus_buffer.scala 510:44] - wire _T_3762 = _T_3761 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] - wire _T_3764 = _T_3762 & _T_1346; // @[el2_lsu_bus_buffer.scala 510:74] - wire _T_3767 = _T_3757 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] - wire _T_3768 = _T_3767 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] - wire _T_3771 = _T_3767 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] - wire _T_3846 = bus_rsp_read_error & _T_3825; // @[el2_lsu_bus_buffer.scala 527:91] - wire _T_3848 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 528:31] - wire _T_3850 = _T_3848 & _T_3827; // @[el2_lsu_bus_buffer.scala 528:46] - wire _T_3851 = _T_3846 | _T_3850; // @[el2_lsu_bus_buffer.scala 527:143] - wire _T_3854 = bus_rsp_write_error & _T_3823; // @[el2_lsu_bus_buffer.scala 529:53] - wire _T_3855 = _T_3851 | _T_3854; // @[el2_lsu_bus_buffer.scala 528:88] - wire _T_3856 = _T_3757 & _T_3855; // @[el2_lsu_bus_buffer.scala 527:68] + wire _T_3761 = buf_state_en_1 & _T_3832; // @[el2_lsu_bus_buffer.scala 511:44] + wire _T_3762 = _T_3761 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] + wire _T_3764 = _T_3762 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] + wire _T_3767 = _T_3757 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] + wire _T_3768 = _T_3767 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] + wire _T_3771 = _T_3767 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] + wire _T_3846 = bus_rsp_read_error & _T_3825; // @[el2_lsu_bus_buffer.scala 528:91] + wire _T_3848 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_3850 = _T_3848 & _T_3827; // @[el2_lsu_bus_buffer.scala 529:46] + wire _T_3851 = _T_3846 | _T_3850; // @[el2_lsu_bus_buffer.scala 528:143] + wire _T_3854 = bus_rsp_write_error & _T_3823; // @[el2_lsu_bus_buffer.scala 530:53] + wire _T_3855 = _T_3851 | _T_3854; // @[el2_lsu_bus_buffer.scala 529:88] + wire _T_3856 = _T_3757 & _T_3855; // @[el2_lsu_bus_buffer.scala 528:68] wire _GEN_122 = _T_3778 & _T_3856; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3744 ? _T_3771 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3740 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3717 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3782 = buf_write[1] & _T_3588; // @[el2_lsu_bus_buffer.scala 517:71] - wire _T_3783 = io_dec_tlu_force_halt | _T_3782; // @[el2_lsu_bus_buffer.scala 517:55] - wire _T_3785 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 518:30] - wire _T_3786 = buf_dual_1 & _T_3785; // @[el2_lsu_bus_buffer.scala 518:28] - wire _T_3789 = _T_3786 & _T_3832; // @[el2_lsu_bus_buffer.scala 518:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 518:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 518:90] - wire _T_3790 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] - wire _T_3791 = _T_3789 & _T_3790; // @[el2_lsu_bus_buffer.scala 518:61] - wire _T_3793 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] + wire _T_3782 = buf_write[1] & _T_3588; // @[el2_lsu_bus_buffer.scala 518:71] + wire _T_3783 = io_dec_tlu_force_halt | _T_3782; // @[el2_lsu_bus_buffer.scala 518:55] + wire _T_3785 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 519:30] + wire _T_3786 = buf_dual_1 & _T_3785; // @[el2_lsu_bus_buffer.scala 519:28] + wire _T_3789 = _T_3786 & _T_3832; // @[el2_lsu_bus_buffer.scala 519:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 519:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 519:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 519:90] + wire _T_3790 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] + wire _T_3791 = _T_3789 & _T_3790; // @[el2_lsu_bus_buffer.scala 519:61] + wire _T_3793 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] wire _T_3799 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3801 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3803 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] @@ -2138,17 +2126,17 @@ module el2_lsu_bus_buffer( wire _T_3811 = _T_3807 | _T_3808; // @[Mux.scala 27:72] wire _T_3812 = _T_3811 | _T_3809; // @[Mux.scala 27:72] wire _T_3813 = _T_3812 | _T_3810; // @[Mux.scala 27:72] - wire _T_3815 = _T_3789 & _T_3813; // @[el2_lsu_bus_buffer.scala 519:101] - wire _T_3816 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] - wire _T_3817 = _T_3815 & _T_3816; // @[el2_lsu_bus_buffer.scala 519:138] - wire _T_3818 = _T_3817 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] - wire _T_3819 = _T_3793 | _T_3818; // @[el2_lsu_bus_buffer.scala 519:53] - wire _T_3842 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] - wire _T_3843 = _T_3842 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] - wire _T_3857 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 530:50] - wire _T_3858 = buf_state_en_1 & _T_3857; // @[el2_lsu_bus_buffer.scala 530:48] - wire _T_3870 = buf_ldfwd[1] | _T_3875[0]; // @[el2_lsu_bus_buffer.scala 533:90] - wire _T_3871 = _T_3870 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] + wire _T_3815 = _T_3789 & _T_3813; // @[el2_lsu_bus_buffer.scala 520:101] + wire _T_3816 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] + wire _T_3817 = _T_3815 & _T_3816; // @[el2_lsu_bus_buffer.scala 520:138] + wire _T_3818 = _T_3817 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] + wire _T_3819 = _T_3793 | _T_3818; // @[el2_lsu_bus_buffer.scala 520:53] + wire _T_3842 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] + wire _T_3843 = _T_3842 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] + wire _T_3857 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 531:50] + wire _T_3858 = buf_state_en_1 & _T_3857; // @[el2_lsu_bus_buffer.scala 531:48] + wire _T_3870 = buf_ldfwd[1] | _T_3875[0]; // @[el2_lsu_bus_buffer.scala 534:90] + wire _T_3871 = _T_3870 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] wire _GEN_105 = _T_3891 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3883 ? 1'h0 : _T_3891; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3883 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2167,34 +2155,34 @@ module el2_lsu_bus_buffer( wire buf_data_en_1 = _T_3717 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3717 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3717 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3954 = buf_state_en_2 & _T_4025; // @[el2_lsu_bus_buffer.scala 510:44] - wire _T_3955 = _T_3954 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] - wire _T_3957 = _T_3955 & _T_1346; // @[el2_lsu_bus_buffer.scala 510:74] - wire _T_3960 = _T_3950 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] - wire _T_3961 = _T_3960 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] - wire _T_3964 = _T_3960 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] - wire _T_4039 = bus_rsp_read_error & _T_4018; // @[el2_lsu_bus_buffer.scala 527:91] - wire _T_4041 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 528:31] - wire _T_4043 = _T_4041 & _T_4020; // @[el2_lsu_bus_buffer.scala 528:46] - wire _T_4044 = _T_4039 | _T_4043; // @[el2_lsu_bus_buffer.scala 527:143] - wire _T_4047 = bus_rsp_write_error & _T_4016; // @[el2_lsu_bus_buffer.scala 529:53] - wire _T_4048 = _T_4044 | _T_4047; // @[el2_lsu_bus_buffer.scala 528:88] - wire _T_4049 = _T_3950 & _T_4048; // @[el2_lsu_bus_buffer.scala 527:68] + wire _T_3954 = buf_state_en_2 & _T_4025; // @[el2_lsu_bus_buffer.scala 511:44] + wire _T_3955 = _T_3954 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] + wire _T_3957 = _T_3955 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] + wire _T_3960 = _T_3950 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] + wire _T_3961 = _T_3960 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] + wire _T_3964 = _T_3960 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] + wire _T_4039 = bus_rsp_read_error & _T_4018; // @[el2_lsu_bus_buffer.scala 528:91] + wire _T_4041 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_4043 = _T_4041 & _T_4020; // @[el2_lsu_bus_buffer.scala 529:46] + wire _T_4044 = _T_4039 | _T_4043; // @[el2_lsu_bus_buffer.scala 528:143] + wire _T_4047 = bus_rsp_write_error & _T_4016; // @[el2_lsu_bus_buffer.scala 530:53] + wire _T_4048 = _T_4044 | _T_4047; // @[el2_lsu_bus_buffer.scala 529:88] + wire _T_4049 = _T_3950 & _T_4048; // @[el2_lsu_bus_buffer.scala 528:68] wire _GEN_198 = _T_3971 & _T_4049; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3937 ? _T_3964 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3933 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3910 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_3975 = buf_write[2] & _T_3588; // @[el2_lsu_bus_buffer.scala 517:71] - wire _T_3976 = io_dec_tlu_force_halt | _T_3975; // @[el2_lsu_bus_buffer.scala 517:55] - wire _T_3978 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 518:30] - wire _T_3979 = buf_dual_2 & _T_3978; // @[el2_lsu_bus_buffer.scala 518:28] - wire _T_3982 = _T_3979 & _T_4025; // @[el2_lsu_bus_buffer.scala 518:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 518:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 518:90] - wire _T_3983 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] - wire _T_3984 = _T_3982 & _T_3983; // @[el2_lsu_bus_buffer.scala 518:61] - wire _T_3986 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] + wire _T_3975 = buf_write[2] & _T_3588; // @[el2_lsu_bus_buffer.scala 518:71] + wire _T_3976 = io_dec_tlu_force_halt | _T_3975; // @[el2_lsu_bus_buffer.scala 518:55] + wire _T_3978 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 519:30] + wire _T_3979 = buf_dual_2 & _T_3978; // @[el2_lsu_bus_buffer.scala 519:28] + wire _T_3982 = _T_3979 & _T_4025; // @[el2_lsu_bus_buffer.scala 519:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 519:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 519:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 519:90] + wire _T_3983 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] + wire _T_3984 = _T_3982 & _T_3983; // @[el2_lsu_bus_buffer.scala 519:61] + wire _T_3986 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] wire _T_3992 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3994 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3996 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] @@ -2206,17 +2194,17 @@ module el2_lsu_bus_buffer( wire _T_4004 = _T_4000 | _T_4001; // @[Mux.scala 27:72] wire _T_4005 = _T_4004 | _T_4002; // @[Mux.scala 27:72] wire _T_4006 = _T_4005 | _T_4003; // @[Mux.scala 27:72] - wire _T_4008 = _T_3982 & _T_4006; // @[el2_lsu_bus_buffer.scala 519:101] - wire _T_4009 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] - wire _T_4010 = _T_4008 & _T_4009; // @[el2_lsu_bus_buffer.scala 519:138] - wire _T_4011 = _T_4010 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] - wire _T_4012 = _T_3986 | _T_4011; // @[el2_lsu_bus_buffer.scala 519:53] - wire _T_4035 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] - wire _T_4036 = _T_4035 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] - wire _T_4050 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 530:50] - wire _T_4051 = buf_state_en_2 & _T_4050; // @[el2_lsu_bus_buffer.scala 530:48] - wire _T_4063 = buf_ldfwd[2] | _T_4068[0]; // @[el2_lsu_bus_buffer.scala 533:90] - wire _T_4064 = _T_4063 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] + wire _T_4008 = _T_3982 & _T_4006; // @[el2_lsu_bus_buffer.scala 520:101] + wire _T_4009 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] + wire _T_4010 = _T_4008 & _T_4009; // @[el2_lsu_bus_buffer.scala 520:138] + wire _T_4011 = _T_4010 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] + wire _T_4012 = _T_3986 | _T_4011; // @[el2_lsu_bus_buffer.scala 520:53] + wire _T_4035 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] + wire _T_4036 = _T_4035 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] + wire _T_4050 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 531:50] + wire _T_4051 = buf_state_en_2 & _T_4050; // @[el2_lsu_bus_buffer.scala 531:48] + wire _T_4063 = buf_ldfwd[2] | _T_4068[0]; // @[el2_lsu_bus_buffer.scala 534:90] + wire _T_4064 = _T_4063 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] wire _GEN_181 = _T_4084 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_4076 ? 1'h0 : _T_4084; // @[Conditional.scala 39:67] wire _GEN_186 = _T_4076 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2235,34 +2223,34 @@ module el2_lsu_bus_buffer( wire buf_data_en_2 = _T_3910 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3910 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3910 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4147 = buf_state_en_3 & _T_4218; // @[el2_lsu_bus_buffer.scala 510:44] - wire _T_4148 = _T_4147 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] - wire _T_4150 = _T_4148 & _T_1346; // @[el2_lsu_bus_buffer.scala 510:74] - wire _T_4153 = _T_4143 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] - wire _T_4154 = _T_4153 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] - wire _T_4157 = _T_4153 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] - wire _T_4232 = bus_rsp_read_error & _T_4211; // @[el2_lsu_bus_buffer.scala 527:91] - wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 528:31] - wire _T_4236 = _T_4234 & _T_4213; // @[el2_lsu_bus_buffer.scala 528:46] - wire _T_4237 = _T_4232 | _T_4236; // @[el2_lsu_bus_buffer.scala 527:143] - wire _T_4240 = bus_rsp_write_error & _T_4209; // @[el2_lsu_bus_buffer.scala 529:53] - wire _T_4241 = _T_4237 | _T_4240; // @[el2_lsu_bus_buffer.scala 528:88] - wire _T_4242 = _T_4143 & _T_4241; // @[el2_lsu_bus_buffer.scala 527:68] + wire _T_4147 = buf_state_en_3 & _T_4218; // @[el2_lsu_bus_buffer.scala 511:44] + wire _T_4148 = _T_4147 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] + wire _T_4150 = _T_4148 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] + wire _T_4153 = _T_4143 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] + wire _T_4154 = _T_4153 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] + wire _T_4157 = _T_4153 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] + wire _T_4232 = bus_rsp_read_error & _T_4211; // @[el2_lsu_bus_buffer.scala 528:91] + wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_4236 = _T_4234 & _T_4213; // @[el2_lsu_bus_buffer.scala 529:46] + wire _T_4237 = _T_4232 | _T_4236; // @[el2_lsu_bus_buffer.scala 528:143] + wire _T_4240 = bus_rsp_write_error & _T_4209; // @[el2_lsu_bus_buffer.scala 530:53] + wire _T_4241 = _T_4237 | _T_4240; // @[el2_lsu_bus_buffer.scala 529:88] + wire _T_4242 = _T_4143 & _T_4241; // @[el2_lsu_bus_buffer.scala 528:68] wire _GEN_274 = _T_4164 & _T_4242; // @[Conditional.scala 39:67] wire _GEN_287 = _T_4130 ? _T_4157 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4126 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4103 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4168 = buf_write[3] & _T_3588; // @[el2_lsu_bus_buffer.scala 517:71] - wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[el2_lsu_bus_buffer.scala 517:55] - wire _T_4171 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 518:30] - wire _T_4172 = buf_dual_3 & _T_4171; // @[el2_lsu_bus_buffer.scala 518:28] - wire _T_4175 = _T_4172 & _T_4218; // @[el2_lsu_bus_buffer.scala 518:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 518:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 518:90] - wire _T_4176 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] - wire _T_4177 = _T_4175 & _T_4176; // @[el2_lsu_bus_buffer.scala 518:61] - wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] + wire _T_4168 = buf_write[3] & _T_3588; // @[el2_lsu_bus_buffer.scala 518:71] + wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[el2_lsu_bus_buffer.scala 518:55] + wire _T_4171 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 519:30] + wire _T_4172 = buf_dual_3 & _T_4171; // @[el2_lsu_bus_buffer.scala 519:28] + wire _T_4175 = _T_4172 & _T_4218; // @[el2_lsu_bus_buffer.scala 519:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 519:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 519:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 519:90] + wire _T_4176 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] + wire _T_4177 = _T_4175 & _T_4176; // @[el2_lsu_bus_buffer.scala 519:61] + wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] wire _T_4185 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_4187 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_4189 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] @@ -2274,17 +2262,17 @@ module el2_lsu_bus_buffer( wire _T_4197 = _T_4193 | _T_4194; // @[Mux.scala 27:72] wire _T_4198 = _T_4197 | _T_4195; // @[Mux.scala 27:72] wire _T_4199 = _T_4198 | _T_4196; // @[Mux.scala 27:72] - wire _T_4201 = _T_4175 & _T_4199; // @[el2_lsu_bus_buffer.scala 519:101] - wire _T_4202 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] - wire _T_4203 = _T_4201 & _T_4202; // @[el2_lsu_bus_buffer.scala 519:138] - wire _T_4204 = _T_4203 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] - wire _T_4205 = _T_4179 | _T_4204; // @[el2_lsu_bus_buffer.scala 519:53] - wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] - wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] - wire _T_4243 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 530:50] - wire _T_4244 = buf_state_en_3 & _T_4243; // @[el2_lsu_bus_buffer.scala 530:48] - wire _T_4256 = buf_ldfwd[3] | _T_4261[0]; // @[el2_lsu_bus_buffer.scala 533:90] - wire _T_4257 = _T_4256 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] + wire _T_4201 = _T_4175 & _T_4199; // @[el2_lsu_bus_buffer.scala 520:101] + wire _T_4202 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] + wire _T_4203 = _T_4201 & _T_4202; // @[el2_lsu_bus_buffer.scala 520:138] + wire _T_4204 = _T_4203 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] + wire _T_4205 = _T_4179 | _T_4204; // @[el2_lsu_bus_buffer.scala 520:53] + wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] + wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] + wire _T_4243 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 531:50] + wire _T_4244 = buf_state_en_3 & _T_4243; // @[el2_lsu_bus_buffer.scala 531:48] + wire _T_4256 = buf_ldfwd[3] | _T_4261[0]; // @[el2_lsu_bus_buffer.scala 534:90] + wire _T_4257 = _T_4256 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] wire _GEN_257 = _T_4277 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4269 ? 1'h0 : _T_4277; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4269 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2308,48 +2296,44 @@ module el2_lsu_bus_buffer( reg _T_4338; // @[Reg.scala 27:20] reg _T_4341; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4341,_T_4338,_T_4335,_T_4332}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3343[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 479:19] - wire [2:0] buf_byteen_in_1 = _T_3352[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 479:19] - wire [2:0] buf_byteen_in_2 = _T_3361[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 479:19] - wire [2:0] buf_byteen_in_3 = _T_3370[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 479:19] - reg _T_4407; // @[el2_lsu_bus_buffer.scala 569:82] - reg _T_4402; // @[el2_lsu_bus_buffer.scala 569:82] - reg _T_4397; // @[el2_lsu_bus_buffer.scala 569:82] - reg _T_4392; // @[el2_lsu_bus_buffer.scala 569:82] + reg _T_4407; // @[el2_lsu_bus_buffer.scala 570:82] + reg _T_4402; // @[el2_lsu_bus_buffer.scala 570:82] + reg _T_4397; // @[el2_lsu_bus_buffer.scala 570:82] + reg _T_4392; // @[el2_lsu_bus_buffer.scala 570:82] wire [3:0] buf_error = {_T_4407,_T_4402,_T_4397,_T_4392}; // @[Cat.scala 29:58] - wire _T_4389 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 569:86] - wire _T_4390 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 569:128] - wire _T_4394 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 569:86] - wire _T_4395 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 569:128] - wire _T_4399 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 569:86] - wire _T_4400 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 569:128] - wire _T_4404 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 569:86] - wire _T_4405 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 569:128] + wire _T_4389 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 570:86] + wire _T_4390 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 570:128] + wire _T_4394 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 570:86] + wire _T_4395 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 570:128] + wire _T_4399 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 570:86] + wire _T_4400 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 570:128] + wire _T_4404 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 570:86] + wire _T_4405 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 570:128] wire [2:0] _T_4412 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4418 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 572:96] - wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 572:96] - wire [2:0] _T_4419 = _T_4418 + _GEN_388; // @[el2_lsu_bus_buffer.scala 572:96] - wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 572:96] - wire [3:0] buf_numvld_any = _T_4419 + _GEN_389; // @[el2_lsu_bus_buffer.scala 572:96] - wire _T_4489 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 578:52] - wire _T_4490 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 578:92] - wire _T_4491 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 578:119] - wire _T_4493 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 579:52] - wire _T_4494 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 579:52] - wire _T_4495 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 579:52] - wire _T_4496 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 579:52] - wire _T_4497 = _T_4493 | _T_4494; // @[el2_lsu_bus_buffer.scala 579:65] - wire _T_4498 = _T_4497 | _T_4495; // @[el2_lsu_bus_buffer.scala 579:65] - wire _T_4499 = _T_4498 | _T_4496; // @[el2_lsu_bus_buffer.scala 579:65] - wire _T_4500 = ~_T_4499; // @[el2_lsu_bus_buffer.scala 579:34] - wire _T_4502 = _T_4500 & _T_844; // @[el2_lsu_bus_buffer.scala 579:70] - wire _T_4505 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 581:51] - wire _T_4506 = _T_4505 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 581:72] - wire _T_4507 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 581:94] - wire _T_4508 = _T_4506 & _T_4507; // @[el2_lsu_bus_buffer.scala 581:92] - wire _T_4509 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 581:111] - wire _T_4511 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 584:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 670:66] + wire [1:0] _T_4418 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 573:96] + wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 573:96] + wire [2:0] _T_4419 = _T_4418 + _GEN_388; // @[el2_lsu_bus_buffer.scala 573:96] + wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 573:96] + wire [3:0] buf_numvld_any = _T_4419 + _GEN_389; // @[el2_lsu_bus_buffer.scala 573:96] + wire _T_4489 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4490 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 579:92] + wire _T_4491 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 579:119] + wire _T_4493 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 580:52] + wire _T_4494 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 580:52] + wire _T_4495 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 580:52] + wire _T_4496 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 580:52] + wire _T_4497 = _T_4493 | _T_4494; // @[el2_lsu_bus_buffer.scala 580:65] + wire _T_4498 = _T_4497 | _T_4495; // @[el2_lsu_bus_buffer.scala 580:65] + wire _T_4499 = _T_4498 | _T_4496; // @[el2_lsu_bus_buffer.scala 580:65] + wire _T_4500 = ~_T_4499; // @[el2_lsu_bus_buffer.scala 580:34] + wire _T_4502 = _T_4500 & _T_844; // @[el2_lsu_bus_buffer.scala 580:70] + wire _T_4505 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 582:51] + wire _T_4506 = _T_4505 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 582:72] + wire _T_4507 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 582:94] + wire _T_4508 = _T_4506 & _T_4507; // @[el2_lsu_bus_buffer.scala 582:92] + wire _T_4509 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 582:111] + wire _T_4511 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 585:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 671:66] wire _T_4529 = _T_2795 & _T_1217; // @[Mux.scala 27:72] wire _T_4530 = _T_2817 & _T_3832; // @[Mux.scala 27:72] wire _T_4531 = _T_2839 & _T_4025; // @[Mux.scala 27:72] @@ -2357,32 +2341,32 @@ module el2_lsu_bus_buffer( wire _T_4533 = _T_4529 | _T_4530; // @[Mux.scala 27:72] wire _T_4534 = _T_4533 | _T_4531; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4534 | _T_4532; // @[Mux.scala 27:72] - wire _T_4540 = buf_error[0] & _T_1217; // @[el2_lsu_bus_buffer.scala 587:108] - wire _T_4545 = buf_error[1] & _T_3832; // @[el2_lsu_bus_buffer.scala 587:108] - wire _T_4550 = buf_error[2] & _T_4025; // @[el2_lsu_bus_buffer.scala 587:108] - wire _T_4555 = buf_error[3] & _T_4218; // @[el2_lsu_bus_buffer.scala 587:108] + wire _T_4540 = buf_error[0] & _T_1217; // @[el2_lsu_bus_buffer.scala 588:108] + wire _T_4545 = buf_error[1] & _T_3832; // @[el2_lsu_bus_buffer.scala 588:108] + wire _T_4550 = buf_error[2] & _T_4025; // @[el2_lsu_bus_buffer.scala 588:108] + wire _T_4555 = buf_error[3] & _T_4218; // @[el2_lsu_bus_buffer.scala 588:108] wire _T_4556 = _T_2795 & _T_4540; // @[Mux.scala 27:72] wire _T_4557 = _T_2817 & _T_4545; // @[Mux.scala 27:72] wire _T_4558 = _T_2839 & _T_4550; // @[Mux.scala 27:72] wire _T_4559 = _T_2861 & _T_4555; // @[Mux.scala 27:72] wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] - wire _T_4568 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 588:109] - wire _T_4569 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 588:124] - wire _T_4570 = _T_4568 | _T_4569; // @[el2_lsu_bus_buffer.scala 588:122] - wire _T_4571 = _T_4529 & _T_4570; // @[el2_lsu_bus_buffer.scala 588:106] - wire _T_4576 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 588:109] - wire _T_4577 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 588:124] - wire _T_4578 = _T_4576 | _T_4577; // @[el2_lsu_bus_buffer.scala 588:122] - wire _T_4579 = _T_4530 & _T_4578; // @[el2_lsu_bus_buffer.scala 588:106] - wire _T_4584 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 588:109] - wire _T_4585 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 588:124] - wire _T_4586 = _T_4584 | _T_4585; // @[el2_lsu_bus_buffer.scala 588:122] - wire _T_4587 = _T_4531 & _T_4586; // @[el2_lsu_bus_buffer.scala 588:106] - wire _T_4592 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 588:109] - wire _T_4593 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 588:124] - wire _T_4594 = _T_4592 | _T_4593; // @[el2_lsu_bus_buffer.scala 588:122] - wire _T_4595 = _T_4532 & _T_4594; // @[el2_lsu_bus_buffer.scala 588:106] + wire _T_4568 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 589:109] + wire _T_4569 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 589:124] + wire _T_4570 = _T_4568 | _T_4569; // @[el2_lsu_bus_buffer.scala 589:122] + wire _T_4571 = _T_4529 & _T_4570; // @[el2_lsu_bus_buffer.scala 589:106] + wire _T_4576 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 589:109] + wire _T_4577 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 589:124] + wire _T_4578 = _T_4576 | _T_4577; // @[el2_lsu_bus_buffer.scala 589:122] + wire _T_4579 = _T_4530 & _T_4578; // @[el2_lsu_bus_buffer.scala 589:106] + wire _T_4584 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 589:109] + wire _T_4585 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 589:124] + wire _T_4586 = _T_4584 | _T_4585; // @[el2_lsu_bus_buffer.scala 589:122] + wire _T_4587 = _T_4531 & _T_4586; // @[el2_lsu_bus_buffer.scala 589:106] + wire _T_4592 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 589:109] + wire _T_4593 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 589:124] + wire _T_4594 = _T_4592 | _T_4593; // @[el2_lsu_bus_buffer.scala 589:122] + wire _T_4595 = _T_4532 & _T_4594; // @[el2_lsu_bus_buffer.scala 589:106] wire [1:0] _T_4598 = _T_4587 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4599 = _T_4595 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_390 = {{1'd0}, _T_4579}; // @[Mux.scala 27:72] @@ -2394,14 +2378,14 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4640 = _T_4636 | _T_4637; // @[Mux.scala 27:72] wire [31:0] _T_4641 = _T_4640 | _T_4638; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4641 | _T_4639; // @[Mux.scala 27:72] - wire _T_4647 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 590:120] - wire _T_4648 = _T_4529 & _T_4647; // @[el2_lsu_bus_buffer.scala 590:105] - wire _T_4653 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 590:120] - wire _T_4654 = _T_4530 & _T_4653; // @[el2_lsu_bus_buffer.scala 590:105] - wire _T_4659 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 590:120] - wire _T_4660 = _T_4531 & _T_4659; // @[el2_lsu_bus_buffer.scala 590:105] - wire _T_4665 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 590:120] - wire _T_4666 = _T_4532 & _T_4665; // @[el2_lsu_bus_buffer.scala 590:105] + wire _T_4647 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 591:120] + wire _T_4648 = _T_4529 & _T_4647; // @[el2_lsu_bus_buffer.scala 591:105] + wire _T_4653 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 591:120] + wire _T_4654 = _T_4530 & _T_4653; // @[el2_lsu_bus_buffer.scala 591:105] + wire _T_4659 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 591:120] + wire _T_4660 = _T_4531 & _T_4659; // @[el2_lsu_bus_buffer.scala 591:105] + wire _T_4665 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 591:120] + wire _T_4666 = _T_4532 & _T_4665; // @[el2_lsu_bus_buffer.scala 591:105] wire [31:0] _T_4667 = _T_4648 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4668 = _T_4654 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4669 = _T_4660 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2420,7 +2404,7 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4682 = _T_4678 | _T_4679; // @[Mux.scala 27:72] wire [31:0] _T_4683 = _T_4682 | _T_4680; // @[Mux.scala 27:72] wire [31:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[el2_lsu_bus_buffer.scala 591:83] + wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[el2_lsu_bus_buffer.scala 592:83] wire [1:0] _T_4690 = _T_4674 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4691 = _T_4675 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4692 = _T_4676 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -2436,24 +2420,24 @@ module el2_lsu_bus_buffer( wire _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4710 | _T_4708; // @[Mux.scala 27:72] wire [63:0] _T_4730 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 595:121] - wire [5:0] _T_4731 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 595:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4730 >> _T_4731; // @[el2_lsu_bus_buffer.scala 595:92] - wire _T_4732 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 598:69] - wire _T_4734 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 599:81] - wire _T_4735 = lsu_nonblock_unsign & _T_4734; // @[el2_lsu_bus_buffer.scala 599:63] + wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 596:121] + wire [5:0] _T_4731 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 596:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4730 >> _T_4731; // @[el2_lsu_bus_buffer.scala 596:92] + wire _T_4732 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 599:69] + wire _T_4734 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 600:81] + wire _T_4735 = lsu_nonblock_unsign & _T_4734; // @[el2_lsu_bus_buffer.scala 600:63] wire [31:0] _T_4737 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4738 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 600:45] - wire _T_4739 = lsu_nonblock_unsign & _T_4738; // @[el2_lsu_bus_buffer.scala 600:26] + wire _T_4738 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 601:45] + wire _T_4739 = lsu_nonblock_unsign & _T_4738; // @[el2_lsu_bus_buffer.scala 601:26] wire [31:0] _T_4741 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4742 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 601:6] - wire _T_4744 = _T_4742 & _T_4734; // @[el2_lsu_bus_buffer.scala 601:27] + wire _T_4742 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 602:6] + wire _T_4744 = _T_4742 & _T_4734; // @[el2_lsu_bus_buffer.scala 602:27] wire [23:0] _T_4747 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4749 = {_T_4747,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4752 = _T_4742 & _T_4738; // @[el2_lsu_bus_buffer.scala 602:27] + wire _T_4752 = _T_4742 & _T_4738; // @[el2_lsu_bus_buffer.scala 603:27] wire [15:0] _T_4755 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4757 = {_T_4755,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4758 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 603:21] + wire _T_4758 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 604:21] wire [31:0] _T_4759 = _T_4735 ? _T_4737 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4760 = _T_4739 ? _T_4741 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4761 = _T_4744 ? _T_4749 : 32'h0; // @[Mux.scala 27:72] @@ -2464,49 +2448,49 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4766 = _T_4765 | _T_4762; // @[Mux.scala 27:72] wire [63:0] _GEN_392 = {{32'd0}, _T_4766}; // @[Mux.scala 27:72] wire [63:0] _T_4767 = _GEN_392 | _T_4763; // @[Mux.scala 27:72] - wire _T_4862 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 621:36] - wire _T_4863 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 621:51] - wire _T_4864 = _T_4862 & _T_4863; // @[el2_lsu_bus_buffer.scala 621:49] + wire _T_4862 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 622:36] + wire _T_4863 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 622:51] + wire _T_4864 = _T_4862 & _T_4863; // @[el2_lsu_bus_buffer.scala 622:49] wire [31:0] _T_4868 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4870 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4875 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 633:50] - wire _T_4876 = _T_4862 & _T_4875; // @[el2_lsu_bus_buffer.scala 633:48] + wire _T_4875 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 634:50] + wire _T_4876 = _T_4862 & _T_4875; // @[el2_lsu_bus_buffer.scala 634:48] wire [7:0] _T_4880 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4883 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 638:36] - wire _T_4885 = _T_4883 & _T_1362; // @[el2_lsu_bus_buffer.scala 638:50] - wire _T_4897 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 651:114] - wire _T_4899 = _T_4897 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 651:129] - wire _T_4902 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 651:114] - wire _T_4904 = _T_4902 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 651:129] - wire _T_4907 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 651:114] - wire _T_4909 = _T_4907 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 651:129] - wire _T_4912 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 651:114] - wire _T_4914 = _T_4912 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 651:129] + wire _T_4883 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 639:36] + wire _T_4885 = _T_4883 & _T_1362; // @[el2_lsu_bus_buffer.scala 639:50] + wire _T_4897 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 652:114] + wire _T_4899 = _T_4897 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 652:129] + wire _T_4902 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 652:114] + wire _T_4904 = _T_4902 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 652:129] + wire _T_4907 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 652:114] + wire _T_4909 = _T_4907 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 652:129] + wire _T_4912 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 652:114] + wire _T_4914 = _T_4912 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 652:129] wire _T_4915 = _T_2795 & _T_4899; // @[Mux.scala 27:72] wire _T_4916 = _T_2817 & _T_4904; // @[Mux.scala 27:72] wire _T_4917 = _T_2839 & _T_4909; // @[Mux.scala 27:72] wire _T_4918 = _T_2861 & _T_4914; // @[Mux.scala 27:72] wire _T_4919 = _T_4915 | _T_4916; // @[Mux.scala 27:72] wire _T_4920 = _T_4919 | _T_4917; // @[Mux.scala 27:72] - wire _T_4930 = _T_2817 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 652:98] - wire lsu_imprecise_error_store_tag = _T_4930 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 652:113] - wire _T_4936 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 654:72] + wire _T_4930 = _T_2817 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 653:98] + wire lsu_imprecise_error_store_tag = _T_4930 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 653:113] + wire _T_4936 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 655:72] wire _T_4938 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 119:123] wire [31:0] _T_4940 = _T_4938 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4941 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4942 = _T_4940 | _T_4941; // @[Mux.scala 27:72] - wire _T_4959 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 661:68] - wire _T_4962 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 662:48] - wire _T_4965 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 665:48] - wire _T_4966 = io_lsu_axi_awvalid & _T_4965; // @[el2_lsu_bus_buffer.scala 665:46] - wire _T_4967 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 665:92] - wire _T_4968 = io_lsu_axi_wvalid & _T_4967; // @[el2_lsu_bus_buffer.scala 665:90] - wire _T_4969 = _T_4966 | _T_4968; // @[el2_lsu_bus_buffer.scala 665:69] - wire _T_4970 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 665:136] - wire _T_4971 = io_lsu_axi_arvalid & _T_4970; // @[el2_lsu_bus_buffer.scala 665:134] - wire _T_4975 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 669:75] - wire _T_4976 = io_lsu_busreq_m & _T_4975; // @[el2_lsu_bus_buffer.scala 669:73] - reg _T_4979; // @[el2_lsu_bus_buffer.scala 669:56] + wire _T_4959 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 662:68] + wire _T_4962 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 663:48] + wire _T_4965 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 666:48] + wire _T_4966 = io_lsu_axi_awvalid & _T_4965; // @[el2_lsu_bus_buffer.scala 666:46] + wire _T_4967 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 666:92] + wire _T_4968 = io_lsu_axi_wvalid & _T_4967; // @[el2_lsu_bus_buffer.scala 666:90] + wire _T_4969 = _T_4966 | _T_4968; // @[el2_lsu_bus_buffer.scala 666:69] + wire _T_4970 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 666:136] + wire _T_4971 = io_lsu_axi_arvalid & _T_4970; // @[el2_lsu_bus_buffer.scala 666:134] + wire _T_4975 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 670:75] + wire _T_4976 = io_lsu_busreq_m & _T_4975; // @[el2_lsu_bus_buffer.scala 670:73] + reg _T_4979; // @[el2_lsu_bus_buffer.scala 670:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2579,62 +2563,62 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4979; // @[el2_lsu_bus_buffer.scala 669:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 577:30] - assign io_lsu_bus_buffer_full_any = _T_4489 ? _T_4490 : _T_4491; // @[el2_lsu_bus_buffer.scala 578:30] - assign io_lsu_bus_buffer_empty_any = _T_4502 & _T_1244; // @[el2_lsu_bus_buffer.scala 579:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 658:23] + assign io_lsu_busreq_r = _T_4979; // @[el2_lsu_bus_buffer.scala 670:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 578:30] + assign io_lsu_bus_buffer_full_any = _T_4489 ? _T_4490 : _T_4491; // @[el2_lsu_bus_buffer.scala 579:30] + assign io_lsu_bus_buffer_empty_any = _T_4502 & _T_1244; // @[el2_lsu_bus_buffer.scala 580:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 659:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 196:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 197:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 222:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 227:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4936; // @[el2_lsu_bus_buffer.scala 654:35] - assign io_lsu_imprecise_error_store_any = _T_4920 | _T_4918; // @[el2_lsu_bus_buffer.scala 651:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4942 : _T_4684; // @[el2_lsu_bus_buffer.scala 655:35] - assign io_lsu_nonblock_load_valid_m = _T_4508 & _T_4509; // @[el2_lsu_bus_buffer.scala 581:32] - assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 582:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4511; // @[el2_lsu_bus_buffer.scala 584:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 585:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4732; // @[el2_lsu_bus_buffer.scala 598:35] - assign io_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[el2_lsu_bus_buffer.scala 587:35] - assign io_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[el2_lsu_bus_buffer.scala 588:33] - assign io_lsu_nonblock_load_data = _T_4767[31:0]; // @[el2_lsu_bus_buffer.scala 599:29] - assign io_lsu_pmu_bus_trxn = _T_4959 | _T_4854; // @[el2_lsu_bus_buffer.scala 661:23] - assign io_lsu_pmu_bus_misaligned = _T_4962 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 662:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 663:24] - assign io_lsu_pmu_bus_busy = _T_4969 | _T_4971; // @[el2_lsu_bus_buffer.scala 665:23] - assign io_lsu_axi_awvalid = _T_4864 & _T_1252; // @[el2_lsu_bus_buffer.scala 621:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 622:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4868; // @[el2_lsu_bus_buffer.scala 623:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 627:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 628:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4870 : 3'h3; // @[el2_lsu_bus_buffer.scala 624:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 629:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 631:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 626:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 625:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 630:20] - assign io_lsu_axi_wvalid = _T_4876 & _T_1252; // @[el2_lsu_bus_buffer.scala 633:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 635:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4880; // @[el2_lsu_bus_buffer.scala 634:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 636:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 649:21] - assign io_lsu_axi_arvalid = _T_4885 & _T_1252; // @[el2_lsu_bus_buffer.scala 638:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 639:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4868; // @[el2_lsu_bus_buffer.scala 640:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 644:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 645:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4870 : 3'h3; // @[el2_lsu_bus_buffer.scala 641:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 646:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 648:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 643:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 642:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 647:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 650:21] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4936; // @[el2_lsu_bus_buffer.scala 655:35] + assign io_lsu_imprecise_error_store_any = _T_4920 | _T_4918; // @[el2_lsu_bus_buffer.scala 652:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4942 : _T_4684; // @[el2_lsu_bus_buffer.scala 656:35] + assign io_lsu_nonblock_load_valid_m = _T_4508 & _T_4509; // @[el2_lsu_bus_buffer.scala 582:32] + assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 583:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4511; // @[el2_lsu_bus_buffer.scala 585:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 586:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4732; // @[el2_lsu_bus_buffer.scala 599:35] + assign io_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[el2_lsu_bus_buffer.scala 588:35] + assign io_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[el2_lsu_bus_buffer.scala 589:33] + assign io_lsu_nonblock_load_data = _T_4767[31:0]; // @[el2_lsu_bus_buffer.scala 600:29] + assign io_lsu_pmu_bus_trxn = _T_4959 | _T_4854; // @[el2_lsu_bus_buffer.scala 662:23] + assign io_lsu_pmu_bus_misaligned = _T_4962 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 663:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 664:24] + assign io_lsu_pmu_bus_busy = _T_4969 | _T_4971; // @[el2_lsu_bus_buffer.scala 666:23] + assign io_lsu_axi_awvalid = _T_4864 & _T_1252; // @[el2_lsu_bus_buffer.scala 622:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 623:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4868; // @[el2_lsu_bus_buffer.scala 624:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 628:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 629:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4870 : 3'h3; // @[el2_lsu_bus_buffer.scala 625:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 630:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 632:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 627:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 626:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 631:20] + assign io_lsu_axi_wvalid = _T_4876 & _T_1252; // @[el2_lsu_bus_buffer.scala 634:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 636:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4880; // @[el2_lsu_bus_buffer.scala 635:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 637:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 650:21] + assign io_lsu_axi_arvalid = _T_4885 & _T_1252; // @[el2_lsu_bus_buffer.scala 639:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 640:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4868; // @[el2_lsu_bus_buffer.scala 641:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 645:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 646:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4870 : 3'h3; // @[el2_lsu_bus_buffer.scala 642:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 647:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 649:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 644:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 643:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 648:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 651:21] assign io_test = _T_745 | _T_744; // @[el2_lsu_bus_buffer.scala 256:11] - assign io_data_hi = _T_4672 | _T_4670; // @[el2_lsu_bus_buffer.scala 596:14] - assign io_data_lo = _T_4641 | _T_4639; // @[el2_lsu_bus_buffer.scala 597:14] - assign io_data_en = {_T_4412,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 570:14] + assign io_data_hi = _T_4672 | _T_4670; // @[el2_lsu_bus_buffer.scala 597:14] + assign io_data_lo = _T_4641 | _T_4639; // @[el2_lsu_bus_buffer.scala 598:14] + assign io_data_en = {_T_4412,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 571:14] assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 315:14] assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 357:14] assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 276:15] @@ -2736,13 +2720,13 @@ initial begin _RAND_11 = {1{`RANDOM}}; buf_state_3 = _RAND_11[2:0]; _RAND_12 = {1{`RANDOM}}; - _T_4383 = _RAND_12[2:0]; + buf_byteen_3 = _RAND_12[3:0]; _RAND_13 = {1{`RANDOM}}; - _T_4381 = _RAND_13[2:0]; + buf_byteen_2 = _RAND_13[3:0]; _RAND_14 = {1{`RANDOM}}; - _T_4379 = _RAND_14[2:0]; + buf_byteen_1 = _RAND_14[3:0]; _RAND_15 = {1{`RANDOM}}; - _T_4377 = _RAND_15[2:0]; + buf_byteen_0 = _RAND_15[3:0]; _RAND_16 = {1{`RANDOM}}; buf_ageQ_3 = _RAND_16[3:0]; _RAND_17 = {1{`RANDOM}}; @@ -2963,16 +2947,16 @@ initial begin buf_state_3 = 3'h0; end if (reset) begin - _T_4383 = 3'h0; + buf_byteen_3 = 4'h0; end if (reset) begin - _T_4381 = 3'h0; + buf_byteen_2 = 4'h0; end if (reset) begin - _T_4379 = 3'h0; + buf_byteen_1 = 4'h0; end if (reset) begin - _T_4377 = 3'h0; + buf_byteen_0 = 4'h0; end if (reset) begin buf_ageQ_3 = 4'h0; @@ -3539,30 +3523,54 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4383 <= 3'h0; + buf_byteen_3 <= 4'h0; end else if (buf_wr_en_3) begin - _T_4383 <= buf_byteen_in_3; + if (ibuf_drainvec_vld[3]) begin + buf_byteen_3 <= ibuf_byteen_out; + end else if (_T_3366) begin + buf_byteen_3 <= ldst_byteen_hi_r; + end else begin + buf_byteen_3 <= ldst_byteen_lo_r; + end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4381 <= 3'h0; + buf_byteen_2 <= 4'h0; end else if (buf_wr_en_2) begin - _T_4381 <= buf_byteen_in_2; + if (ibuf_drainvec_vld[2]) begin + buf_byteen_2 <= ibuf_byteen_out; + end else if (_T_3357) begin + buf_byteen_2 <= ldst_byteen_hi_r; + end else begin + buf_byteen_2 <= ldst_byteen_lo_r; + end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4379 <= 3'h0; + buf_byteen_1 <= 4'h0; end else if (buf_wr_en_1) begin - _T_4379 <= buf_byteen_in_1; + if (ibuf_drainvec_vld[1]) begin + buf_byteen_1 <= ibuf_byteen_out; + end else if (_T_3348) begin + buf_byteen_1 <= ldst_byteen_hi_r; + end else begin + buf_byteen_1 <= ldst_byteen_lo_r; + end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4377 <= 3'h0; + buf_byteen_0 <= 4'h0; end else if (buf_wr_en_0) begin - _T_4377 <= buf_byteen_in_0; + if (ibuf_drainvec_vld[0]) begin + buf_byteen_0 <= ibuf_byteen_out; + end else if (_T_3339) begin + buf_byteen_0 <= ldst_byteen_hi_r; + end else begin + buf_byteen_0 <= ldst_byteen_lo_r; + end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 73cbd4ed..59d646dd 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -175,7 +175,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val buf_rst = Wire(Vec(DEPTH, Bool())) buf_rst := buf_rst.map(i=> false.B) val ibuf_drainvec_vld = WireInit(UInt(DEPTH.W), 0.U) - val buf_byteen_in = Wire(Vec(DEPTH, UInt(3.W))) + val buf_byteen_in = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_byteen_in := buf_byteen_in.map(i=> 0.U) val buf_addr_in = Wire(Vec(DEPTH, UInt(32.W))) buf_addr_in := buf_addr_in.map(i=> 0.U) @@ -476,7 +476,8 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { ibuf_drainvec_vld := (0 until DEPTH).map(i=>(ibuf_drain_vld & (ibuf_tag === i.U)).asUInt).reverse.reduce(Cat(_,_)) - buf_byteen_in := (0 until DEPTH).map(i=>Mux(ibuf_drainvec_vld(i), ibuf_byteen_out(3,0), Mux(ibuf_byp & io.ldst_dual_r & (WrPtr1_r===i.U), ldst_byteen_hi_r(3,0), ldst_byteen_lo_r(3,0)))) + buf_byteen_in := (0 until DEPTH).map(i=>Mux(ibuf_drainvec_vld(i), ibuf_byteen_out(3,0), + Mux(ibuf_byp & io.ldst_dual_r & (WrPtr1_r===i.U), ldst_byteen_hi_r(3,0), ldst_byteen_lo_r(3,0)))) buf_addr_in := (0 until DEPTH).map(i=>Mux(ibuf_drainvec_vld(i), ibuf_addr, Mux(ibuf_byp & io.ldst_dual_r & (WrPtr1_r===i.U), io.end_addr_r, io.lsu_addr_r))) buf_dual_in := (0 until DEPTH).map(i=>(Mux(ibuf_drainvec_vld(i), ibuf_dual, io.ldst_dual_r)).asUInt).reverse.reduce(Cat(_,_)) buf_samedw_in := (0 until DEPTH).map(i=>(Mux(ibuf_drainvec_vld(i), ibuf_samedw, ldst_samedw_r)).asUInt).reverse.reduce(Cat(_,_)) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 207c84455011f618824d62ef99fef2901cec12c4..faef5319b091cdae40a9bf7a1b0aef27d05fed7b 100644 GIT binary patch delta 103 zcmcaFcVBLU1UKWN$&%c*K(c^Wd~zPQCzN%b+X~3y;;{je?mQ5A$;tD0EP(v$Jg#6> ml9SDNEy1i9#wC-_@`iFQX5e62!l2KzXtFk61yE)Q-);cLV ml9SDNEy1i9#>JD*@`iFQV&Gs}%%IP-aI!XE1yE)Q-);cI-61&u diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 90edecd05d0126b52d01fa9ffb2692f661eb9584..78d7855b7e3e080e85c30a72f05b23c1fb46674b 100644 GIT binary patch delta 19 Zcmey$`jvG<1QX+;$&pL}Kynq6Hvmjk2MquK delta 19 Zcmey$`jvG<1QX-J$&pL}Kynq6HvmjU2MYiI diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index 6ac4de3aa22ba95d04bfba8837d4f898b803ed5e..9297b3e98ac03b2b2edf61dd92f276f48d1c68ac 100644 GIT binary patch delta 18628 zcmZX+2Ut```~H9C%n?}@WDyaTjvWE%R=R~EC@3ga76iKp_J#`zQUnzXV+BE!qESGa z1;GXif`W5XXcq_o|!qzrsAR1>4#Q{mE=S^ zi``7RvAT4UO75}I8DuBq2emBTj8FHOkV$qjyDZc?l8IW6*q|(c$y)$!WRX<%i)h7c zfz@*xtiMF-sAz57+G+LKh6|swW7}}yKig3>E*sz#yOoV6ykZNsi;}ZL^mV z55RdJZYyJF_Mx~Z3*HZrvqx%Ka{!-AnQkt`j1@vyYaXr{lZR^tu(mvacLxFD4x(?F z`LMd=qsWG3<)f&&0KmQgMPm=ax&(_1Vu6QnH%E34!n6*9Z!AQOJkc^bj2bTN;9(S9 zJp$l!1VuB8V0{aV3}F#PC`v0PIyuE8jhU681Xe0Dc}H~Ez!H*1X0Vebct9reD@E0P z$57*WDQc)J<F2pL>Ubk!;L+++-yCQ7v(Q;?pSB z#ln5F>=&$p-R#H+G2dsjObKhVm$yz#4ddCz;5?C~B-1z~52SDDDC)Q-I~J0n4gO zr&SG$gfkBfR1eld(OnG`sjTH)J1s5EPAl)TjO>A;2m@H(!6HkU!T?3-JpuThC^9#M#bA*Y z%)$^wentT24FOg$eGo3lzm#!g>M=QM}j^MZ2v4^sG>1 z*9+DW(ef=tP!I3Lry-gz_Ch7Eekh9RjUtux*Jo>MAKYmhGwh3QY-7jN;@uCQcCcFz zmSBS`SM|r0DobZTr&aLT8e-kau6?#52X?ZzqV-`A?y}jY)3UVfw5mQ^0d{D~Zq{Lk zXYXcn2C3wuD0^A9y-NBysN{@Vf*n<#9;s!Glj@VMGuk}V1y3t?#xq()Ym5sl%wiq( zgA1Rgwidg>IeDq?UHO{#uJo~iB%0IZ1dby&S$hQS!9I+o$>eU1JvC*Dr&M6C#!)$Q zT!fDe=2V;BmGx!icX%_sZgVtfg+%j=)~zY}THorCyR5wzHDUHavz!~gJX5>Aa+@HpC^-jjK;qy%cl*f=^A zYsu?(olQ9yNDTq3h6B`_Qyo-|W$}Vw$wJ4|$tcMlCz!Bp!>JzZ^Sed+Bj1&M7*Br! z_%4`cV=;<+#+xv&iL?vL2%!!D2jkIf)kXJN{09`q)pja0X7)I{2yJYcUp{=F6@G0Z9qt>%Yo8ne2IbS9Gx*VJbHLumkc$P~$_C_c6O z=7H7Svc7cOLq?vUus)PJqT=gNY72=c=%cD3USVj+LzWhXhRhf5s5glQu+ng9#nw%t zE?7;=C($90gAKUL!QQkReI#4N%6rrP?BW#E+BQbe79Qzw8^rcmu*}J5&?ENkWSRg8 z3#Vc9ac8fZ!|70bn#J^ds5w))`!P%Oqt<}SL}WUNUQeaA^oeXK^Zto9WA4+aKVa%K^l8-y^hq|I2GFOS%~&%X z*F62txv;%LFq;1j%u_ac2HO9WU7UgTKa+W=M`h?tx*VTu#fUR!Z8PZ}c3pwNTogf9 zVfPTy(K+-Q3hE5tut@C1M_ypEX$Yt1vbkTh?>W1nz%!n+aglT_eJLBt@^exBNhAXN zC5vB22e7#@v^%TcLN%F36rGBFNcjNNaB!efRv$&}0rG!9ceQ81XRnx^mte|X`B5F% zA%CDLM$uH8#m>T$U&)p;M@IzzD_NY{`Hi!vE9zMsh7VCsF1*s?j;QK@I*b#{S=kV( z4coE{?z*ju&<(a@4|wTDKg9OUXlf*0(x$pZw63Z`LbPhw>nJo+5kn=oW_}FqPhPX_ z5cYmH)n!^ssSf)lhRVqscCSBfD8>|Q%o8*9L*J;U`pntX0Q_|oZnjlzmz$!#B1l#L z`fO^AVz*7mA2IW(tfto-I+EzUP9{Srt>P|k0!Qlt7cSJWnu%uTQu)99! z*$4HYK3_y-!UsJrm9?)&u$jhE9r5E%mi?6PEszf~E7mQM_GI&8sV@B}Gh^jjs38kV zqz0@w7B2sr-H4?j^l#ai|AvDtS&V?1x)}X!j)Rq#jiJb1jOPD?@Vj@1@5kQ7;bCGB z0oke_-4IW;dF)})ar7(`qaP4Q?NJ`c&c|Xr?j}>IK>m>hsG26$Y>A_`JkO~eiQ&=_ zKd4faM?BRMKLo_nFzkmh=5~}|UaI=|A|8(=vJe)(Sm=Y&<4Lq1^Iw8M=S6RsGD{^E z3^Ydv0Jk$^mzH28d1iGP&U>{41IDwDOOUN7OI(f79>0{D!ERrJYtxq^nkXyx0za*` zUyGAo-8@thR~Mvd8P8LO*ct$>30X$Zk-mSCIphQ1L_YE_$=?8<=u`4f7cZXcQqL2O z-8|nl9@n_6pzo;$`T{?ivau_vDYp1`#R+?`62q#Yeu82#W|9VTEJu?ZPQ(3{M6l+0 z4JKPf$Iz}a^UprjCS6(5Dmn;KwhA%QRlSm^ZqQYo34)U_7=zYQCvlRPvQNo^4yvK+ zu2fTIBIYdfZu7oa3wTX22(eJ~h97O#BRlA>!Qg8mMmk4Qn`o+Co4X3joTivmOjzPm zUPs_HWs_M_ccCAT+rXu6xGsjZKjO{BCOC@Mt)&A6O+79{J)FnZB4qLL3=7#K^hY_8 zv`Nl7+7qTs6Soz;E%04s*ntL7l@ri9Yj|hhI_ga%qQfu>5_Oh9+7Vd41e9xuab?O* zWY8|%Oup=$lqnOiq)SCdsa9}pftSJ$s>f@orFeT-C%$LMCT{@mOK|C8068Vy`S%XcBdz zx>%4@b3|91BVjlzs;9>WtnD(kZI?L2XdG-+T2Z+!X9LwIdSZ{%o#lBwbug$(-)ulW z))QASwd2H6cv+Q@r93ZVksIj<(w#;2heOV8qE#-n}u>UK*~s43N#g)+VSSj{q*((d>)o8>P>@au~c zqjQ$(v%7=ExcSIq1xlg%g1(p#9lN8#%y&GVr~mKF)vv*_Fq=ebkWqYBzLMAM62N!u zvXa;6a+a594B@pjM&Mr}FYVf$*XruVYilxIs(GH*()=GUk=XG%k}$rTRv%th>pCx! zy5L_CuctkSmuYY3yX&~|dOEXtnNBgDN7Jyl^!&UiXfv&J3|3F|o(mf?felTka@rG9 zMHN_!)WxbrO*IYo0ibvVOB#elw>h2a;gf33z>MfA4v{s0TukC)vXr@|wI6|AaTB6M^?-VYxK@>=_NV zYzvaLsj5d1th(;h47+^IvdOx)#|W#7wyHoLj+^iaFBLo5e0bM z#a+~%S?_|kZCS`J>H)z_c4nD5;J<-WJ2z;%;K_|GPmLL&Y;WxWORx4F;)h zfKORDbP!8sIHvSxk-Kq}8Ng0K*xWtX1oYZN$B=<+-X3rpc3u=)Cf^IOWAUO4s=2zC zZsNUv<;=+o&X)Ya4JUtcetZ{h5^umo^CsL9-hxXazj7&jf9^Ye0CC{0Ng6+p+~sZf zp}Z}>jkn{U@`Gx&9l+9!7frdj)P>!3!^Zq}E?o{VzB_U&w%@+6YxA&cR~336q)~#C z9=GWWx5gE~tq%{<pvy(HTYWHzEyAMW z%qAV82`KJ{w_P}Jx!^GJpG#fTyWRg>`obM;#C^0`RUw|`BJP)Gu@gnOeA{6f$5iFo zM{weCRnIw9GhD^Pkk|}%y;$82ZFc_%u6Jb(cK>#irI+9)bw#*|s?xAx8bt@oR{qyj zGML>crl;7xQtV83l+Y!3*QVO&Se4Q>OnMCTa4F6mL&X!6Dh`Zb;}SzQ? zVZ%?L;@`(makzN0?M(i|)fq~4IH~`N8lhBmCRF9+;Y_tPGCxiY=y2Ht=4pscp+^~Z zE0M?H)8Tqt?iW5C&PJTTka?D&ua{2HAiTR%?Y=Ocf-cALCZ;%oYj6bS2;emsgxQ$mO*6|gX&>rlr zIb15{H-Y!S(Oz}5tg)v0Jdeuau3FV44{@(PK&-Nyl~>ZiEc7HDjjL4yqI$?rm1zIh zCus<)sX_{nRpVvA*e~`)o-E!++>dy&6P47Cc(R`>aT@hhy9#$OXS1tl2=P*%k{TW( z^?zH17J7-BGZ*TG745%GmKO`IMz-=oLQox+)XSw8J8=W~Ech-K+#hSuoS&=dXu(U5 z+sn$!k$HX+*^C-I#anc-DQl_0Ce53bBj+-QVK@zF)na?&Exyw*VScrEhv3bo)zWcf zlzJ0&Swy4QceR)bqtw||RcjRUJ4K^d`)TYpT24`ObX*lw>Rr)T9L`mHoU!7PValeS z#u0TaTYMTJ8;G0~`IegZ7+5X4@_%+?fjI4o?^$Hhwf~okZxT$|_%qn-2jT^bxTO^M zK%`z`i7ow+GsuN|>X2eDoT1?)NIkySJ9s^T4}uT9n~>mw#FrA-6^NVZAob=~bv8J7 z4!g@mqMyy!*XJ;R>%Q=L5DU4Fa8NIJK`dU}@EcoVsn}eHskpixzCB!rtoTzsJ<5vC zp?TxbsLp#Cka0QJJELiwdMb&xVpkfdKTD}cq8u-#UCcyr=uOYzSTtZ%f3n;`te3l&X$t0;|42ZBVLu!S(3^viuA-`XZer%+TYq)L|D; zizRsm`|cu*A~V&8lCs}%rkH*SJBkQ)Ufjy6_J_MK;Xx7N*3FbPUZN&60=t0tH`Fxe zGIk6RI6bQOf)VN+#>C6i7}YUIrYsN#S+@5w)fKN7Ph6_4DGE&m^PKfKvqgw=K|L-4 zAAxvMA2K4;-n`R9yXtpl5iO4AC_j_);UidTBf>8er$sURm{t?s>13)mi)v3~ice5) z@`X%sVNi`krh1CqZK8pwZg+*QpjkNAh~E5vLpTd7y6UPd7TNXRd!hQePKX8AjG0;@ zLx~|ove?e6bQ+6jrX!hCGjhZM_UbD32M1V$A+=!n&2$0H#oq3}G?4pww7Ref1HS*SXhd4E9II2chqp3h|uTj&+k`05%hCV6a9D-DGlZKWO(H%?Aoaoo)wHNUk| z0~t%4CK%MnZ_}7fcrmGr7AE3V<4sW>u>LWShit7VkJ$AX!2@s9y3NL?CoD>or>uH5 z;4}7z_~|+GpCc^7vRpF<(22dB1L({G=0aRpt|+eTx49@A%pB)IhOjtMhO!f)3}Y|m zp~`UPGhaxeBXHDfet@waH${-J=ktZ-$iRvPsNl&eA#B=0L5KBNDEK08O zEI3w(McS*673?6YqlB12=qSXpYS|dF7zgN4>XlKITSl?Pi-mruV8OhX3i|BmVj-8H zvMYiCZ$bpNAWm=xz`ab_jU+*nwZ;iUL?E8~RSVA;v4s}w?rxzAn;5SuR2|S%Lp%ln zCLZMI7@4K|39sXYq4-W};1a=!_^}yFgl+h0O0}G-F7TI)P@nw##oidO(51pq8X)V% z%9jZPSj|!)nFO-(RVWErCd@!?Jh4o;NrF{xu4{6a3)2V*Rpl;S!^|&_&Y@~Qdae}4 z(=d#Zs<(wJaq~%?pMG13IGD^7s|0I08Lv512hhpl!IwyvbBPY<-Vj6c65z^Zx+|0(rxh;y6c1q zY~)&4%hn0@x?R8gN`RlLO2`eX1wGcVRxqT~WEx`bFkyeJ6^zL=^^rz(N}nda1z0Wg zVl&qXJyD`sAGfU&tZ)t9+ldEARqJj8k?A-|dEqNM?*w5il4DkaFbc`BBSCN=k?NNP z=Ie#kG*W#^Gh;RDg?N-C1|cX^uXG|35e=%H)l#M4iHe5e3l1|9!V(hEx)(|zo=K7f zYnGNMB#~K6ktpe)XqY{CSmGx8y-jY;Ig2n9hR>W~bst|~86%lr- zw*Xz3U7Fy)^wR||b|6hyLE|yB?Xzh=wk;j$VPd-AL6^u3)b}Y$M~E#I-=g7-%od!p zmv%m3c!uCfmZ^NLt)2KeM=WFc8Nx)m9EV8N4A9yv_|X-e7tP&_o3HFFJ1c%#)%hq{ zrr^moW?|rErkLq*naCt-)a#{c)nB82)#0@jucg;~;ea*jcP?rNtm(X)TNYB_T6HMo zWeHODK1=Ay8nQ4S*L6O>+ZH@Oq4QJJ7Ia7{j?KRwSE`1D)yHFDQi?%?t*erGzrczt zHi9a}Z$ngX^OWL7u&=-?JNsF?74A~8GzZ(;&hJ9-0x(B?o7la$4O7R1YRwq556c4kcN7@jzNliaV4tv$?nb`=p0v?=rJjY*rr@eL$E8u38jT%gKpg{76Hlcg*4n&r=yccluevsSHEH>8SQ zU-dfI>%3HG|G55m&;aQG z(*YLHngLe^v`7`!y{%oWhd{4e-?e@qRSX<4FmT{_=#zo(2Y!$$Y&O{Ju*s1sY;|nS zZLOdlw&QIlK(E>UY>S52h1t!vn>k+tZud^A7_@Lu!k|RxU-nY_Zc>H)Zu=7Z zW6*o{zuEsGRXDgfjCSyYe(&(y;a91`v8$tzBYNz(&+(Y!3FuYF9~^&{Dx4-b&2pLz zEps~WbP4*M(=(@EqzY&1+{4)r`rP@0^CzjorMpXSmwrmA!gZl*f-4^E+U|Pa^%3;X z!CHfLq>90Z23HP-3kUx*L^ecUs&MP$=IS;STHE9( z(o?D!r7_BIlri+L(bCcIz?d6j?u~gURrvPtb@j!AeHZwy^F@PwD||2dHbVdO)AB<@ z{XG4G{U$}bB`rno+0tN<*2=IU|3rJB0 zq)8QlD*{skGo*@;vmvb^2#%14A%BFtmntS2PqdjhNU8|E68e4UPf|sgS=gX3N2y}U z$SI*yCPQ~kIWnb0s)#6vI2lnbRYXc7O(PK_kuxG=Bjcf0BY%j*kVN@Lg-1<;UWmFG zbxW$4)i&$Stou?$G#{ z1gWBs78(^I_zJfd78RC4uN3}Vi0O8C_TjaM*F#?&>2gFcpq1nYpiZQk&b4wCRFgr@BOIk|Wq>56ZR9zsbz?zvi7paWw>8CEjKDhgUYkY3(5~m6&0ElW)+su;T7X6 zCSd+oR8%xoGy^=W__N}pRB`h8$$u(&siM-f(y`J7dam+jVZ&2_3~!epWpaPkl%IlltdUMT2F7Yr|0JvWE1A zOsV30^Z7gH5yTgaF4$dgfc|k&>!K3#_u|ou4Hqv$|GCuT5`yv4{!8VTFbgglUADW7 zikBB&PP&{7ZN7ZxGH%dl-ss$jA!=OGnA(^DZEXCp@wQaaWZY!mgy?U&+H||=9`wT% z*%idr6}u}wS4KlyuH3zXRDG4ZYH-yEI`V3`^6E4g53aty`a!B_9?~4xj2zxPuX%kl zqO^Hmb6N9A=(`r}7G0^L#k$3##T$B}pBMs0R&=vZ53+qC?)LKx@TZnj~J+g@Go zavfuQeZ}?7*O3{o7hkWt-XK-n2*0uD2FC72#*Mri1yV)(wD!36rO?{;*7h4xMMt-e z-W?d@j*%VV9q3TU!H%kq+L~F#I9<_8oEdvvBZQ{f9TV`c3;t=;*d6OyGebFnlgJd@ zNm({DhpUv`gTCad@C}DVuP;}vr+_ZyYV?jn&vUhUA2~^PUG7x(0O$3>wHa_uK<5;#zus$6Yh*!L=F&a}vWa?z-U?Xg+tt z@H+H9*KQ=^Bu2ft4tWwMk?-Vg8vn*gOuBI2n7Bj7a<@#PpmVq%Oe&xa+>a)&Ii*(Y-n`|X6Y zzXkn~@D4{giNiTU9k&y~$)9v_Vw}XOlxR4&a}wu=q^nCcCvmw-G+o|t5?3uEajk{6 z5UnAtoMgydA|2X|lMJ;Y+C!&97ZaVK2cXI_(rxH%7{3tRp}!N|VGoFG_-{magbODb zF^uSqSO!fc-A7!2ena%#;9@s((!&j|belsA-0e7tyBF!{J_p6)> zHj#S<6Jsw;BKLCTBwl{R#0x{?g}*%ZI!i3P8aav5>w9A9{gjhtdDdHCRJ0}V1O5B6c!yrH65wrrD zPCUn@5wCGo&^yFy{Cwg)z8U%l@eU5+B*6;e6H>@YLMoJGWT-YL3DqN`Lj9rB$>^{h zoFwcB858z3^a=5uWWY%#4IqA#=0aBx|4BvAVPZoE!&TPl6_& zfL4-mlkY?SAmhV(bCU4EBse@8x{`#1ABNVF3E_{S%0J1(Dg8Og6ju^DWf%#aI*f!( zT}mcRT?x%6lcrUZ$up*t@EHo|W-@6;H3^+@mxM>WB%z9~oJ65brYMF(gUHm#5>66% zmQ0Jn{i24G=}`sHDl%i187GTTjDK_jiHt^MN1r26(HEfK zkXbQ$oFt|XiH?bYE+R28<%3m#+sFZh!zS?Iz^7W$H< z3pYS_k!1@J#S3qd<*^=Q#p0f1`C@NQvN)8iT)Y)pKvu;K<|J|cWOW?s$L%6(;!r=X zovdAIK-MjrNY*YxU@qH75|$^C^()qsgca!EigQG{vXCUM$|uTIZJcD)J+fi-RVCTD z<_g)c<_}J?R+A*JeMM5%y(GyArko_fo@`2pf-Wbi3B}NAl9uon^dFMG9z9+kL^9T| zC!5!AAe)unlgvaHvN1 zU@E654aoKs1YXKyvLgk5U7zwd*|jN{osoy}Kl6`6Y zI7yld*`Jn84y3(+{f6YGBdeu*lDu^EEd3@qn1Lb8=tJ@|kfbsWlY-4WC)wPU9NO#y z4I_n{mqRy_Bg)MuVbqeM&EG-)M@lyT!$~rANNMIYsDd2L{0e%89Lq$sXTB!Kv+(e& zVdO+sEHsIfW#NHYKauh+I-F!ncT%y%7aB%RZpkB+Tb{vwL29>JbCRuYt*Ooa%Ni}qO9AtoSfT+Oth_q)MraMNw%CcWMg&99#76^qvzSBo3!t|K{|HnlCO7-QBlbVwB>SK8?guax57_V?2b8?$ft$QnuChPxox7Iz$$i6*Jh+%2 zm0!h=IrM?|EksNdj^q6b*FiIQ|HCu+fWyZ)$>DN-?BO4vPx-(j)A*nxaQ~5;{J0|@ zIZ2T&KfY)vbSxiSgehBei4Q6I#7Ti3#qd~hJ0DtteMgCc4^x&L=O>kX z~{M_mSeqPN4ets>_FQ^UXV^6K)7oTe8<4zax@n>f6OU{(@OV5tt zmz{0km)G^+SJW-xSJpN1%2nr#`8D-p__g)T{JI7sKA~YDuRMQ&PrM-GlP>(qZ@74f z-+1vIpL}U2pMu{oY`PrHr(V9mr#1HE(;MgW8I9-o%}w3;%qA>4O&NSv6UMyhJiqnI zMSk1WDn9$_kNl2idp_sdDxS3-<9D|u^LyI^`TgxB{DBS)O+L3Ho6qZb!KUbIu2vdy znno$obk59xa8^c}I1kR$AQLPV%)(#=SQ?nQkvmvAn3Yj~{L28dl>38i2J0<%2FnEN zB|i?91=d%-8*B?$ALG+tTfzDp7lLgA>t{L{EL)nc^MP9}Mqh zzz%@9T3Uc9a{*l}?*irl4zX+oJP0<}>IPUo*f6WpUR0((#aAZFZz+-?u{Y5W) z1va|B=!N58qXt-jodEM4AOR}_8#AB#=wSeOWegHfL7&7n?*lDm}n;ft+U=wW;!OntBu(blK0}Hd2 zf}H~kwVeP~4>sA>4XgodlC9{~^I%hKMXz1}3%3)8=_1%PJ8_sUDFLV2y#u@qIK%E9 zU?bS{L5W~ZU=f4ngIxieY2OX(Dp=&8_h8Ln3j1SVEnu_kbHJ{FMcMxW)(RG5e+R4$ zEZV^j>^j&S2Pd!_V6z>5<&>I6?SS(fz6I<6oa-nC*G;emj$&|q4L0BL1lTuViyZfW z-2z+a_%qnIV2d4_z`g^Eb(#(KJy^U`FxU@ZaZZ=Oegs?UbR6s_uq95vfc*@%TDthZN z*gDroU{Ao5uGhhyf~_B{1NICo$@LxBb57~OB@Py+$^QU14i=}$FQ~X-h(6e_U@3$D z273XP>^2naC0MFkFR)i&o80QaUW28(6@mQ*mgc?;>mc_7QBm=OVDb!FG90 z2KxlI)29d6KVZ!J6K5soz;b-tI88YL+vC#@j0fB8vjvQT?ekHt0u%uEj`RfV0(fAg zHJAq2{!zwYUBU8331FIFxuX$;atT=eCjhRDkOtNp>`cHCus&d?12e$-g4G2s z1M3HNHUwEz-XE+!1X)x*0PI}IdoXLT^C9<@fCB*=CJq9$0lYZT2+S7jLg-Imc3_u7 z8^H#FT?%sqvj=MmGXZk|Yn(C}%n|JB6mKvmuq#taz?{KartAQ70c(z^26F{#jW`H4 z7)*IB5~)=_1n_z!QmcF@U|VE7*f6m6$Z251!EQuizRO2|-HgP1m%D*=L`?&82m2;! zG?)k2*HO2?Ji)$=Y5?;ByEW@Rm^aw>v#x>pfPEL;6Ktds@W*Hla1`JVF{yx~!G4a3 z2O9(SQ*0%eFW8;fTrfYd+e^y9{K4)m*#{N?c6V7l*jTU!%Sylk!S1gR9~=bsXodLT zabOQucL5s@_GHydPH80%27J8M7BB?x+1j3{I05Wwf;e^)!Ty&Zj$J6&^W6@GXa0!B)UHW?A<2O{R*(Rn~+B3kzjvrLK>AvfxS;f#+1(j`;dx^DUSyGD|J3t z4A|ePpFV2NuLO|1WYqMA8aX@G(81u8JJf3 zTd?I|I_W=fHcI&lK<$iRz?Fcy87`=}3anek2C&s&dKt69)_}<}u7Rxu)6XaaTL;!X z(+(^F%m9BgWFub>)?dtOMH)HlW}g*bXq8f*-+lf(<;B z0JaOv?ocFH4w!AB2^a&jKlBgSZm>axrC@u&91FLA?FDlvybHDu%(?Iqm~ua$)8X}i z2LN3UM*-%7xg601%L5y7_!qE)V1tjW1!1 zRs#l>WdPOyjxUP^s|6cZCidhMSV)=Jlha_q<)W+4fK4nDU40g8Liu5^I)|{5s&AD$&(90B2WKpkh1Nys8|q4zRh^1Ho>BEvW7W_BGi2>Q!LhfGw(?4t5J{ zVYS$iZ^0HeqcX>EmhWP0saKIq*e^1p8=QGih*<+ zY}u*(V0XY)o=OC}3%26abFh10t53Co-3MEBx(Vz7*xJ)a!5)I)YZb6ZU_QLD#+U=kxv0Zw8xycS7nH97dBEKlF9K4)eHV+s1hBoA48gj99k}=b zOapBHrAn}_V0o8zgK2{0UdBU=C1ClN#fNBt9lV?jCIvfmc`leXSixm9&{zlT@MSd6 zxEuC=q_7c*!B`its1b?5ScZy68Z*H3z)Bip!McMLH{J%*2Rqt$0jvjDX_FI}0oYef zJ;8c{9c#J=W(ano=`xrR*zqe!^Tu+p@+PEtV`H$gE2F`bCV(feSOb~@R$O@iXa-hw zr3uU&tn#W6m<3qPm5*SSVAWTrfmwl_y6Oqm3#|6)2e95?XRh7>>jQSWc|2HOu)1bv zuzp}?o3S_<_Xn$Qj!^;*06f=x63`m(d@})9R%b#G5U{_my26FyN2XrJOu3eH6$P7p zXt1B#khzS!0~`j+9#u8Fxcbv8(<+|&)O@&CV)NdK;|-@2=>1YBrfAn zu;(3OEQEpm+9AfmB(PsPYQZLhz3kWz77q48{A*v04Dr8jAd^daOZwn<7REJp{WQPn z&e|s6PuT;9X!d4~!!&Kg|GdDzA2*91@t+N_XOBb+Klit1p2J~X60JR=Wj+Gd8_~iK z=j~b02v{AWRU}$Lk)5|9Zkjgu<6!S0nuFLh*y1mC>{*p)seYpKi<{;+)vs_4x}zQQ z+`;i<8GCk7v{b)%Q8m!a10M@KP_R<8K8jYmXif5jW#b8}Otex&OZ5wrv!0sc@BRI_*mf^XTc-L2N2U*+_p4a2#2Xj-dc(RVTKTZ>XT?cAsQy8ui9VoVKCpfe ztrMcH47I09$$4$ok)E~;p(2FG;Q%0p~O}bzrljd-j0HG75|4n0SC3M L={;Ig*Y5uV_(JJY delta 18546 zcmZX+2UrwI_rBfL-6-RL3@XBqF(6Tr7|DtRK}A8uIEXnQDn^7ckr6?~5(A(jL0t(7 zsEA-73nIRo%-MBU*RZa6^?Q0+cm4gp_qu$a(|1)@SD!j{s;YrK{lKj3f!X>Kq&SJ( zrX@*a2Zhy@j!Py9qV@P+Yh4OhL<&l^Q%M53PqR`{eOD^Luq`OE-2$r}7I{P`rJ-nI z8o-A%6g?HJty{lYebQm|Ob0s!i~LDFGf>?l1K@rJid5F>ZQm@-?cb~eu*l!kY6q%Y z?m+cRJ5Z#u!ZK0xo<7MWJIE&*yHh3IDdaOP6ojF!yKtM!F5Gr|7b>gKxmnjXWE>PqNaR+MFl9DUI2>}qG&KpDnwCYA%Jxez*Ks?2*9=%t4V_o zlSDdr0IVnfTCqpIvF=AR_Xl{&o7#O;$wg5@KdG+%6lK#tXtDoC+|&0n?(wBRd`7V^_4)#S z^fMlP{|g>HDsvILBXc3!m3Ad;0vSVh5_Sg}ORZUU2eo3sTUj=N)(F;24mE=5?;MJr zZ~&=1iehs?o{J%Y7G3zoeM zU7JTw%JBF~8Ng(16a{F*dJc;$rmMQ4Xk|A5d3O{^yTdvrSh<2Vyay^Rr7b;BsksNh zVjUFC(1FGFL{St??unwLo&YwwC^FWCbq*F;Mg8>f?rZ2R2)(HXzFrOSZvZ~u5KT=ng!NglUI^B9Bh=kQ4UADq-x#3U7)8Z`HO>S@@${Mr ziheZ#SZ9i&2vfwT%#2MWNi@q0MZ3&UG^`hj5X49`ut*x6)Ef^^qt8Uy*asD#_C|4^ zzNo0OPW@|*Hpea7=uhUjC6g}fC&*3<)kUT#R+g%(8c~9+R98><#%ik6(#v1i*|7%6{|HdSCcz71gutS?!)ZAVrRicb_P+dI~Wv!#?O3Mkdhvo^g zmkt?#PGHU=xeP#)Dl5_%7G`SK1hs)|rP@I5R~^X=MvLJYhWtd+Cv!dM$5Gs7r1h|B z&WP>{;Pm<1+DtrkaNzop+dD6_hV*j)*Ij@))S(;dJlEi5!exbUX+U!yaZ(od-~9_! z+oy6ex+{=dq}GWc3`4a?b7syL9PCaF0V=C)#x!=L0Zd92Y~o3{lshx5sh*E>=*ae9PKUcMn?T7+ zJf=RFvqMSxt8b0mr%MT5PxW~35Ip`q-4cSwn+9`Tson(6mpl+29}H=)Ljx~HbB5dl z*=p5Dz*tOQI$}s3eAllBbjd_+5ls!@y3mIcxhUFY0@|88iSwn337i>ipTrH~9?HV0 zwijnC_*9oXr0ab-OGx`*IKRXmzDNn>WB?g1UwcYDC*s~Gp)tL&`jk-E-!&kHDGSSn`~fTA-G_Jtw6XXJTBRQ|(yJL`!2$nMA#+%TJ-*yZo#T z82JiQ;Ds-z2|MU-bj)ZLr_puO|E;tk91nReixdat>`aWnm2g#?WESVlKi6jRRYNXV z`^7PTPPKL;z}8#yUD0xh41H-ghx31##pwaOoW)rQwVPD61*?*lCZW>valC|D&E&MH zayF;Sy^!^!K}+#w#j`mr@{-of<_3^gRNEaM%k7P@ekDv41A50xWnbwfyz^?r{MAxN5b( z(b^kowvemDR!-Hz>xG;VY=p28wOhpXfbGyEbQW*Ly+;VBCP3OEyvBQaH;J=mp~7fG z-Y()?$p;~B4C&T7JVS3Wrs?8EXiBk&)1n(+u==7)K8T~P8T9>te%Kko^~e2o5ty7G z=tMz2Qe_14!Y3in4QaXy--*7Bzz~0;ch_@$S?K5`c=kVZ^%BkzJ5N;%mJG+}eimNX z5`s`i7%%0tSzO>9ji`SlM(ZRg8xT%Q*vFg;4E#$O-;&cpghjJ;dPQ>AE;h z8^y8z1rN)zR26N=wlbb&zl$UZVJjy@ac4>2_hc^lz&4PN>~r!7;2-Wc@>$J;d{L`m znNGV{(s?PG8M2alhdnzIsSzEs3Q?g(?+9}@vH~%!CO$>B1fEtC5}GBaCL2UIRB-)Z z#L#oY;dIBGjpnZ7Jc+vC zI$WxY=|U9?Mtz}M1R^?h6dY9JE-iiHEEvZ10oEtSZ9QgLv__NAB^W@Q9^5#@RB;UA1h`ODQxZaaEXR6OZ(Hgg01=8R4rYPsj4YVRdY@g=?E#)j9PC% zTV2K1fqOgCF_D})owWh?b`=&X#6le31vci6IsJ75n$Qv_ki|wkUW+dEz~hCEvaA-} z@IqL%gvqpbBW8z8c)_n8kkPQI$V4w<;luYE@s2WKjWeXC5ePNeS7#%bc`a>btB~f6 zX#6J3H*J}z=$P}HxIVb@7sp*&7->sRCYz)Rb96+=4&uDk66UP|E!fPNl5XNoMGU)c zLeGt;Rvg;xCJX*=9^-0`Fl~**N!$%{=D$54+l`h+qV4og2%2Bw5D(pitcZ76j;Yh_ z|4iy`l~^6-kT5keg6+hfU^}b%vz^pdv1)2(SoKbWSV^a0__vFmNv)hXLW z{TwS%|ASSR*s>au5LUBGAGT|k%dD1k0R9!QGL5;cmc}+#ThoP=Y0hD_Gz-xs^+YT* zI^r_HC2{(k4t8j&Dd?Jn2-Q)|7%i=|?*k6ilQ>(H6(?aj>j*1`4Mdy}I&^R{HbFhb zL3B$&TwqxhhUi2xXGnDEm1HgmqME2eF{g`|6(%7J^RE%wlkQJJSo9QAhboKq6vNRp z6(ObfT{tdCMJ(y5!Vz*Vm21=1Az3(^sk6H5W40$Zp4FqdTd)`!h;O+(4a=#4s<%9A zAg-snv^5Q3VJPb>>@DzNVk;88p|~LygVK;HwxUOd;^x_-H$wdAR?ZH$ME<*(P-pR$ zTsoJgX22YRxig)MB_>oS12>vdcR|dArA+>FN5nwla_FrbjxgP39wvMWpKvY zCQKjJlo`#MF{{~L%mLuvGq}Z^xoi<#nuqQ8rCco2<}`I1H;eS6FSlX#rEEiTG~Uhy za~3jpy4Z*_W?2iGxgEDzVq^T@ZI;4q{psu-@U|7^fZ0yYg;)ujOl)>DF`KM*W@2r# zrssrBkI@0HH*D3^6iVrr9h@C~n~4#y5!~^$h7FCpiusBfKD2fx3T?l;-S)fNGj`(k zB+A`D_vh@wNu?h>D~JW{nZ-?{I$7|CGK(9D9@PWj(Z;(WHgu&Rwv}zWxp>y|DPv5Y zF*f8iGnBkzd|95E!1iEfvHHw1)|lBqo-%Q)Ir9tKkJz&oB$2fwcUUWSFl)`Gvo`E+ ztZilHKCIBZy*3jkxW)k=jBe#}y7YNAH(#I`bVDST7>^L-pU=mT-0f*~4tCS_bXYF8 zn0FA4fB(9arnz9V{3MqV$Hx(b8R326N&yji_;0Dl}xfr7B`S`yr2k;n2 zZD!a1^B79^rlh&;}}XrQP#!QWzl4HC1m02uC7A)mYQLuITx(Jhb&VAI%J)K?PhmO*n-6&KIBs zRk>RsHxpmU_7`$a^s>aL5L)7;fH>6~NS3Vw(-ll}jA6HRy&<191X9nWqm<^s8)*lDN+ zwg$T>dZrljZfprRomLm)h0m05V~C58APi}(8Lz>zF2W*-(q?y^~5bFe#ARv=@! z)8ck)Q{e|gdar`>=H0cK-QP8C>M0CvB^Shb2pe1>doJ))H>77Cvl`TX2sUh5C%In4 zL-=}OKm$*rpKFC%7M|pWli}iasTmaZ&6AjH!^I3MRD>OP3gPEZOHOfa5LH~MHbVa5 z0bR9i^%oA4hIGbh9Blk))M;)eEk1>;`1Uk*ZliFzQ7vZ{Rh$;CR@0ZK@KzDR=CB{G z7g1u0_4-H^hItgNuEOI2#F13F#{CTL3c#wa+SUe$pB_{jWYkif_s(*1R9Gy8mI3|w zEP^*cJY0$69YBMG9citw*9s6mBDvBtRT!qCYHkt<6cgpQCuvj1v)D2Q3i0xF^BgE1 z+G@{W$QFI~j6i&O`W6a-;xtk{B2e7Nxkuv^`n(oB%C5$oO|C(DC1<%KG_{t~<3_^^ z!ls^j)!eCc=0l9lB^}oP{^Ha5%E!6B3XAN-k0=zm+oKJUNA*+U6#7bv zD_TOBSdvs>^)#Zf)!1dG(yS(K3b#k*@O2U2Lucr6CUjsk7VXzf+;CdZ%q<}MzE1@E z#K8z{!SQ#WI7njJ+=pKLn>JZ?AAQooHKIz{C9aTU(#IBPTBy z=Bjq(+g9uWX`d@x_sU+kxLNV|ZkRBOACJ$0*9Eyp?Pf#n(@larpg+&%-SBy==Nw!; zq6-9hOwY~%{Ehx2T;U_sTz)ZD&ziY_4)pU}Ku0=m9>j^}3o?Lyn1?cFI%Ga%AYCoU zAX+KNVESf0KOXCY7JZq+cgH6Vei0c;FD>BrAZN~7h}+%h8A05sY!N@6YAxb5Y2+e) z1b*0p&AlOwnaWFe))O1-(iAlCauJ_Ryu`$V1kKap#k@X%>Z{_z#k?_WEO%lm=UFdV zA8}zC9l=XDuWzj^j^IO)pFB9^{Cg3+kgHU?dsUDP7o%785z2iDugML^>ZVFK!*N^? zzD)4!aG8nNpW{o=A8&E~AvQYE<&nHA0GgWuQ6104T;eVEQB`TuQhq2(ReLjWyuA@; zV$!@D!{Zf7~HxgaW(dXqfq7&bfWqs)EWqdm4i)1aF;PG=J)8)K5uD`lx>T=xU zC+kHcGx0UiG>TWJHOu+UNR_?CMnj|cX~=kH-&MF9#TVfRO8D$-SXr=wpG?SjF(((^ca4o)m#jWAZ`H9-hCh@?vXDzQqe_g}(C6mM>gt#nE5>C`c zbjCWqvv8X|N>xk8?zMbB5=!qx^L^-}wTO|=Yj_*sj9`k%=N-+kE8Qpk;(to?@pJjg%c)=i-PK|N9)ka6iO^{kX7Xx zix}P%@8=i8k3zc4j6v*9qt{~4L4|nuH;?7lz{dJ-LTh6ArLY~8ycMUA^%H}$HWsh6 zNy!hQ9*!8czz7_+74)H!-$R$y5Jev-`EE3KJ--x(dft)_-2nfDQ^f|}0fKyM zL`~~C4cMwro~kgrxdF2+TnsbSVjC`|7<_V+g74YLZ{%j-)TH{_mbDQ+n6Qao%FRZq z77)wMrq4I=f!JNRZidXIDVs5r^S<9`&7&{AV3w-B56q*(;`r&@e4GfeebHBK#dvo9 zzpc%uf5h>D+ya@lm<&e8^X}YY%+M=FyfHl%k8c2&1j0(Dnum+UbK<2`d{@N^Eu8GX za@B!UcqHz|DO1P`YZDOo5wh;$Rbv7lz%4;kst)j<|H5~~lyu${2x0N{Gp>Xzq3MZy z3Z0$Ed(bIKyc_jSLPQrQAz>}Um$82<;_B;Dypnl$vYftfL0|SI!->mj*lu2jo=rxs zi=reAcDEGXms|1ej>r_0tQ1Qw3ic}Dp*mDA6)mk1S~8+5Qh6C{)lXAYZ@5PIL}3f` z-%GC%PvI&*qpHxvh%LMioro{H8X9`vK8Lbv#YZ)6!2~Vag4gMp#=GrIgRvkD-j2m! zs8U%h+>YfKKRJ#S0_N+6C06K!Ayv6NR`|~N)#b3Cuzh(p_SWD=U37r>G1jf4ESRsy_3N&<2L;thj04!oNe3Srp@0ue6#5AuG?{I zocOLYx5MG_-|natN)p799y?$sijSQKn~vK7N1PF?e8G}s^3$;FM`ZF(@gr2#3Lxg{ zG;s+K<{g*zEsves$(M0kWtRU12H8rpDW+jMy-2ZyZ4>)uy9SH4T5?g=v^6 zOg(J*GlfCjf=m3=!-~tq&;GvsGy@+IGKCgO$WHO&T6-k+fdlvOg8)ST@01yd0e*N7 zoVF*YvcBlqw8841)}Vr3#~| zMvILir3&L1<22(8sltRe(J|43jxz~2nFGx=DK$AERhSx^+L<~+n@q2n-jpiLPMI~E zwMrGe4)?0+byliS_HOQdz4tAtqR;F;EBmaGD*6WWoz_<&RhYY)k2VjID*8?Bx40i3 zWT9>$w=jgBu&A?WlqxJuEgdWeKrdO|w7er#SPi!FvkHJdu=?BTom641v`)9)E>+lc zu`#eQh7Pj{uo(kwvbko1j@XW~4Y!>mRVr-n*uJ!VEmicN-+yiY80cF&bvvn4VV7x_ zZ&w7pW%u0fl~iHxVDDw`4gJafvHkB-g#+)Pha@Uz1;slsuLqrx#9 z`h(+H$8*ph9UnP9kt&=RCvB%5(8o^ioIXkw1GEO14(P3vDxBv#uXVr!Zj>jBq8&>ODL zTwh2PZoHe08#?A@kc)QpE^vM2``=(6`>|-tfT4){(bH-jynR%zPYu@L->LK5Kl|L5qEAeJ()X_;&V1 zM}1v<1AWIr(|z~*=0Sh*ee4Sl`C0i5^K*m7_@((FM*JH5e(}35RrvSwAM8I2y3~K8 z(mzhB2v{DlIUqr*2s#zi9E9Kqx*PN==x?cFyzY35@zzpBa9!|E!M{osA^IWKA^oL_ zP>;}{&|v7c(1W4*QpJp%86`80OBG@2Ve&AOWV1S;I;d(K^xQ(Uww0%&C~>7<71Z?B=bTw@DRo25~lVcF?f6WpOK@ zC*tbj5UlZ?xsXlT?w~Ewx{&l~l2J&fe&~u~J3$`s~c?T~Ot_9L*dASB`CtR}P{(XGTs` z&MK)QH!3$NH&v?Ge|rDr{T2;r6a7y(K&wu)pSTKrR?e2A=jF4@*OsG0 z<+S{0d9hScp;ghRq91fx#j*;Fc|~!>#R{}nIioVF5}rIMJ!yKfH#Fqrf|GdBQyWh0 zJe38#eCole#~7p2M^B$SeNn2Ynpw4~3NEawsA{QdlPb>motb_n3|e>Q+8Ma8T3+41 z8Xc-$Rh?SB71~mLr~1BBan|JQfU|?7ikeY1iW(%In#(m0Y931!wMMm$wa(C`weht{ zQpLH3b2rW*h|lYsw>obNeRZMp1tsS1g~AKf7iyuOE^1#yFkZ~Mc8-yHSS*>J94c>oG+2OX@e*CqOUM|5ATls%X${uxUW_H`F&=Z@2}0*QnWu z*lM(DbZ_*6Ha6aDM5=E5r>R?$j#Sa)(G;R=ngruc)89?+q>APN&3?_u;mvcJqni<> z&AXa^XfA=iZjrQTNEI#SEyG&ephsKIwZLO7w_5&cc_UR^in+AyQYN(c(#1<~{iVB? z-dw^^wz{^CYYl;xw$`^|7PQ`Peb@R?s%X<`vueYOwI#Jp$!^;ZqpGdF4P)H)>@s^9 zV|;n}<;2U#jF%5xK7F}bs%Q^sU(k-RYfot3+nys;T$yxb@s&tu`IY7?tx`pYw8OLm zW8C4<5z>Jd>e$y&)=^%$u#jKQk#h;RkMQ`XhJT$ZZy)KbIbAu1k;tYo$7N~I?aT?; zE$CCGT-%6|X!l|&w5LHMnM&;=(6h`*?e~nNn+9{Ln-6p{bGlmrw4ABx_6Yh1bEdmF zBk4YbsqP*HO<>M;zXH9@)bx-sk{&utZI7|gaOPZ(Y-lNSUfJU*j1SC3oh3}2&I3lG z^Mq;W*@=<#lrxPz2SWXr=AJvDc}z>sADK(K+Dxl%AS2No$6VG;fo3!9x|g80nJapl zj6~0r>5#`V5_tx5RsT67F<_Y=4TeH}nV$@1KxZ-642q%E%yol58KuPFFXo1!4I?oe z%G@%{W^Nl^VeT05%v~cl=9bX}=DyKs=7G^O=Ap4E^T>EIbKiIwBQajZ{ATC`uBx;U37>Q#6>EzVLNSyAH&I67!k^%KZeZXr*;@p`?oXeq&q|2aYMl$Fo zkq(wJlEKDAV{j;R5z!pH8>;+)bRB#h#uK77_$ARAa)-!Epc(dWiJ( z{u%m^^cz`AEPT2%5+6Nc=@S5*L9BeXL-UEX&o$^XV&iMZNPO*xt?x!?0_pEt1#KdB zeuj+1&y3jng+RlJgWqZ5=&wnf{AUs60RKux;(vxX`@?OcI+KB;+@a&hpix_(xn%ID zJJ3JLkN^`#63~YX4ZxcREGEMON}(0RCEz6^3FL`uAl@+0o45rohsG24(Q(9MbQ$yp z@fb6gc#dg+z9ODu{Ta#FX~ZjNKO+e$R+8bt5=Ii-m5c};2@NIQA?b`H@u`L!(LH#G}wsGJ4`|=qoa2 zk|`sZ#*(~gtiX*bEF8Ba;Df@dTO2?=caLGr}vNRYWmsF2;Y>S`s!3kv*%5%$!vN?I7W^yE2m5W@Ogv zsn7*v_UvQO<7Cck%;z~QnLEcGI-Ja#gW#OAnarQ-!bs+hAq(clK-0;>xo4qQ$f9{k zjAY(kvUnjpu+WJtSqKj-j3SW>;emzaWa+~DnEwmkkY$S;7|Eg$WceZ`bQ_6UgeYEg zm8^&uMpiEAPF5^&VXTl(ut8ojwEX$Q9p7USsRJ^k!>V;c{j2yYAlJ4 zLSRPiA~7psN$kpK60;I7xUz~USM4Y3S7#ID>J~<_`WD%^rd~-lt*s*)*S=yT(Q0IK z^fMB-?kU+EBWENrHY7e~26P!oh&cp3P7-6@LO+qDSiEtpKS_>_CMmH>lA`>Hq^@@$ zDeI>~&yX$aKa;c#yUCUf7fIU27_xQKE0VU^kfd%NNzykrldYToCMj{4%5lnWWLq2p zFD{sDk1HTM;y#ef_&~BVK9|t=zsRnHkt8c2i;*N`lidj&(1&DCVsA#0=s@-+rjdP# zf53i0vXhY2l3Ykm65cGSo#ZBC2$Rjo{$wPnWNg@yzc7*%o*YbZhmIq8Da)YiNxm|r z1V%Y2Ncj=^8!1Zp#7I)Rki)5ypwq~a)Wgt|_X8B>6q31kQENo5D^X<-NN?ZOV(JDnZ6 z_YNc3`;c|nhpD*Ff_2@uj&-C_t69(N7pzzAB6j%xGS>UxJ9cCqVj^!8 z>yx(zn!x%Vn!@@WDqago-=d-crYFOoYO?LhH-`Ncpa@ma+UbCAnX0V&8(%9^dKWJEY^)<>KjJjT&G>I|l@tHBxi)Y*z zL!BhB1TYhw=fb9WuGRX$p3+8C*2(}N*+0+nBnGHC=^d?{q z;2_fmz+A9_X02fR!G@Ssf*k-G-0LjZL9k)H3c>QghW5S%b_mS1cOzInm`k5EUPz>2^;`}%_&2JfO+>5yl@O`goPnkF_@1< zC$JK*krs_$rC@#*Wng7szLo>Pj)RS|GzL2X=5KiitQ;)RvKg!bEWj!NtP)H)#%dto zNx;!o?*LB$23g$$I}JA0dOKJZ*m&z0urpxeY>dIG!9r}*!OnsO+l&FL0h?$u1gsWp zf{ozSb6}x1f>+OjO|lh+=>pgkTVa?kDgh_kz6PuVoMw9qupVq`{}`|auo?a5f;ECo zx08Z3fra(|8>|^jVOIp!0v2w!9qba=OuJWLtzfh5Zh*Cc&9e6fy9_qh-VUrCY>xf! zj8a|i3gCSE{{eOY&T|lg>nhkn2O+rr2e!cBDAg8c#( z;TR6~E7(%UK(K3Ik&frUu7fRiJOXwDY?=js|>r$}4z*1bNfV~Dwc0C04H`o@}tzd7!Qe9s#>Po%0fLmR!0louF za}(VE9xTI6aQ_FebT<#Mk6_!~tiV2jZF64$_77O5dob8%upM67U|+!SLu$rM&VcRo z8p5c{30Rg_Z!i{YmsbiH2e!vcxdM;}+&$a{Pz`Y3aC5LuV0%aCf^`PV89~6*!Lq#( zgmMYk{t*a5c^9zUk$1tQU~e%Ap_z$*Rfz)Zm^ z{D*;=ft~X23)Tzlq<8IBgV}*Kgy@0UgVl!y zgE@dTg}Q+`f;EQbgE@h}nY1yW9n=W9B3_$W>m=DsByKEPjKiHk9Ghm~@?nmW=1%TaKDLgn3?BPn`!K1+*tYN{%fIVLQlu??=#{xcz zwge0Ud=lLq6~}@779)(^c(6ZWgs}?-`+f5^un@3ko7aI&0DBr|2R0Gxd7K<<64;+{ zE5Jg*UdGJ;n+*0M4hxoi3fNz9Sg_<%!Cooj4FIPB{vEFdI34hHyx{&BU~l6E_bb5O z#3PN$!@%ChBaO;ug1t*X#*~MHeT+xOl+ObDkT4f)HrPK2L11&hJ|$Fv%?10Ca0qN3 z*ylubMngVds!Spz;VEM+U%qe+W;odUI(@jOfN?%1Kb2?ko_8PGoXHsH&`5)QH~{8JeXn5DzF4Flbk7F ziD1ULsbEQ9X1S4I$zZ1YJHS%Fdhf3QO9kt7fCt+G)_4DJU}<1|4h#g_iv1tycR(L7 z9nkzhCSV3CS{_&fwhheUz-zGWVAcnI0ows)b#N_MCYbHP>0mp-Z1VKLD45;BPhh*i z`sWpZWq~>5rGV`Qv(LK;wg=29?;MzNFQDV0Xuy4d&WC0IW`hmLR|Crd8+7OiST5MW z{MBIl!G`2d1v>yXxL`5ZL9k&3L11}cLkk{(9RhPLXa>s%b18HJD*$sZ)CDU9b1Tdj z#<&R3voIO(FrY_K4A>E{;YDF!N5Q;`TEKn)^DZg|I|er5upF!y%%|uduoAG5hsS`G zg83bG0xJXaJ^T#pIM}GeSHMny`Im%%m4hh*ONIbe00xvK09FEyDOm`15^Qvd@Fu6g zf=YxpISn?pRB&|_*!U8`)n~xQl^y`A1`8>T2RjQETxJAT12(aYfYpLcC=)t(4lJ}x z=-_$m|H!1W2EYq|Q_7A2UId$5_8zPbY+Bh(uzIkm$G?CzfXz65AFL5<`UwZHCa|y* zI$+IUiW67CTEN0jRDxXsn^`UlXDit36T)z|fz2w9VU!y3%Ybvs1y{EN&M7ZO#VcU* z%eRAdfX%Du2X+-~VTBaze_#tLR)GBowzwh`>?g2A6~c@B47Q{~c#&VgA}WQM^DEfW zN@3<)1B*P_8|*sRa^=a+fHwe_ofHD;Cg6&bLLl7&i#nABb{lNfsTi<3U@K2O2D=Nk z=2Q#VJ+RfMFM{0%i#}Zl_5f^cl`u;lg2hw`v*Z!jx~ev?$6(5;GO*vU|0A(y!T_HD zZaCu&_&X}DKO;<&KfpGf5vIvgu#MG%tDk|zRST~E6Kr$!RvU_6jWV>>#kez*5c{fV~Dwt_f!}l=8m;x77Fmz5z_F5#H`C*wz~1?cRZ<)jET{ z2g|6HgM9!?uT28`2)4a;G1w=tZRc)-{R5VH?gH3nupQ@Z!M=de^V*EHJ_EM%LKj9u zpMYhZSN;je0`9s{3&;WPxsV6OgYCZ9156EU--UNzoxt{9ECuTfmUA%^OdTw{4iC|n zfbFjn9?}IYw{8QN6zpK#Y%mS519j-2z9!hAI&@IKEB1dRuO5j(Ukk9H9*IF;hKl+1 z31He_MfD58x`7qeUkB?BcBH-rtOwZP20Jhvupb(_gY^VE+Hea@7p%D9JeVHXu|}kM zeK}ZZ1Jb;{K3GYk7nsrj@OYy+pdnyc;~hXFu=2)>V8&o4nsmTSz$zQxgPDR=G))3C z13T5^0@e%cWYasa-e6TtH^BOUoo)^Q>kC%hY!7A*cBUDNlYT$2n&z2GKnuXL%_V@A zfajWbfLVdnwrGG^gI#ET0cHbszQqm97ObwN7g&F=i!F$LeLJv*7DT_kJy?Cq8!!j3 zrj~19j$nkzP^VC}6~j`fFuU2Vm3tnUKW(fSe073{~>+hA^B|7#QC!yWABHX%Mdzkh%0nfL*(c%%$%QcC!tcOMfKTjms%uK47;mF9Guf zyLGu5%n$7D<^5p(V0YS)Q}joH-ET)u(GLK-*Pa6w2==gj6Qi`&9}W26N+jSIz{gjD zQE@ETqbsdoL10g=l!A=|`>g|+OMg7rA00?s`oUnocL=c%0`{y!h=mDYPdm!NCW1Zh z$O4-L_NVZxz@3tX|1N<{F6k}l!>|VWmCoksKX$|K1K)L4?@xyeQMVTU;{bMayI{={ ztY?B{8jN2#f9kB>oB9kDs!aHHxnH;porKHSp(y<*T;gxw?P$m_SoqU+4VpSkeKcJ^ z3{2tzhQCC&qv5dd>%*#tRtpq=a$`qzU16#IP)7BrrNX7^4`W8VqU{#p5`VyANBg?L zQvK!1R5!Fe)(z}ef#I)G?5LAFEZ!Z~7Qw<_i`db}f`z{d`T7!`9(cTq`1n1rg}>Rr zZ)1C?+lYTyVeP3thT^Xv>}ZiEO7X`Hc9iqNJ^1q~Pt=?SoA&nttrV<8!O|WM>z-gO w6fBqFuWG(wEzGB