Master Lock

This commit is contained in:
waleed-lm 2020-12-29 16:51:52 +05:00
parent 3675f9667c
commit b87ee82cf2
114 changed files with 171 additions and 203422 deletions

View File

@ -69,21 +69,21 @@ Please see [release notes](release-notes.md) for changes and bug fixes in this v
Quasar can be configured by running the script: Quasar can be configured by running the script:
``` ```
$RV_ROOT/configs/swerv.config $RV_ROOT/configs/quasar.config
``` ```
For detailed help options. For detailed help options.
``` ```
$RV_ROOT/configs/swerv.config -h $RV_ROOT/configs/quasar.config -h
``` ```
For example, to build with a DCCM of size 64Kb: For example, to build with a DCCM of size 64Kb:
``` ```
$RV_ROOT/configs/swerv.config -dccm_size=64 $RV_ROOT/configs/quasar.config -dccm_size=64
``` ```
This will update the **default** snapshot in `$RV_ROOT/design/snapshots/default/` with parameters for a 64K DCCM. This will update the **default** snapshot in `$RV_ROOT/design/snapshots/default/` with parameters for a 64K DCCM.
Add `-snapshot=dccm64`, for example, if you wish to name your build snapshot *dccm64* and refer to it during the build. Add `-snapshot=dccm64`, for example, if you wish to name your build snapshot *dccm64* and refer to it during the build.
There are 4 predefined target configurations: `default`, `default_ahb`, `typical_pd` and `high_perf` that can be selected via the `-target=name` option to swerv.config. There are 4 predefined target configurations: `default`, `default_ahb`, `typical_pd` and `high_perf` that can be selected via the `-target=name` option to quasar.config.
This script derives the following consistent set of include files : This script derives the following consistent set of include files :
``` ```
@ -101,7 +101,7 @@ $RV_ROOT/design/snapshots/default
``` ```
make -f $RV_ROOT/tools/Makefile conf make -f $RV_ROOT/tools/Makefile conf
``` ```
This script will run `swerv.config` and derives the include file: This script will run `quasar.config` and derives the include file:
``` ```
$RV_ROOT/design/src/main/scala/lib $RV_ROOT/design/src/main/scala/lib
└── param.scala # Scala design parameters └── param.scala # Scala design parameters
@ -129,7 +129,7 @@ set BUILD_PATH environment variable:
``` ```
setenv BUILD_PATH snapshots/mybuild setenv BUILD_PATH snapshots/mybuild
$RV_ROOT/configs/swerv.config [configuration options..] -snapshot=mybuild $RV_ROOT/configs/quasar.config [configuration options..] -snapshot=mybuild
``` ```
Snapshots are placed in `$BUILD_PATH` directory. Snapshots are placed in `$BUILD_PATH` directory.
@ -153,7 +153,7 @@ The simulation produces output on the screen like:
VerilatorTB: Start of sim VerilatorTB: Start of sim
---------------------------------- ----------------------------------
Hello World from SweRV EL2 @WDC !! Hello World from Quasar @LM !!
---------------------------------- ----------------------------------
TEST_PASSED TEST_PASSED
@ -188,7 +188,7 @@ debug=1 - allows VCD generation for verilator and VCS and SHM waves for iru
TEST - allows to run a C (<test>.c) or assembly (<test>.s) test, hello_world is run by default. TEST - allows to run a C (<test>.c) or assembly (<test>.s) test, hello_world is run by default.
TEST_DIR - alternative to test source directory testbench/asm or testbench/tests. TEST_DIR - alternative to test source directory testbench/asm or testbench/tests.
<snapshot> - run and build executable model of custom CPU configuration, remember to provide 'snapshot' argument for runs on custom configurations. <snapshot> - run and build executable model of custom CPU configuration, remember to provide 'snapshot' argument for runs on custom configurations.
CONF_PARAMS - allows to provide -set options to swerv.conf script to alter predefined targets parameters. CONF_PARAMS - allows to provide -set options to quasar.conf script to alter predefined targets parameters.
``` ```
Example: Example:
``` ```
@ -202,7 +202,7 @@ If you want to compile a test only, you can run:
make -f $RV_ROOT/tools/Makefile program.hex TEST=<test> [TEST_DIR=/path/to/dir] make -f $RV_ROOT/tools/Makefile program.hex TEST=<test> [TEST_DIR=/path/to/dir]
``` ```
The Makefile uses `snapshot/<target>/link.ld` file, generated by swerv.conf script by default to build test executable. User can provide test specific linker file in form `<test_name>.ld` to build the test executable, in the same directory with the test source. The Makefile uses `snapshot/<target>/link.ld` file, generated by quasar.conf script by default to build test executable. User can provide test specific linker file in form `<test_name>.ld` to build the test executable, in the same directory with the test source.
User also can create a test specific makefile in form `<test_name>.makefile`, containing building instructions how to create `program.hex` file used by simulation. The private makefile should be in the same directory as the test source. See examples in `testbench/asm` directory. User also can create a test specific makefile in form `<test_name>.makefile`, containing building instructions how to create `program.hex` file used by simulation. The private makefile should be in the same directory as the test source. See examples in `testbench/asm` directory.
@ -219,7 +219,7 @@ The `$RV_ROOT/testbench/asm` directory contains following tests ready to simulat
hello_world - default tes to run, prints Hello World message to screen and console.log hello_world - default tes to run, prints Hello World message to screen and console.log
hello_world_dccm - the same as above, but takes the string from preloaded DCCM. hello_world_dccm - the same as above, but takes the string from preloaded DCCM.
hello_world_iccm - the same as hello_world, but loads the test code to ICCM via LSU to DMA bridge and then executes hello_world_iccm - the same as hello_world, but loads the test code to ICCM via LSU to DMA bridge and then executes
it from there. Runs on EL2 with AXI4 buses only. it from there. Runs on QUASAR with AXI4 buses only.
cmark - coremark benchmark running with code and data in external memories cmark - coremark benchmark running with code and data in external memories
cmark_dccm - the same as above, running data and stack from DCCM (faster) cmark_dccm - the same as above, running data and stack from DCCM (faster)
cmark_iccm - the same as above with preloaded code to ICCM. cmark_iccm - the same as above with preloaded code to ICCM.

View File

@ -2,10 +2,10 @@
## Configuration ## Configuration
### Contents ### Contents
Name | Description Name | Description
---------------------- | ------------------------------ ---------------------- | ------------------------------
swerv.config | Configuration script for core quasar.config | Configuration script for core
swerv_config_gen.py | Python wrapper to run swerv.config quasar_config_gen.py | Python wrapper to run quasar.config
This script will generate a consistent set of `defines/#defines/parameters` needed for the design and testbench. This script will generate a consistent set of `defines/#defines/parameters` needed for the design and testbench.
@ -28,7 +28,7 @@ This set of include files :
While the defines may be modified by hand, it is recommended that this script be used to generate a consistent set. While the defines may be modified by hand, it is recommended that this script be used to generate a consistent set.
### Targets ### Targets
There are 4 predefined target configurations: `default`, `default_ahb`, `typical_pd` and `high_perf` that can be selected via the `-target=name` option to swerv.config. There are 4 predefined target configurations: `default`, `default_ahb`, `typical_pd` and `high_perf` that can be selected via the `-target=name` option to quasar.config.
Target | Description Target | Description
---------------------- | ------------------------------ ---------------------- | ------------------------------
@ -38,6 +38,6 @@ typical_pd | No ICCM, AXI4 bus interface
high_perf | Large BTB/BHT, AXI4 interface high_perf | Large BTB/BHT, AXI4 interface
`swerv.config` may be edited to add additional target configurations, or new configurations may be created via the command line `-set` or `-unset` options. `quasar.config` may be edited to add additional target configurations, or new configurations may be created via the command line `-set` or `-unset` options.
**Run `$RV_ROOT/configs/swerv.config -h` for options and settable parameters.** **Run `$RV_ROOT/configs/quasar.config -h` for options and settable parameters.**

View File

@ -86,7 +86,7 @@ my @unsets = ();
my $helpusage = " my $helpusage = "
Main configuration database for SWERV Main configuration database for QUASAR
This script documents, and generates the {`#} define/include files for verilog/assembly/backend flows This script documents, and generates the {`#} define/include files for verilog/assembly/backend flows
@ -527,7 +527,7 @@ our %csr = (#{{{
"mask" => "0xffffffff", "mask" => "0xffffffff",
"exists" => "true", "exists" => "true",
}, },
# Remaining CSRs are non-standard. These are specific to SWERV # Remaining CSRs are non-standard. These are specific to QUASAR
"dicawics" => { "dicawics" => {
"number" => "0x7c8", "number" => "0x7c8",
"reset" => "0x0", "reset" => "0x0",
@ -907,7 +907,7 @@ our %config = (#{{{
"testbench" => { "testbench" => {
"TOP" => "tb_top", "TOP" => "tb_top",
"RV_TOP" => "`TOP.rvtop", "RV_TOP" => "`TOP.rvtop",
"CPU_TOP" => "`RV_TOP.swerv", "CPU_TOP" => "`RV_TOP.quasar",
"clock_period" => "100", "clock_period" => "100",
"build_ahb_lite" => "0", "build_ahb_lite" => "0",
"build_axi4" => "1", "build_axi4" => "1",
@ -1654,7 +1654,7 @@ our %widths = (
); );
#}}} #}}}
print "\nSweRV configuration for target=$target\n\n"; print "\nQuasar configuration for target=$target\n\n";
dump_define("","", \%config,[]); dump_define("","", \%config,[]);
@ -1966,7 +1966,7 @@ sub dump_define {#{{{
if($value =~ /derive\(.*\)/o) { if($value =~ /derive\(.*\)/o) {
$value = eval($value); $value = eval($value);
} }
printf ("swerv: %-30s = $value\n",$key) if ($value !~ /derived/); printf ("quasar: %-30s = $value\n",$key) if ($value !~ /derived/);
} }
} }
} }

View File

@ -17,10 +17,10 @@ class SwervConfigGenerator(Generator):
{"configs/snapshots/default/common_defines.vh" : { {"configs/snapshots/default/common_defines.vh" : {
"copyto" : "config/common_defines.vh", "copyto" : "config/common_defines.vh",
"file_type" : "systemVerilogSource"}}, "file_type" : "systemVerilogSource"}},
{"configs/snapshots/default/el2_pdef.vh" : { {"configs/snapshots/default/pdef.vh" : {
"copyto" : "config/el2_pdef.vh", "copyto" : "config/pdef.vh",
"file_type" : "systemVerilogSource"}}, "file_type" : "systemVerilogSource"}},
{"configs/snapshots/default/el2_param.vh" : { {"configs/snapshots/default/param.vh" : {
"is_include_file" : True, "is_include_file" : True,
"file_type" : "systemVerilogSource"}}, "file_type" : "systemVerilogSource"}},
{"configs/snapshots/default/pic_map_auto.h" : { {"configs/snapshots/default/pic_map_auto.h" : {
@ -34,7 +34,7 @@ class SwervConfigGenerator(Generator):
env = os.environ.copy() env = os.environ.copy()
env['RV_ROOT'] = tmp_dir env['RV_ROOT'] = tmp_dir
args = ['configs/swerv.config'] + self.config.get('args', []) args = ['configs/quasar.config'] + self.config.get('args', [])
rc = subprocess.call(args, cwd=cwd, env=env, stdout=devnull) rc = subprocess.call(args, cwd=cwd, env=env, stdout=devnull)
if rc: if rc:
exit(1) exit(1)

View File

@ -1,90 +0,0 @@
// SPDX-License-Identifier: Apache-2.0
// Copyright 2018 Western Digital Corporation or it's affiliates.
//
// Licensed under the Apache License, Version 2.0 (the "License");
// you may not use this file except in compliance with the License.
// You may obtain a copy of the License at
//
// http://www.apache.org/licenses/LICENSE-2.0
//
// Unless required by applicable law or agreed to in writing, software
// distributed under the License is distributed on an "AS IS" BASIS,
// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
// See the License for the specific language governing permissions and
// limitations under the License.
//------------------------------------------------------------------------------------
//
// Copyright Western Digital, 2018
// Owner : Anusha Narayanamoorthy
// Description:
// Wrapper module for JTAG_TAP and DMI synchronizer
//
//-------------------------------------------------------------------------------------
module dmi_wrapper(
// JTAG signals
input trst_n, // JTAG reset
input tck, // JTAG clock
input tms, // Test mode select
input tdi, // Test Data Input
output tdo, // Test Data Output
output tdoEnable, // Test Data Output enable
// Processor Signals
input core_rst_n, // Core reset
input core_clk, // Core clock
input [31:1] jtag_id, // JTAG ID
input [31:0] rd_data, // 32 bit Read data from Processor
output [31:0] reg_wr_data, // 32 bit Write data to Processor
output [6:0] reg_wr_addr, // 7 bit reg address to Processor
output reg_en, // 1 bit Read enable to Processor
output reg_wr_en, // 1 bit Write enable to Processor
output dmi_hard_reset
);
//Wire Declaration
wire rd_en;
wire wr_en;
wire dmireset;
//jtag_tap instantiation
rvjtag_tap i_jtag_tap(
.trst(trst_n), // dedicated JTAG TRST (active low) pad signal or asynchronous active low power on reset
.tck(tck), // dedicated JTAG TCK pad signal
.tms(tms), // dedicated JTAG TMS pad signal
.tdi(tdi), // dedicated JTAG TDI pad signal
.tdo(tdo), // dedicated JTAG TDO pad signal
.tdoEnable(tdoEnable), // enable for TDO pad
.wr_data(reg_wr_data), // 32 bit Write data
.wr_addr(reg_wr_addr), // 7 bit Write address
.rd_en(rd_en), // 1 bit read enable
.wr_en(wr_en), // 1 bit Write enable
.rd_data(rd_data), // 32 bit Read data
.rd_status(2'b0),
.idle(3'h0), // no need to wait to sample data
.dmi_stat(2'b0), // no need to wait or error possible
.version(4'h1), // debug spec 0.13 compliant
.jtag_id(jtag_id),
.dmi_hard_reset(dmi_hard_reset),
.dmi_reset(dmireset)
);
// dmi_jtag_to_core_sync instantiation
dmi_jtag_to_core_sync i_dmi_jtag_to_core_sync(
.wr_en(wr_en), // 1 bit Write enable
.rd_en(rd_en), // 1 bit Read enable
.rst_n(core_rst_n),
.clk(core_clk),
.reg_en(reg_en), // 1 bit Write interface bit
.reg_wr_en(reg_wr_en) // 1 bit Write enable
);
endmodule

View File

@ -1,3 +0,0 @@
/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/gated_latch.sv
/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/dmi_wrapper.sv
/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/mem.sv

View File

@ -1,14 +0,0 @@
module gated_latch
(
input logic SE, EN, CK,
output Q
);
logic en_ff;
logic enable;
assign enable = EN | SE;
always @(CK, enable) begin
if(!CK)
en_ff = enable;
end
assign Q = CK & en_ff;
endmodule

View File

@ -1,173 +0,0 @@
module mem #(
parameter ICACHE_BEAT_BITS,
parameter ICCM_BITS,
parameter ICACHE_NUM_WAYS,
parameter DCCM_BYTE_WIDTH,
parameter ICCM_BANK_INDEX_LO,
parameter ICACHE_BANK_BITS,
parameter DCCM_BITS,
parameter ICACHE_BEAT_ADDR_HI,
parameter ICCM_INDEX_BITS,
parameter ICCM_BANK_HI,
parameter ICACHE_BANKS_WAY,
parameter ICACHE_INDEX_HI,
parameter DCCM_NUM_BANKS,
parameter ICACHE_BANK_HI,
parameter ICACHE_BANK_LO,
parameter DCCM_ENABLE= 'b1,
parameter ICACHE_TAG_LO,
parameter ICACHE_DATA_INDEX_LO,
parameter ICCM_NUM_BANKS,
parameter ICACHE_ECC,
parameter ICACHE_ENABLE= 'b1,
parameter DCCM_BANK_BITS,
parameter ICCM_ENABLE= 'b1,
parameter ICCM_BANK_BITS,
parameter ICACHE_TAG_DEPTH,
parameter ICACHE_WAYPACK,
parameter DCCM_SIZE,
parameter DCCM_FDATA_WIDTH,
parameter ICACHE_TAG_INDEX_LO,
parameter ICACHE_DATA_DEPTH)
(
input logic clk,
input logic rst_l,
input logic dccm_clk_override,
input logic icm_clk_override,
input logic dec_tlu_core_ecc_disable,
//DCCM ports
input logic dccm_wren,
input logic dccm_rden,
input logic [DCCM_BITS-1:0] dccm_wr_addr_lo,
input logic [DCCM_BITS-1:0] dccm_wr_addr_hi,
input logic [DCCM_BITS-1:0] dccm_rd_addr_lo,
input logic [DCCM_BITS-1:0] dccm_rd_addr_hi,
input logic [DCCM_FDATA_WIDTH-1:0] dccm_wr_data_lo,
input logic [DCCM_FDATA_WIDTH-1:0] dccm_wr_data_hi,
output logic [DCCM_FDATA_WIDTH-1:0] dccm_rd_data_lo,
output logic [DCCM_FDATA_WIDTH-1:0] dccm_rd_data_hi,
//`ifdef DCCM_ENABLE
//`endif
//ICCM ports
input logic [ICCM_BITS-1:1] iccm_rw_addr,
input logic iccm_buf_correct_ecc, // ICCM is doing a single bit error correct cycle
input logic iccm_correction_state, // ICCM is doing a single bit error correct cycle
input logic iccm_wren,
input logic iccm_rden,
input logic [2:0] iccm_wr_size,
input logic [77:0] iccm_wr_data,
output logic [63:0] iccm_rd_data,
output logic [77:0] iccm_rd_data_ecc,
// Icache and Itag Ports
input logic [31:1] ic_rw_addr,
input logic [ICACHE_NUM_WAYS-1:0] ic_tag_valid,
input logic [ICACHE_NUM_WAYS-1:0] ic_wr_en,
input logic ic_rd_en,
input logic [63:0] ic_premux_data, // Premux data to be muxed with each way of the Icache.
input logic ic_sel_premux_data, // Premux data sel
input logic [70:0] ic_wr_data_0, // Data to fill to the Icache. With ECC
input logic [70:0] ic_wr_data_1,
input logic [70:0] ic_debug_wr_data, // Debug wr cache.
output logic [70:0] ic_debug_rd_data , // Data read from Icache. 2x64bits + parity bits. F2 stage. With ECC
input logic [ICACHE_INDEX_HI:3] ic_debug_addr, // Read/Write addresss to the Icache.
input logic ic_debug_rd_en, // Icache debug rd
input logic ic_debug_wr_en, // Icache debug wr
input logic ic_debug_tag_array, // Debug tag array
input logic [ICACHE_NUM_WAYS-1:0] ic_debug_way, // Debug way. Rd or Wr.
output logic [63:0] ic_rd_data , // Data read from Icache. 2x64bits + parity bits. F2 stage. With ECC
output logic [25:0] ic_tag_debug_rd_data,// Debug icache tag.
output logic [ICACHE_BANKS_WAY-1:0] ic_eccerr, // ecc error per bank
output logic [ICACHE_BANKS_WAY-1:0] ic_parerr, // parity error per bank
output logic [ICACHE_NUM_WAYS-1:0] ic_rd_hit,
output logic ic_tag_perr, // Icache Tag parity error
input logic scan_mode
);
logic [ICACHE_BANKS_WAY-1:0][70:0] ic_wr_data;
assign ic_wr_data [0] = ic_wr_data_0;
assign ic_wr_data [1] = ic_wr_data_1;
// DCCM Instantiation
if (DCCM_ENABLE == 1) begin: Gen_dccm_enable
lsu_dccm_mem #(
.DCCM_BYTE_WIDTH(DCCM_BYTE_WIDTH),
.DCCM_BITS(DCCM_BITS),
.DCCM_NUM_BANKS(DCCM_NUM_BANKS),
.DCCM_BANK_BITS(DCCM_BANK_BITS),
.DCCM_SIZE(DCCM_SIZE),
.DCCM_FDATA_WIDTH(DCCM_FDATA_WIDTH)) dccm (
.clk_override(dccm_clk_override),
.*
);
end else begin: Gen_dccm_disable
assign dccm_rd_data_lo = '0;
assign dccm_rd_data_hi = '0;
end
if ( ICACHE_ENABLE ) begin: icache
ifu_ic_mem #(
.ICACHE_BEAT_BITS(ICACHE_BEAT_BITS),
.ICACHE_NUM_WAYS(ICACHE_NUM_WAYS),
.ICACHE_BANK_BITS(ICACHE_BANK_BITS),
.ICACHE_BEAT_ADDR_HI(ICACHE_BEAT_ADDR_HI),
.ICACHE_BANKS_WAY(ICACHE_BANKS_WAY),
.ICACHE_INDEX_HI(ICACHE_INDEX_HI),
.ICACHE_BANK_HI(ICACHE_BANK_HI),
.ICACHE_BANK_LO(ICACHE_BANK_LO),
.ICACHE_TAG_LO(ICACHE_TAG_LO),
.ICACHE_DATA_INDEX_LO(ICACHE_DATA_INDEX_LO),
.ICACHE_ECC(ICACHE_ECC),
.ICACHE_TAG_DEPTH(ICACHE_TAG_DEPTH),
.ICACHE_WAYPACK(ICACHE_WAYPACK),
.ICACHE_TAG_INDEX_LO(ICACHE_TAG_INDEX_LO),
.ICACHE_DATA_DEPTH(ICACHE_DATA_DEPTH)) icm (
.clk_override(icm_clk_override),
.*
);
end
else begin
assign ic_rd_hit[ICACHE_NUM_WAYS-1:0] = '0;
assign ic_tag_perr = '0 ;
assign ic_rd_data = '0 ;
assign ic_tag_debug_rd_data = '0 ;
end // else: !if( ICACHE_ENABLE )
if (ICCM_ENABLE) begin : iccm
ifu_iccm_mem #(
.ICCM_BITS(ICCM_BITS),
.ICCM_BANK_INDEX_LO(ICCM_BANK_INDEX_LO),
.ICCM_INDEX_BITS(ICCM_INDEX_BITS),
.ICCM_BANK_HI(ICCM_BANK_HI),
.ICCM_NUM_BANKS(ICCM_NUM_BANKS),
.ICCM_BANK_BITS(ICCM_BANK_BITS)) iccm (.*,
.clk_override(icm_clk_override),
.iccm_rw_addr(iccm_rw_addr[ICCM_BITS-1:1]),
.iccm_rd_data(iccm_rd_data[63:0])
);
end
else begin
assign iccm_rd_data = '0 ;
assign iccm_rd_data_ecc = '0 ;
end
endmodule

View File

@ -1 +1 @@
-1838958527 -1641150927

File diff suppressed because one or more lines are too long

View File

@ -1,3 +1,3 @@
[debug] "not up to date. inChanged = true, force = false [debug] "not up to date. inChanged = true, force = false
[debug] Updating ProjectRef(uri("file:/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/project/"), "design-build")... [debug] Updating ProjectRef(uri("file:/home/waleedbinehsan/Desktop/Quasar/design/project/"), "design-build")...
[debug] Done updating ProjectRef(uri("file:/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/project/"), "design-build") [debug] Done updating ProjectRef(uri("file:/home/waleedbinehsan/Desktop/Quasar/design/project/"), "design-build")

File diff suppressed because one or more lines are too long

View File

@ -1 +1 @@
["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/project/target/streams/compile/compileOutputs/_global/streams/inc_compile_2.12.zip"]] ["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/waleedbinehsan/Desktop/Quasar/design/project/target/streams/compile/compileOutputs/_global/streams/inc_compile_2.12.zip"]]

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1 +1 @@
/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/project/target/scala-2.12/sbt-1.0/classes /home/waleedbinehsan/Desktop/Quasar/design/project/target/scala-2.12/sbt-1.0/classes

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1 +1 @@
/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/project/target/scala-2.12/sbt-1.0/classes:/home/abdulhameed.akram/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes /home/waleedbinehsan/Desktop/Quasar/design/project/target/scala-2.12/sbt-1.0/classes:/home/waleedbinehsan/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes

View File

@ -1 +1 @@
/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/project/target/scala-2.12/sbt-1.0/classes /home/waleedbinehsan/Desktop/Quasar/design/project/target/scala-2.12/sbt-1.0/classes

View File

@ -1 +1 @@
/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/project/target/scala-2.12/sbt-1.0/classes:/home/abdulhameed.akram/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes /home/waleedbinehsan/Desktop/Quasar/design/project/target/scala-2.12/sbt-1.0/classes:/home/waleedbinehsan/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes

View File

@ -1 +1 @@
/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/project/target/scala-2.12/sbt-1.0/classes /home/waleedbinehsan/Desktop/Quasar/design/project/target/scala-2.12/sbt-1.0/classes

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because it is too large Load Diff

View File

@ -2,8 +2,8 @@ import os
key= "RV_ROOT" key= "RV_ROOT"
root = os.getenv(key) root = os.getenv(key)
infile = root+"/design/io_file.sv" infile = root+"/design/quasar_wrapper.v"
outfile = root+"/design/no_io_file.sv" outfile = root+"/design/quasar_wrapper.sv"
delete_list1 = ["if (dbg_dm_rst_l)"] delete_list1 = ["if (dbg_dm_rst_l)"]
delete_list2 = ["if (rst_temp)"] delete_list2 = ["if (rst_temp)"]

View File

@ -285,6 +285,3 @@ class quasar extends Module with RequireAsyncReset with lib {
} }
} }
object QUASAR extends App {
println((new chisel3.stage.ChiselStage).emitVerilog(new quasar()))
}

View File

@ -161,30 +161,6 @@ class quasar_wrapper extends Module with lib with RequireAsyncReset {
} }
/*class sbox(val word_len: Int, val sbox_size:Int) extends Module{
val io = IO(new Bundle{
val in = Input(UInt(word_len.W))
val op = Input(Bool()) // 0-> sbox, 1-> inv_sbox
val s_box_out = Valid(UInt(word_len.W))
val inv_s_box_out = Valid(UInt(word_len.W))
})
def sbox_gen(x: Int) = {
val r = scala.util.Random
r.shuffle((0 until x*x).map(i=>i)).toList
}
def inv_sbox(sbox: List[Int]) = {
List.tabulate(sbox.size)(i=>sbox.indexOf(i))
}
val sbox = sbox_gen(sbox_size)
val inv_sbox_list = inv_sbox(sbox)
println(sbox)
println(inv_sbox_list)
io.s_box_out.valid := !io.op
io.inv_s_box_out.valid := io.op
io.s_box_out.bits := Mux1H((0 until sbox_size*sbox_size).map(i=>(io.in === i.U).asBool()->sbox(i).U))
io.inv_s_box_out.bits := Mux1H((0 until sbox_size*sbox_size).map(i=>(io.in === i.U).asBool()->inv_sbox_list(i).U))
}*/
object wrapper extends App { object wrapper extends App {
println((new chisel3.stage.ChiselStage).emitVerilog(new quasar_wrapper)) (new chisel3.stage.ChiselStage).emitVerilog(new quasar_wrapper)
} }

View File

@ -1 +1 @@
1157466029 882153738

File diff suppressed because one or more lines are too long

View File

@ -1 +1 @@
["sbt.Task[scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]",{"hashes":[["/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/build.sbt","a7487a9519e56bfaf46b5c1967a665ac0baa0b73"],["/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/project/plugins.sbt","361bf1247779b42e03c86deb53015d6b2c401dac"]],"lastModifiedTimes":[]}] ["sbt.Task[scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]",{"hashes":[["/home/waleedbinehsan/Desktop/Quasar/design/build.sbt","a7487a9519e56bfaf46b5c1967a665ac0baa0b73"],["/home/waleedbinehsan/Desktop/Quasar/design/project/plugins.sbt","361bf1247779b42e03c86deb53015d6b2c401dac"]],"lastModifiedTimes":[]}]

View File

@ -1 +1 @@
{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.10\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":2531},"type":"LinePosition"},"{\"organization\":\"org.scalamacros\",\"name\":\"paradise\",\"revision\":\"2.1.0\",\"configurations\":\"plugin->default(compile)\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Full\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/build.sbt","range":{"$fields":["start","end"],"start":42,"end":43}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chisel-iotesters\",\"revision\":\"1.4.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/build.sbt","range":{"$fields":["start","end"],"start":50,"end":52}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chiseltest\",\"revision\":\"0.2.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/build.sbt","range":{"$fields":["start","end"],"start":50,"end":52}},"type":"RangePosition"}} {"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.10\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":2531},"type":"LinePosition"},"{\"organization\":\"org.scalamacros\",\"name\":\"paradise\",\"revision\":\"2.1.0\",\"configurations\":\"plugin->default(compile)\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Full\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar/design/build.sbt","range":{"$fields":["start","end"],"start":42,"end":43}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chisel-iotesters\",\"revision\":\"1.4.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar/design/build.sbt","range":{"$fields":["start","end"],"start":50,"end":52}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chiseltest\",\"revision\":\"0.2.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar/design/build.sbt","range":{"$fields":["start","end"],"start":50,"end":52}},"type":"RangePosition"}}

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1 +1 @@
["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]] ["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]]

File diff suppressed because one or more lines are too long

View File

@ -1,63 +1 @@
[debug]  [debug] No changes
[debug] Initial source changes: 
[debug]  removed:Set()
[debug]  added: Set()
[debug]  modified: Set(/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/scala/quasar_wrapper.scala)
[debug] Invalidated products: Set()
[debug] External API changes: API Changes: Set()
[debug] Modified binary dependencies: Set()
[debug] Initial directly invalidated classes: Set(quasar_wrapper, sbox, sbox_code)
[debug] 
[debug] Sources indirectly invalidated by:
[debug]  product: Set()
[debug]  binary dep: Set()
[debug]  external source: Set()
[debug] All initially invalidated classes: Set(quasar_wrapper, sbox, sbox_code)
[debug] All initially invalidated sources:Set(/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/scala/quasar_wrapper.scala)
[debug] Initial set of included nodes: quasar_wrapper, sbox, sbox_code
[info] Compiling 1 Scala source to /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes ...
[debug] Getting org.scala-sbt:compiler-bridge_2.12:1.3.5:compile for Scala 2.12.10
[debug] Getting org.scala-sbt:compiler-bridge_2.12:1.3.5:compile for Scala 2.12.10
[debug] [zinc] Running cached compiler 974fac1 for Scala compiler version 2.12.10
[debug] [zinc] The Scala compiler is invoked with:
[debug]  -Xsource:2.11
[debug]  -Xplugin:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar
[debug]  -bootclasspath
[debug]  /home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar
[debug]  -classpath
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar
[debug] Scala compilation took 5.292487846 s
[debug] Done compiling.
[debug] Invalidating (transitively) by inheritance from sbox...
[debug] Initial set of included nodes: sbox
[debug] Invalidated by transitive inheritance dependency: Set(sbox)
[debug] Change NamesChange(sbox,ModifiedNames(changes = UsedName(_closed,[Default]), UsedName(getPorts,[Default]), UsedName(suggestedName,[Default]), UsedName(getIds,[Default]), UsedName(getChiselPorts,[Default]), UsedName(notifyAll,[Default]), UsedName(isClosed,[Default]), UsedName(desiredName,[Default]), UsedName(name,[Default]), UsedName(_bindIoInPlace,[Default]), UsedName(in,[Default]), UsedName($isInstanceOf,[Default]), UsedName(finalize,[Default]), UsedName(mkReset,[Default]), UsedName(sbox_size,[Default]), UsedName(inv_sbox_list,[Default]), UsedName(bindIoInPlace,[Default]), UsedName(ne,[Default]), UsedName(forceName,[Default]), UsedName(addCommand,[Default]), UsedName(##,[Default]), UsedName(getClass,[Default]), UsedName(toTarget,[Default]), UsedName(_id,[Default]), UsedName(sbox_gen,[Default]), UsedName(toString,[Default]), UsedName(initializeInParent,[Default]), UsedName(inv_sbox,[Default]), UsedName(notify,[Default]), UsedName(override_clock,[Default]), UsedName(inv_s_box_out,[Default]), UsedName(!=,[Default]), UsedName(getModulePorts,[Default]), UsedName(reset,[Default]), UsedName($asInstanceOf,[Default]), UsedName(nameIds,[Default]), UsedName(namePorts,[Default]), UsedName(hashCode,[Default]), UsedName(override_reset,[Default]), UsedName(_component,[Default]), UsedName(word_len,[Default]), UsedName(io,[Default]), UsedName(parentModName,[Default]), UsedName(addPostnameHook,[Default]), UsedName(getCommands,[Default]), UsedName(generateComponent,[Default]), UsedName(getPublicFields,[Default]), UsedName(portsContains,[Default]), UsedName(getOptionRef,[Default]), UsedName(clone,[Default]), UsedName(isInstanceOf,[Default]), UsedName(_compatIoPortBound,[Default]), UsedName(synchronized,[Default]), UsedName(_parent,[Default]), UsedName(toNamed,[Default]), UsedName(_namespace,[Default]), UsedName(setRef,[Default]), UsedName(==,[Default]), UsedName(sbox;init;,[Default]), UsedName(op,[Default]), UsedName(sbox,[Default]), UsedName(toAbsoluteTarget,[Default]), UsedName(_onModuleClose,[Default]), UsedName(instanceName,[Default]), UsedName(compileOptions,[Default]), UsedName(getRef,[Default]), UsedName(IO,[Default]), UsedName(_compatAutoWrapPorts,[Default]), UsedName(pathName,[Default]), UsedName(parentPathName,[Default]), UsedName(circuitName,[Default]), UsedName($init$,[Default]), UsedName(asInstanceOf,[Default]), UsedName(suggestName,[Default]), UsedName(portsSize,[Default]), UsedName(clock,[Default]), UsedName(wait,[Default]), UsedName(addId,[Default]), UsedName(s_box_out,[Default]), UsedName(eq,[Default]), UsedName(equals,[Default]))) invalidates 1 classes due to The sbox has the following regular definitions changed:
[debug]  UsedName(_closed,[Default]), UsedName(getPorts,[Default]), UsedName(suggestedName,[Default]), UsedName(getIds,[Default]), UsedName(getChiselPorts,[Default]), UsedName(notifyAll,[Default]), UsedName(isClosed,[Default]), UsedName(desiredName,[Default]), UsedName(name,[Default]), UsedName(_bindIoInPlace,[Default]), UsedName(in,[Default]), UsedName($isInstanceOf,[Default]), UsedName(finalize,[Default]), UsedName(mkReset,[Default]), UsedName(sbox_size,[Default]), UsedName(inv_sbox_list,[Default]), UsedName(bindIoInPlace,[Default]), UsedName(ne,[Default]), UsedName(forceName,[Default]), UsedName(addCommand,[Default]), UsedName(##,[Default]), UsedName(getClass,[Default]), UsedName(toTarget,[Default]), UsedName(_id,[Default]), UsedName(sbox_gen,[Default]), UsedName(toString,[Default]), UsedName(initializeInParent,[Default]), UsedName(inv_sbox,[Default]), UsedName(notify,[Default]), UsedName(override_clock,[Default]), UsedName(inv_s_box_out,[Default]), UsedName(!=,[Default]), UsedName(getModulePorts,[Default]), UsedName(reset,[Default]), UsedName($asInstanceOf,[Default]), UsedName(nameIds,[Default]), UsedName(namePorts,[Default]), UsedName(hashCode,[Default]), UsedName(override_reset,[Default]), UsedName(_component,[Default]), UsedName(word_len,[Default]), UsedName(io,[Default]), UsedName(parentModName,[Default]), UsedName(addPostnameHook,[Default]), UsedName(getCommands,[Default]), UsedName(generateComponent,[Default]), UsedName(getPublicFields,[Default]), UsedName(portsContains,[Default]), UsedName(getOptionRef,[Default]), UsedName(clone,[Default]), UsedName(isInstanceOf,[Default]), UsedName(_compatIoPortBound,[Default]), UsedName(synchronized,[Default]), UsedName(_parent,[Default]), UsedName(toNamed,[Default]), UsedName(_namespace,[Default]), UsedName(setRef,[Default]), UsedName(==,[Default]), UsedName(sbox;init;,[Default]), UsedName(op,[Default]), UsedName(sbox,[Default]), UsedName(toAbsoluteTarget,[Default]), UsedName(_onModuleClose,[Default]), UsedName(instanceName,[Default]), UsedName(compileOptions,[Default]), UsedName(getRef,[Default]), UsedName(IO,[Default]), UsedName(_compatAutoWrapPorts,[Default]), UsedName(pathName,[Default]), UsedName(parentPathName,[Default]), UsedName(circuitName,[Default]), UsedName($init$,[Default]), UsedName(asInstanceOf,[Default]), UsedName(suggestName,[Default]), UsedName(portsSize,[Default]), UsedName(clock,[Default]), UsedName(wait,[Default]), UsedName(addId,[Default]), UsedName(s_box_out,[Default]), UsedName(eq,[Default]), UsedName(equals,[Default]).
[debug]  > by transitive inheritance: Set(sbox)
[debug]  > 
[debug]  > 
[debug]  
[debug] Invalidating (transitively) by inheritance from sbox_code...
[debug] Initial set of included nodes: sbox_code
[debug] Invalidated by transitive inheritance dependency: Set(sbox_code)
[debug] Change NamesChange(sbox_code,ModifiedNames(changes = UsedName(notifyAll,[Default]), UsedName(main,[Default]), UsedName($isInstanceOf,[Default]), UsedName(finalize,[Default]), UsedName(ne,[Default]), UsedName(##,[Default]), UsedName(getClass,[Default]), UsedName(delayedInit,[Default]), UsedName(toString,[Default]), UsedName(notify,[Default]), UsedName(!=,[Default]), UsedName($asInstanceOf,[Default]), UsedName(hashCode,[Default]), UsedName(args,[Default]), UsedName(executionStart,[Default]), UsedName(sbox_code,[Default]), UsedName(clone,[Default]), UsedName(isInstanceOf,[Default]), UsedName(synchronized,[Default]), UsedName(==,[Default]), UsedName($init$,[Default]), UsedName(asInstanceOf,[Default]), UsedName(wait,[Default]), UsedName(eq,[Default]), UsedName(equals,[Default]))) invalidates 1 classes due to The sbox_code has the following regular definitions changed:
[debug]  UsedName(notifyAll,[Default]), UsedName(main,[Default]), UsedName($isInstanceOf,[Default]), UsedName(finalize,[Default]), UsedName(ne,[Default]), UsedName(##,[Default]), UsedName(getClass,[Default]), UsedName(delayedInit,[Default]), UsedName(toString,[Default]), UsedName(notify,[Default]), UsedName(!=,[Default]), UsedName($asInstanceOf,[Default]), UsedName(hashCode,[Default]), UsedName(args,[Default]), UsedName(executionStart,[Default]), UsedName(sbox_code,[Default]), UsedName(clone,[Default]), UsedName(isInstanceOf,[Default]), UsedName(synchronized,[Default]), UsedName(==,[Default]), UsedName($init$,[Default]), UsedName(asInstanceOf,[Default]), UsedName(wait,[Default]), UsedName(eq,[Default]), UsedName(equals,[Default]).
[debug]  > by transitive inheritance: Set(sbox_code)
[debug]  > 
[debug]  > 
[debug]  
[debug] Invalidating (transitively) by inheritance from wrapper...
[debug] Initial set of included nodes: wrapper
[debug] Invalidated by transitive inheritance dependency: Set(wrapper)
[debug] Change NamesChange(wrapper,ModifiedNames(changes = UsedName(notifyAll,[Default]), UsedName(main,[Default]), UsedName($isInstanceOf,[Default]), UsedName(finalize,[Default]), UsedName(ne,[Default]), UsedName(##,[Default]), UsedName(getClass,[Default]), UsedName(delayedInit,[Default]), UsedName(toString,[Default]), UsedName(notify,[Default]), UsedName(!=,[Default]), UsedName($asInstanceOf,[Default]), UsedName(hashCode,[Default]), UsedName(args,[Default]), UsedName(executionStart,[Default]), UsedName(clone,[Default]), UsedName(isInstanceOf,[Default]), UsedName(synchronized,[Default]), UsedName(==,[Default]), UsedName($init$,[Default]), UsedName(asInstanceOf,[Default]), UsedName(wrapper,[Default]), UsedName(wait,[Default]), UsedName(eq,[Default]), UsedName(equals,[Default]))) invalidates 1 classes due to The wrapper has the following regular definitions changed:
[debug]  UsedName(notifyAll,[Default]), UsedName(main,[Default]), UsedName($isInstanceOf,[Default]), UsedName(finalize,[Default]), UsedName(ne,[Default]), UsedName(##,[Default]), UsedName(getClass,[Default]), UsedName(delayedInit,[Default]), UsedName(toString,[Default]), UsedName(notify,[Default]), UsedName(!=,[Default]), UsedName($asInstanceOf,[Default]), UsedName(hashCode,[Default]), UsedName(args,[Default]), UsedName(executionStart,[Default]), UsedName(clone,[Default]), UsedName(isInstanceOf,[Default]), UsedName(synchronized,[Default]), UsedName(==,[Default]), UsedName($init$,[Default]), UsedName(asInstanceOf,[Default]), UsedName(wrapper,[Default]), UsedName(wait,[Default]), UsedName(eq,[Default]), UsedName(equals,[Default]).
[debug]  > by transitive inheritance: Set(wrapper)
[debug]  > 
[debug]  > 
[debug]  
[debug] New invalidations:
[debug]  Set()
[debug] Initial set of included nodes: 
[debug] Previously invalidated, but (transitively) depend on new invalidations:
[debug]  Set()
[debug] No classes were invalidated.

File diff suppressed because one or more lines are too long

View File

@ -1,12 +1,12 @@
[debug] Copy resource mappings:  [debug] Copy resource mappings: 
[debug]  (/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/resources/vsrc/ifu_iccm_mem.sv,/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_iccm_mem.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv)
[debug]  (/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv,/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_wrapper.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_wrapper.sv)
[debug]  (/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/resources/vsrc/lsu_dccm_mem.sv,/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv)
[debug]  (/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/resources/vsrc/dmi_wrapper.sv,/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/dmi_wrapper.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/beh_lib.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/beh_lib.sv)
[debug]  (/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/resources/vsrc/gated_latch.sv,/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/gated_latch.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/lsu_dccm_mem.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv)
[debug]  (/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/resources/vsrc/rvjtag_tap.sv,/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/rvjtag_tap.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/gated_latch.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/gated_latch.sv)
[debug]  (/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/resources/vsrc/mem.sv,/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/mem.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/rvjtag_tap.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/rvjtag_tap.sv)
[debug]  (/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/resources/vsrc/mem_mod.sv,/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/mem_mod.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem.sv)
[debug]  (/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/resources/vsrc/beh_lib.sv,/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/beh_lib.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_lib.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_lib.sv)
[debug]  (/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/resources/vsrc/mem_lib.sv,/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/mem_lib.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_mod.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_mod.sv)
[debug]  (/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/src/main/resources/vsrc/ifu_ic_mem.sv,/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_ic_mem.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv)

View File

@ -1 +1 @@
/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar /home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar

View File

@ -1 +1 @@
/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar

View File

@ -1 +1 @@
/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes

View File

@ -1 +1 @@
/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar /home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar

View File

@ -1 +1 @@
/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar /home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar

View File

@ -1 +1 @@
1646129645 -330043167

View File

@ -1,429 +1 @@
[debug] Packaging /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar ... [debug] Jar uptodate: /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar
[debug] Input file mappings:
[debug]  exu
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/exu
[debug]  exu/exu_alu_ctl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class
[debug]  exu/exu_mul_ctl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/exu/exu_mul_ctl$$anon$1.class
[debug]  exu/exu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/exu/exu.class
[debug]  exu/exu_div_ctl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/exu/exu_div_ctl$$anon$1.class
[debug]  exu/exu$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/exu/exu$$anon$1.class
[debug]  exu/exu_div_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/exu/exu_div_ctl.class
[debug]  exu/exu_mul_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/exu/exu_mul_ctl.class
[debug]  exu/exu_alu_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/exu/exu_alu_ctl.class
[debug]  dma_ctrl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dma_ctrl.class
[debug]  QUASAR$delayedInit$body.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/QUASAR$delayedInit$body.class
[debug]  quasar_bundle.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/quasar_bundle.class
[debug]  wrapper$delayedInit$body.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/wrapper$delayedInit$body.class
[debug]  QUASAR$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/QUASAR$.class
[debug]  vsrc
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc
[debug]  vsrc/ifu_iccm_mem.sv
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv
[debug]  vsrc/dmi_jtag_to_core_sync.sv
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv
[debug]  vsrc/lsu_dccm_mem.sv
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv
[debug]  vsrc/dmi_wrapper.sv
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/dmi_wrapper.sv
[debug]  vsrc/gated_latch.sv
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/gated_latch.sv
[debug]  vsrc/rvjtag_tap.sv
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/rvjtag_tap.sv
[debug]  vsrc/mem.sv
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/mem.sv
[debug]  vsrc/mem_mod.sv
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/mem_mod.sv
[debug]  vsrc/beh_lib.sv
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/beh_lib.sv
[debug]  vsrc/mem_lib.sv
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/mem_lib.sv
[debug]  vsrc/ifu_ic_mem.sv
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv
[debug]  quasar_wrapper.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/quasar_wrapper.class
[debug]  wrapper.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/wrapper.class
[debug]  pic_ctrl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/pic_ctrl$$anon$1.class
[debug]  include
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include
[debug]  include/iccm_mem.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/iccm_mem.class
[debug]  include/dec_pic.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dec_pic.class
[debug]  include/exu_bp.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/exu_bp.class
[debug]  include/decode_exu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/decode_exu.class
[debug]  include/lsu_tlu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/lsu_tlu.class
[debug]  include/dbg_dctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dbg_dctl.class
[debug]  include/dec_tlu_csr_pkt.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class
[debug]  include/dctl_dma.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dctl_dma.class
[debug]  include/dec_ifc.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dec_ifc.class
[debug]  include/ic_data_ext_in_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class
[debug]  include/ifu_dec.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/ifu_dec.class
[debug]  include/write_resp.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/write_resp.class
[debug]  include/read_addr$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/read_addr$.class
[debug]  include/class_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/class_pkt_t.class
[debug]  include/dec_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dec_pkt_t.class
[debug]  include/ahb_channel.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/ahb_channel.class
[debug]  include/br_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/br_pkt_t.class
[debug]  include/trace_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/trace_pkt_t.class
[debug]  include/aln_dec.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/aln_dec.class
[debug]  include/br_tlu_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/br_tlu_pkt_t.class
[debug]  include/dest_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dest_pkt_t.class
[debug]  include/dma_ifc.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dma_ifc.class
[debug]  include/gpr_exu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/gpr_exu.class
[debug]  include/cache_debug_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/cache_debug_pkt_t.class
[debug]  include/dec_mem_ctrl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dec_mem_ctrl.class
[debug]  include/dec_div.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dec_div.class
[debug]  include/exu_ifu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/exu_ifu.class
[debug]  include/mul_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/mul_pkt_t.class
[debug]  include/tlu_busbuff.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/tlu_busbuff.class
[debug]  include/aln_ib.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/aln_ib.class
[debug]  include/ccm_ext_in_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class
[debug]  include/dma_dccm_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dma_dccm_ctl.class
[debug]  include/dctl_busbuff.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dctl_busbuff.class
[debug]  include/ahb_out_dma.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/ahb_out_dma.class
[debug]  include/ic_mem.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/ic_mem.class
[debug]  include/ic_tag_ext_in_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class
[debug]  include/lsu_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/lsu_pkt_t.class
[debug]  include/dbg_ib.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dbg_ib.class
[debug]  include/inst_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/inst_pkt_t.class
[debug]  include/ib_exu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/ib_exu.class
[debug]  include/lsu_pic.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/lsu_pic.class
[debug]  include/dec_dbg.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dec_dbg.class
[debug]  include/axi_channels.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/axi_channels.class
[debug]  include/lsu_dma.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/lsu_dma.class
[debug]  include/lsu_dec.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/lsu_dec.class
[debug]  include/predict_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/predict_pkt_t.class
[debug]  include/read_addr.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/read_addr.class
[debug]  include/dccm_ext_in_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class
[debug]  include/lsu_error_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/lsu_error_pkt_t.class
[debug]  include/dec_alu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dec_alu.class
[debug]  include/trap_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/trap_pkt_t.class
[debug]  include/load_cam_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/load_cam_pkt_t.class
[debug]  include/dma_mem_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dma_mem_ctl.class
[debug]  include/ahb_out.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/ahb_out.class
[debug]  include/inst_pkt_t$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/inst_pkt_t$.class
[debug]  include/dec_bp.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dec_bp.class
[debug]  include/tlu_exu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/tlu_exu.class
[debug]  include/tlu_dma.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/tlu_dma.class
[debug]  include/dec_aln.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dec_aln.class
[debug]  include/write_data.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/write_data.class
[debug]  include/ahb_in.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/ahb_in.class
[debug]  include/rets_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/rets_pkt_t.class
[debug]  include/trigger_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/trigger_pkt_t.class
[debug]  include/dma_lsc_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dma_lsc_ctl.class
[debug]  include/alu_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/alu_pkt_t.class
[debug]  include/read_data.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/read_data.class
[debug]  include/dec_exu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dec_exu.class
[debug]  include/write_addr.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/write_addr.class
[debug]  include/reg_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/reg_pkt_t.class
[debug]  include/axi_channels$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/axi_channels$.class
[debug]  include/lsu_exu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/lsu_exu.class
[debug]  include/read_data$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/read_data$.class
[debug]  include/write_addr$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/write_addr$.class
[debug]  include/dec_dma.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/dec_dma.class
[debug]  include/ifu_dma.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/ifu_dma.class
[debug]  include/div_pkt_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/include/div_pkt_t.class
[debug]  lsu
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu
[debug]  lsu/lsu_clkdomain$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class
[debug]  lsu/lsu_lsc_ctl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class
[debug]  lsu/lsu_ecc.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_ecc.class
[debug]  lsu/lsu_dccm_ctl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_dccm_ctl$$anon$1.class
[debug]  lsu/lsu_dccm_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class
[debug]  lsu/lsu_stbuf.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_stbuf.class
[debug]  lsu/lsu_lsc_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class
[debug]  lsu/lsu_clkdomain.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_clkdomain.class
[debug]  lsu/lsu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu.class
[debug]  lsu/lsu_bus_intf$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class
[debug]  lsu/lsu_ecc$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_ecc$$anon$1.class
[debug]  lsu/lsu_addrcheck.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_addrcheck.class
[debug]  lsu/lsu$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu$$anon$1.class
[debug]  lsu/lsu_trigger.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_trigger.class
[debug]  lsu/lsu_bus_buffer$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_bus_buffer$$anon$1.class
[debug]  lsu/lsu_trigger$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_trigger$$anon$1.class
[debug]  lsu/lsu_stbuf$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_stbuf$$anon$1.class
[debug]  lsu/lsu_bus_buffer.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_bus_buffer.class
[debug]  lsu/lsu_bus_intf.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_bus_intf.class
[debug]  lsu/lsu_addrcheck$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lsu/lsu_addrcheck$$anon$1.class
[debug]  quasar_wrapper$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/quasar_wrapper$$anon$1.class
[debug]  dbg
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dbg
[debug]  dbg/state_t$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dbg/state_t$.class
[debug]  dbg/sb_state_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dbg/sb_state_t.class
[debug]  dbg/dbg.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dbg/dbg.class
[debug]  dbg/dbg_dma.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dbg/dbg_dma.class
[debug]  dbg/dbg$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dbg/dbg$$anon$1.class
[debug]  dbg/state_t.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dbg/state_t.class
[debug]  dbg/sb_state_t$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dbg/sb_state_t$.class
[debug]  QUASAR.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/QUASAR.class
[debug]  pic_ctrl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/pic_ctrl.class
[debug]  ifu
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu
[debug]  ifu/ifu_compress_ctl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu/ifu_compress_ctl$$anon$1.class
[debug]  ifu/ifu_mem_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu/ifu_mem_ctl.class
[debug]  ifu/ifu$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu/ifu$$anon$1.class
[debug]  ifu/ifu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu/ifu.class
[debug]  ifu/ifu_aln_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu/ifu_aln_ctl.class
[debug]  ifu/ifu_aln_ctl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu/ifu_aln_ctl$$anon$1.class
[debug]  ifu/ifu_ifc_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class
[debug]  ifu/ifu_bp_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu/ifu_bp_ctl.class
[debug]  ifu/ifu_bp_ctl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu/ifu_bp_ctl$$anon$1.class
[debug]  ifu/mem_ctl_io.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu/mem_ctl_io.class
[debug]  ifu/ifu_compress_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu/ifu_compress_ctl.class
[debug]  ifu/ifu_ifc_ctl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/ifu/ifu_ifc_ctl$$anon$1.class
[debug]  wrapper$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/wrapper$.class
[debug]  dec
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec
[debug]  dec/dec_IO.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_IO.class
[debug]  dec/dec_tlu_ctl_IO.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class
[debug]  dec/dec_gpr_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_gpr_ctl.class
[debug]  dec/dec_timer_ctl_IO.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class
[debug]  dec/dec_decode_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_decode_ctl.class
[debug]  dec/dec_decode_csr_read.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_decode_csr_read.class
[debug]  dec/CSRs.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/CSRs.class
[debug]  dec/dec_dec_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_dec_ctl.class
[debug]  dec/dec_decode_csr_read_IO.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class
[debug]  dec/dec_gpr_ctl_IO.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_gpr_ctl_IO.class
[debug]  dec/CSR_VAL.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/CSR_VAL.class
[debug]  dec/csr_tlu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/csr_tlu.class
[debug]  dec/dec.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec.class
[debug]  dec/dec_ib_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_ib_ctl.class
[debug]  dec/dec_trigger.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_trigger.class
[debug]  dec/dec_ib_ctl_IO.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class
[debug]  dec/dec_decode_ctl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class
[debug]  dec/dec_timer_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_timer_ctl.class
[debug]  dec/dec_dec_ctl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_dec_ctl$$anon$1.class
[debug]  dec/CSR_IO.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/CSR_IO.class
[debug]  dec/dec_tlu_ctl.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_tlu_ctl.class
[debug]  dec/dec_trigger$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dec/dec_trigger$$anon$1.class
[debug]  quasar_bundle$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/quasar_bundle$$anon$1.class
[debug]  dma_ctrl$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dma_ctrl$$anon$1.class
[debug]  .vscode
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/.vscode
[debug]  .vscode/settings.json
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/.vscode/settings.json
[debug]  dmi
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dmi
[debug]  dmi/dmi_wrapper_module$$anon$2.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dmi/dmi_wrapper_module$$anon$2.class
[debug]  dmi/dmi_wrapper.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dmi/dmi_wrapper.class
[debug]  dmi/dmi_wrapper$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dmi/dmi_wrapper$$anon$1.class
[debug]  dmi/dmi_wrapper_module.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/dmi/dmi_wrapper_module.class
[debug]  mem
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/mem
[debug]  mem/mem_lsu.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/mem/mem_lsu.class
[debug]  mem/blackbox_mem.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/mem/blackbox_mem.class
[debug]  mem/Mem_bundle.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/mem/Mem_bundle.class
[debug]  mem/quasar$mem.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/mem/quasar$mem.class
[debug]  mem/quasar$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/mem/quasar$.class
[debug]  mem/quasar.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/mem/quasar.class
[debug]  lib
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib
[debug]  lib/lib$gated_latch.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib$gated_latch.class
[debug]  lib/axi4_to_ahb$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/axi4_to_ahb$.class
[debug]  lib/ahb_to_axi4.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/ahb_to_axi4.class
[debug]  lib/lib$rvecc_encode_64.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class
[debug]  lib/lib$gated_latch$$anon$4.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib$gated_latch$$anon$4.class
[debug]  lib/lib$rvecc_encode_64$$anon$3.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$3.class
[debug]  lib/lib$rvdffe$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib$rvdffe$.class
[debug]  lib/lib$rvecc_encode.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib$rvecc_encode.class
[debug]  lib/ahb_to_axi4$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class
[debug]  lib/lib$$anon$1.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib$$anon$1.class
[debug]  lib/lib.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib.class
[debug]  lib/lib$rvecc_encode$$anon$2.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$2.class
[debug]  lib/ahb_to_axi4$$anon$1$$anon$2.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1$$anon$2.class
[debug]  lib/axi4_to_ahb_IO.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class
[debug]  lib/param.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/param.class
[debug]  lib/axi4_to_ahb.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/axi4_to_ahb.class
[debug]  lib/lib$rvclkhdr$$anon$5.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$5.class
[debug]  lib/lib$rvclkhdr$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib$rvclkhdr$.class
[debug]  lib/lib$rvsyncss$.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib$rvsyncss$.class
[debug]  lib/lib$rvclkhdr.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/lib/lib$rvclkhdr.class
[debug]  quasar.class
[debug]  /home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/classes/quasar.class
[debug] Done packaging.

View File

@ -1 +1 @@
1702330503 612728589

View File

@ -1 +1 @@
/home/abdulhameed.akram/Videos/new_push_quasar/Quasar/design/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar

Some files were not shown because too many files have changed in this diff Show More